Update user_project_wrapper_empty

- increase core ring spacings slightly so that magic stops flagging spacing errors
diff --git a/def/user_project_wrapper_empty.def b/def/user_project_wrapper_empty.def
index fee93a0..b091c79 100644
--- a/def/user_project_wrapper_empty.def
+++ b/def/user_project_wrapper_empty.def
@@ -1305,7 +1305,7 @@
 VIAS 1 ;
     - via4_3000x3000 + VIARULE M4M5_PR + CUTSIZE 800 800  + LAYERS met4 via4 met5  + CUTSPACING 800 800  + ENCLOSURE 1100 300 1100 310  + ROWCOL 2 1  ;
 END VIAS
-PINS 644 ;
+PINS 1240 ;
     - analog_io[0] + NET analog_io[0] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 29580 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
     - analog_io[10] + NET analog_io[10] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 2375580 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
     - analog_io[11] + NET analog_io[11] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 2610180 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
@@ -1942,14 +1942,610 @@
     - wbs_sel_i[3] + NET wbs_sel_i[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 127650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
     - wbs_stb_i + NET wbs_stb_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 26450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
     - wbs_we_i + NET wbs_we_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 32430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - vccd1 + NET vccd1 + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -3120 ) N + LAYER met5 ( -1469790 -1500 ) ( 1469790 1500 ) ;
-    - vssd1 + NET vssd1 + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -7720 ) N + LAYER met5 ( -1474390 -1500 ) ( 1474390 1500 ) ;
-    - vccd2 + NET vccd2 + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -12320 ) N + LAYER met5 ( -1478990 -1500 ) ( 1478990 1500 ) ;
-    - vssd2 + NET vssd2 + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -16920 ) N + LAYER met5 ( -1483590 -1500 ) ( 1483590 1500 ) ;
-    - vdda1 + NET vdda1 + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -21520 ) N + LAYER met5 ( -1488190 -1500 ) ( 1488190 1500 ) ;
-    - vssa1 + NET vssa1 + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -26120 ) N + LAYER met5 ( -1492790 -1500 ) ( 1492790 1500 ) ;
-    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -30720 ) N + LAYER met5 ( -1497390 -1500 ) ( 1497390 1500 ) ;
-    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -35320 ) N + LAYER met5 ( -1501990 -1500 ) ( 1501990 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2885520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2705520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2525520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2345520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2165520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1985520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1805520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1625520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1445520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1265520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1085520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 905520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 725520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 545520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 365520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 185520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 5520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2928100 1759840 ) N + LAYER met4 ( -1500 -1764460 ) ( 1500 1764460 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -8480 1759840 ) N + LAYER met4 ( -1500 -1764460 ) ( 1500 1764460 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2885520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2705520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2525520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2345520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2165520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1985520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1805520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1625520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1445520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1265520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1085520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 905520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 725520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 545520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 365520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 185520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 5520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 3522800 ) N + LAYER met5 ( -1469790 -1500 ) ( 1469790 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 3430880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 3430880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 3250880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 3250880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 3070880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 3070880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 2890880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 2890880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 2710880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 2710880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 2530880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 2530880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 2350880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 2350880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 2170880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 2170880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 1990880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 1990880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 1810880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 1810880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 1630880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 1630880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 1450880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 1450880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 1270880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 1270880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 1090880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 1090880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 910880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 910880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 730880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 730880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 550880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 550880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 370880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 370880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 190880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 190880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 10880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 10880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -3120 ) N + LAYER met5 ( -1469790 -1500 ) ( 1469790 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2932800 1759840 ) N + LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2795520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2615520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2435520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2255520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2075520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1895520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1715520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1535520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1355520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1175520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 995520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 815520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 635520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 455520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 275520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 95520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -13180 1759840 ) N + LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2795520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2615520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2435520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2255520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2075520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1895520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1715520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1535520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1355520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1175520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 995520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 815520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 635520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 455520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 275520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 95520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 3527500 ) N + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 3340880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 3340880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 3160880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 3160880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 2980880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 2980880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 2800880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 2800880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 2620880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 2620880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 2440880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 2440880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 2260880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 2260880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 2080880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 2080880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 1900880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 1900880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 1720880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 1720880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 1540880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 1540880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 1360880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 1360880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 1180880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 1180880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 1000880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 1000880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 820880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 820880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 640880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 640880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 460880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 460880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 280880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 280880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 100880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 100880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -7820 ) N + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2903520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2723520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2543520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2363520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2183520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2003520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1823520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1643520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1463520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1283520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1103520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 923520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 743520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 563520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 383520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 203520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 23520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2937500 1759840 ) N + LAYER met4 ( -1500 -1773860 ) ( 1500 1773860 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -17880 1759840 ) N + LAYER met4 ( -1500 -1773860 ) ( 1500 1773860 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2903520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2723520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2543520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2363520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2183520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2003520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1823520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1643520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1463520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1283520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1103520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 923520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 743520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 563520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 383520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 203520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 23520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 3532200 ) N + LAYER met5 ( -1479190 -1500 ) ( 1479190 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 3448880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 3448880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 3268880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 3268880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 3088880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 3088880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 2908880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 2908880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 2728880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 2728880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 2548880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 2548880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 2368880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 2368880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 2188880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 2188880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 2008880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 2008880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 1828880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 1828880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 1648880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 1648880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 1468880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 1468880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 1288880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 1288880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 1108880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 1108880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 928880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 928880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 748880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 748880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 568880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 568880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 388880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 388880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 208880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 208880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 28880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 28880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -12520 ) N + LAYER met5 ( -1479190 -1500 ) ( 1479190 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2942200 1759840 ) N + LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2813520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2633520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2453520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2273520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2093520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1913520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1733520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1553520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1373520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1193520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1013520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 833520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 653520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 473520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 293520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 113520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -22580 1759840 ) N + LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2813520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2633520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2453520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2273520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2093520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1913520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1733520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1553520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1373520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1193520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1013520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 833520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 653520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 473520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 293520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 113520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 3536900 ) N + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 3358880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 3358880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 3178880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 3178880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 2998880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 2998880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 2818880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 2818880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 2638880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 2638880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 2458880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 2458880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 2278880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 2278880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 2098880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 2098880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 1918880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 1918880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 1738880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 1738880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 1558880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 1558880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 1378880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 1378880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 1198880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 1198880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 1018880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 1018880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 838880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 838880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 658880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 658880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 478880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 478880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 298880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 298880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 118880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 118880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -17220 ) N + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2741520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2561520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2381520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2201520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2021520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1841520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1661520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1481520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1301520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1121520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 941520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 761520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 581520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 401520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 221520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 41520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2946900 1759840 ) N + LAYER met4 ( -1500 -1783260 ) ( 1500 1783260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -27280 1759840 ) N + LAYER met4 ( -1500 -1783260 ) ( 1500 1783260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2741520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2561520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2381520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2201520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2021520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1841520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1661520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1481520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1301520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1121520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 941520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 761520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 581520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 401520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 221520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 41520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 3541600 ) N + LAYER met5 ( -1488590 -1500 ) ( 1488590 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 3466880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 3466880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 3286880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 3286880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 3106880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 3106880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 2926880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 2926880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 2746880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 2746880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 2566880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 2566880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 2386880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 2386880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 2206880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 2206880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 2026880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 2026880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 1846880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 1846880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 1666880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 1666880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 1486880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 1486880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 1306880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 1306880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 1126880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 1126880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 946880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 946880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 766880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 766880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 586880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 586880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 406880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 406880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 226880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 226880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 46880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 46880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -21920 ) N + LAYER met5 ( -1488590 -1500 ) ( 1488590 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2951600 1759840 ) N + LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2831520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2651520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2471520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2291520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2111520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1931520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1751520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1571520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1391520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1211520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1031520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 851520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 671520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 491520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 311520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 131520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -31980 1759840 ) N + LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2831520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2651520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2471520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2291520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2111520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1931520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1751520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1571520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1391520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1211520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1031520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 851520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 671520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 491520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 311520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 131520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 3546300 ) N + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 3376880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 3376880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 3196880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 3196880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 3016880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 3016880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 2836880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 2836880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 2656880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 2656880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 2476880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 2476880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 2296880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 2296880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 2116880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 2116880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 1936880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 1936880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 1756880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 1756880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 1576880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 1576880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 1396880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 1396880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 1216880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 1216880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 1036880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 1036880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 856880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 856880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 676880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 676880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 496880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 496880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 316880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 316880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 136880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 136880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -26620 ) N + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2759520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2579520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2399520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2219520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2039520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1859520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1679520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1499520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1319520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1139520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 959520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 779520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 599520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 419520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 239520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 59520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2956300 1759840 ) N + LAYER met4 ( -1500 -1792660 ) ( 1500 1792660 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -36680 1759840 ) N + LAYER met4 ( -1500 -1792660 ) ( 1500 1792660 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2759520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2579520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2399520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2219520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2039520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1859520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1679520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1499520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1319520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1139520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 959520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 779520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 599520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 419520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 239520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 59520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 3551000 ) N + LAYER met5 ( -1497990 -1500 ) ( 1497990 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 3484880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 3484880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 3304880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 3304880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 3124880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 3124880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 2944880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 2944880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 2764880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 2764880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 2584880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 2584880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 2404880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 2404880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 2224880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 2224880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 2044880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 2044880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 1864880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 1864880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 1684880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 1684880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 1504880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 1504880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 1324880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 1324880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 1144880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 1144880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 964880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 964880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 784880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 784880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 604880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 604880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 424880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 424880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 244880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 244880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 64880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 64880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -31320 ) N + LAYER met5 ( -1497990 -1500 ) ( 1497990 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2961000 1759840 ) N + LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2849520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2669520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2489520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2309520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2129520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1949520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1769520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1589520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1409520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1229520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1049520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 869520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 689520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 509520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 329520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 149520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -41380 1759840 ) N + LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2849520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2669520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2489520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2309520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2129520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1949520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1769520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1589520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1409520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1229520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1049520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 869520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 689520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 509520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 329520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 149520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 3555700 ) N + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 3394880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 3394880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 3214880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 3214880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 3034880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 3034880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 2854880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 2854880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 2674880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 2674880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 2494880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 2494880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 2314880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 2314880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 2134880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 2134880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 1954880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 1954880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 1774880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 1774880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 1594880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 1594880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 1414880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 1414880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 1234880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 1234880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 1054880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 1054880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 874880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 874880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 694880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 694880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 514880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 514880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 334880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 334880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 154880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 154880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -36020 ) N + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 ) ;
 END PINS
 SPECIALNETS 8 ;
     - vccd1 ( PIN vccd1 ) + USE POWER
@@ -2032,1141 +2628,1141 @@
       NEW met4 0 + SHAPE STRIPE ( 5520 -3120 ) via4_3000x3000
       NEW met4 0 + SHAPE STRIPE ( -8480 -3120 ) via4_3000x3000
       NEW met5 3000 + SHAPE STRIPE ( -9980 3522800 ) ( 2929600 3522800 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3430880 ) ( 2934200 3430880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 3430880 ) ( 2400 3430880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3250880 ) ( 2934200 3250880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 3250880 ) ( 2400 3250880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3070880 ) ( 2934200 3070880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 3070880 ) ( 2400 3070880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2890880 ) ( 2934200 2890880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2890880 ) ( 2400 2890880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2710880 ) ( 2934200 2710880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2710880 ) ( 2400 2710880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2530880 ) ( 2934200 2530880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2530880 ) ( 2400 2530880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2350880 ) ( 2934200 2350880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2350880 ) ( 2400 2350880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2170880 ) ( 2934200 2170880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2170880 ) ( 2400 2170880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1990880 ) ( 2934200 1990880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1990880 ) ( 2400 1990880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1810880 ) ( 2934200 1810880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1810880 ) ( 2400 1810880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1630880 ) ( 2934200 1630880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1630880 ) ( 2400 1630880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1450880 ) ( 2934200 1450880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1450880 ) ( 2400 1450880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1270880 ) ( 2934200 1270880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1270880 ) ( 2400 1270880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1090880 ) ( 2934200 1090880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1090880 ) ( 2400 1090880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 910880 ) ( 2934200 910880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 910880 ) ( 2400 910880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 730880 ) ( 2934200 730880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 730880 ) ( 2400 730880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 550880 ) ( 2934200 550880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 550880 ) ( 2400 550880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 370880 ) ( 2934200 370880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 370880 ) ( 2400 370880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 190880 ) ( 2934200 190880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 190880 ) ( 2400 190880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 10880 ) ( 2934200 10880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 10880 ) ( 2400 10880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3430880 ) ( 2934300 3430880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 3430880 ) ( 2400 3430880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3250880 ) ( 2934300 3250880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 3250880 ) ( 2400 3250880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3070880 ) ( 2934300 3070880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 3070880 ) ( 2400 3070880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2890880 ) ( 2934300 2890880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 2890880 ) ( 2400 2890880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2710880 ) ( 2934300 2710880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 2710880 ) ( 2400 2710880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2530880 ) ( 2934300 2530880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 2530880 ) ( 2400 2530880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2350880 ) ( 2934300 2350880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 2350880 ) ( 2400 2350880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2170880 ) ( 2934300 2170880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 2170880 ) ( 2400 2170880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1990880 ) ( 2934300 1990880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 1990880 ) ( 2400 1990880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1810880 ) ( 2934300 1810880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 1810880 ) ( 2400 1810880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1630880 ) ( 2934300 1630880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 1630880 ) ( 2400 1630880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1450880 ) ( 2934300 1450880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 1450880 ) ( 2400 1450880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1270880 ) ( 2934300 1270880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 1270880 ) ( 2400 1270880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1090880 ) ( 2934300 1090880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 1090880 ) ( 2400 1090880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 910880 ) ( 2934300 910880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 910880 ) ( 2400 910880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 730880 ) ( 2934300 730880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 730880 ) ( 2400 730880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 550880 ) ( 2934300 550880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 550880 ) ( 2400 550880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 370880 ) ( 2934300 370880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 370880 ) ( 2400 370880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 190880 ) ( 2934300 190880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 190880 ) ( 2400 190880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 10880 ) ( 2934300 10880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 10880 ) ( 2400 10880 )
       NEW met5 3000 + SHAPE STRIPE ( -9980 -3120 ) ( 2929600 -3120 )
-      NEW met4 3000 + SHAPE STRIPE ( 2885520 3517600 ) ( 2885520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2705520 3517600 ) ( 2705520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2525520 3517600 ) ( 2525520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2345520 3517600 ) ( 2345520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2165520 3517600 ) ( 2165520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1985520 3517600 ) ( 1985520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1805520 3517600 ) ( 1805520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1625520 3517600 ) ( 1625520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1445520 3517600 ) ( 1445520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1265520 3517600 ) ( 1265520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1085520 3517600 ) ( 1085520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 905520 3517600 ) ( 905520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 725520 3517600 ) ( 725520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 545520 3517600 ) ( 545520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 365520 3517600 ) ( 365520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 185520 3517600 ) ( 185520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 5520 3517600 ) ( 5520 3528900 )
+      NEW met4 3000 + SHAPE STRIPE ( 2885520 3517600 ) ( 2885520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 2705520 3517600 ) ( 2705520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 2525520 3517600 ) ( 2525520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 2345520 3517600 ) ( 2345520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 2165520 3517600 ) ( 2165520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 1985520 3517600 ) ( 1985520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 1805520 3517600 ) ( 1805520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 1625520 3517600 ) ( 1625520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 1445520 3517600 ) ( 1445520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 1265520 3517600 ) ( 1265520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 1085520 3517600 ) ( 1085520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 905520 3517600 ) ( 905520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 725520 3517600 ) ( 725520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 545520 3517600 ) ( 545520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 365520 3517600 ) ( 365520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 185520 3517600 ) ( 185520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 5520 3517600 ) ( 5520 3529000 )
       NEW met4 3000 + SHAPE STRIPE ( 2928100 -4620 ) ( 2928100 3524300 )
       NEW met4 3000 + SHAPE STRIPE ( -8480 -4620 ) ( -8480 3524300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2885520 -9220 ) ( 2885520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2705520 -9220 ) ( 2705520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2525520 -9220 ) ( 2525520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2345520 -9220 ) ( 2345520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2165520 -9220 ) ( 2165520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1985520 -9220 ) ( 1985520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1805520 -9220 ) ( 1805520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1625520 -9220 ) ( 1625520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1445520 -9220 ) ( 1445520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1265520 -9220 ) ( 1265520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1085520 -9220 ) ( 1085520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 905520 -9220 ) ( 905520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 725520 -9220 ) ( 725520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 545520 -9220 ) ( 545520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 365520 -9220 ) ( 365520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 185520 -9220 ) ( 185520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 5520 -9220 ) ( 5520 2400 ) ;
+      NEW met4 3000 + SHAPE STRIPE ( 2885520 -9320 ) ( 2885520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2705520 -9320 ) ( 2705520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2525520 -9320 ) ( 2525520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2345520 -9320 ) ( 2345520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2165520 -9320 ) ( 2165520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1985520 -9320 ) ( 1985520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1805520 -9320 ) ( 1805520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1625520 -9320 ) ( 1625520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1445520 -9320 ) ( 1445520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1265520 -9320 ) ( 1265520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1085520 -9320 ) ( 1085520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 905520 -9320 ) ( 905520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 725520 -9320 ) ( 725520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 545520 -9320 ) ( 545520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 365520 -9320 ) ( 365520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 185520 -9320 ) ( 185520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 5520 -9320 ) ( 5520 2400 ) ;
     - vssd1 ( PIN vssd1 ) + USE GROUND
-      + ROUTED met4 0 + SHAPE STRIPE ( 2932700 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2795520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2615520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2435520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2255520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2075520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1895520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1715520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1535520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1355520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1175520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 995520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 815520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 635520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 455520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 275520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 95520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 3340880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 3340880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 3160880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 3160880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 2980880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 2980880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 2800880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 2800880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 2620880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 2620880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 2440880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 2440880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 2260880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 2260880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 2080880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 2080880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 1900880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 1900880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 1720880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 1720880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 1540880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 1540880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 1360880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 1360880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 1180880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 1180880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 1000880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 1000880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 820880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 820880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 640880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 640880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 460880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 460880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 280880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 280880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 100880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 100880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2795520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2615520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2435520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2255520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2075520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1895520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1715520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1535520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1355520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1175520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 995520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 815520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 635520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 455520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 275520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 95520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 -7720 ) via4_3000x3000
-      NEW met5 3000 + SHAPE STRIPE ( -14580 3527400 ) ( 2934200 3527400 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3340880 ) ( 2934200 3340880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 3340880 ) ( 2400 3340880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3160880 ) ( 2934200 3160880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 3160880 ) ( 2400 3160880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2980880 ) ( 2934200 2980880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2980880 ) ( 2400 2980880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2800880 ) ( 2934200 2800880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2800880 ) ( 2400 2800880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2620880 ) ( 2934200 2620880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2620880 ) ( 2400 2620880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2440880 ) ( 2934200 2440880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2440880 ) ( 2400 2440880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2260880 ) ( 2934200 2260880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2260880 ) ( 2400 2260880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2080880 ) ( 2934200 2080880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2080880 ) ( 2400 2080880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1900880 ) ( 2934200 1900880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1900880 ) ( 2400 1900880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1720880 ) ( 2934200 1720880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1720880 ) ( 2400 1720880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1540880 ) ( 2934200 1540880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1540880 ) ( 2400 1540880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1360880 ) ( 2934200 1360880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1360880 ) ( 2400 1360880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1180880 ) ( 2934200 1180880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1180880 ) ( 2400 1180880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1000880 ) ( 2934200 1000880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1000880 ) ( 2400 1000880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 820880 ) ( 2934200 820880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 820880 ) ( 2400 820880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 640880 ) ( 2934200 640880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 640880 ) ( 2400 640880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 460880 ) ( 2934200 460880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 460880 ) ( 2400 460880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 280880 ) ( 2934200 280880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 280880 ) ( 2400 280880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 100880 ) ( 2934200 100880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 100880 ) ( 2400 100880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 -7720 ) ( 2934200 -7720 )
-      NEW met4 3000 + SHAPE STRIPE ( 2932700 -9220 ) ( 2932700 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2795520 3517600 ) ( 2795520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2615520 3517600 ) ( 2615520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2435520 3517600 ) ( 2435520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2255520 3517600 ) ( 2255520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2075520 3517600 ) ( 2075520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1895520 3517600 ) ( 1895520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1715520 3517600 ) ( 1715520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1535520 3517600 ) ( 1535520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1355520 3517600 ) ( 1355520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1175520 3517600 ) ( 1175520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 995520 3517600 ) ( 995520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 815520 3517600 ) ( 815520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 635520 3517600 ) ( 635520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 455520 3517600 ) ( 455520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 275520 3517600 ) ( 275520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 95520 3517600 ) ( 95520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( -13080 -9220 ) ( -13080 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2795520 -9220 ) ( 2795520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2615520 -9220 ) ( 2615520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2435520 -9220 ) ( 2435520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2255520 -9220 ) ( 2255520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2075520 -9220 ) ( 2075520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1895520 -9220 ) ( 1895520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1715520 -9220 ) ( 1715520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1535520 -9220 ) ( 1535520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1355520 -9220 ) ( 1355520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1175520 -9220 ) ( 1175520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 995520 -9220 ) ( 995520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 815520 -9220 ) ( 815520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 635520 -9220 ) ( 635520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 455520 -9220 ) ( 455520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 275520 -9220 ) ( 275520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 95520 -9220 ) ( 95520 2400 ) ;
+      + ROUTED met4 0 + SHAPE STRIPE ( 2932800 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2795520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2615520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2435520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2255520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2075520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1895520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1715520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1535520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1355520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1175520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 995520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 815520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 635520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 455520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 275520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 95520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 3340880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 3340880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 3160880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 3160880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 2980880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 2980880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 2800880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 2800880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 2620880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 2620880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 2440880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 2440880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 2260880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 2260880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 2080880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 2080880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 1900880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 1900880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 1720880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 1720880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 1540880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 1540880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 1360880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 1360880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 1180880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 1180880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 1000880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 1000880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 820880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 820880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 640880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 640880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 460880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 460880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 280880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 280880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 100880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 100880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2795520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2615520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2435520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2255520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2075520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1895520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1715520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1535520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1355520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1175520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 995520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 815520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 635520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 455520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 275520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 95520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 -7820 ) via4_3000x3000
+      NEW met5 3000 + SHAPE STRIPE ( -14680 3527500 ) ( 2934300 3527500 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3340880 ) ( 2934300 3340880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 3340880 ) ( 2400 3340880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3160880 ) ( 2934300 3160880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 3160880 ) ( 2400 3160880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2980880 ) ( 2934300 2980880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 2980880 ) ( 2400 2980880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2800880 ) ( 2934300 2800880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 2800880 ) ( 2400 2800880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2620880 ) ( 2934300 2620880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 2620880 ) ( 2400 2620880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2440880 ) ( 2934300 2440880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 2440880 ) ( 2400 2440880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2260880 ) ( 2934300 2260880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 2260880 ) ( 2400 2260880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2080880 ) ( 2934300 2080880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 2080880 ) ( 2400 2080880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1900880 ) ( 2934300 1900880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 1900880 ) ( 2400 1900880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1720880 ) ( 2934300 1720880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 1720880 ) ( 2400 1720880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1540880 ) ( 2934300 1540880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 1540880 ) ( 2400 1540880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1360880 ) ( 2934300 1360880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 1360880 ) ( 2400 1360880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1180880 ) ( 2934300 1180880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 1180880 ) ( 2400 1180880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1000880 ) ( 2934300 1000880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 1000880 ) ( 2400 1000880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 820880 ) ( 2934300 820880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 820880 ) ( 2400 820880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 640880 ) ( 2934300 640880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 640880 ) ( 2400 640880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 460880 ) ( 2934300 460880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 460880 ) ( 2400 460880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 280880 ) ( 2934300 280880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 280880 ) ( 2400 280880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 100880 ) ( 2934300 100880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 100880 ) ( 2400 100880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 -7820 ) ( 2934300 -7820 )
+      NEW met4 3000 + SHAPE STRIPE ( 2932800 -9320 ) ( 2932800 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 2795520 3517600 ) ( 2795520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 2615520 3517600 ) ( 2615520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 2435520 3517600 ) ( 2435520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 2255520 3517600 ) ( 2255520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 2075520 3517600 ) ( 2075520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 1895520 3517600 ) ( 1895520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 1715520 3517600 ) ( 1715520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 1535520 3517600 ) ( 1535520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 1355520 3517600 ) ( 1355520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 1175520 3517600 ) ( 1175520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 995520 3517600 ) ( 995520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 815520 3517600 ) ( 815520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 635520 3517600 ) ( 635520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 455520 3517600 ) ( 455520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 275520 3517600 ) ( 275520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 95520 3517600 ) ( 95520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( -13180 -9320 ) ( -13180 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 2795520 -9320 ) ( 2795520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2615520 -9320 ) ( 2615520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2435520 -9320 ) ( 2435520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2255520 -9320 ) ( 2255520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2075520 -9320 ) ( 2075520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1895520 -9320 ) ( 1895520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1715520 -9320 ) ( 1715520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1535520 -9320 ) ( 1535520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1355520 -9320 ) ( 1355520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1175520 -9320 ) ( 1175520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 995520 -9320 ) ( 995520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 815520 -9320 ) ( 815520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 635520 -9320 ) ( 635520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 455520 -9320 ) ( 455520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 275520 -9320 ) ( 275520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 95520 -9320 ) ( 95520 2400 ) ;
     - vccd2 ( PIN vccd2 ) + USE POWER
-      + ROUTED met4 0 + SHAPE STRIPE ( 2937300 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2903520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2723520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2543520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2363520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2183520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2003520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1823520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1643520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1463520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1283520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1103520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 923520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 743520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 563520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 383520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 203520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 23520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 3448880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 3448880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 3268880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 3268880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 3088880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 3088880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 2908880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 2908880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 2728880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 2728880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 2548880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 2548880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 2368880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 2368880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 2188880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 2188880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 2008880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 2008880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 1828880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 1828880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 1648880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 1648880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 1468880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 1468880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 1288880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 1288880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 1108880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 1108880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 928880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 928880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 748880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 748880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 568880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 568880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 388880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 388880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 208880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 208880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 28880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 28880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2903520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2723520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2543520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2363520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2183520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2003520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1823520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1643520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1463520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1283520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1103520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 923520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 743520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 563520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 383520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 203520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 23520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 -12320 ) via4_3000x3000
-      NEW met5 3000 + SHAPE STRIPE ( -19180 3532000 ) ( 2938800 3532000 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3448880 ) ( 2943400 3448880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 3448880 ) ( 2400 3448880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3268880 ) ( 2943400 3268880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 3268880 ) ( 2400 3268880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3088880 ) ( 2943400 3088880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 3088880 ) ( 2400 3088880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2908880 ) ( 2943400 2908880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2908880 ) ( 2400 2908880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2728880 ) ( 2943400 2728880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2728880 ) ( 2400 2728880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2548880 ) ( 2943400 2548880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2548880 ) ( 2400 2548880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2368880 ) ( 2943400 2368880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2368880 ) ( 2400 2368880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2188880 ) ( 2943400 2188880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2188880 ) ( 2400 2188880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2008880 ) ( 2943400 2008880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2008880 ) ( 2400 2008880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1828880 ) ( 2943400 1828880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1828880 ) ( 2400 1828880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1648880 ) ( 2943400 1648880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1648880 ) ( 2400 1648880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1468880 ) ( 2943400 1468880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1468880 ) ( 2400 1468880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1288880 ) ( 2943400 1288880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1288880 ) ( 2400 1288880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1108880 ) ( 2943400 1108880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1108880 ) ( 2400 1108880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 928880 ) ( 2943400 928880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 928880 ) ( 2400 928880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 748880 ) ( 2943400 748880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 748880 ) ( 2400 748880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 568880 ) ( 2943400 568880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 568880 ) ( 2400 568880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 388880 ) ( 2943400 388880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 388880 ) ( 2400 388880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 208880 ) ( 2943400 208880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 208880 ) ( 2400 208880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 28880 ) ( 2943400 28880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 28880 ) ( 2400 28880 )
-      NEW met5 3000 + SHAPE STRIPE ( -19180 -12320 ) ( 2938800 -12320 )
-      NEW met4 3000 + SHAPE STRIPE ( 2903520 3517600 ) ( 2903520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2723520 3517600 ) ( 2723520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2543520 3517600 ) ( 2543520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2363520 3517600 ) ( 2363520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2183520 3517600 ) ( 2183520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2003520 3517600 ) ( 2003520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1823520 3517600 ) ( 1823520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1643520 3517600 ) ( 1643520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1463520 3517600 ) ( 1463520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1283520 3517600 ) ( 1283520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1103520 3517600 ) ( 1103520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 923520 3517600 ) ( 923520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 743520 3517600 ) ( 743520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 563520 3517600 ) ( 563520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 383520 3517600 ) ( 383520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 203520 3517600 ) ( 203520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 23520 3517600 ) ( 23520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2937300 -13820 ) ( 2937300 3533500 )
-      NEW met4 3000 + SHAPE STRIPE ( -17680 -13820 ) ( -17680 3533500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2903520 -18420 ) ( 2903520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2723520 -18420 ) ( 2723520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2543520 -18420 ) ( 2543520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2363520 -18420 ) ( 2363520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2183520 -18420 ) ( 2183520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2003520 -18420 ) ( 2003520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1823520 -18420 ) ( 1823520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1643520 -18420 ) ( 1643520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1463520 -18420 ) ( 1463520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1283520 -18420 ) ( 1283520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1103520 -18420 ) ( 1103520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 923520 -18420 ) ( 923520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 743520 -18420 ) ( 743520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 563520 -18420 ) ( 563520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 383520 -18420 ) ( 383520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 203520 -18420 ) ( 203520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 23520 -18420 ) ( 23520 2400 ) ;
+      + ROUTED met4 0 + SHAPE STRIPE ( 2937500 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2903520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2723520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2543520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2363520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2183520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2003520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1823520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1643520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1463520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1283520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1103520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 923520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 743520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 563520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 383520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 203520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 23520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 3448880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 3448880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 3268880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 3268880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 3088880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 3088880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 2908880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 2908880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 2728880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 2728880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 2548880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 2548880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 2368880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 2368880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 2188880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 2188880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 2008880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 2008880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 1828880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 1828880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 1648880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 1648880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 1468880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 1468880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 1288880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 1288880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 1108880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 1108880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 928880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 928880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 748880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 748880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 568880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 568880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 388880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 388880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 208880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 208880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 28880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 28880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2903520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2723520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2543520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2363520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2183520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2003520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1823520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1643520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1463520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1283520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1103520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 923520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 743520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 563520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 383520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 203520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 23520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 -12520 ) via4_3000x3000
+      NEW met5 3000 + SHAPE STRIPE ( -19380 3532200 ) ( 2939000 3532200 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3448880 ) ( 2943700 3448880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 3448880 ) ( 2400 3448880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3268880 ) ( 2943700 3268880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 3268880 ) ( 2400 3268880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3088880 ) ( 2943700 3088880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 3088880 ) ( 2400 3088880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2908880 ) ( 2943700 2908880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 2908880 ) ( 2400 2908880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2728880 ) ( 2943700 2728880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 2728880 ) ( 2400 2728880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2548880 ) ( 2943700 2548880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 2548880 ) ( 2400 2548880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2368880 ) ( 2943700 2368880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 2368880 ) ( 2400 2368880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2188880 ) ( 2943700 2188880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 2188880 ) ( 2400 2188880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2008880 ) ( 2943700 2008880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 2008880 ) ( 2400 2008880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1828880 ) ( 2943700 1828880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 1828880 ) ( 2400 1828880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1648880 ) ( 2943700 1648880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 1648880 ) ( 2400 1648880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1468880 ) ( 2943700 1468880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 1468880 ) ( 2400 1468880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1288880 ) ( 2943700 1288880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 1288880 ) ( 2400 1288880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1108880 ) ( 2943700 1108880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 1108880 ) ( 2400 1108880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 928880 ) ( 2943700 928880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 928880 ) ( 2400 928880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 748880 ) ( 2943700 748880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 748880 ) ( 2400 748880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 568880 ) ( 2943700 568880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 568880 ) ( 2400 568880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 388880 ) ( 2943700 388880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 388880 ) ( 2400 388880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 208880 ) ( 2943700 208880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 208880 ) ( 2400 208880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 28880 ) ( 2943700 28880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 28880 ) ( 2400 28880 )
+      NEW met5 3000 + SHAPE STRIPE ( -19380 -12520 ) ( 2939000 -12520 )
+      NEW met4 3000 + SHAPE STRIPE ( 2903520 3517600 ) ( 2903520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2723520 3517600 ) ( 2723520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2543520 3517600 ) ( 2543520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2363520 3517600 ) ( 2363520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2183520 3517600 ) ( 2183520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2003520 3517600 ) ( 2003520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1823520 3517600 ) ( 1823520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1643520 3517600 ) ( 1643520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1463520 3517600 ) ( 1463520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1283520 3517600 ) ( 1283520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1103520 3517600 ) ( 1103520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 923520 3517600 ) ( 923520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 743520 3517600 ) ( 743520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 563520 3517600 ) ( 563520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 383520 3517600 ) ( 383520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 203520 3517600 ) ( 203520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 23520 3517600 ) ( 23520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2937500 -14020 ) ( 2937500 3533700 )
+      NEW met4 3000 + SHAPE STRIPE ( -17880 -14020 ) ( -17880 3533700 )
+      NEW met4 3000 + SHAPE STRIPE ( 2903520 -18720 ) ( 2903520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2723520 -18720 ) ( 2723520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2543520 -18720 ) ( 2543520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2363520 -18720 ) ( 2363520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2183520 -18720 ) ( 2183520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2003520 -18720 ) ( 2003520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1823520 -18720 ) ( 1823520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1643520 -18720 ) ( 1643520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1463520 -18720 ) ( 1463520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1283520 -18720 ) ( 1283520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1103520 -18720 ) ( 1103520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 923520 -18720 ) ( 923520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 743520 -18720 ) ( 743520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 563520 -18720 ) ( 563520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 383520 -18720 ) ( 383520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 203520 -18720 ) ( 203520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 23520 -18720 ) ( 23520 2400 ) ;
     - vssd2 ( PIN vssd2 ) + USE GROUND
-      + ROUTED met4 0 + SHAPE STRIPE ( 2941900 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2813520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2633520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2453520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2273520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2093520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1913520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1733520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1553520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1373520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1193520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1013520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 833520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 653520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 473520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 293520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 113520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 3358880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 3358880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 3178880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 3178880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 2998880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 2998880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 2818880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 2818880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 2638880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 2638880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 2458880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 2458880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 2278880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 2278880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 2098880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 2098880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 1918880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 1918880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 1738880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 1738880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 1558880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 1558880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 1378880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 1378880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 1198880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 1198880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 1018880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 1018880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 838880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 838880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 658880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 658880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 478880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 478880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 298880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 298880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 118880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 118880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2813520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2633520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2453520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2273520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2093520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1913520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1733520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1553520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1373520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1193520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1013520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 833520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 653520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 473520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 293520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 113520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 -16920 ) via4_3000x3000
-      NEW met5 3000 + SHAPE STRIPE ( -23780 3536600 ) ( 2943400 3536600 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3358880 ) ( 2943400 3358880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 3358880 ) ( 2400 3358880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3178880 ) ( 2943400 3178880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 3178880 ) ( 2400 3178880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2998880 ) ( 2943400 2998880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2998880 ) ( 2400 2998880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2818880 ) ( 2943400 2818880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2818880 ) ( 2400 2818880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2638880 ) ( 2943400 2638880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2638880 ) ( 2400 2638880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2458880 ) ( 2943400 2458880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2458880 ) ( 2400 2458880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2278880 ) ( 2943400 2278880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2278880 ) ( 2400 2278880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2098880 ) ( 2943400 2098880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2098880 ) ( 2400 2098880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1918880 ) ( 2943400 1918880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1918880 ) ( 2400 1918880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1738880 ) ( 2943400 1738880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1738880 ) ( 2400 1738880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1558880 ) ( 2943400 1558880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1558880 ) ( 2400 1558880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1378880 ) ( 2943400 1378880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1378880 ) ( 2400 1378880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1198880 ) ( 2943400 1198880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1198880 ) ( 2400 1198880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1018880 ) ( 2943400 1018880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1018880 ) ( 2400 1018880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 838880 ) ( 2943400 838880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 838880 ) ( 2400 838880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 658880 ) ( 2943400 658880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 658880 ) ( 2400 658880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 478880 ) ( 2943400 478880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 478880 ) ( 2400 478880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 298880 ) ( 2943400 298880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 298880 ) ( 2400 298880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 118880 ) ( 2943400 118880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 118880 ) ( 2400 118880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 -16920 ) ( 2943400 -16920 )
-      NEW met4 3000 + SHAPE STRIPE ( 2941900 -18420 ) ( 2941900 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2813520 3517600 ) ( 2813520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2633520 3517600 ) ( 2633520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2453520 3517600 ) ( 2453520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2273520 3517600 ) ( 2273520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2093520 3517600 ) ( 2093520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1913520 3517600 ) ( 1913520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1733520 3517600 ) ( 1733520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1553520 3517600 ) ( 1553520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1373520 3517600 ) ( 1373520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1193520 3517600 ) ( 1193520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1013520 3517600 ) ( 1013520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 833520 3517600 ) ( 833520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 653520 3517600 ) ( 653520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 473520 3517600 ) ( 473520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 293520 3517600 ) ( 293520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 113520 3517600 ) ( 113520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( -22280 -18420 ) ( -22280 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2813520 -18420 ) ( 2813520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2633520 -18420 ) ( 2633520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2453520 -18420 ) ( 2453520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2273520 -18420 ) ( 2273520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2093520 -18420 ) ( 2093520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1913520 -18420 ) ( 1913520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1733520 -18420 ) ( 1733520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1553520 -18420 ) ( 1553520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1373520 -18420 ) ( 1373520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1193520 -18420 ) ( 1193520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1013520 -18420 ) ( 1013520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 833520 -18420 ) ( 833520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 653520 -18420 ) ( 653520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 473520 -18420 ) ( 473520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 293520 -18420 ) ( 293520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 113520 -18420 ) ( 113520 2400 ) ;
+      + ROUTED met4 0 + SHAPE STRIPE ( 2942200 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2813520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2633520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2453520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2273520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2093520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1913520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1733520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1553520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1373520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1193520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1013520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 833520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 653520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 473520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 293520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 113520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 3358880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 3358880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 3178880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 3178880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 2998880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 2998880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 2818880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 2818880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 2638880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 2638880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 2458880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 2458880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 2278880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 2278880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 2098880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 2098880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 1918880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 1918880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 1738880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 1738880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 1558880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 1558880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 1378880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 1378880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 1198880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 1198880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 1018880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 1018880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 838880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 838880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 658880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 658880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 478880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 478880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 298880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 298880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 118880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 118880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2813520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2633520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2453520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2273520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2093520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1913520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1733520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1553520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1373520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1193520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1013520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 833520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 653520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 473520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 293520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 113520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 -17220 ) via4_3000x3000
+      NEW met5 3000 + SHAPE STRIPE ( -24080 3536900 ) ( 2943700 3536900 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3358880 ) ( 2943700 3358880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 3358880 ) ( 2400 3358880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3178880 ) ( 2943700 3178880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 3178880 ) ( 2400 3178880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2998880 ) ( 2943700 2998880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 2998880 ) ( 2400 2998880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2818880 ) ( 2943700 2818880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 2818880 ) ( 2400 2818880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2638880 ) ( 2943700 2638880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 2638880 ) ( 2400 2638880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2458880 ) ( 2943700 2458880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 2458880 ) ( 2400 2458880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2278880 ) ( 2943700 2278880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 2278880 ) ( 2400 2278880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2098880 ) ( 2943700 2098880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 2098880 ) ( 2400 2098880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1918880 ) ( 2943700 1918880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 1918880 ) ( 2400 1918880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1738880 ) ( 2943700 1738880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 1738880 ) ( 2400 1738880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1558880 ) ( 2943700 1558880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 1558880 ) ( 2400 1558880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1378880 ) ( 2943700 1378880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 1378880 ) ( 2400 1378880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1198880 ) ( 2943700 1198880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 1198880 ) ( 2400 1198880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1018880 ) ( 2943700 1018880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 1018880 ) ( 2400 1018880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 838880 ) ( 2943700 838880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 838880 ) ( 2400 838880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 658880 ) ( 2943700 658880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 658880 ) ( 2400 658880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 478880 ) ( 2943700 478880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 478880 ) ( 2400 478880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 298880 ) ( 2943700 298880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 298880 ) ( 2400 298880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 118880 ) ( 2943700 118880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 118880 ) ( 2400 118880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 -17220 ) ( 2943700 -17220 )
+      NEW met4 3000 + SHAPE STRIPE ( 2942200 -18720 ) ( 2942200 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2813520 3517600 ) ( 2813520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2633520 3517600 ) ( 2633520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2453520 3517600 ) ( 2453520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2273520 3517600 ) ( 2273520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2093520 3517600 ) ( 2093520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1913520 3517600 ) ( 1913520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1733520 3517600 ) ( 1733520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1553520 3517600 ) ( 1553520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1373520 3517600 ) ( 1373520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1193520 3517600 ) ( 1193520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1013520 3517600 ) ( 1013520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 833520 3517600 ) ( 833520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 653520 3517600 ) ( 653520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 473520 3517600 ) ( 473520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 293520 3517600 ) ( 293520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 113520 3517600 ) ( 113520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( -22580 -18720 ) ( -22580 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2813520 -18720 ) ( 2813520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2633520 -18720 ) ( 2633520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2453520 -18720 ) ( 2453520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2273520 -18720 ) ( 2273520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2093520 -18720 ) ( 2093520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1913520 -18720 ) ( 1913520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1733520 -18720 ) ( 1733520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1553520 -18720 ) ( 1553520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1373520 -18720 ) ( 1373520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1193520 -18720 ) ( 1193520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1013520 -18720 ) ( 1013520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 833520 -18720 ) ( 833520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 653520 -18720 ) ( 653520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 473520 -18720 ) ( 473520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 293520 -18720 ) ( 293520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 113520 -18720 ) ( 113520 2400 ) ;
     - vdda1 ( PIN vdda1 ) + USE POWER
-      + ROUTED met4 0 + SHAPE STRIPE ( 2946500 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2741520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2561520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2381520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2201520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2021520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1841520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1661520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1481520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1301520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1121520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 941520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 761520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 581520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 401520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 221520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 41520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 3466880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 3466880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 3286880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 3286880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 3106880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 3106880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 2926880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 2926880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 2746880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 2746880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 2566880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 2566880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 2386880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 2386880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 2206880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 2206880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 2026880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 2026880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 1846880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 1846880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 1666880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 1666880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 1486880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 1486880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 1306880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 1306880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 1126880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 1126880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 946880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 946880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 766880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 766880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 586880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 586880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 406880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 406880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 226880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 226880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 46880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 46880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2741520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2561520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2381520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2201520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2021520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1841520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1661520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1481520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1301520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1121520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 941520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 761520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 581520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 401520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 221520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 41520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 -21520 ) via4_3000x3000
-      NEW met5 3000 + SHAPE STRIPE ( -28380 3541200 ) ( 2948000 3541200 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3466880 ) ( 2952600 3466880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 3466880 ) ( 2400 3466880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3286880 ) ( 2952600 3286880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 3286880 ) ( 2400 3286880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3106880 ) ( 2952600 3106880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 3106880 ) ( 2400 3106880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2926880 ) ( 2952600 2926880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2926880 ) ( 2400 2926880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2746880 ) ( 2952600 2746880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2746880 ) ( 2400 2746880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2566880 ) ( 2952600 2566880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2566880 ) ( 2400 2566880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2386880 ) ( 2952600 2386880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2386880 ) ( 2400 2386880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2206880 ) ( 2952600 2206880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2206880 ) ( 2400 2206880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2026880 ) ( 2952600 2026880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2026880 ) ( 2400 2026880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1846880 ) ( 2952600 1846880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1846880 ) ( 2400 1846880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1666880 ) ( 2952600 1666880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1666880 ) ( 2400 1666880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1486880 ) ( 2952600 1486880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1486880 ) ( 2400 1486880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1306880 ) ( 2952600 1306880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1306880 ) ( 2400 1306880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1126880 ) ( 2952600 1126880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1126880 ) ( 2400 1126880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 946880 ) ( 2952600 946880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 946880 ) ( 2400 946880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 766880 ) ( 2952600 766880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 766880 ) ( 2400 766880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 586880 ) ( 2952600 586880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 586880 ) ( 2400 586880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 406880 ) ( 2952600 406880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 406880 ) ( 2400 406880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 226880 ) ( 2952600 226880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 226880 ) ( 2400 226880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 46880 ) ( 2952600 46880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 46880 ) ( 2400 46880 )
-      NEW met5 3000 + SHAPE STRIPE ( -28380 -21520 ) ( 2948000 -21520 )
-      NEW met4 3000 + SHAPE STRIPE ( 2741520 3517600 ) ( 2741520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2561520 3517600 ) ( 2561520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2381520 3517600 ) ( 2381520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2201520 3517600 ) ( 2201520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2021520 3517600 ) ( 2021520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1841520 3517600 ) ( 1841520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1661520 3517600 ) ( 1661520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1481520 3517600 ) ( 1481520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1301520 3517600 ) ( 1301520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1121520 3517600 ) ( 1121520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 941520 3517600 ) ( 941520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 761520 3517600 ) ( 761520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 581520 3517600 ) ( 581520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 401520 3517600 ) ( 401520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 221520 3517600 ) ( 221520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 41520 3517600 ) ( 41520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2946500 -23020 ) ( 2946500 3542700 )
-      NEW met4 3000 + SHAPE STRIPE ( -26880 -23020 ) ( -26880 3542700 )
-      NEW met4 3000 + SHAPE STRIPE ( 2741520 -27620 ) ( 2741520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2561520 -27620 ) ( 2561520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2381520 -27620 ) ( 2381520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2201520 -27620 ) ( 2201520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2021520 -27620 ) ( 2021520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1841520 -27620 ) ( 1841520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1661520 -27620 ) ( 1661520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1481520 -27620 ) ( 1481520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1301520 -27620 ) ( 1301520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1121520 -27620 ) ( 1121520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 941520 -27620 ) ( 941520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 761520 -27620 ) ( 761520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 581520 -27620 ) ( 581520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 401520 -27620 ) ( 401520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 221520 -27620 ) ( 221520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 41520 -27620 ) ( 41520 2400 ) ;
+      + ROUTED met4 0 + SHAPE STRIPE ( 2946900 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2741520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2561520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2381520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2201520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2021520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1841520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1661520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1481520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1301520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1121520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 941520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 761520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 581520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 401520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 221520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 41520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 3466880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 3466880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 3286880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 3286880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 3106880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 3106880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 2926880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 2926880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 2746880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 2746880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 2566880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 2566880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 2386880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 2386880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 2206880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 2206880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 2026880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 2026880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 1846880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 1846880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 1666880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 1666880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 1486880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 1486880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 1306880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 1306880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 1126880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 1126880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 946880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 946880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 766880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 766880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 586880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 586880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 406880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 406880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 226880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 226880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 46880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 46880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2741520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2561520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2381520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2201520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2021520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1841520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1661520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1481520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1301520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1121520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 941520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 761520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 581520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 401520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 221520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 41520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 -21920 ) via4_3000x3000
+      NEW met5 3000 + SHAPE STRIPE ( -28780 3541600 ) ( 2948400 3541600 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3466880 ) ( 2953100 3466880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 3466880 ) ( 2400 3466880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3286880 ) ( 2953100 3286880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 3286880 ) ( 2400 3286880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3106880 ) ( 2953100 3106880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 3106880 ) ( 2400 3106880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2926880 ) ( 2953100 2926880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 2926880 ) ( 2400 2926880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2746880 ) ( 2953100 2746880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 2746880 ) ( 2400 2746880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2566880 ) ( 2953100 2566880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 2566880 ) ( 2400 2566880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2386880 ) ( 2953100 2386880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 2386880 ) ( 2400 2386880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2206880 ) ( 2953100 2206880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 2206880 ) ( 2400 2206880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2026880 ) ( 2953100 2026880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 2026880 ) ( 2400 2026880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1846880 ) ( 2953100 1846880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 1846880 ) ( 2400 1846880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1666880 ) ( 2953100 1666880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 1666880 ) ( 2400 1666880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1486880 ) ( 2953100 1486880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 1486880 ) ( 2400 1486880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1306880 ) ( 2953100 1306880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 1306880 ) ( 2400 1306880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1126880 ) ( 2953100 1126880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 1126880 ) ( 2400 1126880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 946880 ) ( 2953100 946880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 946880 ) ( 2400 946880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 766880 ) ( 2953100 766880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 766880 ) ( 2400 766880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 586880 ) ( 2953100 586880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 586880 ) ( 2400 586880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 406880 ) ( 2953100 406880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 406880 ) ( 2400 406880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 226880 ) ( 2953100 226880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 226880 ) ( 2400 226880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 46880 ) ( 2953100 46880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 46880 ) ( 2400 46880 )
+      NEW met5 3000 + SHAPE STRIPE ( -28780 -21920 ) ( 2948400 -21920 )
+      NEW met4 3000 + SHAPE STRIPE ( 2741520 3517600 ) ( 2741520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 2561520 3517600 ) ( 2561520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 2381520 3517600 ) ( 2381520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 2201520 3517600 ) ( 2201520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 2021520 3517600 ) ( 2021520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 1841520 3517600 ) ( 1841520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 1661520 3517600 ) ( 1661520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 1481520 3517600 ) ( 1481520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 1301520 3517600 ) ( 1301520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 1121520 3517600 ) ( 1121520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 941520 3517600 ) ( 941520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 761520 3517600 ) ( 761520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 581520 3517600 ) ( 581520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 401520 3517600 ) ( 401520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 221520 3517600 ) ( 221520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 41520 3517600 ) ( 41520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 2946900 -23420 ) ( 2946900 3543100 )
+      NEW met4 3000 + SHAPE STRIPE ( -27280 -23420 ) ( -27280 3543100 )
+      NEW met4 3000 + SHAPE STRIPE ( 2741520 -28120 ) ( 2741520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2561520 -28120 ) ( 2561520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2381520 -28120 ) ( 2381520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2201520 -28120 ) ( 2201520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2021520 -28120 ) ( 2021520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1841520 -28120 ) ( 1841520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1661520 -28120 ) ( 1661520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1481520 -28120 ) ( 1481520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1301520 -28120 ) ( 1301520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1121520 -28120 ) ( 1121520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 941520 -28120 ) ( 941520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 761520 -28120 ) ( 761520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 581520 -28120 ) ( 581520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 401520 -28120 ) ( 401520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 221520 -28120 ) ( 221520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 41520 -28120 ) ( 41520 2400 ) ;
     - vssa1 ( PIN vssa1 ) + USE GROUND
-      + ROUTED met4 0 + SHAPE STRIPE ( 2951100 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2831520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2651520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2471520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2291520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2111520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1931520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1751520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1571520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1391520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1211520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1031520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 851520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 671520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 491520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 311520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 131520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 3376880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 3376880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 3196880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 3196880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 3016880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 3016880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 2836880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 2836880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 2656880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 2656880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 2476880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 2476880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 2296880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 2296880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 2116880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 2116880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 1936880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 1936880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 1756880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 1756880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 1576880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 1576880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 1396880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 1396880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 1216880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 1216880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 1036880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 1036880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 856880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 856880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 676880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 676880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 496880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 496880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 316880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 316880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 136880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 136880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2831520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2651520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2471520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2291520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2111520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1931520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1751520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1571520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1391520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1211520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1031520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 851520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 671520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 491520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 311520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 131520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 -26120 ) via4_3000x3000
-      NEW met5 3000 + SHAPE STRIPE ( -32980 3545800 ) ( 2952600 3545800 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3376880 ) ( 2952600 3376880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 3376880 ) ( 2400 3376880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3196880 ) ( 2952600 3196880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 3196880 ) ( 2400 3196880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3016880 ) ( 2952600 3016880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 3016880 ) ( 2400 3016880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2836880 ) ( 2952600 2836880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2836880 ) ( 2400 2836880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2656880 ) ( 2952600 2656880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2656880 ) ( 2400 2656880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2476880 ) ( 2952600 2476880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2476880 ) ( 2400 2476880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2296880 ) ( 2952600 2296880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2296880 ) ( 2400 2296880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2116880 ) ( 2952600 2116880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2116880 ) ( 2400 2116880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1936880 ) ( 2952600 1936880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1936880 ) ( 2400 1936880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1756880 ) ( 2952600 1756880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1756880 ) ( 2400 1756880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1576880 ) ( 2952600 1576880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1576880 ) ( 2400 1576880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1396880 ) ( 2952600 1396880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1396880 ) ( 2400 1396880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1216880 ) ( 2952600 1216880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1216880 ) ( 2400 1216880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1036880 ) ( 2952600 1036880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1036880 ) ( 2400 1036880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 856880 ) ( 2952600 856880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 856880 ) ( 2400 856880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 676880 ) ( 2952600 676880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 676880 ) ( 2400 676880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 496880 ) ( 2952600 496880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 496880 ) ( 2400 496880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 316880 ) ( 2952600 316880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 316880 ) ( 2400 316880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 136880 ) ( 2952600 136880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 136880 ) ( 2400 136880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 -26120 ) ( 2952600 -26120 )
-      NEW met4 3000 + SHAPE STRIPE ( 2951100 -27620 ) ( 2951100 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2831520 3517600 ) ( 2831520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2651520 3517600 ) ( 2651520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2471520 3517600 ) ( 2471520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2291520 3517600 ) ( 2291520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2111520 3517600 ) ( 2111520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1931520 3517600 ) ( 1931520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1751520 3517600 ) ( 1751520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1571520 3517600 ) ( 1571520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1391520 3517600 ) ( 1391520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1211520 3517600 ) ( 1211520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1031520 3517600 ) ( 1031520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 851520 3517600 ) ( 851520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 671520 3517600 ) ( 671520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 491520 3517600 ) ( 491520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 311520 3517600 ) ( 311520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 131520 3517600 ) ( 131520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( -31480 -27620 ) ( -31480 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2831520 -27620 ) ( 2831520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2651520 -27620 ) ( 2651520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2471520 -27620 ) ( 2471520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2291520 -27620 ) ( 2291520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2111520 -27620 ) ( 2111520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1931520 -27620 ) ( 1931520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1751520 -27620 ) ( 1751520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1571520 -27620 ) ( 1571520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1391520 -27620 ) ( 1391520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1211520 -27620 ) ( 1211520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1031520 -27620 ) ( 1031520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 851520 -27620 ) ( 851520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 671520 -27620 ) ( 671520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 491520 -27620 ) ( 491520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 311520 -27620 ) ( 311520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 131520 -27620 ) ( 131520 2400 ) ;
+      + ROUTED met4 0 + SHAPE STRIPE ( 2951600 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2831520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2651520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2471520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2291520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2111520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1931520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1751520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1571520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1391520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1211520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1031520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 851520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 671520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 491520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 311520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 131520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 3376880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 3376880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 3196880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 3196880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 3016880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 3016880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 2836880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 2836880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 2656880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 2656880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 2476880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 2476880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 2296880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 2296880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 2116880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 2116880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 1936880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 1936880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 1756880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 1756880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 1576880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 1576880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 1396880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 1396880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 1216880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 1216880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 1036880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 1036880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 856880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 856880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 676880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 676880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 496880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 496880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 316880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 316880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 136880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 136880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2831520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2651520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2471520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2291520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2111520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1931520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1751520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1571520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1391520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1211520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1031520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 851520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 671520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 491520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 311520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 131520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 -26620 ) via4_3000x3000
+      NEW met5 3000 + SHAPE STRIPE ( -33480 3546300 ) ( 2953100 3546300 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3376880 ) ( 2953100 3376880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 3376880 ) ( 2400 3376880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3196880 ) ( 2953100 3196880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 3196880 ) ( 2400 3196880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3016880 ) ( 2953100 3016880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 3016880 ) ( 2400 3016880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2836880 ) ( 2953100 2836880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 2836880 ) ( 2400 2836880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2656880 ) ( 2953100 2656880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 2656880 ) ( 2400 2656880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2476880 ) ( 2953100 2476880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 2476880 ) ( 2400 2476880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2296880 ) ( 2953100 2296880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 2296880 ) ( 2400 2296880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2116880 ) ( 2953100 2116880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 2116880 ) ( 2400 2116880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1936880 ) ( 2953100 1936880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 1936880 ) ( 2400 1936880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1756880 ) ( 2953100 1756880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 1756880 ) ( 2400 1756880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1576880 ) ( 2953100 1576880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 1576880 ) ( 2400 1576880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1396880 ) ( 2953100 1396880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 1396880 ) ( 2400 1396880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1216880 ) ( 2953100 1216880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 1216880 ) ( 2400 1216880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1036880 ) ( 2953100 1036880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 1036880 ) ( 2400 1036880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 856880 ) ( 2953100 856880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 856880 ) ( 2400 856880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 676880 ) ( 2953100 676880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 676880 ) ( 2400 676880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 496880 ) ( 2953100 496880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 496880 ) ( 2400 496880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 316880 ) ( 2953100 316880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 316880 ) ( 2400 316880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 136880 ) ( 2953100 136880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 136880 ) ( 2400 136880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 -26620 ) ( 2953100 -26620 )
+      NEW met4 3000 + SHAPE STRIPE ( 2951600 -28120 ) ( 2951600 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 2831520 3517600 ) ( 2831520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 2651520 3517600 ) ( 2651520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 2471520 3517600 ) ( 2471520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 2291520 3517600 ) ( 2291520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 2111520 3517600 ) ( 2111520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 1931520 3517600 ) ( 1931520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 1751520 3517600 ) ( 1751520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 1571520 3517600 ) ( 1571520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 1391520 3517600 ) ( 1391520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 1211520 3517600 ) ( 1211520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 1031520 3517600 ) ( 1031520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 851520 3517600 ) ( 851520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 671520 3517600 ) ( 671520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 491520 3517600 ) ( 491520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 311520 3517600 ) ( 311520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 131520 3517600 ) ( 131520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( -31980 -28120 ) ( -31980 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 2831520 -28120 ) ( 2831520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2651520 -28120 ) ( 2651520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2471520 -28120 ) ( 2471520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2291520 -28120 ) ( 2291520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2111520 -28120 ) ( 2111520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1931520 -28120 ) ( 1931520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1751520 -28120 ) ( 1751520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1571520 -28120 ) ( 1571520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1391520 -28120 ) ( 1391520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1211520 -28120 ) ( 1211520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1031520 -28120 ) ( 1031520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 851520 -28120 ) ( 851520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 671520 -28120 ) ( 671520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 491520 -28120 ) ( 491520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 311520 -28120 ) ( 311520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 131520 -28120 ) ( 131520 2400 ) ;
     - vdda2 ( PIN vdda2 ) + USE POWER
-      + ROUTED met4 0 + SHAPE STRIPE ( 2955700 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2759520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2579520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2399520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2219520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2039520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1859520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1679520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1499520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1319520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1139520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 959520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 779520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 599520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 419520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 239520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 59520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 3484880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 3484880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 3304880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 3304880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 3124880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 3124880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 2944880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 2944880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 2764880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 2764880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 2584880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 2584880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 2404880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 2404880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 2224880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 2224880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 2044880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 2044880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 1864880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 1864880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 1684880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 1684880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 1504880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 1504880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 1324880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 1324880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 1144880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 1144880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 964880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 964880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 784880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 784880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 604880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 604880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 424880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 424880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 244880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 244880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 64880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 64880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2759520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2579520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2399520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2219520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2039520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1859520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1679520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1499520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1319520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1139520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 959520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 779520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 599520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 419520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 239520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 59520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 -30720 ) via4_3000x3000
-      NEW met5 3000 + SHAPE STRIPE ( -37580 3550400 ) ( 2957200 3550400 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3484880 ) ( 2961800 3484880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 3484880 ) ( 2400 3484880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3304880 ) ( 2961800 3304880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 3304880 ) ( 2400 3304880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3124880 ) ( 2961800 3124880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 3124880 ) ( 2400 3124880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2944880 ) ( 2961800 2944880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2944880 ) ( 2400 2944880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2764880 ) ( 2961800 2764880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2764880 ) ( 2400 2764880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2584880 ) ( 2961800 2584880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2584880 ) ( 2400 2584880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2404880 ) ( 2961800 2404880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2404880 ) ( 2400 2404880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2224880 ) ( 2961800 2224880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2224880 ) ( 2400 2224880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2044880 ) ( 2961800 2044880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2044880 ) ( 2400 2044880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1864880 ) ( 2961800 1864880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1864880 ) ( 2400 1864880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1684880 ) ( 2961800 1684880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1684880 ) ( 2400 1684880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1504880 ) ( 2961800 1504880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1504880 ) ( 2400 1504880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1324880 ) ( 2961800 1324880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1324880 ) ( 2400 1324880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1144880 ) ( 2961800 1144880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1144880 ) ( 2400 1144880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 964880 ) ( 2961800 964880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 964880 ) ( 2400 964880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 784880 ) ( 2961800 784880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 784880 ) ( 2400 784880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 604880 ) ( 2961800 604880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 604880 ) ( 2400 604880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 424880 ) ( 2961800 424880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 424880 ) ( 2400 424880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 244880 ) ( 2961800 244880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 244880 ) ( 2400 244880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 64880 ) ( 2961800 64880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 64880 ) ( 2400 64880 )
-      NEW met5 3000 + SHAPE STRIPE ( -37580 -30720 ) ( 2957200 -30720 )
-      NEW met4 3000 + SHAPE STRIPE ( 2759520 3517600 ) ( 2759520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2579520 3517600 ) ( 2579520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2399520 3517600 ) ( 2399520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2219520 3517600 ) ( 2219520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2039520 3517600 ) ( 2039520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1859520 3517600 ) ( 1859520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1679520 3517600 ) ( 1679520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1499520 3517600 ) ( 1499520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1319520 3517600 ) ( 1319520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1139520 3517600 ) ( 1139520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 959520 3517600 ) ( 959520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 779520 3517600 ) ( 779520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 599520 3517600 ) ( 599520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 419520 3517600 ) ( 419520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 239520 3517600 ) ( 239520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 59520 3517600 ) ( 59520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2955700 -32220 ) ( 2955700 3551900 )
-      NEW met4 3000 + SHAPE STRIPE ( -36080 -32220 ) ( -36080 3551900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2759520 -36820 ) ( 2759520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2579520 -36820 ) ( 2579520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2399520 -36820 ) ( 2399520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2219520 -36820 ) ( 2219520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2039520 -36820 ) ( 2039520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1859520 -36820 ) ( 1859520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1679520 -36820 ) ( 1679520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1499520 -36820 ) ( 1499520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1319520 -36820 ) ( 1319520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1139520 -36820 ) ( 1139520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 959520 -36820 ) ( 959520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 779520 -36820 ) ( 779520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 599520 -36820 ) ( 599520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 419520 -36820 ) ( 419520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 239520 -36820 ) ( 239520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 59520 -36820 ) ( 59520 2400 ) ;
+      + ROUTED met4 0 + SHAPE STRIPE ( 2956300 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2759520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2579520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2399520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2219520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2039520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1859520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1679520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1499520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1319520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1139520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 959520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 779520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 599520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 419520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 239520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 59520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 3484880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 3484880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 3304880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 3304880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 3124880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 3124880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 2944880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 2944880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 2764880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 2764880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 2584880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 2584880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 2404880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 2404880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 2224880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 2224880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 2044880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 2044880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 1864880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 1864880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 1684880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 1684880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 1504880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 1504880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 1324880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 1324880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 1144880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 1144880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 964880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 964880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 784880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 784880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 604880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 604880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 424880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 424880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 244880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 244880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 64880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 64880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2759520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2579520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2399520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2219520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2039520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1859520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1679520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1499520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1319520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1139520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 959520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 779520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 599520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 419520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 239520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 59520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 -31320 ) via4_3000x3000
+      NEW met5 3000 + SHAPE STRIPE ( -38180 3551000 ) ( 2957800 3551000 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3484880 ) ( 2962500 3484880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 3484880 ) ( 2400 3484880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3304880 ) ( 2962500 3304880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 3304880 ) ( 2400 3304880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3124880 ) ( 2962500 3124880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 3124880 ) ( 2400 3124880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2944880 ) ( 2962500 2944880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 2944880 ) ( 2400 2944880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2764880 ) ( 2962500 2764880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 2764880 ) ( 2400 2764880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2584880 ) ( 2962500 2584880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 2584880 ) ( 2400 2584880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2404880 ) ( 2962500 2404880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 2404880 ) ( 2400 2404880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2224880 ) ( 2962500 2224880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 2224880 ) ( 2400 2224880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2044880 ) ( 2962500 2044880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 2044880 ) ( 2400 2044880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1864880 ) ( 2962500 1864880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 1864880 ) ( 2400 1864880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1684880 ) ( 2962500 1684880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 1684880 ) ( 2400 1684880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1504880 ) ( 2962500 1504880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 1504880 ) ( 2400 1504880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1324880 ) ( 2962500 1324880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 1324880 ) ( 2400 1324880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1144880 ) ( 2962500 1144880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 1144880 ) ( 2400 1144880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 964880 ) ( 2962500 964880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 964880 ) ( 2400 964880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 784880 ) ( 2962500 784880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 784880 ) ( 2400 784880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 604880 ) ( 2962500 604880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 604880 ) ( 2400 604880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 424880 ) ( 2962500 424880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 424880 ) ( 2400 424880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 244880 ) ( 2962500 244880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 244880 ) ( 2400 244880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 64880 ) ( 2962500 64880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 64880 ) ( 2400 64880 )
+      NEW met5 3000 + SHAPE STRIPE ( -38180 -31320 ) ( 2957800 -31320 )
+      NEW met4 3000 + SHAPE STRIPE ( 2759520 3517600 ) ( 2759520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 2579520 3517600 ) ( 2579520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 2399520 3517600 ) ( 2399520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 2219520 3517600 ) ( 2219520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 2039520 3517600 ) ( 2039520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 1859520 3517600 ) ( 1859520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 1679520 3517600 ) ( 1679520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 1499520 3517600 ) ( 1499520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 1319520 3517600 ) ( 1319520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 1139520 3517600 ) ( 1139520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 959520 3517600 ) ( 959520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 779520 3517600 ) ( 779520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 599520 3517600 ) ( 599520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 419520 3517600 ) ( 419520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 239520 3517600 ) ( 239520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 59520 3517600 ) ( 59520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 2956300 -32820 ) ( 2956300 3552500 )
+      NEW met4 3000 + SHAPE STRIPE ( -36680 -32820 ) ( -36680 3552500 )
+      NEW met4 3000 + SHAPE STRIPE ( 2759520 -37520 ) ( 2759520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2579520 -37520 ) ( 2579520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2399520 -37520 ) ( 2399520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2219520 -37520 ) ( 2219520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2039520 -37520 ) ( 2039520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1859520 -37520 ) ( 1859520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1679520 -37520 ) ( 1679520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1499520 -37520 ) ( 1499520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1319520 -37520 ) ( 1319520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1139520 -37520 ) ( 1139520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 959520 -37520 ) ( 959520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 779520 -37520 ) ( 779520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 599520 -37520 ) ( 599520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 419520 -37520 ) ( 419520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 239520 -37520 ) ( 239520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 59520 -37520 ) ( 59520 2400 ) ;
     - vssa2 ( PIN vssa2 ) + USE GROUND
-      + ROUTED met4 0 + SHAPE STRIPE ( 2960300 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2849520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2669520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2489520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2309520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2129520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1949520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1769520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1589520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1409520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1229520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1049520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 869520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 689520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 509520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 329520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 149520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 3394880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 3394880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 3214880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 3214880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 3034880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 3034880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 2854880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 2854880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 2674880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 2674880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 2494880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 2494880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 2314880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 2314880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 2134880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 2134880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 1954880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 1954880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 1774880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 1774880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 1594880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 1594880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 1414880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 1414880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 1234880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 1234880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 1054880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 1054880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 874880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 874880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 694880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 694880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 514880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 514880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 334880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 334880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 154880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 154880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2849520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2669520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2489520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2309520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2129520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1949520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1769520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1589520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1409520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1229520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1049520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 869520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 689520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 509520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 329520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 149520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 -35320 ) via4_3000x3000
-      NEW met5 3000 + SHAPE STRIPE ( -42180 3555000 ) ( 2961800 3555000 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3394880 ) ( 2961800 3394880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 3394880 ) ( 2400 3394880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3214880 ) ( 2961800 3214880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 3214880 ) ( 2400 3214880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3034880 ) ( 2961800 3034880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 3034880 ) ( 2400 3034880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2854880 ) ( 2961800 2854880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2854880 ) ( 2400 2854880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2674880 ) ( 2961800 2674880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2674880 ) ( 2400 2674880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2494880 ) ( 2961800 2494880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2494880 ) ( 2400 2494880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2314880 ) ( 2961800 2314880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2314880 ) ( 2400 2314880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2134880 ) ( 2961800 2134880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2134880 ) ( 2400 2134880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1954880 ) ( 2961800 1954880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1954880 ) ( 2400 1954880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1774880 ) ( 2961800 1774880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1774880 ) ( 2400 1774880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1594880 ) ( 2961800 1594880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1594880 ) ( 2400 1594880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1414880 ) ( 2961800 1414880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1414880 ) ( 2400 1414880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1234880 ) ( 2961800 1234880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1234880 ) ( 2400 1234880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1054880 ) ( 2961800 1054880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1054880 ) ( 2400 1054880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 874880 ) ( 2961800 874880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 874880 ) ( 2400 874880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 694880 ) ( 2961800 694880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 694880 ) ( 2400 694880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 514880 ) ( 2961800 514880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 514880 ) ( 2400 514880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 334880 ) ( 2961800 334880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 334880 ) ( 2400 334880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 154880 ) ( 2961800 154880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 154880 ) ( 2400 154880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 -35320 ) ( 2961800 -35320 )
-      NEW met4 3000 + SHAPE STRIPE ( 2960300 -36820 ) ( 2960300 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2849520 3517600 ) ( 2849520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2669520 3517600 ) ( 2669520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2489520 3517600 ) ( 2489520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2309520 3517600 ) ( 2309520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2129520 3517600 ) ( 2129520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1949520 3517600 ) ( 1949520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1769520 3517600 ) ( 1769520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1589520 3517600 ) ( 1589520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1409520 3517600 ) ( 1409520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1229520 3517600 ) ( 1229520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1049520 3517600 ) ( 1049520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 869520 3517600 ) ( 869520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 689520 3517600 ) ( 689520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 509520 3517600 ) ( 509520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 329520 3517600 ) ( 329520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 149520 3517600 ) ( 149520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( -40680 -36820 ) ( -40680 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2849520 -36820 ) ( 2849520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2669520 -36820 ) ( 2669520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2489520 -36820 ) ( 2489520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2309520 -36820 ) ( 2309520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2129520 -36820 ) ( 2129520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1949520 -36820 ) ( 1949520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1769520 -36820 ) ( 1769520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1589520 -36820 ) ( 1589520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1409520 -36820 ) ( 1409520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1229520 -36820 ) ( 1229520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1049520 -36820 ) ( 1049520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 869520 -36820 ) ( 869520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 689520 -36820 ) ( 689520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 509520 -36820 ) ( 509520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 329520 -36820 ) ( 329520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 149520 -36820 ) ( 149520 2400 ) ;
+      + ROUTED met4 0 + SHAPE STRIPE ( 2961000 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2849520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2669520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2489520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2309520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2129520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1949520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1769520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1589520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1409520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1229520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1049520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 869520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 689520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 509520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 329520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 149520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 3394880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 3394880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 3214880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 3214880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 3034880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 3034880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 2854880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 2854880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 2674880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 2674880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 2494880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 2494880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 2314880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 2314880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 2134880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 2134880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 1954880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 1954880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 1774880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 1774880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 1594880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 1594880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 1414880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 1414880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 1234880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 1234880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 1054880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 1054880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 874880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 874880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 694880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 694880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 514880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 514880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 334880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 334880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 154880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 154880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2849520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2669520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2489520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2309520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2129520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1949520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1769520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1589520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1409520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1229520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1049520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 869520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 689520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 509520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 329520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 149520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 -36020 ) via4_3000x3000
+      NEW met5 3000 + SHAPE STRIPE ( -42880 3555700 ) ( 2962500 3555700 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3394880 ) ( 2962500 3394880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 3394880 ) ( 2400 3394880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3214880 ) ( 2962500 3214880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 3214880 ) ( 2400 3214880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3034880 ) ( 2962500 3034880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 3034880 ) ( 2400 3034880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2854880 ) ( 2962500 2854880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 2854880 ) ( 2400 2854880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2674880 ) ( 2962500 2674880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 2674880 ) ( 2400 2674880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2494880 ) ( 2962500 2494880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 2494880 ) ( 2400 2494880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2314880 ) ( 2962500 2314880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 2314880 ) ( 2400 2314880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2134880 ) ( 2962500 2134880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 2134880 ) ( 2400 2134880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1954880 ) ( 2962500 1954880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 1954880 ) ( 2400 1954880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1774880 ) ( 2962500 1774880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 1774880 ) ( 2400 1774880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1594880 ) ( 2962500 1594880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 1594880 ) ( 2400 1594880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1414880 ) ( 2962500 1414880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 1414880 ) ( 2400 1414880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1234880 ) ( 2962500 1234880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 1234880 ) ( 2400 1234880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1054880 ) ( 2962500 1054880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 1054880 ) ( 2400 1054880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 874880 ) ( 2962500 874880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 874880 ) ( 2400 874880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 694880 ) ( 2962500 694880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 694880 ) ( 2400 694880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 514880 ) ( 2962500 514880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 514880 ) ( 2400 514880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 334880 ) ( 2962500 334880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 334880 ) ( 2400 334880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 154880 ) ( 2962500 154880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 154880 ) ( 2400 154880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 -36020 ) ( 2962500 -36020 )
+      NEW met4 3000 + SHAPE STRIPE ( 2961000 -37520 ) ( 2961000 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 2849520 3517600 ) ( 2849520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 2669520 3517600 ) ( 2669520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 2489520 3517600 ) ( 2489520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 2309520 3517600 ) ( 2309520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 2129520 3517600 ) ( 2129520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 1949520 3517600 ) ( 1949520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 1769520 3517600 ) ( 1769520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 1589520 3517600 ) ( 1589520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 1409520 3517600 ) ( 1409520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 1229520 3517600 ) ( 1229520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 1049520 3517600 ) ( 1049520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 869520 3517600 ) ( 869520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 689520 3517600 ) ( 689520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 509520 3517600 ) ( 509520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 329520 3517600 ) ( 329520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 149520 3517600 ) ( 149520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( -41380 -37520 ) ( -41380 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 2849520 -37520 ) ( 2849520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2669520 -37520 ) ( 2669520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2489520 -37520 ) ( 2489520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2309520 -37520 ) ( 2309520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2129520 -37520 ) ( 2129520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1949520 -37520 ) ( 1949520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1769520 -37520 ) ( 1769520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1589520 -37520 ) ( 1589520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1409520 -37520 ) ( 1409520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1229520 -37520 ) ( 1229520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1049520 -37520 ) ( 1049520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 869520 -37520 ) ( 869520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 689520 -37520 ) ( 689520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 509520 -37520 ) ( 509520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 329520 -37520 ) ( 329520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 149520 -37520 ) ( 149520 2400 ) ;
 END SPECIALNETS
 END DESIGN
diff --git a/gds/user_project_wrapper_empty.gds b/gds/user_project_wrapper_empty.gds
index e2f8a8f..1f84574 100644
--- a/gds/user_project_wrapper_empty.gds
+++ b/gds/user_project_wrapper_empty.gds
Binary files differ
diff --git a/lef/user_project_wrapper.obstructed.lef b/lef/user_project_wrapper.obstructed.lef
index a7f7cd8..810404e 100644
--- a/lef/user_project_wrapper.obstructed.lef
+++ b/lef/user_project_wrapper.obstructed.lef
@@ -4464,40 +4464,40 @@
     PORT
       LAYER met4 ;
         RECT -9.980000 -4.620000 -6.980000 3524.300000 ;
-        RECT 4.020000 3520.400000 7.020000 3528.900000 ;
-        RECT 184.020000 3520.400000 187.020000 3528.900000 ;
-        RECT 364.020000 3520.400000 367.020000 3528.900000 ;
-        RECT 544.020000 3520.400000 547.020000 3528.900000 ;
-        RECT 724.020000 3520.400000 727.020000 3528.900000 ;
-        RECT 904.020000 3520.400000 907.020000 3528.900000 ;
-        RECT 1084.020000 3520.400000 1087.020000 3528.900000 ;
-        RECT 1264.020000 3520.400000 1267.020000 3528.900000 ;
-        RECT 1444.020000 3520.400000 1447.020000 3528.900000 ;
-        RECT 1624.020000 3520.400000 1627.020000 3528.900000 ;
-        RECT 1804.020000 3520.400000 1807.020000 3528.900000 ;
-        RECT 1984.020000 3520.400000 1987.020000 3528.900000 ;
-        RECT 2164.020000 3520.400000 2167.020000 3528.900000 ;
-        RECT 2344.020000 3520.400000 2347.020000 3528.900000 ;
-        RECT 2524.020000 3520.400000 2527.020000 3528.900000 ;
-        RECT 2704.020000 3520.400000 2707.020000 3528.900000 ;
-        RECT 2884.020000 3520.400000 2887.020000 3528.900000 ;
-        RECT 4.020000 -9.220000 7.020000 -0.400000 ;
-        RECT 184.020000 -9.220000 187.020000 -0.400000 ;
-        RECT 364.020000 -9.220000 367.020000 -0.400000 ;
-        RECT 544.020000 -9.220000 547.020000 -0.400000 ;
-        RECT 724.020000 -9.220000 727.020000 -0.400000 ;
-        RECT 904.020000 -9.220000 907.020000 -0.400000 ;
-        RECT 1084.020000 -9.220000 1087.020000 -0.400000 ;
-        RECT 1264.020000 -9.220000 1267.020000 -0.400000 ;
-        RECT 1444.020000 -9.220000 1447.020000 -0.400000 ;
-        RECT 1624.020000 -9.220000 1627.020000 -0.400000 ;
-        RECT 1804.020000 -9.220000 1807.020000 -0.400000 ;
-        RECT 1984.020000 -9.220000 1987.020000 -0.400000 ;
-        RECT 2164.020000 -9.220000 2167.020000 -0.400000 ;
-        RECT 2344.020000 -9.220000 2347.020000 -0.400000 ;
-        RECT 2524.020000 -9.220000 2527.020000 -0.400000 ;
-        RECT 2704.020000 -9.220000 2707.020000 -0.400000 ;
-        RECT 2884.020000 -9.220000 2887.020000 -0.400000 ;
+        RECT 4.020000 3520.400000 7.020000 3529.000000 ;
+        RECT 184.020000 3520.400000 187.020000 3529.000000 ;
+        RECT 364.020000 3520.400000 367.020000 3529.000000 ;
+        RECT 544.020000 3520.400000 547.020000 3529.000000 ;
+        RECT 724.020000 3520.400000 727.020000 3529.000000 ;
+        RECT 904.020000 3520.400000 907.020000 3529.000000 ;
+        RECT 1084.020000 3520.400000 1087.020000 3529.000000 ;
+        RECT 1264.020000 3520.400000 1267.020000 3529.000000 ;
+        RECT 1444.020000 3520.400000 1447.020000 3529.000000 ;
+        RECT 1624.020000 3520.400000 1627.020000 3529.000000 ;
+        RECT 1804.020000 3520.400000 1807.020000 3529.000000 ;
+        RECT 1984.020000 3520.400000 1987.020000 3529.000000 ;
+        RECT 2164.020000 3520.400000 2167.020000 3529.000000 ;
+        RECT 2344.020000 3520.400000 2347.020000 3529.000000 ;
+        RECT 2524.020000 3520.400000 2527.020000 3529.000000 ;
+        RECT 2704.020000 3520.400000 2707.020000 3529.000000 ;
+        RECT 2884.020000 3520.400000 2887.020000 3529.000000 ;
+        RECT 4.020000 -9.320000 7.020000 -0.400000 ;
+        RECT 184.020000 -9.320000 187.020000 -0.400000 ;
+        RECT 364.020000 -9.320000 367.020000 -0.400000 ;
+        RECT 544.020000 -9.320000 547.020000 -0.400000 ;
+        RECT 724.020000 -9.320000 727.020000 -0.400000 ;
+        RECT 904.020000 -9.320000 907.020000 -0.400000 ;
+        RECT 1084.020000 -9.320000 1087.020000 -0.400000 ;
+        RECT 1264.020000 -9.320000 1267.020000 -0.400000 ;
+        RECT 1444.020000 -9.320000 1447.020000 -0.400000 ;
+        RECT 1624.020000 -9.320000 1627.020000 -0.400000 ;
+        RECT 1804.020000 -9.320000 1807.020000 -0.400000 ;
+        RECT 1984.020000 -9.320000 1987.020000 -0.400000 ;
+        RECT 2164.020000 -9.320000 2167.020000 -0.400000 ;
+        RECT 2344.020000 -9.320000 2347.020000 -0.400000 ;
+        RECT 2524.020000 -9.320000 2527.020000 -0.400000 ;
+        RECT 2704.020000 -9.320000 2707.020000 -0.400000 ;
+        RECT 2884.020000 -9.320000 2887.020000 -0.400000 ;
         RECT 2926.600000 -4.620000 2929.600000 3524.300000 ;
       LAYER M4M5_PR_C ;
         RECT -9.070000 3523.010000 -7.890000 3524.190000 ;
@@ -4698,122 +4698,122 @@
         RECT 2926.600000 3521.290000 2929.600000 3521.300000 ;
         RECT -9.980000 3432.380000 -6.980000 3432.390000 ;
         RECT 2926.600000 3432.380000 2929.600000 3432.390000 ;
-        RECT -14.580000 3429.380000 -0.400000 3432.380000 ;
-        RECT 2920.400000 3429.380000 2934.200000 3432.380000 ;
+        RECT -14.680000 3429.380000 -0.400000 3432.380000 ;
+        RECT 2920.400000 3429.380000 2934.300000 3432.380000 ;
         RECT -9.980000 3429.370000 -6.980000 3429.380000 ;
         RECT 2926.600000 3429.370000 2929.600000 3429.380000 ;
         RECT -9.980000 3252.380000 -6.980000 3252.390000 ;
         RECT 2926.600000 3252.380000 2929.600000 3252.390000 ;
-        RECT -14.580000 3249.380000 -0.400000 3252.380000 ;
-        RECT 2920.400000 3249.380000 2934.200000 3252.380000 ;
+        RECT -14.680000 3249.380000 -0.400000 3252.380000 ;
+        RECT 2920.400000 3249.380000 2934.300000 3252.380000 ;
         RECT -9.980000 3249.370000 -6.980000 3249.380000 ;
         RECT 2926.600000 3249.370000 2929.600000 3249.380000 ;
         RECT -9.980000 3072.380000 -6.980000 3072.390000 ;
         RECT 2926.600000 3072.380000 2929.600000 3072.390000 ;
-        RECT -14.580000 3069.380000 -0.400000 3072.380000 ;
-        RECT 2920.400000 3069.380000 2934.200000 3072.380000 ;
+        RECT -14.680000 3069.380000 -0.400000 3072.380000 ;
+        RECT 2920.400000 3069.380000 2934.300000 3072.380000 ;
         RECT -9.980000 3069.370000 -6.980000 3069.380000 ;
         RECT 2926.600000 3069.370000 2929.600000 3069.380000 ;
         RECT -9.980000 2892.380000 -6.980000 2892.390000 ;
         RECT 2926.600000 2892.380000 2929.600000 2892.390000 ;
-        RECT -14.580000 2889.380000 -0.400000 2892.380000 ;
-        RECT 2920.400000 2889.380000 2934.200000 2892.380000 ;
+        RECT -14.680000 2889.380000 -0.400000 2892.380000 ;
+        RECT 2920.400000 2889.380000 2934.300000 2892.380000 ;
         RECT -9.980000 2889.370000 -6.980000 2889.380000 ;
         RECT 2926.600000 2889.370000 2929.600000 2889.380000 ;
         RECT -9.980000 2712.380000 -6.980000 2712.390000 ;
         RECT 2926.600000 2712.380000 2929.600000 2712.390000 ;
-        RECT -14.580000 2709.380000 -0.400000 2712.380000 ;
-        RECT 2920.400000 2709.380000 2934.200000 2712.380000 ;
+        RECT -14.680000 2709.380000 -0.400000 2712.380000 ;
+        RECT 2920.400000 2709.380000 2934.300000 2712.380000 ;
         RECT -9.980000 2709.370000 -6.980000 2709.380000 ;
         RECT 2926.600000 2709.370000 2929.600000 2709.380000 ;
         RECT -9.980000 2532.380000 -6.980000 2532.390000 ;
         RECT 2926.600000 2532.380000 2929.600000 2532.390000 ;
-        RECT -14.580000 2529.380000 -0.400000 2532.380000 ;
-        RECT 2920.400000 2529.380000 2934.200000 2532.380000 ;
+        RECT -14.680000 2529.380000 -0.400000 2532.380000 ;
+        RECT 2920.400000 2529.380000 2934.300000 2532.380000 ;
         RECT -9.980000 2529.370000 -6.980000 2529.380000 ;
         RECT 2926.600000 2529.370000 2929.600000 2529.380000 ;
         RECT -9.980000 2352.380000 -6.980000 2352.390000 ;
         RECT 2926.600000 2352.380000 2929.600000 2352.390000 ;
-        RECT -14.580000 2349.380000 -0.400000 2352.380000 ;
-        RECT 2920.400000 2349.380000 2934.200000 2352.380000 ;
+        RECT -14.680000 2349.380000 -0.400000 2352.380000 ;
+        RECT 2920.400000 2349.380000 2934.300000 2352.380000 ;
         RECT -9.980000 2349.370000 -6.980000 2349.380000 ;
         RECT 2926.600000 2349.370000 2929.600000 2349.380000 ;
         RECT -9.980000 2172.380000 -6.980000 2172.390000 ;
         RECT 2926.600000 2172.380000 2929.600000 2172.390000 ;
-        RECT -14.580000 2169.380000 -0.400000 2172.380000 ;
-        RECT 2920.400000 2169.380000 2934.200000 2172.380000 ;
+        RECT -14.680000 2169.380000 -0.400000 2172.380000 ;
+        RECT 2920.400000 2169.380000 2934.300000 2172.380000 ;
         RECT -9.980000 2169.370000 -6.980000 2169.380000 ;
         RECT 2926.600000 2169.370000 2929.600000 2169.380000 ;
         RECT -9.980000 1992.380000 -6.980000 1992.390000 ;
         RECT 2926.600000 1992.380000 2929.600000 1992.390000 ;
-        RECT -14.580000 1989.380000 -0.400000 1992.380000 ;
-        RECT 2920.400000 1989.380000 2934.200000 1992.380000 ;
+        RECT -14.680000 1989.380000 -0.400000 1992.380000 ;
+        RECT 2920.400000 1989.380000 2934.300000 1992.380000 ;
         RECT -9.980000 1989.370000 -6.980000 1989.380000 ;
         RECT 2926.600000 1989.370000 2929.600000 1989.380000 ;
         RECT -9.980000 1812.380000 -6.980000 1812.390000 ;
         RECT 2926.600000 1812.380000 2929.600000 1812.390000 ;
-        RECT -14.580000 1809.380000 -0.400000 1812.380000 ;
-        RECT 2920.400000 1809.380000 2934.200000 1812.380000 ;
+        RECT -14.680000 1809.380000 -0.400000 1812.380000 ;
+        RECT 2920.400000 1809.380000 2934.300000 1812.380000 ;
         RECT -9.980000 1809.370000 -6.980000 1809.380000 ;
         RECT 2926.600000 1809.370000 2929.600000 1809.380000 ;
         RECT -9.980000 1632.380000 -6.980000 1632.390000 ;
         RECT 2926.600000 1632.380000 2929.600000 1632.390000 ;
-        RECT -14.580000 1629.380000 -0.400000 1632.380000 ;
-        RECT 2920.400000 1629.380000 2934.200000 1632.380000 ;
+        RECT -14.680000 1629.380000 -0.400000 1632.380000 ;
+        RECT 2920.400000 1629.380000 2934.300000 1632.380000 ;
         RECT -9.980000 1629.370000 -6.980000 1629.380000 ;
         RECT 2926.600000 1629.370000 2929.600000 1629.380000 ;
         RECT -9.980000 1452.380000 -6.980000 1452.390000 ;
         RECT 2926.600000 1452.380000 2929.600000 1452.390000 ;
-        RECT -14.580000 1449.380000 -0.400000 1452.380000 ;
-        RECT 2920.400000 1449.380000 2934.200000 1452.380000 ;
+        RECT -14.680000 1449.380000 -0.400000 1452.380000 ;
+        RECT 2920.400000 1449.380000 2934.300000 1452.380000 ;
         RECT -9.980000 1449.370000 -6.980000 1449.380000 ;
         RECT 2926.600000 1449.370000 2929.600000 1449.380000 ;
         RECT -9.980000 1272.380000 -6.980000 1272.390000 ;
         RECT 2926.600000 1272.380000 2929.600000 1272.390000 ;
-        RECT -14.580000 1269.380000 -0.400000 1272.380000 ;
-        RECT 2920.400000 1269.380000 2934.200000 1272.380000 ;
+        RECT -14.680000 1269.380000 -0.400000 1272.380000 ;
+        RECT 2920.400000 1269.380000 2934.300000 1272.380000 ;
         RECT -9.980000 1269.370000 -6.980000 1269.380000 ;
         RECT 2926.600000 1269.370000 2929.600000 1269.380000 ;
         RECT -9.980000 1092.380000 -6.980000 1092.390000 ;
         RECT 2926.600000 1092.380000 2929.600000 1092.390000 ;
-        RECT -14.580000 1089.380000 -0.400000 1092.380000 ;
-        RECT 2920.400000 1089.380000 2934.200000 1092.380000 ;
+        RECT -14.680000 1089.380000 -0.400000 1092.380000 ;
+        RECT 2920.400000 1089.380000 2934.300000 1092.380000 ;
         RECT -9.980000 1089.370000 -6.980000 1089.380000 ;
         RECT 2926.600000 1089.370000 2929.600000 1089.380000 ;
         RECT -9.980000 912.380000 -6.980000 912.390000 ;
         RECT 2926.600000 912.380000 2929.600000 912.390000 ;
-        RECT -14.580000 909.380000 -0.400000 912.380000 ;
-        RECT 2920.400000 909.380000 2934.200000 912.380000 ;
+        RECT -14.680000 909.380000 -0.400000 912.380000 ;
+        RECT 2920.400000 909.380000 2934.300000 912.380000 ;
         RECT -9.980000 909.370000 -6.980000 909.380000 ;
         RECT 2926.600000 909.370000 2929.600000 909.380000 ;
         RECT -9.980000 732.380000 -6.980000 732.390000 ;
         RECT 2926.600000 732.380000 2929.600000 732.390000 ;
-        RECT -14.580000 729.380000 -0.400000 732.380000 ;
-        RECT 2920.400000 729.380000 2934.200000 732.380000 ;
+        RECT -14.680000 729.380000 -0.400000 732.380000 ;
+        RECT 2920.400000 729.380000 2934.300000 732.380000 ;
         RECT -9.980000 729.370000 -6.980000 729.380000 ;
         RECT 2926.600000 729.370000 2929.600000 729.380000 ;
         RECT -9.980000 552.380000 -6.980000 552.390000 ;
         RECT 2926.600000 552.380000 2929.600000 552.390000 ;
-        RECT -14.580000 549.380000 -0.400000 552.380000 ;
-        RECT 2920.400000 549.380000 2934.200000 552.380000 ;
+        RECT -14.680000 549.380000 -0.400000 552.380000 ;
+        RECT 2920.400000 549.380000 2934.300000 552.380000 ;
         RECT -9.980000 549.370000 -6.980000 549.380000 ;
         RECT 2926.600000 549.370000 2929.600000 549.380000 ;
         RECT -9.980000 372.380000 -6.980000 372.390000 ;
         RECT 2926.600000 372.380000 2929.600000 372.390000 ;
-        RECT -14.580000 369.380000 -0.400000 372.380000 ;
-        RECT 2920.400000 369.380000 2934.200000 372.380000 ;
+        RECT -14.680000 369.380000 -0.400000 372.380000 ;
+        RECT 2920.400000 369.380000 2934.300000 372.380000 ;
         RECT -9.980000 369.370000 -6.980000 369.380000 ;
         RECT 2926.600000 369.370000 2929.600000 369.380000 ;
         RECT -9.980000 192.380000 -6.980000 192.390000 ;
         RECT 2926.600000 192.380000 2929.600000 192.390000 ;
-        RECT -14.580000 189.380000 -0.400000 192.380000 ;
-        RECT 2920.400000 189.380000 2934.200000 192.380000 ;
+        RECT -14.680000 189.380000 -0.400000 192.380000 ;
+        RECT 2920.400000 189.380000 2934.300000 192.380000 ;
         RECT -9.980000 189.370000 -6.980000 189.380000 ;
         RECT 2926.600000 189.370000 2929.600000 189.380000 ;
         RECT -9.980000 12.380000 -6.980000 12.390000 ;
         RECT 2926.600000 12.380000 2929.600000 12.390000 ;
-        RECT -14.580000 9.380000 -0.400000 12.380000 ;
-        RECT 2920.400000 9.380000 2934.200000 12.380000 ;
+        RECT -14.680000 9.380000 -0.400000 12.380000 ;
+        RECT 2920.400000 9.380000 2934.300000 12.380000 ;
         RECT -9.980000 9.370000 -6.980000 9.380000 ;
         RECT 2926.600000 9.370000 2929.600000 9.380000 ;
         RECT -9.980000 -1.620000 -6.980000 -1.610000 ;
@@ -4861,2686 +4861,2686 @@
     DIRECTION INPUT ;
     PORT
       LAYER met4 ;
-        RECT -14.580000 -9.220000 -11.580000 3528.900000 ;
-        RECT 94.020000 3520.400000 97.020000 3528.900000 ;
-        RECT 274.020000 3520.400000 277.020000 3528.900000 ;
-        RECT 454.020000 3520.400000 457.020000 3528.900000 ;
-        RECT 634.020000 3520.400000 637.020000 3528.900000 ;
-        RECT 814.020000 3520.400000 817.020000 3528.900000 ;
-        RECT 994.020000 3520.400000 997.020000 3528.900000 ;
-        RECT 1174.020000 3520.400000 1177.020000 3528.900000 ;
-        RECT 1354.020000 3520.400000 1357.020000 3528.900000 ;
-        RECT 1534.020000 3520.400000 1537.020000 3528.900000 ;
-        RECT 1714.020000 3520.400000 1717.020000 3528.900000 ;
-        RECT 1894.020000 3520.400000 1897.020000 3528.900000 ;
-        RECT 2074.020000 3520.400000 2077.020000 3528.900000 ;
-        RECT 2254.020000 3520.400000 2257.020000 3528.900000 ;
-        RECT 2434.020000 3520.400000 2437.020000 3528.900000 ;
-        RECT 2614.020000 3520.400000 2617.020000 3528.900000 ;
-        RECT 2794.020000 3520.400000 2797.020000 3528.900000 ;
-        RECT 94.020000 -9.220000 97.020000 -0.400000 ;
-        RECT 274.020000 -9.220000 277.020000 -0.400000 ;
-        RECT 454.020000 -9.220000 457.020000 -0.400000 ;
-        RECT 634.020000 -9.220000 637.020000 -0.400000 ;
-        RECT 814.020000 -9.220000 817.020000 -0.400000 ;
-        RECT 994.020000 -9.220000 997.020000 -0.400000 ;
-        RECT 1174.020000 -9.220000 1177.020000 -0.400000 ;
-        RECT 1354.020000 -9.220000 1357.020000 -0.400000 ;
-        RECT 1534.020000 -9.220000 1537.020000 -0.400000 ;
-        RECT 1714.020000 -9.220000 1717.020000 -0.400000 ;
-        RECT 1894.020000 -9.220000 1897.020000 -0.400000 ;
-        RECT 2074.020000 -9.220000 2077.020000 -0.400000 ;
-        RECT 2254.020000 -9.220000 2257.020000 -0.400000 ;
-        RECT 2434.020000 -9.220000 2437.020000 -0.400000 ;
-        RECT 2614.020000 -9.220000 2617.020000 -0.400000 ;
-        RECT 2794.020000 -9.220000 2797.020000 -0.400000 ;
-        RECT 2931.200000 -9.220000 2934.200000 3528.900000 ;
+        RECT -14.680000 -9.320000 -11.680000 3529.000000 ;
+        RECT 94.020000 3520.400000 97.020000 3529.000000 ;
+        RECT 274.020000 3520.400000 277.020000 3529.000000 ;
+        RECT 454.020000 3520.400000 457.020000 3529.000000 ;
+        RECT 634.020000 3520.400000 637.020000 3529.000000 ;
+        RECT 814.020000 3520.400000 817.020000 3529.000000 ;
+        RECT 994.020000 3520.400000 997.020000 3529.000000 ;
+        RECT 1174.020000 3520.400000 1177.020000 3529.000000 ;
+        RECT 1354.020000 3520.400000 1357.020000 3529.000000 ;
+        RECT 1534.020000 3520.400000 1537.020000 3529.000000 ;
+        RECT 1714.020000 3520.400000 1717.020000 3529.000000 ;
+        RECT 1894.020000 3520.400000 1897.020000 3529.000000 ;
+        RECT 2074.020000 3520.400000 2077.020000 3529.000000 ;
+        RECT 2254.020000 3520.400000 2257.020000 3529.000000 ;
+        RECT 2434.020000 3520.400000 2437.020000 3529.000000 ;
+        RECT 2614.020000 3520.400000 2617.020000 3529.000000 ;
+        RECT 2794.020000 3520.400000 2797.020000 3529.000000 ;
+        RECT 94.020000 -9.320000 97.020000 -0.400000 ;
+        RECT 274.020000 -9.320000 277.020000 -0.400000 ;
+        RECT 454.020000 -9.320000 457.020000 -0.400000 ;
+        RECT 634.020000 -9.320000 637.020000 -0.400000 ;
+        RECT 814.020000 -9.320000 817.020000 -0.400000 ;
+        RECT 994.020000 -9.320000 997.020000 -0.400000 ;
+        RECT 1174.020000 -9.320000 1177.020000 -0.400000 ;
+        RECT 1354.020000 -9.320000 1357.020000 -0.400000 ;
+        RECT 1534.020000 -9.320000 1537.020000 -0.400000 ;
+        RECT 1714.020000 -9.320000 1717.020000 -0.400000 ;
+        RECT 1894.020000 -9.320000 1897.020000 -0.400000 ;
+        RECT 2074.020000 -9.320000 2077.020000 -0.400000 ;
+        RECT 2254.020000 -9.320000 2257.020000 -0.400000 ;
+        RECT 2434.020000 -9.320000 2437.020000 -0.400000 ;
+        RECT 2614.020000 -9.320000 2617.020000 -0.400000 ;
+        RECT 2794.020000 -9.320000 2797.020000 -0.400000 ;
+        RECT 2931.300000 -9.320000 2934.300000 3529.000000 ;
       LAYER M4M5_PR_C ;
-        RECT -13.670000 3527.610000 -12.490000 3528.790000 ;
-        RECT -13.670000 3526.010000 -12.490000 3527.190000 ;
-        RECT 94.930000 3527.610000 96.110000 3528.790000 ;
-        RECT 94.930000 3526.010000 96.110000 3527.190000 ;
-        RECT 274.930000 3527.610000 276.110000 3528.790000 ;
-        RECT 274.930000 3526.010000 276.110000 3527.190000 ;
-        RECT 454.930000 3527.610000 456.110000 3528.790000 ;
-        RECT 454.930000 3526.010000 456.110000 3527.190000 ;
-        RECT 634.930000 3527.610000 636.110000 3528.790000 ;
-        RECT 634.930000 3526.010000 636.110000 3527.190000 ;
-        RECT 814.930000 3527.610000 816.110000 3528.790000 ;
-        RECT 814.930000 3526.010000 816.110000 3527.190000 ;
-        RECT 994.930000 3527.610000 996.110000 3528.790000 ;
-        RECT 994.930000 3526.010000 996.110000 3527.190000 ;
-        RECT 1174.930000 3527.610000 1176.110000 3528.790000 ;
-        RECT 1174.930000 3526.010000 1176.110000 3527.190000 ;
-        RECT 1354.930000 3527.610000 1356.110000 3528.790000 ;
-        RECT 1354.930000 3526.010000 1356.110000 3527.190000 ;
-        RECT 1534.930000 3527.610000 1536.110000 3528.790000 ;
-        RECT 1534.930000 3526.010000 1536.110000 3527.190000 ;
-        RECT 1714.930000 3527.610000 1716.110000 3528.790000 ;
-        RECT 1714.930000 3526.010000 1716.110000 3527.190000 ;
-        RECT 1894.930000 3527.610000 1896.110000 3528.790000 ;
-        RECT 1894.930000 3526.010000 1896.110000 3527.190000 ;
-        RECT 2074.930000 3527.610000 2076.110000 3528.790000 ;
-        RECT 2074.930000 3526.010000 2076.110000 3527.190000 ;
-        RECT 2254.930000 3527.610000 2256.110000 3528.790000 ;
-        RECT 2254.930000 3526.010000 2256.110000 3527.190000 ;
-        RECT 2434.930000 3527.610000 2436.110000 3528.790000 ;
-        RECT 2434.930000 3526.010000 2436.110000 3527.190000 ;
-        RECT 2614.930000 3527.610000 2616.110000 3528.790000 ;
-        RECT 2614.930000 3526.010000 2616.110000 3527.190000 ;
-        RECT 2794.930000 3527.610000 2796.110000 3528.790000 ;
-        RECT 2794.930000 3526.010000 2796.110000 3527.190000 ;
-        RECT 2932.110000 3527.610000 2933.290000 3528.790000 ;
-        RECT 2932.110000 3526.010000 2933.290000 3527.190000 ;
-        RECT -13.670000 3341.090000 -12.490000 3342.270000 ;
-        RECT -13.670000 3339.490000 -12.490000 3340.670000 ;
-        RECT -13.670000 3161.090000 -12.490000 3162.270000 ;
-        RECT -13.670000 3159.490000 -12.490000 3160.670000 ;
-        RECT -13.670000 2981.090000 -12.490000 2982.270000 ;
-        RECT -13.670000 2979.490000 -12.490000 2980.670000 ;
-        RECT -13.670000 2801.090000 -12.490000 2802.270000 ;
-        RECT -13.670000 2799.490000 -12.490000 2800.670000 ;
-        RECT -13.670000 2621.090000 -12.490000 2622.270000 ;
-        RECT -13.670000 2619.490000 -12.490000 2620.670000 ;
-        RECT -13.670000 2441.090000 -12.490000 2442.270000 ;
-        RECT -13.670000 2439.490000 -12.490000 2440.670000 ;
-        RECT -13.670000 2261.090000 -12.490000 2262.270000 ;
-        RECT -13.670000 2259.490000 -12.490000 2260.670000 ;
-        RECT -13.670000 2081.090000 -12.490000 2082.270000 ;
-        RECT -13.670000 2079.490000 -12.490000 2080.670000 ;
-        RECT -13.670000 1901.090000 -12.490000 1902.270000 ;
-        RECT -13.670000 1899.490000 -12.490000 1900.670000 ;
-        RECT -13.670000 1721.090000 -12.490000 1722.270000 ;
-        RECT -13.670000 1719.490000 -12.490000 1720.670000 ;
-        RECT -13.670000 1541.090000 -12.490000 1542.270000 ;
-        RECT -13.670000 1539.490000 -12.490000 1540.670000 ;
-        RECT -13.670000 1361.090000 -12.490000 1362.270000 ;
-        RECT -13.670000 1359.490000 -12.490000 1360.670000 ;
-        RECT -13.670000 1181.090000 -12.490000 1182.270000 ;
-        RECT -13.670000 1179.490000 -12.490000 1180.670000 ;
-        RECT -13.670000 1001.090000 -12.490000 1002.270000 ;
-        RECT -13.670000 999.490000 -12.490000 1000.670000 ;
-        RECT -13.670000 821.090000 -12.490000 822.270000 ;
-        RECT -13.670000 819.490000 -12.490000 820.670000 ;
-        RECT -13.670000 641.090000 -12.490000 642.270000 ;
-        RECT -13.670000 639.490000 -12.490000 640.670000 ;
-        RECT -13.670000 461.090000 -12.490000 462.270000 ;
-        RECT -13.670000 459.490000 -12.490000 460.670000 ;
-        RECT -13.670000 281.090000 -12.490000 282.270000 ;
-        RECT -13.670000 279.490000 -12.490000 280.670000 ;
-        RECT -13.670000 101.090000 -12.490000 102.270000 ;
-        RECT -13.670000 99.490000 -12.490000 100.670000 ;
-        RECT 2932.110000 3341.090000 2933.290000 3342.270000 ;
-        RECT 2932.110000 3339.490000 2933.290000 3340.670000 ;
-        RECT 2932.110000 3161.090000 2933.290000 3162.270000 ;
-        RECT 2932.110000 3159.490000 2933.290000 3160.670000 ;
-        RECT 2932.110000 2981.090000 2933.290000 2982.270000 ;
-        RECT 2932.110000 2979.490000 2933.290000 2980.670000 ;
-        RECT 2932.110000 2801.090000 2933.290000 2802.270000 ;
-        RECT 2932.110000 2799.490000 2933.290000 2800.670000 ;
-        RECT 2932.110000 2621.090000 2933.290000 2622.270000 ;
-        RECT 2932.110000 2619.490000 2933.290000 2620.670000 ;
-        RECT 2932.110000 2441.090000 2933.290000 2442.270000 ;
-        RECT 2932.110000 2439.490000 2933.290000 2440.670000 ;
-        RECT 2932.110000 2261.090000 2933.290000 2262.270000 ;
-        RECT 2932.110000 2259.490000 2933.290000 2260.670000 ;
-        RECT 2932.110000 2081.090000 2933.290000 2082.270000 ;
-        RECT 2932.110000 2079.490000 2933.290000 2080.670000 ;
-        RECT 2932.110000 1901.090000 2933.290000 1902.270000 ;
-        RECT 2932.110000 1899.490000 2933.290000 1900.670000 ;
-        RECT 2932.110000 1721.090000 2933.290000 1722.270000 ;
-        RECT 2932.110000 1719.490000 2933.290000 1720.670000 ;
-        RECT 2932.110000 1541.090000 2933.290000 1542.270000 ;
-        RECT 2932.110000 1539.490000 2933.290000 1540.670000 ;
-        RECT 2932.110000 1361.090000 2933.290000 1362.270000 ;
-        RECT 2932.110000 1359.490000 2933.290000 1360.670000 ;
-        RECT 2932.110000 1181.090000 2933.290000 1182.270000 ;
-        RECT 2932.110000 1179.490000 2933.290000 1180.670000 ;
-        RECT 2932.110000 1001.090000 2933.290000 1002.270000 ;
-        RECT 2932.110000 999.490000 2933.290000 1000.670000 ;
-        RECT 2932.110000 821.090000 2933.290000 822.270000 ;
-        RECT 2932.110000 819.490000 2933.290000 820.670000 ;
-        RECT 2932.110000 641.090000 2933.290000 642.270000 ;
-        RECT 2932.110000 639.490000 2933.290000 640.670000 ;
-        RECT 2932.110000 461.090000 2933.290000 462.270000 ;
-        RECT 2932.110000 459.490000 2933.290000 460.670000 ;
-        RECT 2932.110000 281.090000 2933.290000 282.270000 ;
-        RECT 2932.110000 279.490000 2933.290000 280.670000 ;
-        RECT 2932.110000 101.090000 2933.290000 102.270000 ;
-        RECT 2932.110000 99.490000 2933.290000 100.670000 ;
-        RECT -13.670000 -7.510000 -12.490000 -6.330000 ;
-        RECT -13.670000 -9.110000 -12.490000 -7.930000 ;
-        RECT 94.930000 -7.510000 96.110000 -6.330000 ;
-        RECT 94.930000 -9.110000 96.110000 -7.930000 ;
-        RECT 274.930000 -7.510000 276.110000 -6.330000 ;
-        RECT 274.930000 -9.110000 276.110000 -7.930000 ;
-        RECT 454.930000 -7.510000 456.110000 -6.330000 ;
-        RECT 454.930000 -9.110000 456.110000 -7.930000 ;
-        RECT 634.930000 -7.510000 636.110000 -6.330000 ;
-        RECT 634.930000 -9.110000 636.110000 -7.930000 ;
-        RECT 814.930000 -7.510000 816.110000 -6.330000 ;
-        RECT 814.930000 -9.110000 816.110000 -7.930000 ;
-        RECT 994.930000 -7.510000 996.110000 -6.330000 ;
-        RECT 994.930000 -9.110000 996.110000 -7.930000 ;
-        RECT 1174.930000 -7.510000 1176.110000 -6.330000 ;
-        RECT 1174.930000 -9.110000 1176.110000 -7.930000 ;
-        RECT 1354.930000 -7.510000 1356.110000 -6.330000 ;
-        RECT 1354.930000 -9.110000 1356.110000 -7.930000 ;
-        RECT 1534.930000 -7.510000 1536.110000 -6.330000 ;
-        RECT 1534.930000 -9.110000 1536.110000 -7.930000 ;
-        RECT 1714.930000 -7.510000 1716.110000 -6.330000 ;
-        RECT 1714.930000 -9.110000 1716.110000 -7.930000 ;
-        RECT 1894.930000 -7.510000 1896.110000 -6.330000 ;
-        RECT 1894.930000 -9.110000 1896.110000 -7.930000 ;
-        RECT 2074.930000 -7.510000 2076.110000 -6.330000 ;
-        RECT 2074.930000 -9.110000 2076.110000 -7.930000 ;
-        RECT 2254.930000 -7.510000 2256.110000 -6.330000 ;
-        RECT 2254.930000 -9.110000 2256.110000 -7.930000 ;
-        RECT 2434.930000 -7.510000 2436.110000 -6.330000 ;
-        RECT 2434.930000 -9.110000 2436.110000 -7.930000 ;
-        RECT 2614.930000 -7.510000 2616.110000 -6.330000 ;
-        RECT 2614.930000 -9.110000 2616.110000 -7.930000 ;
-        RECT 2794.930000 -7.510000 2796.110000 -6.330000 ;
-        RECT 2794.930000 -9.110000 2796.110000 -7.930000 ;
-        RECT 2932.110000 -7.510000 2933.290000 -6.330000 ;
-        RECT 2932.110000 -9.110000 2933.290000 -7.930000 ;
+        RECT -13.770000 3527.710000 -12.590000 3528.890000 ;
+        RECT -13.770000 3526.110000 -12.590000 3527.290000 ;
+        RECT 94.930000 3527.710000 96.110000 3528.890000 ;
+        RECT 94.930000 3526.110000 96.110000 3527.290000 ;
+        RECT 274.930000 3527.710000 276.110000 3528.890000 ;
+        RECT 274.930000 3526.110000 276.110000 3527.290000 ;
+        RECT 454.930000 3527.710000 456.110000 3528.890000 ;
+        RECT 454.930000 3526.110000 456.110000 3527.290000 ;
+        RECT 634.930000 3527.710000 636.110000 3528.890000 ;
+        RECT 634.930000 3526.110000 636.110000 3527.290000 ;
+        RECT 814.930000 3527.710000 816.110000 3528.890000 ;
+        RECT 814.930000 3526.110000 816.110000 3527.290000 ;
+        RECT 994.930000 3527.710000 996.110000 3528.890000 ;
+        RECT 994.930000 3526.110000 996.110000 3527.290000 ;
+        RECT 1174.930000 3527.710000 1176.110000 3528.890000 ;
+        RECT 1174.930000 3526.110000 1176.110000 3527.290000 ;
+        RECT 1354.930000 3527.710000 1356.110000 3528.890000 ;
+        RECT 1354.930000 3526.110000 1356.110000 3527.290000 ;
+        RECT 1534.930000 3527.710000 1536.110000 3528.890000 ;
+        RECT 1534.930000 3526.110000 1536.110000 3527.290000 ;
+        RECT 1714.930000 3527.710000 1716.110000 3528.890000 ;
+        RECT 1714.930000 3526.110000 1716.110000 3527.290000 ;
+        RECT 1894.930000 3527.710000 1896.110000 3528.890000 ;
+        RECT 1894.930000 3526.110000 1896.110000 3527.290000 ;
+        RECT 2074.930000 3527.710000 2076.110000 3528.890000 ;
+        RECT 2074.930000 3526.110000 2076.110000 3527.290000 ;
+        RECT 2254.930000 3527.710000 2256.110000 3528.890000 ;
+        RECT 2254.930000 3526.110000 2256.110000 3527.290000 ;
+        RECT 2434.930000 3527.710000 2436.110000 3528.890000 ;
+        RECT 2434.930000 3526.110000 2436.110000 3527.290000 ;
+        RECT 2614.930000 3527.710000 2616.110000 3528.890000 ;
+        RECT 2614.930000 3526.110000 2616.110000 3527.290000 ;
+        RECT 2794.930000 3527.710000 2796.110000 3528.890000 ;
+        RECT 2794.930000 3526.110000 2796.110000 3527.290000 ;
+        RECT 2932.210000 3527.710000 2933.390000 3528.890000 ;
+        RECT 2932.210000 3526.110000 2933.390000 3527.290000 ;
+        RECT -13.770000 3341.090000 -12.590000 3342.270000 ;
+        RECT -13.770000 3339.490000 -12.590000 3340.670000 ;
+        RECT -13.770000 3161.090000 -12.590000 3162.270000 ;
+        RECT -13.770000 3159.490000 -12.590000 3160.670000 ;
+        RECT -13.770000 2981.090000 -12.590000 2982.270000 ;
+        RECT -13.770000 2979.490000 -12.590000 2980.670000 ;
+        RECT -13.770000 2801.090000 -12.590000 2802.270000 ;
+        RECT -13.770000 2799.490000 -12.590000 2800.670000 ;
+        RECT -13.770000 2621.090000 -12.590000 2622.270000 ;
+        RECT -13.770000 2619.490000 -12.590000 2620.670000 ;
+        RECT -13.770000 2441.090000 -12.590000 2442.270000 ;
+        RECT -13.770000 2439.490000 -12.590000 2440.670000 ;
+        RECT -13.770000 2261.090000 -12.590000 2262.270000 ;
+        RECT -13.770000 2259.490000 -12.590000 2260.670000 ;
+        RECT -13.770000 2081.090000 -12.590000 2082.270000 ;
+        RECT -13.770000 2079.490000 -12.590000 2080.670000 ;
+        RECT -13.770000 1901.090000 -12.590000 1902.270000 ;
+        RECT -13.770000 1899.490000 -12.590000 1900.670000 ;
+        RECT -13.770000 1721.090000 -12.590000 1722.270000 ;
+        RECT -13.770000 1719.490000 -12.590000 1720.670000 ;
+        RECT -13.770000 1541.090000 -12.590000 1542.270000 ;
+        RECT -13.770000 1539.490000 -12.590000 1540.670000 ;
+        RECT -13.770000 1361.090000 -12.590000 1362.270000 ;
+        RECT -13.770000 1359.490000 -12.590000 1360.670000 ;
+        RECT -13.770000 1181.090000 -12.590000 1182.270000 ;
+        RECT -13.770000 1179.490000 -12.590000 1180.670000 ;
+        RECT -13.770000 1001.090000 -12.590000 1002.270000 ;
+        RECT -13.770000 999.490000 -12.590000 1000.670000 ;
+        RECT -13.770000 821.090000 -12.590000 822.270000 ;
+        RECT -13.770000 819.490000 -12.590000 820.670000 ;
+        RECT -13.770000 641.090000 -12.590000 642.270000 ;
+        RECT -13.770000 639.490000 -12.590000 640.670000 ;
+        RECT -13.770000 461.090000 -12.590000 462.270000 ;
+        RECT -13.770000 459.490000 -12.590000 460.670000 ;
+        RECT -13.770000 281.090000 -12.590000 282.270000 ;
+        RECT -13.770000 279.490000 -12.590000 280.670000 ;
+        RECT -13.770000 101.090000 -12.590000 102.270000 ;
+        RECT -13.770000 99.490000 -12.590000 100.670000 ;
+        RECT 2932.210000 3341.090000 2933.390000 3342.270000 ;
+        RECT 2932.210000 3339.490000 2933.390000 3340.670000 ;
+        RECT 2932.210000 3161.090000 2933.390000 3162.270000 ;
+        RECT 2932.210000 3159.490000 2933.390000 3160.670000 ;
+        RECT 2932.210000 2981.090000 2933.390000 2982.270000 ;
+        RECT 2932.210000 2979.490000 2933.390000 2980.670000 ;
+        RECT 2932.210000 2801.090000 2933.390000 2802.270000 ;
+        RECT 2932.210000 2799.490000 2933.390000 2800.670000 ;
+        RECT 2932.210000 2621.090000 2933.390000 2622.270000 ;
+        RECT 2932.210000 2619.490000 2933.390000 2620.670000 ;
+        RECT 2932.210000 2441.090000 2933.390000 2442.270000 ;
+        RECT 2932.210000 2439.490000 2933.390000 2440.670000 ;
+        RECT 2932.210000 2261.090000 2933.390000 2262.270000 ;
+        RECT 2932.210000 2259.490000 2933.390000 2260.670000 ;
+        RECT 2932.210000 2081.090000 2933.390000 2082.270000 ;
+        RECT 2932.210000 2079.490000 2933.390000 2080.670000 ;
+        RECT 2932.210000 1901.090000 2933.390000 1902.270000 ;
+        RECT 2932.210000 1899.490000 2933.390000 1900.670000 ;
+        RECT 2932.210000 1721.090000 2933.390000 1722.270000 ;
+        RECT 2932.210000 1719.490000 2933.390000 1720.670000 ;
+        RECT 2932.210000 1541.090000 2933.390000 1542.270000 ;
+        RECT 2932.210000 1539.490000 2933.390000 1540.670000 ;
+        RECT 2932.210000 1361.090000 2933.390000 1362.270000 ;
+        RECT 2932.210000 1359.490000 2933.390000 1360.670000 ;
+        RECT 2932.210000 1181.090000 2933.390000 1182.270000 ;
+        RECT 2932.210000 1179.490000 2933.390000 1180.670000 ;
+        RECT 2932.210000 1001.090000 2933.390000 1002.270000 ;
+        RECT 2932.210000 999.490000 2933.390000 1000.670000 ;
+        RECT 2932.210000 821.090000 2933.390000 822.270000 ;
+        RECT 2932.210000 819.490000 2933.390000 820.670000 ;
+        RECT 2932.210000 641.090000 2933.390000 642.270000 ;
+        RECT 2932.210000 639.490000 2933.390000 640.670000 ;
+        RECT 2932.210000 461.090000 2933.390000 462.270000 ;
+        RECT 2932.210000 459.490000 2933.390000 460.670000 ;
+        RECT 2932.210000 281.090000 2933.390000 282.270000 ;
+        RECT 2932.210000 279.490000 2933.390000 280.670000 ;
+        RECT 2932.210000 101.090000 2933.390000 102.270000 ;
+        RECT 2932.210000 99.490000 2933.390000 100.670000 ;
+        RECT -13.770000 -7.610000 -12.590000 -6.430000 ;
+        RECT -13.770000 -9.210000 -12.590000 -8.030000 ;
+        RECT 94.930000 -7.610000 96.110000 -6.430000 ;
+        RECT 94.930000 -9.210000 96.110000 -8.030000 ;
+        RECT 274.930000 -7.610000 276.110000 -6.430000 ;
+        RECT 274.930000 -9.210000 276.110000 -8.030000 ;
+        RECT 454.930000 -7.610000 456.110000 -6.430000 ;
+        RECT 454.930000 -9.210000 456.110000 -8.030000 ;
+        RECT 634.930000 -7.610000 636.110000 -6.430000 ;
+        RECT 634.930000 -9.210000 636.110000 -8.030000 ;
+        RECT 814.930000 -7.610000 816.110000 -6.430000 ;
+        RECT 814.930000 -9.210000 816.110000 -8.030000 ;
+        RECT 994.930000 -7.610000 996.110000 -6.430000 ;
+        RECT 994.930000 -9.210000 996.110000 -8.030000 ;
+        RECT 1174.930000 -7.610000 1176.110000 -6.430000 ;
+        RECT 1174.930000 -9.210000 1176.110000 -8.030000 ;
+        RECT 1354.930000 -7.610000 1356.110000 -6.430000 ;
+        RECT 1354.930000 -9.210000 1356.110000 -8.030000 ;
+        RECT 1534.930000 -7.610000 1536.110000 -6.430000 ;
+        RECT 1534.930000 -9.210000 1536.110000 -8.030000 ;
+        RECT 1714.930000 -7.610000 1716.110000 -6.430000 ;
+        RECT 1714.930000 -9.210000 1716.110000 -8.030000 ;
+        RECT 1894.930000 -7.610000 1896.110000 -6.430000 ;
+        RECT 1894.930000 -9.210000 1896.110000 -8.030000 ;
+        RECT 2074.930000 -7.610000 2076.110000 -6.430000 ;
+        RECT 2074.930000 -9.210000 2076.110000 -8.030000 ;
+        RECT 2254.930000 -7.610000 2256.110000 -6.430000 ;
+        RECT 2254.930000 -9.210000 2256.110000 -8.030000 ;
+        RECT 2434.930000 -7.610000 2436.110000 -6.430000 ;
+        RECT 2434.930000 -9.210000 2436.110000 -8.030000 ;
+        RECT 2614.930000 -7.610000 2616.110000 -6.430000 ;
+        RECT 2614.930000 -9.210000 2616.110000 -8.030000 ;
+        RECT 2794.930000 -7.610000 2796.110000 -6.430000 ;
+        RECT 2794.930000 -9.210000 2796.110000 -8.030000 ;
+        RECT 2932.210000 -7.610000 2933.390000 -6.430000 ;
+        RECT 2932.210000 -9.210000 2933.390000 -8.030000 ;
       LAYER met5 ;
-        RECT -14.580000 3528.900000 -11.580000 3528.910000 ;
-        RECT 94.020000 3528.900000 97.020000 3528.910000 ;
-        RECT 274.020000 3528.900000 277.020000 3528.910000 ;
-        RECT 454.020000 3528.900000 457.020000 3528.910000 ;
-        RECT 634.020000 3528.900000 637.020000 3528.910000 ;
-        RECT 814.020000 3528.900000 817.020000 3528.910000 ;
-        RECT 994.020000 3528.900000 997.020000 3528.910000 ;
-        RECT 1174.020000 3528.900000 1177.020000 3528.910000 ;
-        RECT 1354.020000 3528.900000 1357.020000 3528.910000 ;
-        RECT 1534.020000 3528.900000 1537.020000 3528.910000 ;
-        RECT 1714.020000 3528.900000 1717.020000 3528.910000 ;
-        RECT 1894.020000 3528.900000 1897.020000 3528.910000 ;
-        RECT 2074.020000 3528.900000 2077.020000 3528.910000 ;
-        RECT 2254.020000 3528.900000 2257.020000 3528.910000 ;
-        RECT 2434.020000 3528.900000 2437.020000 3528.910000 ;
-        RECT 2614.020000 3528.900000 2617.020000 3528.910000 ;
-        RECT 2794.020000 3528.900000 2797.020000 3528.910000 ;
-        RECT 2931.200000 3528.900000 2934.200000 3528.910000 ;
-        RECT -14.580000 3525.900000 2934.200000 3528.900000 ;
-        RECT -14.580000 3525.890000 -11.580000 3525.900000 ;
-        RECT 94.020000 3525.890000 97.020000 3525.900000 ;
-        RECT 274.020000 3525.890000 277.020000 3525.900000 ;
-        RECT 454.020000 3525.890000 457.020000 3525.900000 ;
-        RECT 634.020000 3525.890000 637.020000 3525.900000 ;
-        RECT 814.020000 3525.890000 817.020000 3525.900000 ;
-        RECT 994.020000 3525.890000 997.020000 3525.900000 ;
-        RECT 1174.020000 3525.890000 1177.020000 3525.900000 ;
-        RECT 1354.020000 3525.890000 1357.020000 3525.900000 ;
-        RECT 1534.020000 3525.890000 1537.020000 3525.900000 ;
-        RECT 1714.020000 3525.890000 1717.020000 3525.900000 ;
-        RECT 1894.020000 3525.890000 1897.020000 3525.900000 ;
-        RECT 2074.020000 3525.890000 2077.020000 3525.900000 ;
-        RECT 2254.020000 3525.890000 2257.020000 3525.900000 ;
-        RECT 2434.020000 3525.890000 2437.020000 3525.900000 ;
-        RECT 2614.020000 3525.890000 2617.020000 3525.900000 ;
-        RECT 2794.020000 3525.890000 2797.020000 3525.900000 ;
-        RECT 2931.200000 3525.890000 2934.200000 3525.900000 ;
-        RECT -14.580000 3342.380000 -11.580000 3342.390000 ;
-        RECT 2931.200000 3342.380000 2934.200000 3342.390000 ;
-        RECT -14.580000 3339.380000 -0.400000 3342.380000 ;
-        RECT 2920.400000 3339.380000 2934.200000 3342.380000 ;
-        RECT -14.580000 3339.370000 -11.580000 3339.380000 ;
-        RECT 2931.200000 3339.370000 2934.200000 3339.380000 ;
-        RECT -14.580000 3162.380000 -11.580000 3162.390000 ;
-        RECT 2931.200000 3162.380000 2934.200000 3162.390000 ;
-        RECT -14.580000 3159.380000 -0.400000 3162.380000 ;
-        RECT 2920.400000 3159.380000 2934.200000 3162.380000 ;
-        RECT -14.580000 3159.370000 -11.580000 3159.380000 ;
-        RECT 2931.200000 3159.370000 2934.200000 3159.380000 ;
-        RECT -14.580000 2982.380000 -11.580000 2982.390000 ;
-        RECT 2931.200000 2982.380000 2934.200000 2982.390000 ;
-        RECT -14.580000 2979.380000 -0.400000 2982.380000 ;
-        RECT 2920.400000 2979.380000 2934.200000 2982.380000 ;
-        RECT -14.580000 2979.370000 -11.580000 2979.380000 ;
-        RECT 2931.200000 2979.370000 2934.200000 2979.380000 ;
-        RECT -14.580000 2802.380000 -11.580000 2802.390000 ;
-        RECT 2931.200000 2802.380000 2934.200000 2802.390000 ;
-        RECT -14.580000 2799.380000 -0.400000 2802.380000 ;
-        RECT 2920.400000 2799.380000 2934.200000 2802.380000 ;
-        RECT -14.580000 2799.370000 -11.580000 2799.380000 ;
-        RECT 2931.200000 2799.370000 2934.200000 2799.380000 ;
-        RECT -14.580000 2622.380000 -11.580000 2622.390000 ;
-        RECT 2931.200000 2622.380000 2934.200000 2622.390000 ;
-        RECT -14.580000 2619.380000 -0.400000 2622.380000 ;
-        RECT 2920.400000 2619.380000 2934.200000 2622.380000 ;
-        RECT -14.580000 2619.370000 -11.580000 2619.380000 ;
-        RECT 2931.200000 2619.370000 2934.200000 2619.380000 ;
-        RECT -14.580000 2442.380000 -11.580000 2442.390000 ;
-        RECT 2931.200000 2442.380000 2934.200000 2442.390000 ;
-        RECT -14.580000 2439.380000 -0.400000 2442.380000 ;
-        RECT 2920.400000 2439.380000 2934.200000 2442.380000 ;
-        RECT -14.580000 2439.370000 -11.580000 2439.380000 ;
-        RECT 2931.200000 2439.370000 2934.200000 2439.380000 ;
-        RECT -14.580000 2262.380000 -11.580000 2262.390000 ;
-        RECT 2931.200000 2262.380000 2934.200000 2262.390000 ;
-        RECT -14.580000 2259.380000 -0.400000 2262.380000 ;
-        RECT 2920.400000 2259.380000 2934.200000 2262.380000 ;
-        RECT -14.580000 2259.370000 -11.580000 2259.380000 ;
-        RECT 2931.200000 2259.370000 2934.200000 2259.380000 ;
-        RECT -14.580000 2082.380000 -11.580000 2082.390000 ;
-        RECT 2931.200000 2082.380000 2934.200000 2082.390000 ;
-        RECT -14.580000 2079.380000 -0.400000 2082.380000 ;
-        RECT 2920.400000 2079.380000 2934.200000 2082.380000 ;
-        RECT -14.580000 2079.370000 -11.580000 2079.380000 ;
-        RECT 2931.200000 2079.370000 2934.200000 2079.380000 ;
-        RECT -14.580000 1902.380000 -11.580000 1902.390000 ;
-        RECT 2931.200000 1902.380000 2934.200000 1902.390000 ;
-        RECT -14.580000 1899.380000 -0.400000 1902.380000 ;
-        RECT 2920.400000 1899.380000 2934.200000 1902.380000 ;
-        RECT -14.580000 1899.370000 -11.580000 1899.380000 ;
-        RECT 2931.200000 1899.370000 2934.200000 1899.380000 ;
-        RECT -14.580000 1722.380000 -11.580000 1722.390000 ;
-        RECT 2931.200000 1722.380000 2934.200000 1722.390000 ;
-        RECT -14.580000 1719.380000 -0.400000 1722.380000 ;
-        RECT 2920.400000 1719.380000 2934.200000 1722.380000 ;
-        RECT -14.580000 1719.370000 -11.580000 1719.380000 ;
-        RECT 2931.200000 1719.370000 2934.200000 1719.380000 ;
-        RECT -14.580000 1542.380000 -11.580000 1542.390000 ;
-        RECT 2931.200000 1542.380000 2934.200000 1542.390000 ;
-        RECT -14.580000 1539.380000 -0.400000 1542.380000 ;
-        RECT 2920.400000 1539.380000 2934.200000 1542.380000 ;
-        RECT -14.580000 1539.370000 -11.580000 1539.380000 ;
-        RECT 2931.200000 1539.370000 2934.200000 1539.380000 ;
-        RECT -14.580000 1362.380000 -11.580000 1362.390000 ;
-        RECT 2931.200000 1362.380000 2934.200000 1362.390000 ;
-        RECT -14.580000 1359.380000 -0.400000 1362.380000 ;
-        RECT 2920.400000 1359.380000 2934.200000 1362.380000 ;
-        RECT -14.580000 1359.370000 -11.580000 1359.380000 ;
-        RECT 2931.200000 1359.370000 2934.200000 1359.380000 ;
-        RECT -14.580000 1182.380000 -11.580000 1182.390000 ;
-        RECT 2931.200000 1182.380000 2934.200000 1182.390000 ;
-        RECT -14.580000 1179.380000 -0.400000 1182.380000 ;
-        RECT 2920.400000 1179.380000 2934.200000 1182.380000 ;
-        RECT -14.580000 1179.370000 -11.580000 1179.380000 ;
-        RECT 2931.200000 1179.370000 2934.200000 1179.380000 ;
-        RECT -14.580000 1002.380000 -11.580000 1002.390000 ;
-        RECT 2931.200000 1002.380000 2934.200000 1002.390000 ;
-        RECT -14.580000 999.380000 -0.400000 1002.380000 ;
-        RECT 2920.400000 999.380000 2934.200000 1002.380000 ;
-        RECT -14.580000 999.370000 -11.580000 999.380000 ;
-        RECT 2931.200000 999.370000 2934.200000 999.380000 ;
-        RECT -14.580000 822.380000 -11.580000 822.390000 ;
-        RECT 2931.200000 822.380000 2934.200000 822.390000 ;
-        RECT -14.580000 819.380000 -0.400000 822.380000 ;
-        RECT 2920.400000 819.380000 2934.200000 822.380000 ;
-        RECT -14.580000 819.370000 -11.580000 819.380000 ;
-        RECT 2931.200000 819.370000 2934.200000 819.380000 ;
-        RECT -14.580000 642.380000 -11.580000 642.390000 ;
-        RECT 2931.200000 642.380000 2934.200000 642.390000 ;
-        RECT -14.580000 639.380000 -0.400000 642.380000 ;
-        RECT 2920.400000 639.380000 2934.200000 642.380000 ;
-        RECT -14.580000 639.370000 -11.580000 639.380000 ;
-        RECT 2931.200000 639.370000 2934.200000 639.380000 ;
-        RECT -14.580000 462.380000 -11.580000 462.390000 ;
-        RECT 2931.200000 462.380000 2934.200000 462.390000 ;
-        RECT -14.580000 459.380000 -0.400000 462.380000 ;
-        RECT 2920.400000 459.380000 2934.200000 462.380000 ;
-        RECT -14.580000 459.370000 -11.580000 459.380000 ;
-        RECT 2931.200000 459.370000 2934.200000 459.380000 ;
-        RECT -14.580000 282.380000 -11.580000 282.390000 ;
-        RECT 2931.200000 282.380000 2934.200000 282.390000 ;
-        RECT -14.580000 279.380000 -0.400000 282.380000 ;
-        RECT 2920.400000 279.380000 2934.200000 282.380000 ;
-        RECT -14.580000 279.370000 -11.580000 279.380000 ;
-        RECT 2931.200000 279.370000 2934.200000 279.380000 ;
-        RECT -14.580000 102.380000 -11.580000 102.390000 ;
-        RECT 2931.200000 102.380000 2934.200000 102.390000 ;
-        RECT -14.580000 99.380000 -0.400000 102.380000 ;
-        RECT 2920.400000 99.380000 2934.200000 102.380000 ;
-        RECT -14.580000 99.370000 -11.580000 99.380000 ;
-        RECT 2931.200000 99.370000 2934.200000 99.380000 ;
-        RECT -14.580000 -6.220000 -11.580000 -6.210000 ;
-        RECT 94.020000 -6.220000 97.020000 -6.210000 ;
-        RECT 274.020000 -6.220000 277.020000 -6.210000 ;
-        RECT 454.020000 -6.220000 457.020000 -6.210000 ;
-        RECT 634.020000 -6.220000 637.020000 -6.210000 ;
-        RECT 814.020000 -6.220000 817.020000 -6.210000 ;
-        RECT 994.020000 -6.220000 997.020000 -6.210000 ;
-        RECT 1174.020000 -6.220000 1177.020000 -6.210000 ;
-        RECT 1354.020000 -6.220000 1357.020000 -6.210000 ;
-        RECT 1534.020000 -6.220000 1537.020000 -6.210000 ;
-        RECT 1714.020000 -6.220000 1717.020000 -6.210000 ;
-        RECT 1894.020000 -6.220000 1897.020000 -6.210000 ;
-        RECT 2074.020000 -6.220000 2077.020000 -6.210000 ;
-        RECT 2254.020000 -6.220000 2257.020000 -6.210000 ;
-        RECT 2434.020000 -6.220000 2437.020000 -6.210000 ;
-        RECT 2614.020000 -6.220000 2617.020000 -6.210000 ;
-        RECT 2794.020000 -6.220000 2797.020000 -6.210000 ;
-        RECT 2931.200000 -6.220000 2934.200000 -6.210000 ;
-        RECT -14.580000 -9.220000 2934.200000 -6.220000 ;
-        RECT -14.580000 -9.230000 -11.580000 -9.220000 ;
-        RECT 94.020000 -9.230000 97.020000 -9.220000 ;
-        RECT 274.020000 -9.230000 277.020000 -9.220000 ;
-        RECT 454.020000 -9.230000 457.020000 -9.220000 ;
-        RECT 634.020000 -9.230000 637.020000 -9.220000 ;
-        RECT 814.020000 -9.230000 817.020000 -9.220000 ;
-        RECT 994.020000 -9.230000 997.020000 -9.220000 ;
-        RECT 1174.020000 -9.230000 1177.020000 -9.220000 ;
-        RECT 1354.020000 -9.230000 1357.020000 -9.220000 ;
-        RECT 1534.020000 -9.230000 1537.020000 -9.220000 ;
-        RECT 1714.020000 -9.230000 1717.020000 -9.220000 ;
-        RECT 1894.020000 -9.230000 1897.020000 -9.220000 ;
-        RECT 2074.020000 -9.230000 2077.020000 -9.220000 ;
-        RECT 2254.020000 -9.230000 2257.020000 -9.220000 ;
-        RECT 2434.020000 -9.230000 2437.020000 -9.220000 ;
-        RECT 2614.020000 -9.230000 2617.020000 -9.220000 ;
-        RECT 2794.020000 -9.230000 2797.020000 -9.220000 ;
-        RECT 2931.200000 -9.230000 2934.200000 -9.220000 ;
+        RECT -14.680000 3529.000000 -11.680000 3529.010000 ;
+        RECT 94.020000 3529.000000 97.020000 3529.010000 ;
+        RECT 274.020000 3529.000000 277.020000 3529.010000 ;
+        RECT 454.020000 3529.000000 457.020000 3529.010000 ;
+        RECT 634.020000 3529.000000 637.020000 3529.010000 ;
+        RECT 814.020000 3529.000000 817.020000 3529.010000 ;
+        RECT 994.020000 3529.000000 997.020000 3529.010000 ;
+        RECT 1174.020000 3529.000000 1177.020000 3529.010000 ;
+        RECT 1354.020000 3529.000000 1357.020000 3529.010000 ;
+        RECT 1534.020000 3529.000000 1537.020000 3529.010000 ;
+        RECT 1714.020000 3529.000000 1717.020000 3529.010000 ;
+        RECT 1894.020000 3529.000000 1897.020000 3529.010000 ;
+        RECT 2074.020000 3529.000000 2077.020000 3529.010000 ;
+        RECT 2254.020000 3529.000000 2257.020000 3529.010000 ;
+        RECT 2434.020000 3529.000000 2437.020000 3529.010000 ;
+        RECT 2614.020000 3529.000000 2617.020000 3529.010000 ;
+        RECT 2794.020000 3529.000000 2797.020000 3529.010000 ;
+        RECT 2931.300000 3529.000000 2934.300000 3529.010000 ;
+        RECT -14.680000 3526.000000 2934.300000 3529.000000 ;
+        RECT -14.680000 3525.990000 -11.680000 3526.000000 ;
+        RECT 94.020000 3525.990000 97.020000 3526.000000 ;
+        RECT 274.020000 3525.990000 277.020000 3526.000000 ;
+        RECT 454.020000 3525.990000 457.020000 3526.000000 ;
+        RECT 634.020000 3525.990000 637.020000 3526.000000 ;
+        RECT 814.020000 3525.990000 817.020000 3526.000000 ;
+        RECT 994.020000 3525.990000 997.020000 3526.000000 ;
+        RECT 1174.020000 3525.990000 1177.020000 3526.000000 ;
+        RECT 1354.020000 3525.990000 1357.020000 3526.000000 ;
+        RECT 1534.020000 3525.990000 1537.020000 3526.000000 ;
+        RECT 1714.020000 3525.990000 1717.020000 3526.000000 ;
+        RECT 1894.020000 3525.990000 1897.020000 3526.000000 ;
+        RECT 2074.020000 3525.990000 2077.020000 3526.000000 ;
+        RECT 2254.020000 3525.990000 2257.020000 3526.000000 ;
+        RECT 2434.020000 3525.990000 2437.020000 3526.000000 ;
+        RECT 2614.020000 3525.990000 2617.020000 3526.000000 ;
+        RECT 2794.020000 3525.990000 2797.020000 3526.000000 ;
+        RECT 2931.300000 3525.990000 2934.300000 3526.000000 ;
+        RECT -14.680000 3342.380000 -11.680000 3342.390000 ;
+        RECT 2931.300000 3342.380000 2934.300000 3342.390000 ;
+        RECT -14.680000 3339.380000 -0.400000 3342.380000 ;
+        RECT 2920.400000 3339.380000 2934.300000 3342.380000 ;
+        RECT -14.680000 3339.370000 -11.680000 3339.380000 ;
+        RECT 2931.300000 3339.370000 2934.300000 3339.380000 ;
+        RECT -14.680000 3162.380000 -11.680000 3162.390000 ;
+        RECT 2931.300000 3162.380000 2934.300000 3162.390000 ;
+        RECT -14.680000 3159.380000 -0.400000 3162.380000 ;
+        RECT 2920.400000 3159.380000 2934.300000 3162.380000 ;
+        RECT -14.680000 3159.370000 -11.680000 3159.380000 ;
+        RECT 2931.300000 3159.370000 2934.300000 3159.380000 ;
+        RECT -14.680000 2982.380000 -11.680000 2982.390000 ;
+        RECT 2931.300000 2982.380000 2934.300000 2982.390000 ;
+        RECT -14.680000 2979.380000 -0.400000 2982.380000 ;
+        RECT 2920.400000 2979.380000 2934.300000 2982.380000 ;
+        RECT -14.680000 2979.370000 -11.680000 2979.380000 ;
+        RECT 2931.300000 2979.370000 2934.300000 2979.380000 ;
+        RECT -14.680000 2802.380000 -11.680000 2802.390000 ;
+        RECT 2931.300000 2802.380000 2934.300000 2802.390000 ;
+        RECT -14.680000 2799.380000 -0.400000 2802.380000 ;
+        RECT 2920.400000 2799.380000 2934.300000 2802.380000 ;
+        RECT -14.680000 2799.370000 -11.680000 2799.380000 ;
+        RECT 2931.300000 2799.370000 2934.300000 2799.380000 ;
+        RECT -14.680000 2622.380000 -11.680000 2622.390000 ;
+        RECT 2931.300000 2622.380000 2934.300000 2622.390000 ;
+        RECT -14.680000 2619.380000 -0.400000 2622.380000 ;
+        RECT 2920.400000 2619.380000 2934.300000 2622.380000 ;
+        RECT -14.680000 2619.370000 -11.680000 2619.380000 ;
+        RECT 2931.300000 2619.370000 2934.300000 2619.380000 ;
+        RECT -14.680000 2442.380000 -11.680000 2442.390000 ;
+        RECT 2931.300000 2442.380000 2934.300000 2442.390000 ;
+        RECT -14.680000 2439.380000 -0.400000 2442.380000 ;
+        RECT 2920.400000 2439.380000 2934.300000 2442.380000 ;
+        RECT -14.680000 2439.370000 -11.680000 2439.380000 ;
+        RECT 2931.300000 2439.370000 2934.300000 2439.380000 ;
+        RECT -14.680000 2262.380000 -11.680000 2262.390000 ;
+        RECT 2931.300000 2262.380000 2934.300000 2262.390000 ;
+        RECT -14.680000 2259.380000 -0.400000 2262.380000 ;
+        RECT 2920.400000 2259.380000 2934.300000 2262.380000 ;
+        RECT -14.680000 2259.370000 -11.680000 2259.380000 ;
+        RECT 2931.300000 2259.370000 2934.300000 2259.380000 ;
+        RECT -14.680000 2082.380000 -11.680000 2082.390000 ;
+        RECT 2931.300000 2082.380000 2934.300000 2082.390000 ;
+        RECT -14.680000 2079.380000 -0.400000 2082.380000 ;
+        RECT 2920.400000 2079.380000 2934.300000 2082.380000 ;
+        RECT -14.680000 2079.370000 -11.680000 2079.380000 ;
+        RECT 2931.300000 2079.370000 2934.300000 2079.380000 ;
+        RECT -14.680000 1902.380000 -11.680000 1902.390000 ;
+        RECT 2931.300000 1902.380000 2934.300000 1902.390000 ;
+        RECT -14.680000 1899.380000 -0.400000 1902.380000 ;
+        RECT 2920.400000 1899.380000 2934.300000 1902.380000 ;
+        RECT -14.680000 1899.370000 -11.680000 1899.380000 ;
+        RECT 2931.300000 1899.370000 2934.300000 1899.380000 ;
+        RECT -14.680000 1722.380000 -11.680000 1722.390000 ;
+        RECT 2931.300000 1722.380000 2934.300000 1722.390000 ;
+        RECT -14.680000 1719.380000 -0.400000 1722.380000 ;
+        RECT 2920.400000 1719.380000 2934.300000 1722.380000 ;
+        RECT -14.680000 1719.370000 -11.680000 1719.380000 ;
+        RECT 2931.300000 1719.370000 2934.300000 1719.380000 ;
+        RECT -14.680000 1542.380000 -11.680000 1542.390000 ;
+        RECT 2931.300000 1542.380000 2934.300000 1542.390000 ;
+        RECT -14.680000 1539.380000 -0.400000 1542.380000 ;
+        RECT 2920.400000 1539.380000 2934.300000 1542.380000 ;
+        RECT -14.680000 1539.370000 -11.680000 1539.380000 ;
+        RECT 2931.300000 1539.370000 2934.300000 1539.380000 ;
+        RECT -14.680000 1362.380000 -11.680000 1362.390000 ;
+        RECT 2931.300000 1362.380000 2934.300000 1362.390000 ;
+        RECT -14.680000 1359.380000 -0.400000 1362.380000 ;
+        RECT 2920.400000 1359.380000 2934.300000 1362.380000 ;
+        RECT -14.680000 1359.370000 -11.680000 1359.380000 ;
+        RECT 2931.300000 1359.370000 2934.300000 1359.380000 ;
+        RECT -14.680000 1182.380000 -11.680000 1182.390000 ;
+        RECT 2931.300000 1182.380000 2934.300000 1182.390000 ;
+        RECT -14.680000 1179.380000 -0.400000 1182.380000 ;
+        RECT 2920.400000 1179.380000 2934.300000 1182.380000 ;
+        RECT -14.680000 1179.370000 -11.680000 1179.380000 ;
+        RECT 2931.300000 1179.370000 2934.300000 1179.380000 ;
+        RECT -14.680000 1002.380000 -11.680000 1002.390000 ;
+        RECT 2931.300000 1002.380000 2934.300000 1002.390000 ;
+        RECT -14.680000 999.380000 -0.400000 1002.380000 ;
+        RECT 2920.400000 999.380000 2934.300000 1002.380000 ;
+        RECT -14.680000 999.370000 -11.680000 999.380000 ;
+        RECT 2931.300000 999.370000 2934.300000 999.380000 ;
+        RECT -14.680000 822.380000 -11.680000 822.390000 ;
+        RECT 2931.300000 822.380000 2934.300000 822.390000 ;
+        RECT -14.680000 819.380000 -0.400000 822.380000 ;
+        RECT 2920.400000 819.380000 2934.300000 822.380000 ;
+        RECT -14.680000 819.370000 -11.680000 819.380000 ;
+        RECT 2931.300000 819.370000 2934.300000 819.380000 ;
+        RECT -14.680000 642.380000 -11.680000 642.390000 ;
+        RECT 2931.300000 642.380000 2934.300000 642.390000 ;
+        RECT -14.680000 639.380000 -0.400000 642.380000 ;
+        RECT 2920.400000 639.380000 2934.300000 642.380000 ;
+        RECT -14.680000 639.370000 -11.680000 639.380000 ;
+        RECT 2931.300000 639.370000 2934.300000 639.380000 ;
+        RECT -14.680000 462.380000 -11.680000 462.390000 ;
+        RECT 2931.300000 462.380000 2934.300000 462.390000 ;
+        RECT -14.680000 459.380000 -0.400000 462.380000 ;
+        RECT 2920.400000 459.380000 2934.300000 462.380000 ;
+        RECT -14.680000 459.370000 -11.680000 459.380000 ;
+        RECT 2931.300000 459.370000 2934.300000 459.380000 ;
+        RECT -14.680000 282.380000 -11.680000 282.390000 ;
+        RECT 2931.300000 282.380000 2934.300000 282.390000 ;
+        RECT -14.680000 279.380000 -0.400000 282.380000 ;
+        RECT 2920.400000 279.380000 2934.300000 282.380000 ;
+        RECT -14.680000 279.370000 -11.680000 279.380000 ;
+        RECT 2931.300000 279.370000 2934.300000 279.380000 ;
+        RECT -14.680000 102.380000 -11.680000 102.390000 ;
+        RECT 2931.300000 102.380000 2934.300000 102.390000 ;
+        RECT -14.680000 99.380000 -0.400000 102.380000 ;
+        RECT 2920.400000 99.380000 2934.300000 102.380000 ;
+        RECT -14.680000 99.370000 -11.680000 99.380000 ;
+        RECT 2931.300000 99.370000 2934.300000 99.380000 ;
+        RECT -14.680000 -6.320000 -11.680000 -6.310000 ;
+        RECT 94.020000 -6.320000 97.020000 -6.310000 ;
+        RECT 274.020000 -6.320000 277.020000 -6.310000 ;
+        RECT 454.020000 -6.320000 457.020000 -6.310000 ;
+        RECT 634.020000 -6.320000 637.020000 -6.310000 ;
+        RECT 814.020000 -6.320000 817.020000 -6.310000 ;
+        RECT 994.020000 -6.320000 997.020000 -6.310000 ;
+        RECT 1174.020000 -6.320000 1177.020000 -6.310000 ;
+        RECT 1354.020000 -6.320000 1357.020000 -6.310000 ;
+        RECT 1534.020000 -6.320000 1537.020000 -6.310000 ;
+        RECT 1714.020000 -6.320000 1717.020000 -6.310000 ;
+        RECT 1894.020000 -6.320000 1897.020000 -6.310000 ;
+        RECT 2074.020000 -6.320000 2077.020000 -6.310000 ;
+        RECT 2254.020000 -6.320000 2257.020000 -6.310000 ;
+        RECT 2434.020000 -6.320000 2437.020000 -6.310000 ;
+        RECT 2614.020000 -6.320000 2617.020000 -6.310000 ;
+        RECT 2794.020000 -6.320000 2797.020000 -6.310000 ;
+        RECT 2931.300000 -6.320000 2934.300000 -6.310000 ;
+        RECT -14.680000 -9.320000 2934.300000 -6.320000 ;
+        RECT -14.680000 -9.330000 -11.680000 -9.320000 ;
+        RECT 94.020000 -9.330000 97.020000 -9.320000 ;
+        RECT 274.020000 -9.330000 277.020000 -9.320000 ;
+        RECT 454.020000 -9.330000 457.020000 -9.320000 ;
+        RECT 634.020000 -9.330000 637.020000 -9.320000 ;
+        RECT 814.020000 -9.330000 817.020000 -9.320000 ;
+        RECT 994.020000 -9.330000 997.020000 -9.320000 ;
+        RECT 1174.020000 -9.330000 1177.020000 -9.320000 ;
+        RECT 1354.020000 -9.330000 1357.020000 -9.320000 ;
+        RECT 1534.020000 -9.330000 1537.020000 -9.320000 ;
+        RECT 1714.020000 -9.330000 1717.020000 -9.320000 ;
+        RECT 1894.020000 -9.330000 1897.020000 -9.320000 ;
+        RECT 2074.020000 -9.330000 2077.020000 -9.320000 ;
+        RECT 2254.020000 -9.330000 2257.020000 -9.320000 ;
+        RECT 2434.020000 -9.330000 2437.020000 -9.320000 ;
+        RECT 2614.020000 -9.330000 2617.020000 -9.320000 ;
+        RECT 2794.020000 -9.330000 2797.020000 -9.320000 ;
+        RECT 2931.300000 -9.330000 2934.300000 -9.320000 ;
     END
   END vssd1
   PIN vccd2
     DIRECTION INPUT ;
     PORT
       LAYER met4 ;
-        RECT -19.180000 -13.820000 -16.180000 3533.500000 ;
-        RECT 22.020000 3520.400000 25.020000 3538.100000 ;
-        RECT 202.020000 3520.400000 205.020000 3538.100000 ;
-        RECT 382.020000 3520.400000 385.020000 3538.100000 ;
-        RECT 562.020000 3520.400000 565.020000 3538.100000 ;
-        RECT 742.020000 3520.400000 745.020000 3538.100000 ;
-        RECT 922.020000 3520.400000 925.020000 3538.100000 ;
-        RECT 1102.020000 3520.400000 1105.020000 3538.100000 ;
-        RECT 1282.020000 3520.400000 1285.020000 3538.100000 ;
-        RECT 1462.020000 3520.400000 1465.020000 3538.100000 ;
-        RECT 1642.020000 3520.400000 1645.020000 3538.100000 ;
-        RECT 1822.020000 3520.400000 1825.020000 3538.100000 ;
-        RECT 2002.020000 3520.400000 2005.020000 3538.100000 ;
-        RECT 2182.020000 3520.400000 2185.020000 3538.100000 ;
-        RECT 2362.020000 3520.400000 2365.020000 3538.100000 ;
-        RECT 2542.020000 3520.400000 2545.020000 3538.100000 ;
-        RECT 2722.020000 3520.400000 2725.020000 3538.100000 ;
-        RECT 2902.020000 3520.400000 2905.020000 3538.100000 ;
-        RECT 22.020000 -18.420000 25.020000 -0.400000 ;
-        RECT 202.020000 -18.420000 205.020000 -0.400000 ;
-        RECT 382.020000 -18.420000 385.020000 -0.400000 ;
-        RECT 562.020000 -18.420000 565.020000 -0.400000 ;
-        RECT 742.020000 -18.420000 745.020000 -0.400000 ;
-        RECT 922.020000 -18.420000 925.020000 -0.400000 ;
-        RECT 1102.020000 -18.420000 1105.020000 -0.400000 ;
-        RECT 1282.020000 -18.420000 1285.020000 -0.400000 ;
-        RECT 1462.020000 -18.420000 1465.020000 -0.400000 ;
-        RECT 1642.020000 -18.420000 1645.020000 -0.400000 ;
-        RECT 1822.020000 -18.420000 1825.020000 -0.400000 ;
-        RECT 2002.020000 -18.420000 2005.020000 -0.400000 ;
-        RECT 2182.020000 -18.420000 2185.020000 -0.400000 ;
-        RECT 2362.020000 -18.420000 2365.020000 -0.400000 ;
-        RECT 2542.020000 -18.420000 2545.020000 -0.400000 ;
-        RECT 2722.020000 -18.420000 2725.020000 -0.400000 ;
-        RECT 2902.020000 -18.420000 2905.020000 -0.400000 ;
-        RECT 2935.800000 -13.820000 2938.800000 3533.500000 ;
+        RECT -19.380000 -14.020000 -16.380000 3533.700000 ;
+        RECT 22.020000 3520.400000 25.020000 3538.400000 ;
+        RECT 202.020000 3520.400000 205.020000 3538.400000 ;
+        RECT 382.020000 3520.400000 385.020000 3538.400000 ;
+        RECT 562.020000 3520.400000 565.020000 3538.400000 ;
+        RECT 742.020000 3520.400000 745.020000 3538.400000 ;
+        RECT 922.020000 3520.400000 925.020000 3538.400000 ;
+        RECT 1102.020000 3520.400000 1105.020000 3538.400000 ;
+        RECT 1282.020000 3520.400000 1285.020000 3538.400000 ;
+        RECT 1462.020000 3520.400000 1465.020000 3538.400000 ;
+        RECT 1642.020000 3520.400000 1645.020000 3538.400000 ;
+        RECT 1822.020000 3520.400000 1825.020000 3538.400000 ;
+        RECT 2002.020000 3520.400000 2005.020000 3538.400000 ;
+        RECT 2182.020000 3520.400000 2185.020000 3538.400000 ;
+        RECT 2362.020000 3520.400000 2365.020000 3538.400000 ;
+        RECT 2542.020000 3520.400000 2545.020000 3538.400000 ;
+        RECT 2722.020000 3520.400000 2725.020000 3538.400000 ;
+        RECT 2902.020000 3520.400000 2905.020000 3538.400000 ;
+        RECT 22.020000 -18.720000 25.020000 -0.400000 ;
+        RECT 202.020000 -18.720000 205.020000 -0.400000 ;
+        RECT 382.020000 -18.720000 385.020000 -0.400000 ;
+        RECT 562.020000 -18.720000 565.020000 -0.400000 ;
+        RECT 742.020000 -18.720000 745.020000 -0.400000 ;
+        RECT 922.020000 -18.720000 925.020000 -0.400000 ;
+        RECT 1102.020000 -18.720000 1105.020000 -0.400000 ;
+        RECT 1282.020000 -18.720000 1285.020000 -0.400000 ;
+        RECT 1462.020000 -18.720000 1465.020000 -0.400000 ;
+        RECT 1642.020000 -18.720000 1645.020000 -0.400000 ;
+        RECT 1822.020000 -18.720000 1825.020000 -0.400000 ;
+        RECT 2002.020000 -18.720000 2005.020000 -0.400000 ;
+        RECT 2182.020000 -18.720000 2185.020000 -0.400000 ;
+        RECT 2362.020000 -18.720000 2365.020000 -0.400000 ;
+        RECT 2542.020000 -18.720000 2545.020000 -0.400000 ;
+        RECT 2722.020000 -18.720000 2725.020000 -0.400000 ;
+        RECT 2902.020000 -18.720000 2905.020000 -0.400000 ;
+        RECT 2936.000000 -14.020000 2939.000000 3533.700000 ;
       LAYER M4M5_PR_C ;
-        RECT -18.270000 3532.210000 -17.090000 3533.390000 ;
-        RECT -18.270000 3530.610000 -17.090000 3531.790000 ;
-        RECT 22.930000 3532.210000 24.110000 3533.390000 ;
-        RECT 22.930000 3530.610000 24.110000 3531.790000 ;
-        RECT 202.930000 3532.210000 204.110000 3533.390000 ;
-        RECT 202.930000 3530.610000 204.110000 3531.790000 ;
-        RECT 382.930000 3532.210000 384.110000 3533.390000 ;
-        RECT 382.930000 3530.610000 384.110000 3531.790000 ;
-        RECT 562.930000 3532.210000 564.110000 3533.390000 ;
-        RECT 562.930000 3530.610000 564.110000 3531.790000 ;
-        RECT 742.930000 3532.210000 744.110000 3533.390000 ;
-        RECT 742.930000 3530.610000 744.110000 3531.790000 ;
-        RECT 922.930000 3532.210000 924.110000 3533.390000 ;
-        RECT 922.930000 3530.610000 924.110000 3531.790000 ;
-        RECT 1102.930000 3532.210000 1104.110000 3533.390000 ;
-        RECT 1102.930000 3530.610000 1104.110000 3531.790000 ;
-        RECT 1282.930000 3532.210000 1284.110000 3533.390000 ;
-        RECT 1282.930000 3530.610000 1284.110000 3531.790000 ;
-        RECT 1462.930000 3532.210000 1464.110000 3533.390000 ;
-        RECT 1462.930000 3530.610000 1464.110000 3531.790000 ;
-        RECT 1642.930000 3532.210000 1644.110000 3533.390000 ;
-        RECT 1642.930000 3530.610000 1644.110000 3531.790000 ;
-        RECT 1822.930000 3532.210000 1824.110000 3533.390000 ;
-        RECT 1822.930000 3530.610000 1824.110000 3531.790000 ;
-        RECT 2002.930000 3532.210000 2004.110000 3533.390000 ;
-        RECT 2002.930000 3530.610000 2004.110000 3531.790000 ;
-        RECT 2182.930000 3532.210000 2184.110000 3533.390000 ;
-        RECT 2182.930000 3530.610000 2184.110000 3531.790000 ;
-        RECT 2362.930000 3532.210000 2364.110000 3533.390000 ;
-        RECT 2362.930000 3530.610000 2364.110000 3531.790000 ;
-        RECT 2542.930000 3532.210000 2544.110000 3533.390000 ;
-        RECT 2542.930000 3530.610000 2544.110000 3531.790000 ;
-        RECT 2722.930000 3532.210000 2724.110000 3533.390000 ;
-        RECT 2722.930000 3530.610000 2724.110000 3531.790000 ;
-        RECT 2902.930000 3532.210000 2904.110000 3533.390000 ;
-        RECT 2902.930000 3530.610000 2904.110000 3531.790000 ;
-        RECT 2936.710000 3532.210000 2937.890000 3533.390000 ;
-        RECT 2936.710000 3530.610000 2937.890000 3531.790000 ;
-        RECT -18.270000 3449.090000 -17.090000 3450.270000 ;
-        RECT -18.270000 3447.490000 -17.090000 3448.670000 ;
-        RECT -18.270000 3269.090000 -17.090000 3270.270000 ;
-        RECT -18.270000 3267.490000 -17.090000 3268.670000 ;
-        RECT -18.270000 3089.090000 -17.090000 3090.270000 ;
-        RECT -18.270000 3087.490000 -17.090000 3088.670000 ;
-        RECT -18.270000 2909.090000 -17.090000 2910.270000 ;
-        RECT -18.270000 2907.490000 -17.090000 2908.670000 ;
-        RECT -18.270000 2729.090000 -17.090000 2730.270000 ;
-        RECT -18.270000 2727.490000 -17.090000 2728.670000 ;
-        RECT -18.270000 2549.090000 -17.090000 2550.270000 ;
-        RECT -18.270000 2547.490000 -17.090000 2548.670000 ;
-        RECT -18.270000 2369.090000 -17.090000 2370.270000 ;
-        RECT -18.270000 2367.490000 -17.090000 2368.670000 ;
-        RECT -18.270000 2189.090000 -17.090000 2190.270000 ;
-        RECT -18.270000 2187.490000 -17.090000 2188.670000 ;
-        RECT -18.270000 2009.090000 -17.090000 2010.270000 ;
-        RECT -18.270000 2007.490000 -17.090000 2008.670000 ;
-        RECT -18.270000 1829.090000 -17.090000 1830.270000 ;
-        RECT -18.270000 1827.490000 -17.090000 1828.670000 ;
-        RECT -18.270000 1649.090000 -17.090000 1650.270000 ;
-        RECT -18.270000 1647.490000 -17.090000 1648.670000 ;
-        RECT -18.270000 1469.090000 -17.090000 1470.270000 ;
-        RECT -18.270000 1467.490000 -17.090000 1468.670000 ;
-        RECT -18.270000 1289.090000 -17.090000 1290.270000 ;
-        RECT -18.270000 1287.490000 -17.090000 1288.670000 ;
-        RECT -18.270000 1109.090000 -17.090000 1110.270000 ;
-        RECT -18.270000 1107.490000 -17.090000 1108.670000 ;
-        RECT -18.270000 929.090000 -17.090000 930.270000 ;
-        RECT -18.270000 927.490000 -17.090000 928.670000 ;
-        RECT -18.270000 749.090000 -17.090000 750.270000 ;
-        RECT -18.270000 747.490000 -17.090000 748.670000 ;
-        RECT -18.270000 569.090000 -17.090000 570.270000 ;
-        RECT -18.270000 567.490000 -17.090000 568.670000 ;
-        RECT -18.270000 389.090000 -17.090000 390.270000 ;
-        RECT -18.270000 387.490000 -17.090000 388.670000 ;
-        RECT -18.270000 209.090000 -17.090000 210.270000 ;
-        RECT -18.270000 207.490000 -17.090000 208.670000 ;
-        RECT -18.270000 29.090000 -17.090000 30.270000 ;
-        RECT -18.270000 27.490000 -17.090000 28.670000 ;
-        RECT 2936.710000 3449.090000 2937.890000 3450.270000 ;
-        RECT 2936.710000 3447.490000 2937.890000 3448.670000 ;
-        RECT 2936.710000 3269.090000 2937.890000 3270.270000 ;
-        RECT 2936.710000 3267.490000 2937.890000 3268.670000 ;
-        RECT 2936.710000 3089.090000 2937.890000 3090.270000 ;
-        RECT 2936.710000 3087.490000 2937.890000 3088.670000 ;
-        RECT 2936.710000 2909.090000 2937.890000 2910.270000 ;
-        RECT 2936.710000 2907.490000 2937.890000 2908.670000 ;
-        RECT 2936.710000 2729.090000 2937.890000 2730.270000 ;
-        RECT 2936.710000 2727.490000 2937.890000 2728.670000 ;
-        RECT 2936.710000 2549.090000 2937.890000 2550.270000 ;
-        RECT 2936.710000 2547.490000 2937.890000 2548.670000 ;
-        RECT 2936.710000 2369.090000 2937.890000 2370.270000 ;
-        RECT 2936.710000 2367.490000 2937.890000 2368.670000 ;
-        RECT 2936.710000 2189.090000 2937.890000 2190.270000 ;
-        RECT 2936.710000 2187.490000 2937.890000 2188.670000 ;
-        RECT 2936.710000 2009.090000 2937.890000 2010.270000 ;
-        RECT 2936.710000 2007.490000 2937.890000 2008.670000 ;
-        RECT 2936.710000 1829.090000 2937.890000 1830.270000 ;
-        RECT 2936.710000 1827.490000 2937.890000 1828.670000 ;
-        RECT 2936.710000 1649.090000 2937.890000 1650.270000 ;
-        RECT 2936.710000 1647.490000 2937.890000 1648.670000 ;
-        RECT 2936.710000 1469.090000 2937.890000 1470.270000 ;
-        RECT 2936.710000 1467.490000 2937.890000 1468.670000 ;
-        RECT 2936.710000 1289.090000 2937.890000 1290.270000 ;
-        RECT 2936.710000 1287.490000 2937.890000 1288.670000 ;
-        RECT 2936.710000 1109.090000 2937.890000 1110.270000 ;
-        RECT 2936.710000 1107.490000 2937.890000 1108.670000 ;
-        RECT 2936.710000 929.090000 2937.890000 930.270000 ;
-        RECT 2936.710000 927.490000 2937.890000 928.670000 ;
-        RECT 2936.710000 749.090000 2937.890000 750.270000 ;
-        RECT 2936.710000 747.490000 2937.890000 748.670000 ;
-        RECT 2936.710000 569.090000 2937.890000 570.270000 ;
-        RECT 2936.710000 567.490000 2937.890000 568.670000 ;
-        RECT 2936.710000 389.090000 2937.890000 390.270000 ;
-        RECT 2936.710000 387.490000 2937.890000 388.670000 ;
-        RECT 2936.710000 209.090000 2937.890000 210.270000 ;
-        RECT 2936.710000 207.490000 2937.890000 208.670000 ;
-        RECT 2936.710000 29.090000 2937.890000 30.270000 ;
-        RECT 2936.710000 27.490000 2937.890000 28.670000 ;
-        RECT -18.270000 -12.110000 -17.090000 -10.930000 ;
-        RECT -18.270000 -13.710000 -17.090000 -12.530000 ;
-        RECT 22.930000 -12.110000 24.110000 -10.930000 ;
-        RECT 22.930000 -13.710000 24.110000 -12.530000 ;
-        RECT 202.930000 -12.110000 204.110000 -10.930000 ;
-        RECT 202.930000 -13.710000 204.110000 -12.530000 ;
-        RECT 382.930000 -12.110000 384.110000 -10.930000 ;
-        RECT 382.930000 -13.710000 384.110000 -12.530000 ;
-        RECT 562.930000 -12.110000 564.110000 -10.930000 ;
-        RECT 562.930000 -13.710000 564.110000 -12.530000 ;
-        RECT 742.930000 -12.110000 744.110000 -10.930000 ;
-        RECT 742.930000 -13.710000 744.110000 -12.530000 ;
-        RECT 922.930000 -12.110000 924.110000 -10.930000 ;
-        RECT 922.930000 -13.710000 924.110000 -12.530000 ;
-        RECT 1102.930000 -12.110000 1104.110000 -10.930000 ;
-        RECT 1102.930000 -13.710000 1104.110000 -12.530000 ;
-        RECT 1282.930000 -12.110000 1284.110000 -10.930000 ;
-        RECT 1282.930000 -13.710000 1284.110000 -12.530000 ;
-        RECT 1462.930000 -12.110000 1464.110000 -10.930000 ;
-        RECT 1462.930000 -13.710000 1464.110000 -12.530000 ;
-        RECT 1642.930000 -12.110000 1644.110000 -10.930000 ;
-        RECT 1642.930000 -13.710000 1644.110000 -12.530000 ;
-        RECT 1822.930000 -12.110000 1824.110000 -10.930000 ;
-        RECT 1822.930000 -13.710000 1824.110000 -12.530000 ;
-        RECT 2002.930000 -12.110000 2004.110000 -10.930000 ;
-        RECT 2002.930000 -13.710000 2004.110000 -12.530000 ;
-        RECT 2182.930000 -12.110000 2184.110000 -10.930000 ;
-        RECT 2182.930000 -13.710000 2184.110000 -12.530000 ;
-        RECT 2362.930000 -12.110000 2364.110000 -10.930000 ;
-        RECT 2362.930000 -13.710000 2364.110000 -12.530000 ;
-        RECT 2542.930000 -12.110000 2544.110000 -10.930000 ;
-        RECT 2542.930000 -13.710000 2544.110000 -12.530000 ;
-        RECT 2722.930000 -12.110000 2724.110000 -10.930000 ;
-        RECT 2722.930000 -13.710000 2724.110000 -12.530000 ;
-        RECT 2902.930000 -12.110000 2904.110000 -10.930000 ;
-        RECT 2902.930000 -13.710000 2904.110000 -12.530000 ;
-        RECT 2936.710000 -12.110000 2937.890000 -10.930000 ;
-        RECT 2936.710000 -13.710000 2937.890000 -12.530000 ;
+        RECT -18.470000 3532.410000 -17.290000 3533.590000 ;
+        RECT -18.470000 3530.810000 -17.290000 3531.990000 ;
+        RECT 22.930000 3532.410000 24.110000 3533.590000 ;
+        RECT 22.930000 3530.810000 24.110000 3531.990000 ;
+        RECT 202.930000 3532.410000 204.110000 3533.590000 ;
+        RECT 202.930000 3530.810000 204.110000 3531.990000 ;
+        RECT 382.930000 3532.410000 384.110000 3533.590000 ;
+        RECT 382.930000 3530.810000 384.110000 3531.990000 ;
+        RECT 562.930000 3532.410000 564.110000 3533.590000 ;
+        RECT 562.930000 3530.810000 564.110000 3531.990000 ;
+        RECT 742.930000 3532.410000 744.110000 3533.590000 ;
+        RECT 742.930000 3530.810000 744.110000 3531.990000 ;
+        RECT 922.930000 3532.410000 924.110000 3533.590000 ;
+        RECT 922.930000 3530.810000 924.110000 3531.990000 ;
+        RECT 1102.930000 3532.410000 1104.110000 3533.590000 ;
+        RECT 1102.930000 3530.810000 1104.110000 3531.990000 ;
+        RECT 1282.930000 3532.410000 1284.110000 3533.590000 ;
+        RECT 1282.930000 3530.810000 1284.110000 3531.990000 ;
+        RECT 1462.930000 3532.410000 1464.110000 3533.590000 ;
+        RECT 1462.930000 3530.810000 1464.110000 3531.990000 ;
+        RECT 1642.930000 3532.410000 1644.110000 3533.590000 ;
+        RECT 1642.930000 3530.810000 1644.110000 3531.990000 ;
+        RECT 1822.930000 3532.410000 1824.110000 3533.590000 ;
+        RECT 1822.930000 3530.810000 1824.110000 3531.990000 ;
+        RECT 2002.930000 3532.410000 2004.110000 3533.590000 ;
+        RECT 2002.930000 3530.810000 2004.110000 3531.990000 ;
+        RECT 2182.930000 3532.410000 2184.110000 3533.590000 ;
+        RECT 2182.930000 3530.810000 2184.110000 3531.990000 ;
+        RECT 2362.930000 3532.410000 2364.110000 3533.590000 ;
+        RECT 2362.930000 3530.810000 2364.110000 3531.990000 ;
+        RECT 2542.930000 3532.410000 2544.110000 3533.590000 ;
+        RECT 2542.930000 3530.810000 2544.110000 3531.990000 ;
+        RECT 2722.930000 3532.410000 2724.110000 3533.590000 ;
+        RECT 2722.930000 3530.810000 2724.110000 3531.990000 ;
+        RECT 2902.930000 3532.410000 2904.110000 3533.590000 ;
+        RECT 2902.930000 3530.810000 2904.110000 3531.990000 ;
+        RECT 2936.910000 3532.410000 2938.090000 3533.590000 ;
+        RECT 2936.910000 3530.810000 2938.090000 3531.990000 ;
+        RECT -18.470000 3449.090000 -17.290000 3450.270000 ;
+        RECT -18.470000 3447.490000 -17.290000 3448.670000 ;
+        RECT -18.470000 3269.090000 -17.290000 3270.270000 ;
+        RECT -18.470000 3267.490000 -17.290000 3268.670000 ;
+        RECT -18.470000 3089.090000 -17.290000 3090.270000 ;
+        RECT -18.470000 3087.490000 -17.290000 3088.670000 ;
+        RECT -18.470000 2909.090000 -17.290000 2910.270000 ;
+        RECT -18.470000 2907.490000 -17.290000 2908.670000 ;
+        RECT -18.470000 2729.090000 -17.290000 2730.270000 ;
+        RECT -18.470000 2727.490000 -17.290000 2728.670000 ;
+        RECT -18.470000 2549.090000 -17.290000 2550.270000 ;
+        RECT -18.470000 2547.490000 -17.290000 2548.670000 ;
+        RECT -18.470000 2369.090000 -17.290000 2370.270000 ;
+        RECT -18.470000 2367.490000 -17.290000 2368.670000 ;
+        RECT -18.470000 2189.090000 -17.290000 2190.270000 ;
+        RECT -18.470000 2187.490000 -17.290000 2188.670000 ;
+        RECT -18.470000 2009.090000 -17.290000 2010.270000 ;
+        RECT -18.470000 2007.490000 -17.290000 2008.670000 ;
+        RECT -18.470000 1829.090000 -17.290000 1830.270000 ;
+        RECT -18.470000 1827.490000 -17.290000 1828.670000 ;
+        RECT -18.470000 1649.090000 -17.290000 1650.270000 ;
+        RECT -18.470000 1647.490000 -17.290000 1648.670000 ;
+        RECT -18.470000 1469.090000 -17.290000 1470.270000 ;
+        RECT -18.470000 1467.490000 -17.290000 1468.670000 ;
+        RECT -18.470000 1289.090000 -17.290000 1290.270000 ;
+        RECT -18.470000 1287.490000 -17.290000 1288.670000 ;
+        RECT -18.470000 1109.090000 -17.290000 1110.270000 ;
+        RECT -18.470000 1107.490000 -17.290000 1108.670000 ;
+        RECT -18.470000 929.090000 -17.290000 930.270000 ;
+        RECT -18.470000 927.490000 -17.290000 928.670000 ;
+        RECT -18.470000 749.090000 -17.290000 750.270000 ;
+        RECT -18.470000 747.490000 -17.290000 748.670000 ;
+        RECT -18.470000 569.090000 -17.290000 570.270000 ;
+        RECT -18.470000 567.490000 -17.290000 568.670000 ;
+        RECT -18.470000 389.090000 -17.290000 390.270000 ;
+        RECT -18.470000 387.490000 -17.290000 388.670000 ;
+        RECT -18.470000 209.090000 -17.290000 210.270000 ;
+        RECT -18.470000 207.490000 -17.290000 208.670000 ;
+        RECT -18.470000 29.090000 -17.290000 30.270000 ;
+        RECT -18.470000 27.490000 -17.290000 28.670000 ;
+        RECT 2936.910000 3449.090000 2938.090000 3450.270000 ;
+        RECT 2936.910000 3447.490000 2938.090000 3448.670000 ;
+        RECT 2936.910000 3269.090000 2938.090000 3270.270000 ;
+        RECT 2936.910000 3267.490000 2938.090000 3268.670000 ;
+        RECT 2936.910000 3089.090000 2938.090000 3090.270000 ;
+        RECT 2936.910000 3087.490000 2938.090000 3088.670000 ;
+        RECT 2936.910000 2909.090000 2938.090000 2910.270000 ;
+        RECT 2936.910000 2907.490000 2938.090000 2908.670000 ;
+        RECT 2936.910000 2729.090000 2938.090000 2730.270000 ;
+        RECT 2936.910000 2727.490000 2938.090000 2728.670000 ;
+        RECT 2936.910000 2549.090000 2938.090000 2550.270000 ;
+        RECT 2936.910000 2547.490000 2938.090000 2548.670000 ;
+        RECT 2936.910000 2369.090000 2938.090000 2370.270000 ;
+        RECT 2936.910000 2367.490000 2938.090000 2368.670000 ;
+        RECT 2936.910000 2189.090000 2938.090000 2190.270000 ;
+        RECT 2936.910000 2187.490000 2938.090000 2188.670000 ;
+        RECT 2936.910000 2009.090000 2938.090000 2010.270000 ;
+        RECT 2936.910000 2007.490000 2938.090000 2008.670000 ;
+        RECT 2936.910000 1829.090000 2938.090000 1830.270000 ;
+        RECT 2936.910000 1827.490000 2938.090000 1828.670000 ;
+        RECT 2936.910000 1649.090000 2938.090000 1650.270000 ;
+        RECT 2936.910000 1647.490000 2938.090000 1648.670000 ;
+        RECT 2936.910000 1469.090000 2938.090000 1470.270000 ;
+        RECT 2936.910000 1467.490000 2938.090000 1468.670000 ;
+        RECT 2936.910000 1289.090000 2938.090000 1290.270000 ;
+        RECT 2936.910000 1287.490000 2938.090000 1288.670000 ;
+        RECT 2936.910000 1109.090000 2938.090000 1110.270000 ;
+        RECT 2936.910000 1107.490000 2938.090000 1108.670000 ;
+        RECT 2936.910000 929.090000 2938.090000 930.270000 ;
+        RECT 2936.910000 927.490000 2938.090000 928.670000 ;
+        RECT 2936.910000 749.090000 2938.090000 750.270000 ;
+        RECT 2936.910000 747.490000 2938.090000 748.670000 ;
+        RECT 2936.910000 569.090000 2938.090000 570.270000 ;
+        RECT 2936.910000 567.490000 2938.090000 568.670000 ;
+        RECT 2936.910000 389.090000 2938.090000 390.270000 ;
+        RECT 2936.910000 387.490000 2938.090000 388.670000 ;
+        RECT 2936.910000 209.090000 2938.090000 210.270000 ;
+        RECT 2936.910000 207.490000 2938.090000 208.670000 ;
+        RECT 2936.910000 29.090000 2938.090000 30.270000 ;
+        RECT 2936.910000 27.490000 2938.090000 28.670000 ;
+        RECT -18.470000 -12.310000 -17.290000 -11.130000 ;
+        RECT -18.470000 -13.910000 -17.290000 -12.730000 ;
+        RECT 22.930000 -12.310000 24.110000 -11.130000 ;
+        RECT 22.930000 -13.910000 24.110000 -12.730000 ;
+        RECT 202.930000 -12.310000 204.110000 -11.130000 ;
+        RECT 202.930000 -13.910000 204.110000 -12.730000 ;
+        RECT 382.930000 -12.310000 384.110000 -11.130000 ;
+        RECT 382.930000 -13.910000 384.110000 -12.730000 ;
+        RECT 562.930000 -12.310000 564.110000 -11.130000 ;
+        RECT 562.930000 -13.910000 564.110000 -12.730000 ;
+        RECT 742.930000 -12.310000 744.110000 -11.130000 ;
+        RECT 742.930000 -13.910000 744.110000 -12.730000 ;
+        RECT 922.930000 -12.310000 924.110000 -11.130000 ;
+        RECT 922.930000 -13.910000 924.110000 -12.730000 ;
+        RECT 1102.930000 -12.310000 1104.110000 -11.130000 ;
+        RECT 1102.930000 -13.910000 1104.110000 -12.730000 ;
+        RECT 1282.930000 -12.310000 1284.110000 -11.130000 ;
+        RECT 1282.930000 -13.910000 1284.110000 -12.730000 ;
+        RECT 1462.930000 -12.310000 1464.110000 -11.130000 ;
+        RECT 1462.930000 -13.910000 1464.110000 -12.730000 ;
+        RECT 1642.930000 -12.310000 1644.110000 -11.130000 ;
+        RECT 1642.930000 -13.910000 1644.110000 -12.730000 ;
+        RECT 1822.930000 -12.310000 1824.110000 -11.130000 ;
+        RECT 1822.930000 -13.910000 1824.110000 -12.730000 ;
+        RECT 2002.930000 -12.310000 2004.110000 -11.130000 ;
+        RECT 2002.930000 -13.910000 2004.110000 -12.730000 ;
+        RECT 2182.930000 -12.310000 2184.110000 -11.130000 ;
+        RECT 2182.930000 -13.910000 2184.110000 -12.730000 ;
+        RECT 2362.930000 -12.310000 2364.110000 -11.130000 ;
+        RECT 2362.930000 -13.910000 2364.110000 -12.730000 ;
+        RECT 2542.930000 -12.310000 2544.110000 -11.130000 ;
+        RECT 2542.930000 -13.910000 2544.110000 -12.730000 ;
+        RECT 2722.930000 -12.310000 2724.110000 -11.130000 ;
+        RECT 2722.930000 -13.910000 2724.110000 -12.730000 ;
+        RECT 2902.930000 -12.310000 2904.110000 -11.130000 ;
+        RECT 2902.930000 -13.910000 2904.110000 -12.730000 ;
+        RECT 2936.910000 -12.310000 2938.090000 -11.130000 ;
+        RECT 2936.910000 -13.910000 2938.090000 -12.730000 ;
       LAYER met5 ;
-        RECT -19.180000 3533.500000 -16.180000 3533.510000 ;
-        RECT 22.020000 3533.500000 25.020000 3533.510000 ;
-        RECT 202.020000 3533.500000 205.020000 3533.510000 ;
-        RECT 382.020000 3533.500000 385.020000 3533.510000 ;
-        RECT 562.020000 3533.500000 565.020000 3533.510000 ;
-        RECT 742.020000 3533.500000 745.020000 3533.510000 ;
-        RECT 922.020000 3533.500000 925.020000 3533.510000 ;
-        RECT 1102.020000 3533.500000 1105.020000 3533.510000 ;
-        RECT 1282.020000 3533.500000 1285.020000 3533.510000 ;
-        RECT 1462.020000 3533.500000 1465.020000 3533.510000 ;
-        RECT 1642.020000 3533.500000 1645.020000 3533.510000 ;
-        RECT 1822.020000 3533.500000 1825.020000 3533.510000 ;
-        RECT 2002.020000 3533.500000 2005.020000 3533.510000 ;
-        RECT 2182.020000 3533.500000 2185.020000 3533.510000 ;
-        RECT 2362.020000 3533.500000 2365.020000 3533.510000 ;
-        RECT 2542.020000 3533.500000 2545.020000 3533.510000 ;
-        RECT 2722.020000 3533.500000 2725.020000 3533.510000 ;
-        RECT 2902.020000 3533.500000 2905.020000 3533.510000 ;
-        RECT 2935.800000 3533.500000 2938.800000 3533.510000 ;
-        RECT -19.180000 3530.500000 2938.800000 3533.500000 ;
-        RECT -19.180000 3530.490000 -16.180000 3530.500000 ;
-        RECT 22.020000 3530.490000 25.020000 3530.500000 ;
-        RECT 202.020000 3530.490000 205.020000 3530.500000 ;
-        RECT 382.020000 3530.490000 385.020000 3530.500000 ;
-        RECT 562.020000 3530.490000 565.020000 3530.500000 ;
-        RECT 742.020000 3530.490000 745.020000 3530.500000 ;
-        RECT 922.020000 3530.490000 925.020000 3530.500000 ;
-        RECT 1102.020000 3530.490000 1105.020000 3530.500000 ;
-        RECT 1282.020000 3530.490000 1285.020000 3530.500000 ;
-        RECT 1462.020000 3530.490000 1465.020000 3530.500000 ;
-        RECT 1642.020000 3530.490000 1645.020000 3530.500000 ;
-        RECT 1822.020000 3530.490000 1825.020000 3530.500000 ;
-        RECT 2002.020000 3530.490000 2005.020000 3530.500000 ;
-        RECT 2182.020000 3530.490000 2185.020000 3530.500000 ;
-        RECT 2362.020000 3530.490000 2365.020000 3530.500000 ;
-        RECT 2542.020000 3530.490000 2545.020000 3530.500000 ;
-        RECT 2722.020000 3530.490000 2725.020000 3530.500000 ;
-        RECT 2902.020000 3530.490000 2905.020000 3530.500000 ;
-        RECT 2935.800000 3530.490000 2938.800000 3530.500000 ;
-        RECT -19.180000 3450.380000 -16.180000 3450.390000 ;
-        RECT 2935.800000 3450.380000 2938.800000 3450.390000 ;
-        RECT -23.780000 3447.380000 -0.400000 3450.380000 ;
-        RECT 2920.400000 3447.380000 2943.400000 3450.380000 ;
-        RECT -19.180000 3447.370000 -16.180000 3447.380000 ;
-        RECT 2935.800000 3447.370000 2938.800000 3447.380000 ;
-        RECT -19.180000 3270.380000 -16.180000 3270.390000 ;
-        RECT 2935.800000 3270.380000 2938.800000 3270.390000 ;
-        RECT -23.780000 3267.380000 -0.400000 3270.380000 ;
-        RECT 2920.400000 3267.380000 2943.400000 3270.380000 ;
-        RECT -19.180000 3267.370000 -16.180000 3267.380000 ;
-        RECT 2935.800000 3267.370000 2938.800000 3267.380000 ;
-        RECT -19.180000 3090.380000 -16.180000 3090.390000 ;
-        RECT 2935.800000 3090.380000 2938.800000 3090.390000 ;
-        RECT -23.780000 3087.380000 -0.400000 3090.380000 ;
-        RECT 2920.400000 3087.380000 2943.400000 3090.380000 ;
-        RECT -19.180000 3087.370000 -16.180000 3087.380000 ;
-        RECT 2935.800000 3087.370000 2938.800000 3087.380000 ;
-        RECT -19.180000 2910.380000 -16.180000 2910.390000 ;
-        RECT 2935.800000 2910.380000 2938.800000 2910.390000 ;
-        RECT -23.780000 2907.380000 -0.400000 2910.380000 ;
-        RECT 2920.400000 2907.380000 2943.400000 2910.380000 ;
-        RECT -19.180000 2907.370000 -16.180000 2907.380000 ;
-        RECT 2935.800000 2907.370000 2938.800000 2907.380000 ;
-        RECT -19.180000 2730.380000 -16.180000 2730.390000 ;
-        RECT 2935.800000 2730.380000 2938.800000 2730.390000 ;
-        RECT -23.780000 2727.380000 -0.400000 2730.380000 ;
-        RECT 2920.400000 2727.380000 2943.400000 2730.380000 ;
-        RECT -19.180000 2727.370000 -16.180000 2727.380000 ;
-        RECT 2935.800000 2727.370000 2938.800000 2727.380000 ;
-        RECT -19.180000 2550.380000 -16.180000 2550.390000 ;
-        RECT 2935.800000 2550.380000 2938.800000 2550.390000 ;
-        RECT -23.780000 2547.380000 -0.400000 2550.380000 ;
-        RECT 2920.400000 2547.380000 2943.400000 2550.380000 ;
-        RECT -19.180000 2547.370000 -16.180000 2547.380000 ;
-        RECT 2935.800000 2547.370000 2938.800000 2547.380000 ;
-        RECT -19.180000 2370.380000 -16.180000 2370.390000 ;
-        RECT 2935.800000 2370.380000 2938.800000 2370.390000 ;
-        RECT -23.780000 2367.380000 -0.400000 2370.380000 ;
-        RECT 2920.400000 2367.380000 2943.400000 2370.380000 ;
-        RECT -19.180000 2367.370000 -16.180000 2367.380000 ;
-        RECT 2935.800000 2367.370000 2938.800000 2367.380000 ;
-        RECT -19.180000 2190.380000 -16.180000 2190.390000 ;
-        RECT 2935.800000 2190.380000 2938.800000 2190.390000 ;
-        RECT -23.780000 2187.380000 -0.400000 2190.380000 ;
-        RECT 2920.400000 2187.380000 2943.400000 2190.380000 ;
-        RECT -19.180000 2187.370000 -16.180000 2187.380000 ;
-        RECT 2935.800000 2187.370000 2938.800000 2187.380000 ;
-        RECT -19.180000 2010.380000 -16.180000 2010.390000 ;
-        RECT 2935.800000 2010.380000 2938.800000 2010.390000 ;
-        RECT -23.780000 2007.380000 -0.400000 2010.380000 ;
-        RECT 2920.400000 2007.380000 2943.400000 2010.380000 ;
-        RECT -19.180000 2007.370000 -16.180000 2007.380000 ;
-        RECT 2935.800000 2007.370000 2938.800000 2007.380000 ;
-        RECT -19.180000 1830.380000 -16.180000 1830.390000 ;
-        RECT 2935.800000 1830.380000 2938.800000 1830.390000 ;
-        RECT -23.780000 1827.380000 -0.400000 1830.380000 ;
-        RECT 2920.400000 1827.380000 2943.400000 1830.380000 ;
-        RECT -19.180000 1827.370000 -16.180000 1827.380000 ;
-        RECT 2935.800000 1827.370000 2938.800000 1827.380000 ;
-        RECT -19.180000 1650.380000 -16.180000 1650.390000 ;
-        RECT 2935.800000 1650.380000 2938.800000 1650.390000 ;
-        RECT -23.780000 1647.380000 -0.400000 1650.380000 ;
-        RECT 2920.400000 1647.380000 2943.400000 1650.380000 ;
-        RECT -19.180000 1647.370000 -16.180000 1647.380000 ;
-        RECT 2935.800000 1647.370000 2938.800000 1647.380000 ;
-        RECT -19.180000 1470.380000 -16.180000 1470.390000 ;
-        RECT 2935.800000 1470.380000 2938.800000 1470.390000 ;
-        RECT -23.780000 1467.380000 -0.400000 1470.380000 ;
-        RECT 2920.400000 1467.380000 2943.400000 1470.380000 ;
-        RECT -19.180000 1467.370000 -16.180000 1467.380000 ;
-        RECT 2935.800000 1467.370000 2938.800000 1467.380000 ;
-        RECT -19.180000 1290.380000 -16.180000 1290.390000 ;
-        RECT 2935.800000 1290.380000 2938.800000 1290.390000 ;
-        RECT -23.780000 1287.380000 -0.400000 1290.380000 ;
-        RECT 2920.400000 1287.380000 2943.400000 1290.380000 ;
-        RECT -19.180000 1287.370000 -16.180000 1287.380000 ;
-        RECT 2935.800000 1287.370000 2938.800000 1287.380000 ;
-        RECT -19.180000 1110.380000 -16.180000 1110.390000 ;
-        RECT 2935.800000 1110.380000 2938.800000 1110.390000 ;
-        RECT -23.780000 1107.380000 -0.400000 1110.380000 ;
-        RECT 2920.400000 1107.380000 2943.400000 1110.380000 ;
-        RECT -19.180000 1107.370000 -16.180000 1107.380000 ;
-        RECT 2935.800000 1107.370000 2938.800000 1107.380000 ;
-        RECT -19.180000 930.380000 -16.180000 930.390000 ;
-        RECT 2935.800000 930.380000 2938.800000 930.390000 ;
-        RECT -23.780000 927.380000 -0.400000 930.380000 ;
-        RECT 2920.400000 927.380000 2943.400000 930.380000 ;
-        RECT -19.180000 927.370000 -16.180000 927.380000 ;
-        RECT 2935.800000 927.370000 2938.800000 927.380000 ;
-        RECT -19.180000 750.380000 -16.180000 750.390000 ;
-        RECT 2935.800000 750.380000 2938.800000 750.390000 ;
-        RECT -23.780000 747.380000 -0.400000 750.380000 ;
-        RECT 2920.400000 747.380000 2943.400000 750.380000 ;
-        RECT -19.180000 747.370000 -16.180000 747.380000 ;
-        RECT 2935.800000 747.370000 2938.800000 747.380000 ;
-        RECT -19.180000 570.380000 -16.180000 570.390000 ;
-        RECT 2935.800000 570.380000 2938.800000 570.390000 ;
-        RECT -23.780000 567.380000 -0.400000 570.380000 ;
-        RECT 2920.400000 567.380000 2943.400000 570.380000 ;
-        RECT -19.180000 567.370000 -16.180000 567.380000 ;
-        RECT 2935.800000 567.370000 2938.800000 567.380000 ;
-        RECT -19.180000 390.380000 -16.180000 390.390000 ;
-        RECT 2935.800000 390.380000 2938.800000 390.390000 ;
-        RECT -23.780000 387.380000 -0.400000 390.380000 ;
-        RECT 2920.400000 387.380000 2943.400000 390.380000 ;
-        RECT -19.180000 387.370000 -16.180000 387.380000 ;
-        RECT 2935.800000 387.370000 2938.800000 387.380000 ;
-        RECT -19.180000 210.380000 -16.180000 210.390000 ;
-        RECT 2935.800000 210.380000 2938.800000 210.390000 ;
-        RECT -23.780000 207.380000 -0.400000 210.380000 ;
-        RECT 2920.400000 207.380000 2943.400000 210.380000 ;
-        RECT -19.180000 207.370000 -16.180000 207.380000 ;
-        RECT 2935.800000 207.370000 2938.800000 207.380000 ;
-        RECT -19.180000 30.380000 -16.180000 30.390000 ;
-        RECT 2935.800000 30.380000 2938.800000 30.390000 ;
-        RECT -23.780000 27.380000 -0.400000 30.380000 ;
-        RECT 2920.400000 27.380000 2943.400000 30.380000 ;
-        RECT -19.180000 27.370000 -16.180000 27.380000 ;
-        RECT 2935.800000 27.370000 2938.800000 27.380000 ;
-        RECT -19.180000 -10.820000 -16.180000 -10.810000 ;
-        RECT 22.020000 -10.820000 25.020000 -10.810000 ;
-        RECT 202.020000 -10.820000 205.020000 -10.810000 ;
-        RECT 382.020000 -10.820000 385.020000 -10.810000 ;
-        RECT 562.020000 -10.820000 565.020000 -10.810000 ;
-        RECT 742.020000 -10.820000 745.020000 -10.810000 ;
-        RECT 922.020000 -10.820000 925.020000 -10.810000 ;
-        RECT 1102.020000 -10.820000 1105.020000 -10.810000 ;
-        RECT 1282.020000 -10.820000 1285.020000 -10.810000 ;
-        RECT 1462.020000 -10.820000 1465.020000 -10.810000 ;
-        RECT 1642.020000 -10.820000 1645.020000 -10.810000 ;
-        RECT 1822.020000 -10.820000 1825.020000 -10.810000 ;
-        RECT 2002.020000 -10.820000 2005.020000 -10.810000 ;
-        RECT 2182.020000 -10.820000 2185.020000 -10.810000 ;
-        RECT 2362.020000 -10.820000 2365.020000 -10.810000 ;
-        RECT 2542.020000 -10.820000 2545.020000 -10.810000 ;
-        RECT 2722.020000 -10.820000 2725.020000 -10.810000 ;
-        RECT 2902.020000 -10.820000 2905.020000 -10.810000 ;
-        RECT 2935.800000 -10.820000 2938.800000 -10.810000 ;
-        RECT -19.180000 -13.820000 2938.800000 -10.820000 ;
-        RECT -19.180000 -13.830000 -16.180000 -13.820000 ;
-        RECT 22.020000 -13.830000 25.020000 -13.820000 ;
-        RECT 202.020000 -13.830000 205.020000 -13.820000 ;
-        RECT 382.020000 -13.830000 385.020000 -13.820000 ;
-        RECT 562.020000 -13.830000 565.020000 -13.820000 ;
-        RECT 742.020000 -13.830000 745.020000 -13.820000 ;
-        RECT 922.020000 -13.830000 925.020000 -13.820000 ;
-        RECT 1102.020000 -13.830000 1105.020000 -13.820000 ;
-        RECT 1282.020000 -13.830000 1285.020000 -13.820000 ;
-        RECT 1462.020000 -13.830000 1465.020000 -13.820000 ;
-        RECT 1642.020000 -13.830000 1645.020000 -13.820000 ;
-        RECT 1822.020000 -13.830000 1825.020000 -13.820000 ;
-        RECT 2002.020000 -13.830000 2005.020000 -13.820000 ;
-        RECT 2182.020000 -13.830000 2185.020000 -13.820000 ;
-        RECT 2362.020000 -13.830000 2365.020000 -13.820000 ;
-        RECT 2542.020000 -13.830000 2545.020000 -13.820000 ;
-        RECT 2722.020000 -13.830000 2725.020000 -13.820000 ;
-        RECT 2902.020000 -13.830000 2905.020000 -13.820000 ;
-        RECT 2935.800000 -13.830000 2938.800000 -13.820000 ;
+        RECT -19.380000 3533.700000 -16.380000 3533.710000 ;
+        RECT 22.020000 3533.700000 25.020000 3533.710000 ;
+        RECT 202.020000 3533.700000 205.020000 3533.710000 ;
+        RECT 382.020000 3533.700000 385.020000 3533.710000 ;
+        RECT 562.020000 3533.700000 565.020000 3533.710000 ;
+        RECT 742.020000 3533.700000 745.020000 3533.710000 ;
+        RECT 922.020000 3533.700000 925.020000 3533.710000 ;
+        RECT 1102.020000 3533.700000 1105.020000 3533.710000 ;
+        RECT 1282.020000 3533.700000 1285.020000 3533.710000 ;
+        RECT 1462.020000 3533.700000 1465.020000 3533.710000 ;
+        RECT 1642.020000 3533.700000 1645.020000 3533.710000 ;
+        RECT 1822.020000 3533.700000 1825.020000 3533.710000 ;
+        RECT 2002.020000 3533.700000 2005.020000 3533.710000 ;
+        RECT 2182.020000 3533.700000 2185.020000 3533.710000 ;
+        RECT 2362.020000 3533.700000 2365.020000 3533.710000 ;
+        RECT 2542.020000 3533.700000 2545.020000 3533.710000 ;
+        RECT 2722.020000 3533.700000 2725.020000 3533.710000 ;
+        RECT 2902.020000 3533.700000 2905.020000 3533.710000 ;
+        RECT 2936.000000 3533.700000 2939.000000 3533.710000 ;
+        RECT -19.380000 3530.700000 2939.000000 3533.700000 ;
+        RECT -19.380000 3530.690000 -16.380000 3530.700000 ;
+        RECT 22.020000 3530.690000 25.020000 3530.700000 ;
+        RECT 202.020000 3530.690000 205.020000 3530.700000 ;
+        RECT 382.020000 3530.690000 385.020000 3530.700000 ;
+        RECT 562.020000 3530.690000 565.020000 3530.700000 ;
+        RECT 742.020000 3530.690000 745.020000 3530.700000 ;
+        RECT 922.020000 3530.690000 925.020000 3530.700000 ;
+        RECT 1102.020000 3530.690000 1105.020000 3530.700000 ;
+        RECT 1282.020000 3530.690000 1285.020000 3530.700000 ;
+        RECT 1462.020000 3530.690000 1465.020000 3530.700000 ;
+        RECT 1642.020000 3530.690000 1645.020000 3530.700000 ;
+        RECT 1822.020000 3530.690000 1825.020000 3530.700000 ;
+        RECT 2002.020000 3530.690000 2005.020000 3530.700000 ;
+        RECT 2182.020000 3530.690000 2185.020000 3530.700000 ;
+        RECT 2362.020000 3530.690000 2365.020000 3530.700000 ;
+        RECT 2542.020000 3530.690000 2545.020000 3530.700000 ;
+        RECT 2722.020000 3530.690000 2725.020000 3530.700000 ;
+        RECT 2902.020000 3530.690000 2905.020000 3530.700000 ;
+        RECT 2936.000000 3530.690000 2939.000000 3530.700000 ;
+        RECT -19.380000 3450.380000 -16.380000 3450.390000 ;
+        RECT 2936.000000 3450.380000 2939.000000 3450.390000 ;
+        RECT -24.080000 3447.380000 -0.400000 3450.380000 ;
+        RECT 2920.400000 3447.380000 2943.700000 3450.380000 ;
+        RECT -19.380000 3447.370000 -16.380000 3447.380000 ;
+        RECT 2936.000000 3447.370000 2939.000000 3447.380000 ;
+        RECT -19.380000 3270.380000 -16.380000 3270.390000 ;
+        RECT 2936.000000 3270.380000 2939.000000 3270.390000 ;
+        RECT -24.080000 3267.380000 -0.400000 3270.380000 ;
+        RECT 2920.400000 3267.380000 2943.700000 3270.380000 ;
+        RECT -19.380000 3267.370000 -16.380000 3267.380000 ;
+        RECT 2936.000000 3267.370000 2939.000000 3267.380000 ;
+        RECT -19.380000 3090.380000 -16.380000 3090.390000 ;
+        RECT 2936.000000 3090.380000 2939.000000 3090.390000 ;
+        RECT -24.080000 3087.380000 -0.400000 3090.380000 ;
+        RECT 2920.400000 3087.380000 2943.700000 3090.380000 ;
+        RECT -19.380000 3087.370000 -16.380000 3087.380000 ;
+        RECT 2936.000000 3087.370000 2939.000000 3087.380000 ;
+        RECT -19.380000 2910.380000 -16.380000 2910.390000 ;
+        RECT 2936.000000 2910.380000 2939.000000 2910.390000 ;
+        RECT -24.080000 2907.380000 -0.400000 2910.380000 ;
+        RECT 2920.400000 2907.380000 2943.700000 2910.380000 ;
+        RECT -19.380000 2907.370000 -16.380000 2907.380000 ;
+        RECT 2936.000000 2907.370000 2939.000000 2907.380000 ;
+        RECT -19.380000 2730.380000 -16.380000 2730.390000 ;
+        RECT 2936.000000 2730.380000 2939.000000 2730.390000 ;
+        RECT -24.080000 2727.380000 -0.400000 2730.380000 ;
+        RECT 2920.400000 2727.380000 2943.700000 2730.380000 ;
+        RECT -19.380000 2727.370000 -16.380000 2727.380000 ;
+        RECT 2936.000000 2727.370000 2939.000000 2727.380000 ;
+        RECT -19.380000 2550.380000 -16.380000 2550.390000 ;
+        RECT 2936.000000 2550.380000 2939.000000 2550.390000 ;
+        RECT -24.080000 2547.380000 -0.400000 2550.380000 ;
+        RECT 2920.400000 2547.380000 2943.700000 2550.380000 ;
+        RECT -19.380000 2547.370000 -16.380000 2547.380000 ;
+        RECT 2936.000000 2547.370000 2939.000000 2547.380000 ;
+        RECT -19.380000 2370.380000 -16.380000 2370.390000 ;
+        RECT 2936.000000 2370.380000 2939.000000 2370.390000 ;
+        RECT -24.080000 2367.380000 -0.400000 2370.380000 ;
+        RECT 2920.400000 2367.380000 2943.700000 2370.380000 ;
+        RECT -19.380000 2367.370000 -16.380000 2367.380000 ;
+        RECT 2936.000000 2367.370000 2939.000000 2367.380000 ;
+        RECT -19.380000 2190.380000 -16.380000 2190.390000 ;
+        RECT 2936.000000 2190.380000 2939.000000 2190.390000 ;
+        RECT -24.080000 2187.380000 -0.400000 2190.380000 ;
+        RECT 2920.400000 2187.380000 2943.700000 2190.380000 ;
+        RECT -19.380000 2187.370000 -16.380000 2187.380000 ;
+        RECT 2936.000000 2187.370000 2939.000000 2187.380000 ;
+        RECT -19.380000 2010.380000 -16.380000 2010.390000 ;
+        RECT 2936.000000 2010.380000 2939.000000 2010.390000 ;
+        RECT -24.080000 2007.380000 -0.400000 2010.380000 ;
+        RECT 2920.400000 2007.380000 2943.700000 2010.380000 ;
+        RECT -19.380000 2007.370000 -16.380000 2007.380000 ;
+        RECT 2936.000000 2007.370000 2939.000000 2007.380000 ;
+        RECT -19.380000 1830.380000 -16.380000 1830.390000 ;
+        RECT 2936.000000 1830.380000 2939.000000 1830.390000 ;
+        RECT -24.080000 1827.380000 -0.400000 1830.380000 ;
+        RECT 2920.400000 1827.380000 2943.700000 1830.380000 ;
+        RECT -19.380000 1827.370000 -16.380000 1827.380000 ;
+        RECT 2936.000000 1827.370000 2939.000000 1827.380000 ;
+        RECT -19.380000 1650.380000 -16.380000 1650.390000 ;
+        RECT 2936.000000 1650.380000 2939.000000 1650.390000 ;
+        RECT -24.080000 1647.380000 -0.400000 1650.380000 ;
+        RECT 2920.400000 1647.380000 2943.700000 1650.380000 ;
+        RECT -19.380000 1647.370000 -16.380000 1647.380000 ;
+        RECT 2936.000000 1647.370000 2939.000000 1647.380000 ;
+        RECT -19.380000 1470.380000 -16.380000 1470.390000 ;
+        RECT 2936.000000 1470.380000 2939.000000 1470.390000 ;
+        RECT -24.080000 1467.380000 -0.400000 1470.380000 ;
+        RECT 2920.400000 1467.380000 2943.700000 1470.380000 ;
+        RECT -19.380000 1467.370000 -16.380000 1467.380000 ;
+        RECT 2936.000000 1467.370000 2939.000000 1467.380000 ;
+        RECT -19.380000 1290.380000 -16.380000 1290.390000 ;
+        RECT 2936.000000 1290.380000 2939.000000 1290.390000 ;
+        RECT -24.080000 1287.380000 -0.400000 1290.380000 ;
+        RECT 2920.400000 1287.380000 2943.700000 1290.380000 ;
+        RECT -19.380000 1287.370000 -16.380000 1287.380000 ;
+        RECT 2936.000000 1287.370000 2939.000000 1287.380000 ;
+        RECT -19.380000 1110.380000 -16.380000 1110.390000 ;
+        RECT 2936.000000 1110.380000 2939.000000 1110.390000 ;
+        RECT -24.080000 1107.380000 -0.400000 1110.380000 ;
+        RECT 2920.400000 1107.380000 2943.700000 1110.380000 ;
+        RECT -19.380000 1107.370000 -16.380000 1107.380000 ;
+        RECT 2936.000000 1107.370000 2939.000000 1107.380000 ;
+        RECT -19.380000 930.380000 -16.380000 930.390000 ;
+        RECT 2936.000000 930.380000 2939.000000 930.390000 ;
+        RECT -24.080000 927.380000 -0.400000 930.380000 ;
+        RECT 2920.400000 927.380000 2943.700000 930.380000 ;
+        RECT -19.380000 927.370000 -16.380000 927.380000 ;
+        RECT 2936.000000 927.370000 2939.000000 927.380000 ;
+        RECT -19.380000 750.380000 -16.380000 750.390000 ;
+        RECT 2936.000000 750.380000 2939.000000 750.390000 ;
+        RECT -24.080000 747.380000 -0.400000 750.380000 ;
+        RECT 2920.400000 747.380000 2943.700000 750.380000 ;
+        RECT -19.380000 747.370000 -16.380000 747.380000 ;
+        RECT 2936.000000 747.370000 2939.000000 747.380000 ;
+        RECT -19.380000 570.380000 -16.380000 570.390000 ;
+        RECT 2936.000000 570.380000 2939.000000 570.390000 ;
+        RECT -24.080000 567.380000 -0.400000 570.380000 ;
+        RECT 2920.400000 567.380000 2943.700000 570.380000 ;
+        RECT -19.380000 567.370000 -16.380000 567.380000 ;
+        RECT 2936.000000 567.370000 2939.000000 567.380000 ;
+        RECT -19.380000 390.380000 -16.380000 390.390000 ;
+        RECT 2936.000000 390.380000 2939.000000 390.390000 ;
+        RECT -24.080000 387.380000 -0.400000 390.380000 ;
+        RECT 2920.400000 387.380000 2943.700000 390.380000 ;
+        RECT -19.380000 387.370000 -16.380000 387.380000 ;
+        RECT 2936.000000 387.370000 2939.000000 387.380000 ;
+        RECT -19.380000 210.380000 -16.380000 210.390000 ;
+        RECT 2936.000000 210.380000 2939.000000 210.390000 ;
+        RECT -24.080000 207.380000 -0.400000 210.380000 ;
+        RECT 2920.400000 207.380000 2943.700000 210.380000 ;
+        RECT -19.380000 207.370000 -16.380000 207.380000 ;
+        RECT 2936.000000 207.370000 2939.000000 207.380000 ;
+        RECT -19.380000 30.380000 -16.380000 30.390000 ;
+        RECT 2936.000000 30.380000 2939.000000 30.390000 ;
+        RECT -24.080000 27.380000 -0.400000 30.380000 ;
+        RECT 2920.400000 27.380000 2943.700000 30.380000 ;
+        RECT -19.380000 27.370000 -16.380000 27.380000 ;
+        RECT 2936.000000 27.370000 2939.000000 27.380000 ;
+        RECT -19.380000 -11.020000 -16.380000 -11.010000 ;
+        RECT 22.020000 -11.020000 25.020000 -11.010000 ;
+        RECT 202.020000 -11.020000 205.020000 -11.010000 ;
+        RECT 382.020000 -11.020000 385.020000 -11.010000 ;
+        RECT 562.020000 -11.020000 565.020000 -11.010000 ;
+        RECT 742.020000 -11.020000 745.020000 -11.010000 ;
+        RECT 922.020000 -11.020000 925.020000 -11.010000 ;
+        RECT 1102.020000 -11.020000 1105.020000 -11.010000 ;
+        RECT 1282.020000 -11.020000 1285.020000 -11.010000 ;
+        RECT 1462.020000 -11.020000 1465.020000 -11.010000 ;
+        RECT 1642.020000 -11.020000 1645.020000 -11.010000 ;
+        RECT 1822.020000 -11.020000 1825.020000 -11.010000 ;
+        RECT 2002.020000 -11.020000 2005.020000 -11.010000 ;
+        RECT 2182.020000 -11.020000 2185.020000 -11.010000 ;
+        RECT 2362.020000 -11.020000 2365.020000 -11.010000 ;
+        RECT 2542.020000 -11.020000 2545.020000 -11.010000 ;
+        RECT 2722.020000 -11.020000 2725.020000 -11.010000 ;
+        RECT 2902.020000 -11.020000 2905.020000 -11.010000 ;
+        RECT 2936.000000 -11.020000 2939.000000 -11.010000 ;
+        RECT -19.380000 -14.020000 2939.000000 -11.020000 ;
+        RECT -19.380000 -14.030000 -16.380000 -14.020000 ;
+        RECT 22.020000 -14.030000 25.020000 -14.020000 ;
+        RECT 202.020000 -14.030000 205.020000 -14.020000 ;
+        RECT 382.020000 -14.030000 385.020000 -14.020000 ;
+        RECT 562.020000 -14.030000 565.020000 -14.020000 ;
+        RECT 742.020000 -14.030000 745.020000 -14.020000 ;
+        RECT 922.020000 -14.030000 925.020000 -14.020000 ;
+        RECT 1102.020000 -14.030000 1105.020000 -14.020000 ;
+        RECT 1282.020000 -14.030000 1285.020000 -14.020000 ;
+        RECT 1462.020000 -14.030000 1465.020000 -14.020000 ;
+        RECT 1642.020000 -14.030000 1645.020000 -14.020000 ;
+        RECT 1822.020000 -14.030000 1825.020000 -14.020000 ;
+        RECT 2002.020000 -14.030000 2005.020000 -14.020000 ;
+        RECT 2182.020000 -14.030000 2185.020000 -14.020000 ;
+        RECT 2362.020000 -14.030000 2365.020000 -14.020000 ;
+        RECT 2542.020000 -14.030000 2545.020000 -14.020000 ;
+        RECT 2722.020000 -14.030000 2725.020000 -14.020000 ;
+        RECT 2902.020000 -14.030000 2905.020000 -14.020000 ;
+        RECT 2936.000000 -14.030000 2939.000000 -14.020000 ;
     END
   END vccd2
   PIN vssd2
     DIRECTION INPUT ;
     PORT
       LAYER met4 ;
-        RECT -23.780000 -18.420000 -20.780000 3538.100000 ;
-        RECT 112.020000 3520.400000 115.020000 3538.100000 ;
-        RECT 292.020000 3520.400000 295.020000 3538.100000 ;
-        RECT 472.020000 3520.400000 475.020000 3538.100000 ;
-        RECT 652.020000 3520.400000 655.020000 3538.100000 ;
-        RECT 832.020000 3520.400000 835.020000 3538.100000 ;
-        RECT 1012.020000 3520.400000 1015.020000 3538.100000 ;
-        RECT 1192.020000 3520.400000 1195.020000 3538.100000 ;
-        RECT 1372.020000 3520.400000 1375.020000 3538.100000 ;
-        RECT 1552.020000 3520.400000 1555.020000 3538.100000 ;
-        RECT 1732.020000 3520.400000 1735.020000 3538.100000 ;
-        RECT 1912.020000 3520.400000 1915.020000 3538.100000 ;
-        RECT 2092.020000 3520.400000 2095.020000 3538.100000 ;
-        RECT 2272.020000 3520.400000 2275.020000 3538.100000 ;
-        RECT 2452.020000 3520.400000 2455.020000 3538.100000 ;
-        RECT 2632.020000 3520.400000 2635.020000 3538.100000 ;
-        RECT 2812.020000 3520.400000 2815.020000 3538.100000 ;
-        RECT 112.020000 -18.420000 115.020000 -0.400000 ;
-        RECT 292.020000 -18.420000 295.020000 -0.400000 ;
-        RECT 472.020000 -18.420000 475.020000 -0.400000 ;
-        RECT 652.020000 -18.420000 655.020000 -0.400000 ;
-        RECT 832.020000 -18.420000 835.020000 -0.400000 ;
-        RECT 1012.020000 -18.420000 1015.020000 -0.400000 ;
-        RECT 1192.020000 -18.420000 1195.020000 -0.400000 ;
-        RECT 1372.020000 -18.420000 1375.020000 -0.400000 ;
-        RECT 1552.020000 -18.420000 1555.020000 -0.400000 ;
-        RECT 1732.020000 -18.420000 1735.020000 -0.400000 ;
-        RECT 1912.020000 -18.420000 1915.020000 -0.400000 ;
-        RECT 2092.020000 -18.420000 2095.020000 -0.400000 ;
-        RECT 2272.020000 -18.420000 2275.020000 -0.400000 ;
-        RECT 2452.020000 -18.420000 2455.020000 -0.400000 ;
-        RECT 2632.020000 -18.420000 2635.020000 -0.400000 ;
-        RECT 2812.020000 -18.420000 2815.020000 -0.400000 ;
-        RECT 2940.400000 -18.420000 2943.400000 3538.100000 ;
+        RECT -24.080000 -18.720000 -21.080000 3538.400000 ;
+        RECT 112.020000 3520.400000 115.020000 3538.400000 ;
+        RECT 292.020000 3520.400000 295.020000 3538.400000 ;
+        RECT 472.020000 3520.400000 475.020000 3538.400000 ;
+        RECT 652.020000 3520.400000 655.020000 3538.400000 ;
+        RECT 832.020000 3520.400000 835.020000 3538.400000 ;
+        RECT 1012.020000 3520.400000 1015.020000 3538.400000 ;
+        RECT 1192.020000 3520.400000 1195.020000 3538.400000 ;
+        RECT 1372.020000 3520.400000 1375.020000 3538.400000 ;
+        RECT 1552.020000 3520.400000 1555.020000 3538.400000 ;
+        RECT 1732.020000 3520.400000 1735.020000 3538.400000 ;
+        RECT 1912.020000 3520.400000 1915.020000 3538.400000 ;
+        RECT 2092.020000 3520.400000 2095.020000 3538.400000 ;
+        RECT 2272.020000 3520.400000 2275.020000 3538.400000 ;
+        RECT 2452.020000 3520.400000 2455.020000 3538.400000 ;
+        RECT 2632.020000 3520.400000 2635.020000 3538.400000 ;
+        RECT 2812.020000 3520.400000 2815.020000 3538.400000 ;
+        RECT 112.020000 -18.720000 115.020000 -0.400000 ;
+        RECT 292.020000 -18.720000 295.020000 -0.400000 ;
+        RECT 472.020000 -18.720000 475.020000 -0.400000 ;
+        RECT 652.020000 -18.720000 655.020000 -0.400000 ;
+        RECT 832.020000 -18.720000 835.020000 -0.400000 ;
+        RECT 1012.020000 -18.720000 1015.020000 -0.400000 ;
+        RECT 1192.020000 -18.720000 1195.020000 -0.400000 ;
+        RECT 1372.020000 -18.720000 1375.020000 -0.400000 ;
+        RECT 1552.020000 -18.720000 1555.020000 -0.400000 ;
+        RECT 1732.020000 -18.720000 1735.020000 -0.400000 ;
+        RECT 1912.020000 -18.720000 1915.020000 -0.400000 ;
+        RECT 2092.020000 -18.720000 2095.020000 -0.400000 ;
+        RECT 2272.020000 -18.720000 2275.020000 -0.400000 ;
+        RECT 2452.020000 -18.720000 2455.020000 -0.400000 ;
+        RECT 2632.020000 -18.720000 2635.020000 -0.400000 ;
+        RECT 2812.020000 -18.720000 2815.020000 -0.400000 ;
+        RECT 2940.700000 -18.720000 2943.700000 3538.400000 ;
       LAYER M4M5_PR_C ;
-        RECT -22.870000 3536.810000 -21.690000 3537.990000 ;
-        RECT -22.870000 3535.210000 -21.690000 3536.390000 ;
-        RECT 112.930000 3536.810000 114.110000 3537.990000 ;
-        RECT 112.930000 3535.210000 114.110000 3536.390000 ;
-        RECT 292.930000 3536.810000 294.110000 3537.990000 ;
-        RECT 292.930000 3535.210000 294.110000 3536.390000 ;
-        RECT 472.930000 3536.810000 474.110000 3537.990000 ;
-        RECT 472.930000 3535.210000 474.110000 3536.390000 ;
-        RECT 652.930000 3536.810000 654.110000 3537.990000 ;
-        RECT 652.930000 3535.210000 654.110000 3536.390000 ;
-        RECT 832.930000 3536.810000 834.110000 3537.990000 ;
-        RECT 832.930000 3535.210000 834.110000 3536.390000 ;
-        RECT 1012.930000 3536.810000 1014.110000 3537.990000 ;
-        RECT 1012.930000 3535.210000 1014.110000 3536.390000 ;
-        RECT 1192.930000 3536.810000 1194.110000 3537.990000 ;
-        RECT 1192.930000 3535.210000 1194.110000 3536.390000 ;
-        RECT 1372.930000 3536.810000 1374.110000 3537.990000 ;
-        RECT 1372.930000 3535.210000 1374.110000 3536.390000 ;
-        RECT 1552.930000 3536.810000 1554.110000 3537.990000 ;
-        RECT 1552.930000 3535.210000 1554.110000 3536.390000 ;
-        RECT 1732.930000 3536.810000 1734.110000 3537.990000 ;
-        RECT 1732.930000 3535.210000 1734.110000 3536.390000 ;
-        RECT 1912.930000 3536.810000 1914.110000 3537.990000 ;
-        RECT 1912.930000 3535.210000 1914.110000 3536.390000 ;
-        RECT 2092.930000 3536.810000 2094.110000 3537.990000 ;
-        RECT 2092.930000 3535.210000 2094.110000 3536.390000 ;
-        RECT 2272.930000 3536.810000 2274.110000 3537.990000 ;
-        RECT 2272.930000 3535.210000 2274.110000 3536.390000 ;
-        RECT 2452.930000 3536.810000 2454.110000 3537.990000 ;
-        RECT 2452.930000 3535.210000 2454.110000 3536.390000 ;
-        RECT 2632.930000 3536.810000 2634.110000 3537.990000 ;
-        RECT 2632.930000 3535.210000 2634.110000 3536.390000 ;
-        RECT 2812.930000 3536.810000 2814.110000 3537.990000 ;
-        RECT 2812.930000 3535.210000 2814.110000 3536.390000 ;
-        RECT 2941.310000 3536.810000 2942.490000 3537.990000 ;
-        RECT 2941.310000 3535.210000 2942.490000 3536.390000 ;
-        RECT -22.870000 3359.090000 -21.690000 3360.270000 ;
-        RECT -22.870000 3357.490000 -21.690000 3358.670000 ;
-        RECT -22.870000 3179.090000 -21.690000 3180.270000 ;
-        RECT -22.870000 3177.490000 -21.690000 3178.670000 ;
-        RECT -22.870000 2999.090000 -21.690000 3000.270000 ;
-        RECT -22.870000 2997.490000 -21.690000 2998.670000 ;
-        RECT -22.870000 2819.090000 -21.690000 2820.270000 ;
-        RECT -22.870000 2817.490000 -21.690000 2818.670000 ;
-        RECT -22.870000 2639.090000 -21.690000 2640.270000 ;
-        RECT -22.870000 2637.490000 -21.690000 2638.670000 ;
-        RECT -22.870000 2459.090000 -21.690000 2460.270000 ;
-        RECT -22.870000 2457.490000 -21.690000 2458.670000 ;
-        RECT -22.870000 2279.090000 -21.690000 2280.270000 ;
-        RECT -22.870000 2277.490000 -21.690000 2278.670000 ;
-        RECT -22.870000 2099.090000 -21.690000 2100.270000 ;
-        RECT -22.870000 2097.490000 -21.690000 2098.670000 ;
-        RECT -22.870000 1919.090000 -21.690000 1920.270000 ;
-        RECT -22.870000 1917.490000 -21.690000 1918.670000 ;
-        RECT -22.870000 1739.090000 -21.690000 1740.270000 ;
-        RECT -22.870000 1737.490000 -21.690000 1738.670000 ;
-        RECT -22.870000 1559.090000 -21.690000 1560.270000 ;
-        RECT -22.870000 1557.490000 -21.690000 1558.670000 ;
-        RECT -22.870000 1379.090000 -21.690000 1380.270000 ;
-        RECT -22.870000 1377.490000 -21.690000 1378.670000 ;
-        RECT -22.870000 1199.090000 -21.690000 1200.270000 ;
-        RECT -22.870000 1197.490000 -21.690000 1198.670000 ;
-        RECT -22.870000 1019.090000 -21.690000 1020.270000 ;
-        RECT -22.870000 1017.490000 -21.690000 1018.670000 ;
-        RECT -22.870000 839.090000 -21.690000 840.270000 ;
-        RECT -22.870000 837.490000 -21.690000 838.670000 ;
-        RECT -22.870000 659.090000 -21.690000 660.270000 ;
-        RECT -22.870000 657.490000 -21.690000 658.670000 ;
-        RECT -22.870000 479.090000 -21.690000 480.270000 ;
-        RECT -22.870000 477.490000 -21.690000 478.670000 ;
-        RECT -22.870000 299.090000 -21.690000 300.270000 ;
-        RECT -22.870000 297.490000 -21.690000 298.670000 ;
-        RECT -22.870000 119.090000 -21.690000 120.270000 ;
-        RECT -22.870000 117.490000 -21.690000 118.670000 ;
-        RECT 2941.310000 3359.090000 2942.490000 3360.270000 ;
-        RECT 2941.310000 3357.490000 2942.490000 3358.670000 ;
-        RECT 2941.310000 3179.090000 2942.490000 3180.270000 ;
-        RECT 2941.310000 3177.490000 2942.490000 3178.670000 ;
-        RECT 2941.310000 2999.090000 2942.490000 3000.270000 ;
-        RECT 2941.310000 2997.490000 2942.490000 2998.670000 ;
-        RECT 2941.310000 2819.090000 2942.490000 2820.270000 ;
-        RECT 2941.310000 2817.490000 2942.490000 2818.670000 ;
-        RECT 2941.310000 2639.090000 2942.490000 2640.270000 ;
-        RECT 2941.310000 2637.490000 2942.490000 2638.670000 ;
-        RECT 2941.310000 2459.090000 2942.490000 2460.270000 ;
-        RECT 2941.310000 2457.490000 2942.490000 2458.670000 ;
-        RECT 2941.310000 2279.090000 2942.490000 2280.270000 ;
-        RECT 2941.310000 2277.490000 2942.490000 2278.670000 ;
-        RECT 2941.310000 2099.090000 2942.490000 2100.270000 ;
-        RECT 2941.310000 2097.490000 2942.490000 2098.670000 ;
-        RECT 2941.310000 1919.090000 2942.490000 1920.270000 ;
-        RECT 2941.310000 1917.490000 2942.490000 1918.670000 ;
-        RECT 2941.310000 1739.090000 2942.490000 1740.270000 ;
-        RECT 2941.310000 1737.490000 2942.490000 1738.670000 ;
-        RECT 2941.310000 1559.090000 2942.490000 1560.270000 ;
-        RECT 2941.310000 1557.490000 2942.490000 1558.670000 ;
-        RECT 2941.310000 1379.090000 2942.490000 1380.270000 ;
-        RECT 2941.310000 1377.490000 2942.490000 1378.670000 ;
-        RECT 2941.310000 1199.090000 2942.490000 1200.270000 ;
-        RECT 2941.310000 1197.490000 2942.490000 1198.670000 ;
-        RECT 2941.310000 1019.090000 2942.490000 1020.270000 ;
-        RECT 2941.310000 1017.490000 2942.490000 1018.670000 ;
-        RECT 2941.310000 839.090000 2942.490000 840.270000 ;
-        RECT 2941.310000 837.490000 2942.490000 838.670000 ;
-        RECT 2941.310000 659.090000 2942.490000 660.270000 ;
-        RECT 2941.310000 657.490000 2942.490000 658.670000 ;
-        RECT 2941.310000 479.090000 2942.490000 480.270000 ;
-        RECT 2941.310000 477.490000 2942.490000 478.670000 ;
-        RECT 2941.310000 299.090000 2942.490000 300.270000 ;
-        RECT 2941.310000 297.490000 2942.490000 298.670000 ;
-        RECT 2941.310000 119.090000 2942.490000 120.270000 ;
-        RECT 2941.310000 117.490000 2942.490000 118.670000 ;
-        RECT -22.870000 -16.710000 -21.690000 -15.530000 ;
-        RECT -22.870000 -18.310000 -21.690000 -17.130000 ;
-        RECT 112.930000 -16.710000 114.110000 -15.530000 ;
-        RECT 112.930000 -18.310000 114.110000 -17.130000 ;
-        RECT 292.930000 -16.710000 294.110000 -15.530000 ;
-        RECT 292.930000 -18.310000 294.110000 -17.130000 ;
-        RECT 472.930000 -16.710000 474.110000 -15.530000 ;
-        RECT 472.930000 -18.310000 474.110000 -17.130000 ;
-        RECT 652.930000 -16.710000 654.110000 -15.530000 ;
-        RECT 652.930000 -18.310000 654.110000 -17.130000 ;
-        RECT 832.930000 -16.710000 834.110000 -15.530000 ;
-        RECT 832.930000 -18.310000 834.110000 -17.130000 ;
-        RECT 1012.930000 -16.710000 1014.110000 -15.530000 ;
-        RECT 1012.930000 -18.310000 1014.110000 -17.130000 ;
-        RECT 1192.930000 -16.710000 1194.110000 -15.530000 ;
-        RECT 1192.930000 -18.310000 1194.110000 -17.130000 ;
-        RECT 1372.930000 -16.710000 1374.110000 -15.530000 ;
-        RECT 1372.930000 -18.310000 1374.110000 -17.130000 ;
-        RECT 1552.930000 -16.710000 1554.110000 -15.530000 ;
-        RECT 1552.930000 -18.310000 1554.110000 -17.130000 ;
-        RECT 1732.930000 -16.710000 1734.110000 -15.530000 ;
-        RECT 1732.930000 -18.310000 1734.110000 -17.130000 ;
-        RECT 1912.930000 -16.710000 1914.110000 -15.530000 ;
-        RECT 1912.930000 -18.310000 1914.110000 -17.130000 ;
-        RECT 2092.930000 -16.710000 2094.110000 -15.530000 ;
-        RECT 2092.930000 -18.310000 2094.110000 -17.130000 ;
-        RECT 2272.930000 -16.710000 2274.110000 -15.530000 ;
-        RECT 2272.930000 -18.310000 2274.110000 -17.130000 ;
-        RECT 2452.930000 -16.710000 2454.110000 -15.530000 ;
-        RECT 2452.930000 -18.310000 2454.110000 -17.130000 ;
-        RECT 2632.930000 -16.710000 2634.110000 -15.530000 ;
-        RECT 2632.930000 -18.310000 2634.110000 -17.130000 ;
-        RECT 2812.930000 -16.710000 2814.110000 -15.530000 ;
-        RECT 2812.930000 -18.310000 2814.110000 -17.130000 ;
-        RECT 2941.310000 -16.710000 2942.490000 -15.530000 ;
-        RECT 2941.310000 -18.310000 2942.490000 -17.130000 ;
+        RECT -23.170000 3537.110000 -21.990000 3538.290000 ;
+        RECT -23.170000 3535.510000 -21.990000 3536.690000 ;
+        RECT 112.930000 3537.110000 114.110000 3538.290000 ;
+        RECT 112.930000 3535.510000 114.110000 3536.690000 ;
+        RECT 292.930000 3537.110000 294.110000 3538.290000 ;
+        RECT 292.930000 3535.510000 294.110000 3536.690000 ;
+        RECT 472.930000 3537.110000 474.110000 3538.290000 ;
+        RECT 472.930000 3535.510000 474.110000 3536.690000 ;
+        RECT 652.930000 3537.110000 654.110000 3538.290000 ;
+        RECT 652.930000 3535.510000 654.110000 3536.690000 ;
+        RECT 832.930000 3537.110000 834.110000 3538.290000 ;
+        RECT 832.930000 3535.510000 834.110000 3536.690000 ;
+        RECT 1012.930000 3537.110000 1014.110000 3538.290000 ;
+        RECT 1012.930000 3535.510000 1014.110000 3536.690000 ;
+        RECT 1192.930000 3537.110000 1194.110000 3538.290000 ;
+        RECT 1192.930000 3535.510000 1194.110000 3536.690000 ;
+        RECT 1372.930000 3537.110000 1374.110000 3538.290000 ;
+        RECT 1372.930000 3535.510000 1374.110000 3536.690000 ;
+        RECT 1552.930000 3537.110000 1554.110000 3538.290000 ;
+        RECT 1552.930000 3535.510000 1554.110000 3536.690000 ;
+        RECT 1732.930000 3537.110000 1734.110000 3538.290000 ;
+        RECT 1732.930000 3535.510000 1734.110000 3536.690000 ;
+        RECT 1912.930000 3537.110000 1914.110000 3538.290000 ;
+        RECT 1912.930000 3535.510000 1914.110000 3536.690000 ;
+        RECT 2092.930000 3537.110000 2094.110000 3538.290000 ;
+        RECT 2092.930000 3535.510000 2094.110000 3536.690000 ;
+        RECT 2272.930000 3537.110000 2274.110000 3538.290000 ;
+        RECT 2272.930000 3535.510000 2274.110000 3536.690000 ;
+        RECT 2452.930000 3537.110000 2454.110000 3538.290000 ;
+        RECT 2452.930000 3535.510000 2454.110000 3536.690000 ;
+        RECT 2632.930000 3537.110000 2634.110000 3538.290000 ;
+        RECT 2632.930000 3535.510000 2634.110000 3536.690000 ;
+        RECT 2812.930000 3537.110000 2814.110000 3538.290000 ;
+        RECT 2812.930000 3535.510000 2814.110000 3536.690000 ;
+        RECT 2941.610000 3537.110000 2942.790000 3538.290000 ;
+        RECT 2941.610000 3535.510000 2942.790000 3536.690000 ;
+        RECT -23.170000 3359.090000 -21.990000 3360.270000 ;
+        RECT -23.170000 3357.490000 -21.990000 3358.670000 ;
+        RECT -23.170000 3179.090000 -21.990000 3180.270000 ;
+        RECT -23.170000 3177.490000 -21.990000 3178.670000 ;
+        RECT -23.170000 2999.090000 -21.990000 3000.270000 ;
+        RECT -23.170000 2997.490000 -21.990000 2998.670000 ;
+        RECT -23.170000 2819.090000 -21.990000 2820.270000 ;
+        RECT -23.170000 2817.490000 -21.990000 2818.670000 ;
+        RECT -23.170000 2639.090000 -21.990000 2640.270000 ;
+        RECT -23.170000 2637.490000 -21.990000 2638.670000 ;
+        RECT -23.170000 2459.090000 -21.990000 2460.270000 ;
+        RECT -23.170000 2457.490000 -21.990000 2458.670000 ;
+        RECT -23.170000 2279.090000 -21.990000 2280.270000 ;
+        RECT -23.170000 2277.490000 -21.990000 2278.670000 ;
+        RECT -23.170000 2099.090000 -21.990000 2100.270000 ;
+        RECT -23.170000 2097.490000 -21.990000 2098.670000 ;
+        RECT -23.170000 1919.090000 -21.990000 1920.270000 ;
+        RECT -23.170000 1917.490000 -21.990000 1918.670000 ;
+        RECT -23.170000 1739.090000 -21.990000 1740.270000 ;
+        RECT -23.170000 1737.490000 -21.990000 1738.670000 ;
+        RECT -23.170000 1559.090000 -21.990000 1560.270000 ;
+        RECT -23.170000 1557.490000 -21.990000 1558.670000 ;
+        RECT -23.170000 1379.090000 -21.990000 1380.270000 ;
+        RECT -23.170000 1377.490000 -21.990000 1378.670000 ;
+        RECT -23.170000 1199.090000 -21.990000 1200.270000 ;
+        RECT -23.170000 1197.490000 -21.990000 1198.670000 ;
+        RECT -23.170000 1019.090000 -21.990000 1020.270000 ;
+        RECT -23.170000 1017.490000 -21.990000 1018.670000 ;
+        RECT -23.170000 839.090000 -21.990000 840.270000 ;
+        RECT -23.170000 837.490000 -21.990000 838.670000 ;
+        RECT -23.170000 659.090000 -21.990000 660.270000 ;
+        RECT -23.170000 657.490000 -21.990000 658.670000 ;
+        RECT -23.170000 479.090000 -21.990000 480.270000 ;
+        RECT -23.170000 477.490000 -21.990000 478.670000 ;
+        RECT -23.170000 299.090000 -21.990000 300.270000 ;
+        RECT -23.170000 297.490000 -21.990000 298.670000 ;
+        RECT -23.170000 119.090000 -21.990000 120.270000 ;
+        RECT -23.170000 117.490000 -21.990000 118.670000 ;
+        RECT 2941.610000 3359.090000 2942.790000 3360.270000 ;
+        RECT 2941.610000 3357.490000 2942.790000 3358.670000 ;
+        RECT 2941.610000 3179.090000 2942.790000 3180.270000 ;
+        RECT 2941.610000 3177.490000 2942.790000 3178.670000 ;
+        RECT 2941.610000 2999.090000 2942.790000 3000.270000 ;
+        RECT 2941.610000 2997.490000 2942.790000 2998.670000 ;
+        RECT 2941.610000 2819.090000 2942.790000 2820.270000 ;
+        RECT 2941.610000 2817.490000 2942.790000 2818.670000 ;
+        RECT 2941.610000 2639.090000 2942.790000 2640.270000 ;
+        RECT 2941.610000 2637.490000 2942.790000 2638.670000 ;
+        RECT 2941.610000 2459.090000 2942.790000 2460.270000 ;
+        RECT 2941.610000 2457.490000 2942.790000 2458.670000 ;
+        RECT 2941.610000 2279.090000 2942.790000 2280.270000 ;
+        RECT 2941.610000 2277.490000 2942.790000 2278.670000 ;
+        RECT 2941.610000 2099.090000 2942.790000 2100.270000 ;
+        RECT 2941.610000 2097.490000 2942.790000 2098.670000 ;
+        RECT 2941.610000 1919.090000 2942.790000 1920.270000 ;
+        RECT 2941.610000 1917.490000 2942.790000 1918.670000 ;
+        RECT 2941.610000 1739.090000 2942.790000 1740.270000 ;
+        RECT 2941.610000 1737.490000 2942.790000 1738.670000 ;
+        RECT 2941.610000 1559.090000 2942.790000 1560.270000 ;
+        RECT 2941.610000 1557.490000 2942.790000 1558.670000 ;
+        RECT 2941.610000 1379.090000 2942.790000 1380.270000 ;
+        RECT 2941.610000 1377.490000 2942.790000 1378.670000 ;
+        RECT 2941.610000 1199.090000 2942.790000 1200.270000 ;
+        RECT 2941.610000 1197.490000 2942.790000 1198.670000 ;
+        RECT 2941.610000 1019.090000 2942.790000 1020.270000 ;
+        RECT 2941.610000 1017.490000 2942.790000 1018.670000 ;
+        RECT 2941.610000 839.090000 2942.790000 840.270000 ;
+        RECT 2941.610000 837.490000 2942.790000 838.670000 ;
+        RECT 2941.610000 659.090000 2942.790000 660.270000 ;
+        RECT 2941.610000 657.490000 2942.790000 658.670000 ;
+        RECT 2941.610000 479.090000 2942.790000 480.270000 ;
+        RECT 2941.610000 477.490000 2942.790000 478.670000 ;
+        RECT 2941.610000 299.090000 2942.790000 300.270000 ;
+        RECT 2941.610000 297.490000 2942.790000 298.670000 ;
+        RECT 2941.610000 119.090000 2942.790000 120.270000 ;
+        RECT 2941.610000 117.490000 2942.790000 118.670000 ;
+        RECT -23.170000 -17.010000 -21.990000 -15.830000 ;
+        RECT -23.170000 -18.610000 -21.990000 -17.430000 ;
+        RECT 112.930000 -17.010000 114.110000 -15.830000 ;
+        RECT 112.930000 -18.610000 114.110000 -17.430000 ;
+        RECT 292.930000 -17.010000 294.110000 -15.830000 ;
+        RECT 292.930000 -18.610000 294.110000 -17.430000 ;
+        RECT 472.930000 -17.010000 474.110000 -15.830000 ;
+        RECT 472.930000 -18.610000 474.110000 -17.430000 ;
+        RECT 652.930000 -17.010000 654.110000 -15.830000 ;
+        RECT 652.930000 -18.610000 654.110000 -17.430000 ;
+        RECT 832.930000 -17.010000 834.110000 -15.830000 ;
+        RECT 832.930000 -18.610000 834.110000 -17.430000 ;
+        RECT 1012.930000 -17.010000 1014.110000 -15.830000 ;
+        RECT 1012.930000 -18.610000 1014.110000 -17.430000 ;
+        RECT 1192.930000 -17.010000 1194.110000 -15.830000 ;
+        RECT 1192.930000 -18.610000 1194.110000 -17.430000 ;
+        RECT 1372.930000 -17.010000 1374.110000 -15.830000 ;
+        RECT 1372.930000 -18.610000 1374.110000 -17.430000 ;
+        RECT 1552.930000 -17.010000 1554.110000 -15.830000 ;
+        RECT 1552.930000 -18.610000 1554.110000 -17.430000 ;
+        RECT 1732.930000 -17.010000 1734.110000 -15.830000 ;
+        RECT 1732.930000 -18.610000 1734.110000 -17.430000 ;
+        RECT 1912.930000 -17.010000 1914.110000 -15.830000 ;
+        RECT 1912.930000 -18.610000 1914.110000 -17.430000 ;
+        RECT 2092.930000 -17.010000 2094.110000 -15.830000 ;
+        RECT 2092.930000 -18.610000 2094.110000 -17.430000 ;
+        RECT 2272.930000 -17.010000 2274.110000 -15.830000 ;
+        RECT 2272.930000 -18.610000 2274.110000 -17.430000 ;
+        RECT 2452.930000 -17.010000 2454.110000 -15.830000 ;
+        RECT 2452.930000 -18.610000 2454.110000 -17.430000 ;
+        RECT 2632.930000 -17.010000 2634.110000 -15.830000 ;
+        RECT 2632.930000 -18.610000 2634.110000 -17.430000 ;
+        RECT 2812.930000 -17.010000 2814.110000 -15.830000 ;
+        RECT 2812.930000 -18.610000 2814.110000 -17.430000 ;
+        RECT 2941.610000 -17.010000 2942.790000 -15.830000 ;
+        RECT 2941.610000 -18.610000 2942.790000 -17.430000 ;
       LAYER met5 ;
-        RECT -23.780000 3538.100000 -20.780000 3538.110000 ;
-        RECT 112.020000 3538.100000 115.020000 3538.110000 ;
-        RECT 292.020000 3538.100000 295.020000 3538.110000 ;
-        RECT 472.020000 3538.100000 475.020000 3538.110000 ;
-        RECT 652.020000 3538.100000 655.020000 3538.110000 ;
-        RECT 832.020000 3538.100000 835.020000 3538.110000 ;
-        RECT 1012.020000 3538.100000 1015.020000 3538.110000 ;
-        RECT 1192.020000 3538.100000 1195.020000 3538.110000 ;
-        RECT 1372.020000 3538.100000 1375.020000 3538.110000 ;
-        RECT 1552.020000 3538.100000 1555.020000 3538.110000 ;
-        RECT 1732.020000 3538.100000 1735.020000 3538.110000 ;
-        RECT 1912.020000 3538.100000 1915.020000 3538.110000 ;
-        RECT 2092.020000 3538.100000 2095.020000 3538.110000 ;
-        RECT 2272.020000 3538.100000 2275.020000 3538.110000 ;
-        RECT 2452.020000 3538.100000 2455.020000 3538.110000 ;
-        RECT 2632.020000 3538.100000 2635.020000 3538.110000 ;
-        RECT 2812.020000 3538.100000 2815.020000 3538.110000 ;
-        RECT 2940.400000 3538.100000 2943.400000 3538.110000 ;
-        RECT -23.780000 3535.100000 2943.400000 3538.100000 ;
-        RECT -23.780000 3535.090000 -20.780000 3535.100000 ;
-        RECT 112.020000 3535.090000 115.020000 3535.100000 ;
-        RECT 292.020000 3535.090000 295.020000 3535.100000 ;
-        RECT 472.020000 3535.090000 475.020000 3535.100000 ;
-        RECT 652.020000 3535.090000 655.020000 3535.100000 ;
-        RECT 832.020000 3535.090000 835.020000 3535.100000 ;
-        RECT 1012.020000 3535.090000 1015.020000 3535.100000 ;
-        RECT 1192.020000 3535.090000 1195.020000 3535.100000 ;
-        RECT 1372.020000 3535.090000 1375.020000 3535.100000 ;
-        RECT 1552.020000 3535.090000 1555.020000 3535.100000 ;
-        RECT 1732.020000 3535.090000 1735.020000 3535.100000 ;
-        RECT 1912.020000 3535.090000 1915.020000 3535.100000 ;
-        RECT 2092.020000 3535.090000 2095.020000 3535.100000 ;
-        RECT 2272.020000 3535.090000 2275.020000 3535.100000 ;
-        RECT 2452.020000 3535.090000 2455.020000 3535.100000 ;
-        RECT 2632.020000 3535.090000 2635.020000 3535.100000 ;
-        RECT 2812.020000 3535.090000 2815.020000 3535.100000 ;
-        RECT 2940.400000 3535.090000 2943.400000 3535.100000 ;
-        RECT -23.780000 3360.380000 -20.780000 3360.390000 ;
-        RECT 2940.400000 3360.380000 2943.400000 3360.390000 ;
-        RECT -23.780000 3357.380000 -0.400000 3360.380000 ;
-        RECT 2920.400000 3357.380000 2943.400000 3360.380000 ;
-        RECT -23.780000 3357.370000 -20.780000 3357.380000 ;
-        RECT 2940.400000 3357.370000 2943.400000 3357.380000 ;
-        RECT -23.780000 3180.380000 -20.780000 3180.390000 ;
-        RECT 2940.400000 3180.380000 2943.400000 3180.390000 ;
-        RECT -23.780000 3177.380000 -0.400000 3180.380000 ;
-        RECT 2920.400000 3177.380000 2943.400000 3180.380000 ;
-        RECT -23.780000 3177.370000 -20.780000 3177.380000 ;
-        RECT 2940.400000 3177.370000 2943.400000 3177.380000 ;
-        RECT -23.780000 3000.380000 -20.780000 3000.390000 ;
-        RECT 2940.400000 3000.380000 2943.400000 3000.390000 ;
-        RECT -23.780000 2997.380000 -0.400000 3000.380000 ;
-        RECT 2920.400000 2997.380000 2943.400000 3000.380000 ;
-        RECT -23.780000 2997.370000 -20.780000 2997.380000 ;
-        RECT 2940.400000 2997.370000 2943.400000 2997.380000 ;
-        RECT -23.780000 2820.380000 -20.780000 2820.390000 ;
-        RECT 2940.400000 2820.380000 2943.400000 2820.390000 ;
-        RECT -23.780000 2817.380000 -0.400000 2820.380000 ;
-        RECT 2920.400000 2817.380000 2943.400000 2820.380000 ;
-        RECT -23.780000 2817.370000 -20.780000 2817.380000 ;
-        RECT 2940.400000 2817.370000 2943.400000 2817.380000 ;
-        RECT -23.780000 2640.380000 -20.780000 2640.390000 ;
-        RECT 2940.400000 2640.380000 2943.400000 2640.390000 ;
-        RECT -23.780000 2637.380000 -0.400000 2640.380000 ;
-        RECT 2920.400000 2637.380000 2943.400000 2640.380000 ;
-        RECT -23.780000 2637.370000 -20.780000 2637.380000 ;
-        RECT 2940.400000 2637.370000 2943.400000 2637.380000 ;
-        RECT -23.780000 2460.380000 -20.780000 2460.390000 ;
-        RECT 2940.400000 2460.380000 2943.400000 2460.390000 ;
-        RECT -23.780000 2457.380000 -0.400000 2460.380000 ;
-        RECT 2920.400000 2457.380000 2943.400000 2460.380000 ;
-        RECT -23.780000 2457.370000 -20.780000 2457.380000 ;
-        RECT 2940.400000 2457.370000 2943.400000 2457.380000 ;
-        RECT -23.780000 2280.380000 -20.780000 2280.390000 ;
-        RECT 2940.400000 2280.380000 2943.400000 2280.390000 ;
-        RECT -23.780000 2277.380000 -0.400000 2280.380000 ;
-        RECT 2920.400000 2277.380000 2943.400000 2280.380000 ;
-        RECT -23.780000 2277.370000 -20.780000 2277.380000 ;
-        RECT 2940.400000 2277.370000 2943.400000 2277.380000 ;
-        RECT -23.780000 2100.380000 -20.780000 2100.390000 ;
-        RECT 2940.400000 2100.380000 2943.400000 2100.390000 ;
-        RECT -23.780000 2097.380000 -0.400000 2100.380000 ;
-        RECT 2920.400000 2097.380000 2943.400000 2100.380000 ;
-        RECT -23.780000 2097.370000 -20.780000 2097.380000 ;
-        RECT 2940.400000 2097.370000 2943.400000 2097.380000 ;
-        RECT -23.780000 1920.380000 -20.780000 1920.390000 ;
-        RECT 2940.400000 1920.380000 2943.400000 1920.390000 ;
-        RECT -23.780000 1917.380000 -0.400000 1920.380000 ;
-        RECT 2920.400000 1917.380000 2943.400000 1920.380000 ;
-        RECT -23.780000 1917.370000 -20.780000 1917.380000 ;
-        RECT 2940.400000 1917.370000 2943.400000 1917.380000 ;
-        RECT -23.780000 1740.380000 -20.780000 1740.390000 ;
-        RECT 2940.400000 1740.380000 2943.400000 1740.390000 ;
-        RECT -23.780000 1737.380000 -0.400000 1740.380000 ;
-        RECT 2920.400000 1737.380000 2943.400000 1740.380000 ;
-        RECT -23.780000 1737.370000 -20.780000 1737.380000 ;
-        RECT 2940.400000 1737.370000 2943.400000 1737.380000 ;
-        RECT -23.780000 1560.380000 -20.780000 1560.390000 ;
-        RECT 2940.400000 1560.380000 2943.400000 1560.390000 ;
-        RECT -23.780000 1557.380000 -0.400000 1560.380000 ;
-        RECT 2920.400000 1557.380000 2943.400000 1560.380000 ;
-        RECT -23.780000 1557.370000 -20.780000 1557.380000 ;
-        RECT 2940.400000 1557.370000 2943.400000 1557.380000 ;
-        RECT -23.780000 1380.380000 -20.780000 1380.390000 ;
-        RECT 2940.400000 1380.380000 2943.400000 1380.390000 ;
-        RECT -23.780000 1377.380000 -0.400000 1380.380000 ;
-        RECT 2920.400000 1377.380000 2943.400000 1380.380000 ;
-        RECT -23.780000 1377.370000 -20.780000 1377.380000 ;
-        RECT 2940.400000 1377.370000 2943.400000 1377.380000 ;
-        RECT -23.780000 1200.380000 -20.780000 1200.390000 ;
-        RECT 2940.400000 1200.380000 2943.400000 1200.390000 ;
-        RECT -23.780000 1197.380000 -0.400000 1200.380000 ;
-        RECT 2920.400000 1197.380000 2943.400000 1200.380000 ;
-        RECT -23.780000 1197.370000 -20.780000 1197.380000 ;
-        RECT 2940.400000 1197.370000 2943.400000 1197.380000 ;
-        RECT -23.780000 1020.380000 -20.780000 1020.390000 ;
-        RECT 2940.400000 1020.380000 2943.400000 1020.390000 ;
-        RECT -23.780000 1017.380000 -0.400000 1020.380000 ;
-        RECT 2920.400000 1017.380000 2943.400000 1020.380000 ;
-        RECT -23.780000 1017.370000 -20.780000 1017.380000 ;
-        RECT 2940.400000 1017.370000 2943.400000 1017.380000 ;
-        RECT -23.780000 840.380000 -20.780000 840.390000 ;
-        RECT 2940.400000 840.380000 2943.400000 840.390000 ;
-        RECT -23.780000 837.380000 -0.400000 840.380000 ;
-        RECT 2920.400000 837.380000 2943.400000 840.380000 ;
-        RECT -23.780000 837.370000 -20.780000 837.380000 ;
-        RECT 2940.400000 837.370000 2943.400000 837.380000 ;
-        RECT -23.780000 660.380000 -20.780000 660.390000 ;
-        RECT 2940.400000 660.380000 2943.400000 660.390000 ;
-        RECT -23.780000 657.380000 -0.400000 660.380000 ;
-        RECT 2920.400000 657.380000 2943.400000 660.380000 ;
-        RECT -23.780000 657.370000 -20.780000 657.380000 ;
-        RECT 2940.400000 657.370000 2943.400000 657.380000 ;
-        RECT -23.780000 480.380000 -20.780000 480.390000 ;
-        RECT 2940.400000 480.380000 2943.400000 480.390000 ;
-        RECT -23.780000 477.380000 -0.400000 480.380000 ;
-        RECT 2920.400000 477.380000 2943.400000 480.380000 ;
-        RECT -23.780000 477.370000 -20.780000 477.380000 ;
-        RECT 2940.400000 477.370000 2943.400000 477.380000 ;
-        RECT -23.780000 300.380000 -20.780000 300.390000 ;
-        RECT 2940.400000 300.380000 2943.400000 300.390000 ;
-        RECT -23.780000 297.380000 -0.400000 300.380000 ;
-        RECT 2920.400000 297.380000 2943.400000 300.380000 ;
-        RECT -23.780000 297.370000 -20.780000 297.380000 ;
-        RECT 2940.400000 297.370000 2943.400000 297.380000 ;
-        RECT -23.780000 120.380000 -20.780000 120.390000 ;
-        RECT 2940.400000 120.380000 2943.400000 120.390000 ;
-        RECT -23.780000 117.380000 -0.400000 120.380000 ;
-        RECT 2920.400000 117.380000 2943.400000 120.380000 ;
-        RECT -23.780000 117.370000 -20.780000 117.380000 ;
-        RECT 2940.400000 117.370000 2943.400000 117.380000 ;
-        RECT -23.780000 -15.420000 -20.780000 -15.410000 ;
-        RECT 112.020000 -15.420000 115.020000 -15.410000 ;
-        RECT 292.020000 -15.420000 295.020000 -15.410000 ;
-        RECT 472.020000 -15.420000 475.020000 -15.410000 ;
-        RECT 652.020000 -15.420000 655.020000 -15.410000 ;
-        RECT 832.020000 -15.420000 835.020000 -15.410000 ;
-        RECT 1012.020000 -15.420000 1015.020000 -15.410000 ;
-        RECT 1192.020000 -15.420000 1195.020000 -15.410000 ;
-        RECT 1372.020000 -15.420000 1375.020000 -15.410000 ;
-        RECT 1552.020000 -15.420000 1555.020000 -15.410000 ;
-        RECT 1732.020000 -15.420000 1735.020000 -15.410000 ;
-        RECT 1912.020000 -15.420000 1915.020000 -15.410000 ;
-        RECT 2092.020000 -15.420000 2095.020000 -15.410000 ;
-        RECT 2272.020000 -15.420000 2275.020000 -15.410000 ;
-        RECT 2452.020000 -15.420000 2455.020000 -15.410000 ;
-        RECT 2632.020000 -15.420000 2635.020000 -15.410000 ;
-        RECT 2812.020000 -15.420000 2815.020000 -15.410000 ;
-        RECT 2940.400000 -15.420000 2943.400000 -15.410000 ;
-        RECT -23.780000 -18.420000 2943.400000 -15.420000 ;
-        RECT -23.780000 -18.430000 -20.780000 -18.420000 ;
-        RECT 112.020000 -18.430000 115.020000 -18.420000 ;
-        RECT 292.020000 -18.430000 295.020000 -18.420000 ;
-        RECT 472.020000 -18.430000 475.020000 -18.420000 ;
-        RECT 652.020000 -18.430000 655.020000 -18.420000 ;
-        RECT 832.020000 -18.430000 835.020000 -18.420000 ;
-        RECT 1012.020000 -18.430000 1015.020000 -18.420000 ;
-        RECT 1192.020000 -18.430000 1195.020000 -18.420000 ;
-        RECT 1372.020000 -18.430000 1375.020000 -18.420000 ;
-        RECT 1552.020000 -18.430000 1555.020000 -18.420000 ;
-        RECT 1732.020000 -18.430000 1735.020000 -18.420000 ;
-        RECT 1912.020000 -18.430000 1915.020000 -18.420000 ;
-        RECT 2092.020000 -18.430000 2095.020000 -18.420000 ;
-        RECT 2272.020000 -18.430000 2275.020000 -18.420000 ;
-        RECT 2452.020000 -18.430000 2455.020000 -18.420000 ;
-        RECT 2632.020000 -18.430000 2635.020000 -18.420000 ;
-        RECT 2812.020000 -18.430000 2815.020000 -18.420000 ;
-        RECT 2940.400000 -18.430000 2943.400000 -18.420000 ;
+        RECT -24.080000 3538.400000 -21.080000 3538.410000 ;
+        RECT 112.020000 3538.400000 115.020000 3538.410000 ;
+        RECT 292.020000 3538.400000 295.020000 3538.410000 ;
+        RECT 472.020000 3538.400000 475.020000 3538.410000 ;
+        RECT 652.020000 3538.400000 655.020000 3538.410000 ;
+        RECT 832.020000 3538.400000 835.020000 3538.410000 ;
+        RECT 1012.020000 3538.400000 1015.020000 3538.410000 ;
+        RECT 1192.020000 3538.400000 1195.020000 3538.410000 ;
+        RECT 1372.020000 3538.400000 1375.020000 3538.410000 ;
+        RECT 1552.020000 3538.400000 1555.020000 3538.410000 ;
+        RECT 1732.020000 3538.400000 1735.020000 3538.410000 ;
+        RECT 1912.020000 3538.400000 1915.020000 3538.410000 ;
+        RECT 2092.020000 3538.400000 2095.020000 3538.410000 ;
+        RECT 2272.020000 3538.400000 2275.020000 3538.410000 ;
+        RECT 2452.020000 3538.400000 2455.020000 3538.410000 ;
+        RECT 2632.020000 3538.400000 2635.020000 3538.410000 ;
+        RECT 2812.020000 3538.400000 2815.020000 3538.410000 ;
+        RECT 2940.700000 3538.400000 2943.700000 3538.410000 ;
+        RECT -24.080000 3535.400000 2943.700000 3538.400000 ;
+        RECT -24.080000 3535.390000 -21.080000 3535.400000 ;
+        RECT 112.020000 3535.390000 115.020000 3535.400000 ;
+        RECT 292.020000 3535.390000 295.020000 3535.400000 ;
+        RECT 472.020000 3535.390000 475.020000 3535.400000 ;
+        RECT 652.020000 3535.390000 655.020000 3535.400000 ;
+        RECT 832.020000 3535.390000 835.020000 3535.400000 ;
+        RECT 1012.020000 3535.390000 1015.020000 3535.400000 ;
+        RECT 1192.020000 3535.390000 1195.020000 3535.400000 ;
+        RECT 1372.020000 3535.390000 1375.020000 3535.400000 ;
+        RECT 1552.020000 3535.390000 1555.020000 3535.400000 ;
+        RECT 1732.020000 3535.390000 1735.020000 3535.400000 ;
+        RECT 1912.020000 3535.390000 1915.020000 3535.400000 ;
+        RECT 2092.020000 3535.390000 2095.020000 3535.400000 ;
+        RECT 2272.020000 3535.390000 2275.020000 3535.400000 ;
+        RECT 2452.020000 3535.390000 2455.020000 3535.400000 ;
+        RECT 2632.020000 3535.390000 2635.020000 3535.400000 ;
+        RECT 2812.020000 3535.390000 2815.020000 3535.400000 ;
+        RECT 2940.700000 3535.390000 2943.700000 3535.400000 ;
+        RECT -24.080000 3360.380000 -21.080000 3360.390000 ;
+        RECT 2940.700000 3360.380000 2943.700000 3360.390000 ;
+        RECT -24.080000 3357.380000 -0.400000 3360.380000 ;
+        RECT 2920.400000 3357.380000 2943.700000 3360.380000 ;
+        RECT -24.080000 3357.370000 -21.080000 3357.380000 ;
+        RECT 2940.700000 3357.370000 2943.700000 3357.380000 ;
+        RECT -24.080000 3180.380000 -21.080000 3180.390000 ;
+        RECT 2940.700000 3180.380000 2943.700000 3180.390000 ;
+        RECT -24.080000 3177.380000 -0.400000 3180.380000 ;
+        RECT 2920.400000 3177.380000 2943.700000 3180.380000 ;
+        RECT -24.080000 3177.370000 -21.080000 3177.380000 ;
+        RECT 2940.700000 3177.370000 2943.700000 3177.380000 ;
+        RECT -24.080000 3000.380000 -21.080000 3000.390000 ;
+        RECT 2940.700000 3000.380000 2943.700000 3000.390000 ;
+        RECT -24.080000 2997.380000 -0.400000 3000.380000 ;
+        RECT 2920.400000 2997.380000 2943.700000 3000.380000 ;
+        RECT -24.080000 2997.370000 -21.080000 2997.380000 ;
+        RECT 2940.700000 2997.370000 2943.700000 2997.380000 ;
+        RECT -24.080000 2820.380000 -21.080000 2820.390000 ;
+        RECT 2940.700000 2820.380000 2943.700000 2820.390000 ;
+        RECT -24.080000 2817.380000 -0.400000 2820.380000 ;
+        RECT 2920.400000 2817.380000 2943.700000 2820.380000 ;
+        RECT -24.080000 2817.370000 -21.080000 2817.380000 ;
+        RECT 2940.700000 2817.370000 2943.700000 2817.380000 ;
+        RECT -24.080000 2640.380000 -21.080000 2640.390000 ;
+        RECT 2940.700000 2640.380000 2943.700000 2640.390000 ;
+        RECT -24.080000 2637.380000 -0.400000 2640.380000 ;
+        RECT 2920.400000 2637.380000 2943.700000 2640.380000 ;
+        RECT -24.080000 2637.370000 -21.080000 2637.380000 ;
+        RECT 2940.700000 2637.370000 2943.700000 2637.380000 ;
+        RECT -24.080000 2460.380000 -21.080000 2460.390000 ;
+        RECT 2940.700000 2460.380000 2943.700000 2460.390000 ;
+        RECT -24.080000 2457.380000 -0.400000 2460.380000 ;
+        RECT 2920.400000 2457.380000 2943.700000 2460.380000 ;
+        RECT -24.080000 2457.370000 -21.080000 2457.380000 ;
+        RECT 2940.700000 2457.370000 2943.700000 2457.380000 ;
+        RECT -24.080000 2280.380000 -21.080000 2280.390000 ;
+        RECT 2940.700000 2280.380000 2943.700000 2280.390000 ;
+        RECT -24.080000 2277.380000 -0.400000 2280.380000 ;
+        RECT 2920.400000 2277.380000 2943.700000 2280.380000 ;
+        RECT -24.080000 2277.370000 -21.080000 2277.380000 ;
+        RECT 2940.700000 2277.370000 2943.700000 2277.380000 ;
+        RECT -24.080000 2100.380000 -21.080000 2100.390000 ;
+        RECT 2940.700000 2100.380000 2943.700000 2100.390000 ;
+        RECT -24.080000 2097.380000 -0.400000 2100.380000 ;
+        RECT 2920.400000 2097.380000 2943.700000 2100.380000 ;
+        RECT -24.080000 2097.370000 -21.080000 2097.380000 ;
+        RECT 2940.700000 2097.370000 2943.700000 2097.380000 ;
+        RECT -24.080000 1920.380000 -21.080000 1920.390000 ;
+        RECT 2940.700000 1920.380000 2943.700000 1920.390000 ;
+        RECT -24.080000 1917.380000 -0.400000 1920.380000 ;
+        RECT 2920.400000 1917.380000 2943.700000 1920.380000 ;
+        RECT -24.080000 1917.370000 -21.080000 1917.380000 ;
+        RECT 2940.700000 1917.370000 2943.700000 1917.380000 ;
+        RECT -24.080000 1740.380000 -21.080000 1740.390000 ;
+        RECT 2940.700000 1740.380000 2943.700000 1740.390000 ;
+        RECT -24.080000 1737.380000 -0.400000 1740.380000 ;
+        RECT 2920.400000 1737.380000 2943.700000 1740.380000 ;
+        RECT -24.080000 1737.370000 -21.080000 1737.380000 ;
+        RECT 2940.700000 1737.370000 2943.700000 1737.380000 ;
+        RECT -24.080000 1560.380000 -21.080000 1560.390000 ;
+        RECT 2940.700000 1560.380000 2943.700000 1560.390000 ;
+        RECT -24.080000 1557.380000 -0.400000 1560.380000 ;
+        RECT 2920.400000 1557.380000 2943.700000 1560.380000 ;
+        RECT -24.080000 1557.370000 -21.080000 1557.380000 ;
+        RECT 2940.700000 1557.370000 2943.700000 1557.380000 ;
+        RECT -24.080000 1380.380000 -21.080000 1380.390000 ;
+        RECT 2940.700000 1380.380000 2943.700000 1380.390000 ;
+        RECT -24.080000 1377.380000 -0.400000 1380.380000 ;
+        RECT 2920.400000 1377.380000 2943.700000 1380.380000 ;
+        RECT -24.080000 1377.370000 -21.080000 1377.380000 ;
+        RECT 2940.700000 1377.370000 2943.700000 1377.380000 ;
+        RECT -24.080000 1200.380000 -21.080000 1200.390000 ;
+        RECT 2940.700000 1200.380000 2943.700000 1200.390000 ;
+        RECT -24.080000 1197.380000 -0.400000 1200.380000 ;
+        RECT 2920.400000 1197.380000 2943.700000 1200.380000 ;
+        RECT -24.080000 1197.370000 -21.080000 1197.380000 ;
+        RECT 2940.700000 1197.370000 2943.700000 1197.380000 ;
+        RECT -24.080000 1020.380000 -21.080000 1020.390000 ;
+        RECT 2940.700000 1020.380000 2943.700000 1020.390000 ;
+        RECT -24.080000 1017.380000 -0.400000 1020.380000 ;
+        RECT 2920.400000 1017.380000 2943.700000 1020.380000 ;
+        RECT -24.080000 1017.370000 -21.080000 1017.380000 ;
+        RECT 2940.700000 1017.370000 2943.700000 1017.380000 ;
+        RECT -24.080000 840.380000 -21.080000 840.390000 ;
+        RECT 2940.700000 840.380000 2943.700000 840.390000 ;
+        RECT -24.080000 837.380000 -0.400000 840.380000 ;
+        RECT 2920.400000 837.380000 2943.700000 840.380000 ;
+        RECT -24.080000 837.370000 -21.080000 837.380000 ;
+        RECT 2940.700000 837.370000 2943.700000 837.380000 ;
+        RECT -24.080000 660.380000 -21.080000 660.390000 ;
+        RECT 2940.700000 660.380000 2943.700000 660.390000 ;
+        RECT -24.080000 657.380000 -0.400000 660.380000 ;
+        RECT 2920.400000 657.380000 2943.700000 660.380000 ;
+        RECT -24.080000 657.370000 -21.080000 657.380000 ;
+        RECT 2940.700000 657.370000 2943.700000 657.380000 ;
+        RECT -24.080000 480.380000 -21.080000 480.390000 ;
+        RECT 2940.700000 480.380000 2943.700000 480.390000 ;
+        RECT -24.080000 477.380000 -0.400000 480.380000 ;
+        RECT 2920.400000 477.380000 2943.700000 480.380000 ;
+        RECT -24.080000 477.370000 -21.080000 477.380000 ;
+        RECT 2940.700000 477.370000 2943.700000 477.380000 ;
+        RECT -24.080000 300.380000 -21.080000 300.390000 ;
+        RECT 2940.700000 300.380000 2943.700000 300.390000 ;
+        RECT -24.080000 297.380000 -0.400000 300.380000 ;
+        RECT 2920.400000 297.380000 2943.700000 300.380000 ;
+        RECT -24.080000 297.370000 -21.080000 297.380000 ;
+        RECT 2940.700000 297.370000 2943.700000 297.380000 ;
+        RECT -24.080000 120.380000 -21.080000 120.390000 ;
+        RECT 2940.700000 120.380000 2943.700000 120.390000 ;
+        RECT -24.080000 117.380000 -0.400000 120.380000 ;
+        RECT 2920.400000 117.380000 2943.700000 120.380000 ;
+        RECT -24.080000 117.370000 -21.080000 117.380000 ;
+        RECT 2940.700000 117.370000 2943.700000 117.380000 ;
+        RECT -24.080000 -15.720000 -21.080000 -15.710000 ;
+        RECT 112.020000 -15.720000 115.020000 -15.710000 ;
+        RECT 292.020000 -15.720000 295.020000 -15.710000 ;
+        RECT 472.020000 -15.720000 475.020000 -15.710000 ;
+        RECT 652.020000 -15.720000 655.020000 -15.710000 ;
+        RECT 832.020000 -15.720000 835.020000 -15.710000 ;
+        RECT 1012.020000 -15.720000 1015.020000 -15.710000 ;
+        RECT 1192.020000 -15.720000 1195.020000 -15.710000 ;
+        RECT 1372.020000 -15.720000 1375.020000 -15.710000 ;
+        RECT 1552.020000 -15.720000 1555.020000 -15.710000 ;
+        RECT 1732.020000 -15.720000 1735.020000 -15.710000 ;
+        RECT 1912.020000 -15.720000 1915.020000 -15.710000 ;
+        RECT 2092.020000 -15.720000 2095.020000 -15.710000 ;
+        RECT 2272.020000 -15.720000 2275.020000 -15.710000 ;
+        RECT 2452.020000 -15.720000 2455.020000 -15.710000 ;
+        RECT 2632.020000 -15.720000 2635.020000 -15.710000 ;
+        RECT 2812.020000 -15.720000 2815.020000 -15.710000 ;
+        RECT 2940.700000 -15.720000 2943.700000 -15.710000 ;
+        RECT -24.080000 -18.720000 2943.700000 -15.720000 ;
+        RECT -24.080000 -18.730000 -21.080000 -18.720000 ;
+        RECT 112.020000 -18.730000 115.020000 -18.720000 ;
+        RECT 292.020000 -18.730000 295.020000 -18.720000 ;
+        RECT 472.020000 -18.730000 475.020000 -18.720000 ;
+        RECT 652.020000 -18.730000 655.020000 -18.720000 ;
+        RECT 832.020000 -18.730000 835.020000 -18.720000 ;
+        RECT 1012.020000 -18.730000 1015.020000 -18.720000 ;
+        RECT 1192.020000 -18.730000 1195.020000 -18.720000 ;
+        RECT 1372.020000 -18.730000 1375.020000 -18.720000 ;
+        RECT 1552.020000 -18.730000 1555.020000 -18.720000 ;
+        RECT 1732.020000 -18.730000 1735.020000 -18.720000 ;
+        RECT 1912.020000 -18.730000 1915.020000 -18.720000 ;
+        RECT 2092.020000 -18.730000 2095.020000 -18.720000 ;
+        RECT 2272.020000 -18.730000 2275.020000 -18.720000 ;
+        RECT 2452.020000 -18.730000 2455.020000 -18.720000 ;
+        RECT 2632.020000 -18.730000 2635.020000 -18.720000 ;
+        RECT 2812.020000 -18.730000 2815.020000 -18.720000 ;
+        RECT 2940.700000 -18.730000 2943.700000 -18.720000 ;
     END
   END vssd2
   PIN vdda1
     DIRECTION INPUT ;
     PORT
       LAYER met4 ;
-        RECT -28.380000 -23.020000 -25.380000 3542.700000 ;
-        RECT 40.020000 3520.400000 43.020000 3547.300000 ;
-        RECT 220.020000 3520.400000 223.020000 3547.300000 ;
-        RECT 400.020000 3520.400000 403.020000 3547.300000 ;
-        RECT 580.020000 3520.400000 583.020000 3547.300000 ;
-        RECT 760.020000 3520.400000 763.020000 3547.300000 ;
-        RECT 940.020000 3520.400000 943.020000 3547.300000 ;
-        RECT 1120.020000 3520.400000 1123.020000 3547.300000 ;
-        RECT 1300.020000 3520.400000 1303.020000 3547.300000 ;
-        RECT 1480.020000 3520.400000 1483.020000 3547.300000 ;
-        RECT 1660.020000 3520.400000 1663.020000 3547.300000 ;
-        RECT 1840.020000 3520.400000 1843.020000 3547.300000 ;
-        RECT 2020.020000 3520.400000 2023.020000 3547.300000 ;
-        RECT 2200.020000 3520.400000 2203.020000 3547.300000 ;
-        RECT 2380.020000 3520.400000 2383.020000 3547.300000 ;
-        RECT 2560.020000 3520.400000 2563.020000 3547.300000 ;
-        RECT 2740.020000 3520.400000 2743.020000 3547.300000 ;
-        RECT 40.020000 -27.620000 43.020000 -0.400000 ;
-        RECT 220.020000 -27.620000 223.020000 -0.400000 ;
-        RECT 400.020000 -27.620000 403.020000 -0.400000 ;
-        RECT 580.020000 -27.620000 583.020000 -0.400000 ;
-        RECT 760.020000 -27.620000 763.020000 -0.400000 ;
-        RECT 940.020000 -27.620000 943.020000 -0.400000 ;
-        RECT 1120.020000 -27.620000 1123.020000 -0.400000 ;
-        RECT 1300.020000 -27.620000 1303.020000 -0.400000 ;
-        RECT 1480.020000 -27.620000 1483.020000 -0.400000 ;
-        RECT 1660.020000 -27.620000 1663.020000 -0.400000 ;
-        RECT 1840.020000 -27.620000 1843.020000 -0.400000 ;
-        RECT 2020.020000 -27.620000 2023.020000 -0.400000 ;
-        RECT 2200.020000 -27.620000 2203.020000 -0.400000 ;
-        RECT 2380.020000 -27.620000 2383.020000 -0.400000 ;
-        RECT 2560.020000 -27.620000 2563.020000 -0.400000 ;
-        RECT 2740.020000 -27.620000 2743.020000 -0.400000 ;
-        RECT 2945.000000 -23.020000 2948.000000 3542.700000 ;
+        RECT -28.780000 -23.420000 -25.780000 3543.100000 ;
+        RECT 40.020000 3520.400000 43.020000 3547.800000 ;
+        RECT 220.020000 3520.400000 223.020000 3547.800000 ;
+        RECT 400.020000 3520.400000 403.020000 3547.800000 ;
+        RECT 580.020000 3520.400000 583.020000 3547.800000 ;
+        RECT 760.020000 3520.400000 763.020000 3547.800000 ;
+        RECT 940.020000 3520.400000 943.020000 3547.800000 ;
+        RECT 1120.020000 3520.400000 1123.020000 3547.800000 ;
+        RECT 1300.020000 3520.400000 1303.020000 3547.800000 ;
+        RECT 1480.020000 3520.400000 1483.020000 3547.800000 ;
+        RECT 1660.020000 3520.400000 1663.020000 3547.800000 ;
+        RECT 1840.020000 3520.400000 1843.020000 3547.800000 ;
+        RECT 2020.020000 3520.400000 2023.020000 3547.800000 ;
+        RECT 2200.020000 3520.400000 2203.020000 3547.800000 ;
+        RECT 2380.020000 3520.400000 2383.020000 3547.800000 ;
+        RECT 2560.020000 3520.400000 2563.020000 3547.800000 ;
+        RECT 2740.020000 3520.400000 2743.020000 3547.800000 ;
+        RECT 40.020000 -28.120000 43.020000 -0.400000 ;
+        RECT 220.020000 -28.120000 223.020000 -0.400000 ;
+        RECT 400.020000 -28.120000 403.020000 -0.400000 ;
+        RECT 580.020000 -28.120000 583.020000 -0.400000 ;
+        RECT 760.020000 -28.120000 763.020000 -0.400000 ;
+        RECT 940.020000 -28.120000 943.020000 -0.400000 ;
+        RECT 1120.020000 -28.120000 1123.020000 -0.400000 ;
+        RECT 1300.020000 -28.120000 1303.020000 -0.400000 ;
+        RECT 1480.020000 -28.120000 1483.020000 -0.400000 ;
+        RECT 1660.020000 -28.120000 1663.020000 -0.400000 ;
+        RECT 1840.020000 -28.120000 1843.020000 -0.400000 ;
+        RECT 2020.020000 -28.120000 2023.020000 -0.400000 ;
+        RECT 2200.020000 -28.120000 2203.020000 -0.400000 ;
+        RECT 2380.020000 -28.120000 2383.020000 -0.400000 ;
+        RECT 2560.020000 -28.120000 2563.020000 -0.400000 ;
+        RECT 2740.020000 -28.120000 2743.020000 -0.400000 ;
+        RECT 2945.400000 -23.420000 2948.400000 3543.100000 ;
       LAYER M4M5_PR_C ;
-        RECT -27.470000 3541.410000 -26.290000 3542.590000 ;
-        RECT -27.470000 3539.810000 -26.290000 3540.990000 ;
-        RECT 40.930000 3541.410000 42.110000 3542.590000 ;
-        RECT 40.930000 3539.810000 42.110000 3540.990000 ;
-        RECT 220.930000 3541.410000 222.110000 3542.590000 ;
-        RECT 220.930000 3539.810000 222.110000 3540.990000 ;
-        RECT 400.930000 3541.410000 402.110000 3542.590000 ;
-        RECT 400.930000 3539.810000 402.110000 3540.990000 ;
-        RECT 580.930000 3541.410000 582.110000 3542.590000 ;
-        RECT 580.930000 3539.810000 582.110000 3540.990000 ;
-        RECT 760.930000 3541.410000 762.110000 3542.590000 ;
-        RECT 760.930000 3539.810000 762.110000 3540.990000 ;
-        RECT 940.930000 3541.410000 942.110000 3542.590000 ;
-        RECT 940.930000 3539.810000 942.110000 3540.990000 ;
-        RECT 1120.930000 3541.410000 1122.110000 3542.590000 ;
-        RECT 1120.930000 3539.810000 1122.110000 3540.990000 ;
-        RECT 1300.930000 3541.410000 1302.110000 3542.590000 ;
-        RECT 1300.930000 3539.810000 1302.110000 3540.990000 ;
-        RECT 1480.930000 3541.410000 1482.110000 3542.590000 ;
-        RECT 1480.930000 3539.810000 1482.110000 3540.990000 ;
-        RECT 1660.930000 3541.410000 1662.110000 3542.590000 ;
-        RECT 1660.930000 3539.810000 1662.110000 3540.990000 ;
-        RECT 1840.930000 3541.410000 1842.110000 3542.590000 ;
-        RECT 1840.930000 3539.810000 1842.110000 3540.990000 ;
-        RECT 2020.930000 3541.410000 2022.110000 3542.590000 ;
-        RECT 2020.930000 3539.810000 2022.110000 3540.990000 ;
-        RECT 2200.930000 3541.410000 2202.110000 3542.590000 ;
-        RECT 2200.930000 3539.810000 2202.110000 3540.990000 ;
-        RECT 2380.930000 3541.410000 2382.110000 3542.590000 ;
-        RECT 2380.930000 3539.810000 2382.110000 3540.990000 ;
-        RECT 2560.930000 3541.410000 2562.110000 3542.590000 ;
-        RECT 2560.930000 3539.810000 2562.110000 3540.990000 ;
-        RECT 2740.930000 3541.410000 2742.110000 3542.590000 ;
-        RECT 2740.930000 3539.810000 2742.110000 3540.990000 ;
-        RECT 2945.910000 3541.410000 2947.090000 3542.590000 ;
-        RECT 2945.910000 3539.810000 2947.090000 3540.990000 ;
-        RECT -27.470000 3467.090000 -26.290000 3468.270000 ;
-        RECT -27.470000 3465.490000 -26.290000 3466.670000 ;
-        RECT -27.470000 3287.090000 -26.290000 3288.270000 ;
-        RECT -27.470000 3285.490000 -26.290000 3286.670000 ;
-        RECT -27.470000 3107.090000 -26.290000 3108.270000 ;
-        RECT -27.470000 3105.490000 -26.290000 3106.670000 ;
-        RECT -27.470000 2927.090000 -26.290000 2928.270000 ;
-        RECT -27.470000 2925.490000 -26.290000 2926.670000 ;
-        RECT -27.470000 2747.090000 -26.290000 2748.270000 ;
-        RECT -27.470000 2745.490000 -26.290000 2746.670000 ;
-        RECT -27.470000 2567.090000 -26.290000 2568.270000 ;
-        RECT -27.470000 2565.490000 -26.290000 2566.670000 ;
-        RECT -27.470000 2387.090000 -26.290000 2388.270000 ;
-        RECT -27.470000 2385.490000 -26.290000 2386.670000 ;
-        RECT -27.470000 2207.090000 -26.290000 2208.270000 ;
-        RECT -27.470000 2205.490000 -26.290000 2206.670000 ;
-        RECT -27.470000 2027.090000 -26.290000 2028.270000 ;
-        RECT -27.470000 2025.490000 -26.290000 2026.670000 ;
-        RECT -27.470000 1847.090000 -26.290000 1848.270000 ;
-        RECT -27.470000 1845.490000 -26.290000 1846.670000 ;
-        RECT -27.470000 1667.090000 -26.290000 1668.270000 ;
-        RECT -27.470000 1665.490000 -26.290000 1666.670000 ;
-        RECT -27.470000 1487.090000 -26.290000 1488.270000 ;
-        RECT -27.470000 1485.490000 -26.290000 1486.670000 ;
-        RECT -27.470000 1307.090000 -26.290000 1308.270000 ;
-        RECT -27.470000 1305.490000 -26.290000 1306.670000 ;
-        RECT -27.470000 1127.090000 -26.290000 1128.270000 ;
-        RECT -27.470000 1125.490000 -26.290000 1126.670000 ;
-        RECT -27.470000 947.090000 -26.290000 948.270000 ;
-        RECT -27.470000 945.490000 -26.290000 946.670000 ;
-        RECT -27.470000 767.090000 -26.290000 768.270000 ;
-        RECT -27.470000 765.490000 -26.290000 766.670000 ;
-        RECT -27.470000 587.090000 -26.290000 588.270000 ;
-        RECT -27.470000 585.490000 -26.290000 586.670000 ;
-        RECT -27.470000 407.090000 -26.290000 408.270000 ;
-        RECT -27.470000 405.490000 -26.290000 406.670000 ;
-        RECT -27.470000 227.090000 -26.290000 228.270000 ;
-        RECT -27.470000 225.490000 -26.290000 226.670000 ;
-        RECT -27.470000 47.090000 -26.290000 48.270000 ;
-        RECT -27.470000 45.490000 -26.290000 46.670000 ;
-        RECT 2945.910000 3467.090000 2947.090000 3468.270000 ;
-        RECT 2945.910000 3465.490000 2947.090000 3466.670000 ;
-        RECT 2945.910000 3287.090000 2947.090000 3288.270000 ;
-        RECT 2945.910000 3285.490000 2947.090000 3286.670000 ;
-        RECT 2945.910000 3107.090000 2947.090000 3108.270000 ;
-        RECT 2945.910000 3105.490000 2947.090000 3106.670000 ;
-        RECT 2945.910000 2927.090000 2947.090000 2928.270000 ;
-        RECT 2945.910000 2925.490000 2947.090000 2926.670000 ;
-        RECT 2945.910000 2747.090000 2947.090000 2748.270000 ;
-        RECT 2945.910000 2745.490000 2947.090000 2746.670000 ;
-        RECT 2945.910000 2567.090000 2947.090000 2568.270000 ;
-        RECT 2945.910000 2565.490000 2947.090000 2566.670000 ;
-        RECT 2945.910000 2387.090000 2947.090000 2388.270000 ;
-        RECT 2945.910000 2385.490000 2947.090000 2386.670000 ;
-        RECT 2945.910000 2207.090000 2947.090000 2208.270000 ;
-        RECT 2945.910000 2205.490000 2947.090000 2206.670000 ;
-        RECT 2945.910000 2027.090000 2947.090000 2028.270000 ;
-        RECT 2945.910000 2025.490000 2947.090000 2026.670000 ;
-        RECT 2945.910000 1847.090000 2947.090000 1848.270000 ;
-        RECT 2945.910000 1845.490000 2947.090000 1846.670000 ;
-        RECT 2945.910000 1667.090000 2947.090000 1668.270000 ;
-        RECT 2945.910000 1665.490000 2947.090000 1666.670000 ;
-        RECT 2945.910000 1487.090000 2947.090000 1488.270000 ;
-        RECT 2945.910000 1485.490000 2947.090000 1486.670000 ;
-        RECT 2945.910000 1307.090000 2947.090000 1308.270000 ;
-        RECT 2945.910000 1305.490000 2947.090000 1306.670000 ;
-        RECT 2945.910000 1127.090000 2947.090000 1128.270000 ;
-        RECT 2945.910000 1125.490000 2947.090000 1126.670000 ;
-        RECT 2945.910000 947.090000 2947.090000 948.270000 ;
-        RECT 2945.910000 945.490000 2947.090000 946.670000 ;
-        RECT 2945.910000 767.090000 2947.090000 768.270000 ;
-        RECT 2945.910000 765.490000 2947.090000 766.670000 ;
-        RECT 2945.910000 587.090000 2947.090000 588.270000 ;
-        RECT 2945.910000 585.490000 2947.090000 586.670000 ;
-        RECT 2945.910000 407.090000 2947.090000 408.270000 ;
-        RECT 2945.910000 405.490000 2947.090000 406.670000 ;
-        RECT 2945.910000 227.090000 2947.090000 228.270000 ;
-        RECT 2945.910000 225.490000 2947.090000 226.670000 ;
-        RECT 2945.910000 47.090000 2947.090000 48.270000 ;
-        RECT 2945.910000 45.490000 2947.090000 46.670000 ;
-        RECT -27.470000 -21.310000 -26.290000 -20.130000 ;
-        RECT -27.470000 -22.910000 -26.290000 -21.730000 ;
-        RECT 40.930000 -21.310000 42.110000 -20.130000 ;
-        RECT 40.930000 -22.910000 42.110000 -21.730000 ;
-        RECT 220.930000 -21.310000 222.110000 -20.130000 ;
-        RECT 220.930000 -22.910000 222.110000 -21.730000 ;
-        RECT 400.930000 -21.310000 402.110000 -20.130000 ;
-        RECT 400.930000 -22.910000 402.110000 -21.730000 ;
-        RECT 580.930000 -21.310000 582.110000 -20.130000 ;
-        RECT 580.930000 -22.910000 582.110000 -21.730000 ;
-        RECT 760.930000 -21.310000 762.110000 -20.130000 ;
-        RECT 760.930000 -22.910000 762.110000 -21.730000 ;
-        RECT 940.930000 -21.310000 942.110000 -20.130000 ;
-        RECT 940.930000 -22.910000 942.110000 -21.730000 ;
-        RECT 1120.930000 -21.310000 1122.110000 -20.130000 ;
-        RECT 1120.930000 -22.910000 1122.110000 -21.730000 ;
-        RECT 1300.930000 -21.310000 1302.110000 -20.130000 ;
-        RECT 1300.930000 -22.910000 1302.110000 -21.730000 ;
-        RECT 1480.930000 -21.310000 1482.110000 -20.130000 ;
-        RECT 1480.930000 -22.910000 1482.110000 -21.730000 ;
-        RECT 1660.930000 -21.310000 1662.110000 -20.130000 ;
-        RECT 1660.930000 -22.910000 1662.110000 -21.730000 ;
-        RECT 1840.930000 -21.310000 1842.110000 -20.130000 ;
-        RECT 1840.930000 -22.910000 1842.110000 -21.730000 ;
-        RECT 2020.930000 -21.310000 2022.110000 -20.130000 ;
-        RECT 2020.930000 -22.910000 2022.110000 -21.730000 ;
-        RECT 2200.930000 -21.310000 2202.110000 -20.130000 ;
-        RECT 2200.930000 -22.910000 2202.110000 -21.730000 ;
-        RECT 2380.930000 -21.310000 2382.110000 -20.130000 ;
-        RECT 2380.930000 -22.910000 2382.110000 -21.730000 ;
-        RECT 2560.930000 -21.310000 2562.110000 -20.130000 ;
-        RECT 2560.930000 -22.910000 2562.110000 -21.730000 ;
-        RECT 2740.930000 -21.310000 2742.110000 -20.130000 ;
-        RECT 2740.930000 -22.910000 2742.110000 -21.730000 ;
-        RECT 2945.910000 -21.310000 2947.090000 -20.130000 ;
-        RECT 2945.910000 -22.910000 2947.090000 -21.730000 ;
+        RECT -27.870000 3541.810000 -26.690000 3542.990000 ;
+        RECT -27.870000 3540.210000 -26.690000 3541.390000 ;
+        RECT 40.930000 3541.810000 42.110000 3542.990000 ;
+        RECT 40.930000 3540.210000 42.110000 3541.390000 ;
+        RECT 220.930000 3541.810000 222.110000 3542.990000 ;
+        RECT 220.930000 3540.210000 222.110000 3541.390000 ;
+        RECT 400.930000 3541.810000 402.110000 3542.990000 ;
+        RECT 400.930000 3540.210000 402.110000 3541.390000 ;
+        RECT 580.930000 3541.810000 582.110000 3542.990000 ;
+        RECT 580.930000 3540.210000 582.110000 3541.390000 ;
+        RECT 760.930000 3541.810000 762.110000 3542.990000 ;
+        RECT 760.930000 3540.210000 762.110000 3541.390000 ;
+        RECT 940.930000 3541.810000 942.110000 3542.990000 ;
+        RECT 940.930000 3540.210000 942.110000 3541.390000 ;
+        RECT 1120.930000 3541.810000 1122.110000 3542.990000 ;
+        RECT 1120.930000 3540.210000 1122.110000 3541.390000 ;
+        RECT 1300.930000 3541.810000 1302.110000 3542.990000 ;
+        RECT 1300.930000 3540.210000 1302.110000 3541.390000 ;
+        RECT 1480.930000 3541.810000 1482.110000 3542.990000 ;
+        RECT 1480.930000 3540.210000 1482.110000 3541.390000 ;
+        RECT 1660.930000 3541.810000 1662.110000 3542.990000 ;
+        RECT 1660.930000 3540.210000 1662.110000 3541.390000 ;
+        RECT 1840.930000 3541.810000 1842.110000 3542.990000 ;
+        RECT 1840.930000 3540.210000 1842.110000 3541.390000 ;
+        RECT 2020.930000 3541.810000 2022.110000 3542.990000 ;
+        RECT 2020.930000 3540.210000 2022.110000 3541.390000 ;
+        RECT 2200.930000 3541.810000 2202.110000 3542.990000 ;
+        RECT 2200.930000 3540.210000 2202.110000 3541.390000 ;
+        RECT 2380.930000 3541.810000 2382.110000 3542.990000 ;
+        RECT 2380.930000 3540.210000 2382.110000 3541.390000 ;
+        RECT 2560.930000 3541.810000 2562.110000 3542.990000 ;
+        RECT 2560.930000 3540.210000 2562.110000 3541.390000 ;
+        RECT 2740.930000 3541.810000 2742.110000 3542.990000 ;
+        RECT 2740.930000 3540.210000 2742.110000 3541.390000 ;
+        RECT 2946.310000 3541.810000 2947.490000 3542.990000 ;
+        RECT 2946.310000 3540.210000 2947.490000 3541.390000 ;
+        RECT -27.870000 3467.090000 -26.690000 3468.270000 ;
+        RECT -27.870000 3465.490000 -26.690000 3466.670000 ;
+        RECT -27.870000 3287.090000 -26.690000 3288.270000 ;
+        RECT -27.870000 3285.490000 -26.690000 3286.670000 ;
+        RECT -27.870000 3107.090000 -26.690000 3108.270000 ;
+        RECT -27.870000 3105.490000 -26.690000 3106.670000 ;
+        RECT -27.870000 2927.090000 -26.690000 2928.270000 ;
+        RECT -27.870000 2925.490000 -26.690000 2926.670000 ;
+        RECT -27.870000 2747.090000 -26.690000 2748.270000 ;
+        RECT -27.870000 2745.490000 -26.690000 2746.670000 ;
+        RECT -27.870000 2567.090000 -26.690000 2568.270000 ;
+        RECT -27.870000 2565.490000 -26.690000 2566.670000 ;
+        RECT -27.870000 2387.090000 -26.690000 2388.270000 ;
+        RECT -27.870000 2385.490000 -26.690000 2386.670000 ;
+        RECT -27.870000 2207.090000 -26.690000 2208.270000 ;
+        RECT -27.870000 2205.490000 -26.690000 2206.670000 ;
+        RECT -27.870000 2027.090000 -26.690000 2028.270000 ;
+        RECT -27.870000 2025.490000 -26.690000 2026.670000 ;
+        RECT -27.870000 1847.090000 -26.690000 1848.270000 ;
+        RECT -27.870000 1845.490000 -26.690000 1846.670000 ;
+        RECT -27.870000 1667.090000 -26.690000 1668.270000 ;
+        RECT -27.870000 1665.490000 -26.690000 1666.670000 ;
+        RECT -27.870000 1487.090000 -26.690000 1488.270000 ;
+        RECT -27.870000 1485.490000 -26.690000 1486.670000 ;
+        RECT -27.870000 1307.090000 -26.690000 1308.270000 ;
+        RECT -27.870000 1305.490000 -26.690000 1306.670000 ;
+        RECT -27.870000 1127.090000 -26.690000 1128.270000 ;
+        RECT -27.870000 1125.490000 -26.690000 1126.670000 ;
+        RECT -27.870000 947.090000 -26.690000 948.270000 ;
+        RECT -27.870000 945.490000 -26.690000 946.670000 ;
+        RECT -27.870000 767.090000 -26.690000 768.270000 ;
+        RECT -27.870000 765.490000 -26.690000 766.670000 ;
+        RECT -27.870000 587.090000 -26.690000 588.270000 ;
+        RECT -27.870000 585.490000 -26.690000 586.670000 ;
+        RECT -27.870000 407.090000 -26.690000 408.270000 ;
+        RECT -27.870000 405.490000 -26.690000 406.670000 ;
+        RECT -27.870000 227.090000 -26.690000 228.270000 ;
+        RECT -27.870000 225.490000 -26.690000 226.670000 ;
+        RECT -27.870000 47.090000 -26.690000 48.270000 ;
+        RECT -27.870000 45.490000 -26.690000 46.670000 ;
+        RECT 2946.310000 3467.090000 2947.490000 3468.270000 ;
+        RECT 2946.310000 3465.490000 2947.490000 3466.670000 ;
+        RECT 2946.310000 3287.090000 2947.490000 3288.270000 ;
+        RECT 2946.310000 3285.490000 2947.490000 3286.670000 ;
+        RECT 2946.310000 3107.090000 2947.490000 3108.270000 ;
+        RECT 2946.310000 3105.490000 2947.490000 3106.670000 ;
+        RECT 2946.310000 2927.090000 2947.490000 2928.270000 ;
+        RECT 2946.310000 2925.490000 2947.490000 2926.670000 ;
+        RECT 2946.310000 2747.090000 2947.490000 2748.270000 ;
+        RECT 2946.310000 2745.490000 2947.490000 2746.670000 ;
+        RECT 2946.310000 2567.090000 2947.490000 2568.270000 ;
+        RECT 2946.310000 2565.490000 2947.490000 2566.670000 ;
+        RECT 2946.310000 2387.090000 2947.490000 2388.270000 ;
+        RECT 2946.310000 2385.490000 2947.490000 2386.670000 ;
+        RECT 2946.310000 2207.090000 2947.490000 2208.270000 ;
+        RECT 2946.310000 2205.490000 2947.490000 2206.670000 ;
+        RECT 2946.310000 2027.090000 2947.490000 2028.270000 ;
+        RECT 2946.310000 2025.490000 2947.490000 2026.670000 ;
+        RECT 2946.310000 1847.090000 2947.490000 1848.270000 ;
+        RECT 2946.310000 1845.490000 2947.490000 1846.670000 ;
+        RECT 2946.310000 1667.090000 2947.490000 1668.270000 ;
+        RECT 2946.310000 1665.490000 2947.490000 1666.670000 ;
+        RECT 2946.310000 1487.090000 2947.490000 1488.270000 ;
+        RECT 2946.310000 1485.490000 2947.490000 1486.670000 ;
+        RECT 2946.310000 1307.090000 2947.490000 1308.270000 ;
+        RECT 2946.310000 1305.490000 2947.490000 1306.670000 ;
+        RECT 2946.310000 1127.090000 2947.490000 1128.270000 ;
+        RECT 2946.310000 1125.490000 2947.490000 1126.670000 ;
+        RECT 2946.310000 947.090000 2947.490000 948.270000 ;
+        RECT 2946.310000 945.490000 2947.490000 946.670000 ;
+        RECT 2946.310000 767.090000 2947.490000 768.270000 ;
+        RECT 2946.310000 765.490000 2947.490000 766.670000 ;
+        RECT 2946.310000 587.090000 2947.490000 588.270000 ;
+        RECT 2946.310000 585.490000 2947.490000 586.670000 ;
+        RECT 2946.310000 407.090000 2947.490000 408.270000 ;
+        RECT 2946.310000 405.490000 2947.490000 406.670000 ;
+        RECT 2946.310000 227.090000 2947.490000 228.270000 ;
+        RECT 2946.310000 225.490000 2947.490000 226.670000 ;
+        RECT 2946.310000 47.090000 2947.490000 48.270000 ;
+        RECT 2946.310000 45.490000 2947.490000 46.670000 ;
+        RECT -27.870000 -21.710000 -26.690000 -20.530000 ;
+        RECT -27.870000 -23.310000 -26.690000 -22.130000 ;
+        RECT 40.930000 -21.710000 42.110000 -20.530000 ;
+        RECT 40.930000 -23.310000 42.110000 -22.130000 ;
+        RECT 220.930000 -21.710000 222.110000 -20.530000 ;
+        RECT 220.930000 -23.310000 222.110000 -22.130000 ;
+        RECT 400.930000 -21.710000 402.110000 -20.530000 ;
+        RECT 400.930000 -23.310000 402.110000 -22.130000 ;
+        RECT 580.930000 -21.710000 582.110000 -20.530000 ;
+        RECT 580.930000 -23.310000 582.110000 -22.130000 ;
+        RECT 760.930000 -21.710000 762.110000 -20.530000 ;
+        RECT 760.930000 -23.310000 762.110000 -22.130000 ;
+        RECT 940.930000 -21.710000 942.110000 -20.530000 ;
+        RECT 940.930000 -23.310000 942.110000 -22.130000 ;
+        RECT 1120.930000 -21.710000 1122.110000 -20.530000 ;
+        RECT 1120.930000 -23.310000 1122.110000 -22.130000 ;
+        RECT 1300.930000 -21.710000 1302.110000 -20.530000 ;
+        RECT 1300.930000 -23.310000 1302.110000 -22.130000 ;
+        RECT 1480.930000 -21.710000 1482.110000 -20.530000 ;
+        RECT 1480.930000 -23.310000 1482.110000 -22.130000 ;
+        RECT 1660.930000 -21.710000 1662.110000 -20.530000 ;
+        RECT 1660.930000 -23.310000 1662.110000 -22.130000 ;
+        RECT 1840.930000 -21.710000 1842.110000 -20.530000 ;
+        RECT 1840.930000 -23.310000 1842.110000 -22.130000 ;
+        RECT 2020.930000 -21.710000 2022.110000 -20.530000 ;
+        RECT 2020.930000 -23.310000 2022.110000 -22.130000 ;
+        RECT 2200.930000 -21.710000 2202.110000 -20.530000 ;
+        RECT 2200.930000 -23.310000 2202.110000 -22.130000 ;
+        RECT 2380.930000 -21.710000 2382.110000 -20.530000 ;
+        RECT 2380.930000 -23.310000 2382.110000 -22.130000 ;
+        RECT 2560.930000 -21.710000 2562.110000 -20.530000 ;
+        RECT 2560.930000 -23.310000 2562.110000 -22.130000 ;
+        RECT 2740.930000 -21.710000 2742.110000 -20.530000 ;
+        RECT 2740.930000 -23.310000 2742.110000 -22.130000 ;
+        RECT 2946.310000 -21.710000 2947.490000 -20.530000 ;
+        RECT 2946.310000 -23.310000 2947.490000 -22.130000 ;
       LAYER met5 ;
-        RECT -28.380000 3542.700000 -25.380000 3542.710000 ;
-        RECT 40.020000 3542.700000 43.020000 3542.710000 ;
-        RECT 220.020000 3542.700000 223.020000 3542.710000 ;
-        RECT 400.020000 3542.700000 403.020000 3542.710000 ;
-        RECT 580.020000 3542.700000 583.020000 3542.710000 ;
-        RECT 760.020000 3542.700000 763.020000 3542.710000 ;
-        RECT 940.020000 3542.700000 943.020000 3542.710000 ;
-        RECT 1120.020000 3542.700000 1123.020000 3542.710000 ;
-        RECT 1300.020000 3542.700000 1303.020000 3542.710000 ;
-        RECT 1480.020000 3542.700000 1483.020000 3542.710000 ;
-        RECT 1660.020000 3542.700000 1663.020000 3542.710000 ;
-        RECT 1840.020000 3542.700000 1843.020000 3542.710000 ;
-        RECT 2020.020000 3542.700000 2023.020000 3542.710000 ;
-        RECT 2200.020000 3542.700000 2203.020000 3542.710000 ;
-        RECT 2380.020000 3542.700000 2383.020000 3542.710000 ;
-        RECT 2560.020000 3542.700000 2563.020000 3542.710000 ;
-        RECT 2740.020000 3542.700000 2743.020000 3542.710000 ;
-        RECT 2945.000000 3542.700000 2948.000000 3542.710000 ;
-        RECT -28.380000 3539.700000 2948.000000 3542.700000 ;
-        RECT -28.380000 3539.690000 -25.380000 3539.700000 ;
-        RECT 40.020000 3539.690000 43.020000 3539.700000 ;
-        RECT 220.020000 3539.690000 223.020000 3539.700000 ;
-        RECT 400.020000 3539.690000 403.020000 3539.700000 ;
-        RECT 580.020000 3539.690000 583.020000 3539.700000 ;
-        RECT 760.020000 3539.690000 763.020000 3539.700000 ;
-        RECT 940.020000 3539.690000 943.020000 3539.700000 ;
-        RECT 1120.020000 3539.690000 1123.020000 3539.700000 ;
-        RECT 1300.020000 3539.690000 1303.020000 3539.700000 ;
-        RECT 1480.020000 3539.690000 1483.020000 3539.700000 ;
-        RECT 1660.020000 3539.690000 1663.020000 3539.700000 ;
-        RECT 1840.020000 3539.690000 1843.020000 3539.700000 ;
-        RECT 2020.020000 3539.690000 2023.020000 3539.700000 ;
-        RECT 2200.020000 3539.690000 2203.020000 3539.700000 ;
-        RECT 2380.020000 3539.690000 2383.020000 3539.700000 ;
-        RECT 2560.020000 3539.690000 2563.020000 3539.700000 ;
-        RECT 2740.020000 3539.690000 2743.020000 3539.700000 ;
-        RECT 2945.000000 3539.690000 2948.000000 3539.700000 ;
-        RECT -28.380000 3468.380000 -25.380000 3468.390000 ;
-        RECT 2945.000000 3468.380000 2948.000000 3468.390000 ;
-        RECT -32.980000 3465.380000 -0.400000 3468.380000 ;
-        RECT 2920.400000 3465.380000 2952.600000 3468.380000 ;
-        RECT -28.380000 3465.370000 -25.380000 3465.380000 ;
-        RECT 2945.000000 3465.370000 2948.000000 3465.380000 ;
-        RECT -28.380000 3288.380000 -25.380000 3288.390000 ;
-        RECT 2945.000000 3288.380000 2948.000000 3288.390000 ;
-        RECT -32.980000 3285.380000 -0.400000 3288.380000 ;
-        RECT 2920.400000 3285.380000 2952.600000 3288.380000 ;
-        RECT -28.380000 3285.370000 -25.380000 3285.380000 ;
-        RECT 2945.000000 3285.370000 2948.000000 3285.380000 ;
-        RECT -28.380000 3108.380000 -25.380000 3108.390000 ;
-        RECT 2945.000000 3108.380000 2948.000000 3108.390000 ;
-        RECT -32.980000 3105.380000 -0.400000 3108.380000 ;
-        RECT 2920.400000 3105.380000 2952.600000 3108.380000 ;
-        RECT -28.380000 3105.370000 -25.380000 3105.380000 ;
-        RECT 2945.000000 3105.370000 2948.000000 3105.380000 ;
-        RECT -28.380000 2928.380000 -25.380000 2928.390000 ;
-        RECT 2945.000000 2928.380000 2948.000000 2928.390000 ;
-        RECT -32.980000 2925.380000 -0.400000 2928.380000 ;
-        RECT 2920.400000 2925.380000 2952.600000 2928.380000 ;
-        RECT -28.380000 2925.370000 -25.380000 2925.380000 ;
-        RECT 2945.000000 2925.370000 2948.000000 2925.380000 ;
-        RECT -28.380000 2748.380000 -25.380000 2748.390000 ;
-        RECT 2945.000000 2748.380000 2948.000000 2748.390000 ;
-        RECT -32.980000 2745.380000 -0.400000 2748.380000 ;
-        RECT 2920.400000 2745.380000 2952.600000 2748.380000 ;
-        RECT -28.380000 2745.370000 -25.380000 2745.380000 ;
-        RECT 2945.000000 2745.370000 2948.000000 2745.380000 ;
-        RECT -28.380000 2568.380000 -25.380000 2568.390000 ;
-        RECT 2945.000000 2568.380000 2948.000000 2568.390000 ;
-        RECT -32.980000 2565.380000 -0.400000 2568.380000 ;
-        RECT 2920.400000 2565.380000 2952.600000 2568.380000 ;
-        RECT -28.380000 2565.370000 -25.380000 2565.380000 ;
-        RECT 2945.000000 2565.370000 2948.000000 2565.380000 ;
-        RECT -28.380000 2388.380000 -25.380000 2388.390000 ;
-        RECT 2945.000000 2388.380000 2948.000000 2388.390000 ;
-        RECT -32.980000 2385.380000 -0.400000 2388.380000 ;
-        RECT 2920.400000 2385.380000 2952.600000 2388.380000 ;
-        RECT -28.380000 2385.370000 -25.380000 2385.380000 ;
-        RECT 2945.000000 2385.370000 2948.000000 2385.380000 ;
-        RECT -28.380000 2208.380000 -25.380000 2208.390000 ;
-        RECT 2945.000000 2208.380000 2948.000000 2208.390000 ;
-        RECT -32.980000 2205.380000 -0.400000 2208.380000 ;
-        RECT 2920.400000 2205.380000 2952.600000 2208.380000 ;
-        RECT -28.380000 2205.370000 -25.380000 2205.380000 ;
-        RECT 2945.000000 2205.370000 2948.000000 2205.380000 ;
-        RECT -28.380000 2028.380000 -25.380000 2028.390000 ;
-        RECT 2945.000000 2028.380000 2948.000000 2028.390000 ;
-        RECT -32.980000 2025.380000 -0.400000 2028.380000 ;
-        RECT 2920.400000 2025.380000 2952.600000 2028.380000 ;
-        RECT -28.380000 2025.370000 -25.380000 2025.380000 ;
-        RECT 2945.000000 2025.370000 2948.000000 2025.380000 ;
-        RECT -28.380000 1848.380000 -25.380000 1848.390000 ;
-        RECT 2945.000000 1848.380000 2948.000000 1848.390000 ;
-        RECT -32.980000 1845.380000 -0.400000 1848.380000 ;
-        RECT 2920.400000 1845.380000 2952.600000 1848.380000 ;
-        RECT -28.380000 1845.370000 -25.380000 1845.380000 ;
-        RECT 2945.000000 1845.370000 2948.000000 1845.380000 ;
-        RECT -28.380000 1668.380000 -25.380000 1668.390000 ;
-        RECT 2945.000000 1668.380000 2948.000000 1668.390000 ;
-        RECT -32.980000 1665.380000 -0.400000 1668.380000 ;
-        RECT 2920.400000 1665.380000 2952.600000 1668.380000 ;
-        RECT -28.380000 1665.370000 -25.380000 1665.380000 ;
-        RECT 2945.000000 1665.370000 2948.000000 1665.380000 ;
-        RECT -28.380000 1488.380000 -25.380000 1488.390000 ;
-        RECT 2945.000000 1488.380000 2948.000000 1488.390000 ;
-        RECT -32.980000 1485.380000 -0.400000 1488.380000 ;
-        RECT 2920.400000 1485.380000 2952.600000 1488.380000 ;
-        RECT -28.380000 1485.370000 -25.380000 1485.380000 ;
-        RECT 2945.000000 1485.370000 2948.000000 1485.380000 ;
-        RECT -28.380000 1308.380000 -25.380000 1308.390000 ;
-        RECT 2945.000000 1308.380000 2948.000000 1308.390000 ;
-        RECT -32.980000 1305.380000 -0.400000 1308.380000 ;
-        RECT 2920.400000 1305.380000 2952.600000 1308.380000 ;
-        RECT -28.380000 1305.370000 -25.380000 1305.380000 ;
-        RECT 2945.000000 1305.370000 2948.000000 1305.380000 ;
-        RECT -28.380000 1128.380000 -25.380000 1128.390000 ;
-        RECT 2945.000000 1128.380000 2948.000000 1128.390000 ;
-        RECT -32.980000 1125.380000 -0.400000 1128.380000 ;
-        RECT 2920.400000 1125.380000 2952.600000 1128.380000 ;
-        RECT -28.380000 1125.370000 -25.380000 1125.380000 ;
-        RECT 2945.000000 1125.370000 2948.000000 1125.380000 ;
-        RECT -28.380000 948.380000 -25.380000 948.390000 ;
-        RECT 2945.000000 948.380000 2948.000000 948.390000 ;
-        RECT -32.980000 945.380000 -0.400000 948.380000 ;
-        RECT 2920.400000 945.380000 2952.600000 948.380000 ;
-        RECT -28.380000 945.370000 -25.380000 945.380000 ;
-        RECT 2945.000000 945.370000 2948.000000 945.380000 ;
-        RECT -28.380000 768.380000 -25.380000 768.390000 ;
-        RECT 2945.000000 768.380000 2948.000000 768.390000 ;
-        RECT -32.980000 765.380000 -0.400000 768.380000 ;
-        RECT 2920.400000 765.380000 2952.600000 768.380000 ;
-        RECT -28.380000 765.370000 -25.380000 765.380000 ;
-        RECT 2945.000000 765.370000 2948.000000 765.380000 ;
-        RECT -28.380000 588.380000 -25.380000 588.390000 ;
-        RECT 2945.000000 588.380000 2948.000000 588.390000 ;
-        RECT -32.980000 585.380000 -0.400000 588.380000 ;
-        RECT 2920.400000 585.380000 2952.600000 588.380000 ;
-        RECT -28.380000 585.370000 -25.380000 585.380000 ;
-        RECT 2945.000000 585.370000 2948.000000 585.380000 ;
-        RECT -28.380000 408.380000 -25.380000 408.390000 ;
-        RECT 2945.000000 408.380000 2948.000000 408.390000 ;
-        RECT -32.980000 405.380000 -0.400000 408.380000 ;
-        RECT 2920.400000 405.380000 2952.600000 408.380000 ;
-        RECT -28.380000 405.370000 -25.380000 405.380000 ;
-        RECT 2945.000000 405.370000 2948.000000 405.380000 ;
-        RECT -28.380000 228.380000 -25.380000 228.390000 ;
-        RECT 2945.000000 228.380000 2948.000000 228.390000 ;
-        RECT -32.980000 225.380000 -0.400000 228.380000 ;
-        RECT 2920.400000 225.380000 2952.600000 228.380000 ;
-        RECT -28.380000 225.370000 -25.380000 225.380000 ;
-        RECT 2945.000000 225.370000 2948.000000 225.380000 ;
-        RECT -28.380000 48.380000 -25.380000 48.390000 ;
-        RECT 2945.000000 48.380000 2948.000000 48.390000 ;
-        RECT -32.980000 45.380000 -0.400000 48.380000 ;
-        RECT 2920.400000 45.380000 2952.600000 48.380000 ;
-        RECT -28.380000 45.370000 -25.380000 45.380000 ;
-        RECT 2945.000000 45.370000 2948.000000 45.380000 ;
-        RECT -28.380000 -20.020000 -25.380000 -20.010000 ;
-        RECT 40.020000 -20.020000 43.020000 -20.010000 ;
-        RECT 220.020000 -20.020000 223.020000 -20.010000 ;
-        RECT 400.020000 -20.020000 403.020000 -20.010000 ;
-        RECT 580.020000 -20.020000 583.020000 -20.010000 ;
-        RECT 760.020000 -20.020000 763.020000 -20.010000 ;
-        RECT 940.020000 -20.020000 943.020000 -20.010000 ;
-        RECT 1120.020000 -20.020000 1123.020000 -20.010000 ;
-        RECT 1300.020000 -20.020000 1303.020000 -20.010000 ;
-        RECT 1480.020000 -20.020000 1483.020000 -20.010000 ;
-        RECT 1660.020000 -20.020000 1663.020000 -20.010000 ;
-        RECT 1840.020000 -20.020000 1843.020000 -20.010000 ;
-        RECT 2020.020000 -20.020000 2023.020000 -20.010000 ;
-        RECT 2200.020000 -20.020000 2203.020000 -20.010000 ;
-        RECT 2380.020000 -20.020000 2383.020000 -20.010000 ;
-        RECT 2560.020000 -20.020000 2563.020000 -20.010000 ;
-        RECT 2740.020000 -20.020000 2743.020000 -20.010000 ;
-        RECT 2945.000000 -20.020000 2948.000000 -20.010000 ;
-        RECT -28.380000 -23.020000 2948.000000 -20.020000 ;
-        RECT -28.380000 -23.030000 -25.380000 -23.020000 ;
-        RECT 40.020000 -23.030000 43.020000 -23.020000 ;
-        RECT 220.020000 -23.030000 223.020000 -23.020000 ;
-        RECT 400.020000 -23.030000 403.020000 -23.020000 ;
-        RECT 580.020000 -23.030000 583.020000 -23.020000 ;
-        RECT 760.020000 -23.030000 763.020000 -23.020000 ;
-        RECT 940.020000 -23.030000 943.020000 -23.020000 ;
-        RECT 1120.020000 -23.030000 1123.020000 -23.020000 ;
-        RECT 1300.020000 -23.030000 1303.020000 -23.020000 ;
-        RECT 1480.020000 -23.030000 1483.020000 -23.020000 ;
-        RECT 1660.020000 -23.030000 1663.020000 -23.020000 ;
-        RECT 1840.020000 -23.030000 1843.020000 -23.020000 ;
-        RECT 2020.020000 -23.030000 2023.020000 -23.020000 ;
-        RECT 2200.020000 -23.030000 2203.020000 -23.020000 ;
-        RECT 2380.020000 -23.030000 2383.020000 -23.020000 ;
-        RECT 2560.020000 -23.030000 2563.020000 -23.020000 ;
-        RECT 2740.020000 -23.030000 2743.020000 -23.020000 ;
-        RECT 2945.000000 -23.030000 2948.000000 -23.020000 ;
+        RECT -28.780000 3543.100000 -25.780000 3543.110000 ;
+        RECT 40.020000 3543.100000 43.020000 3543.110000 ;
+        RECT 220.020000 3543.100000 223.020000 3543.110000 ;
+        RECT 400.020000 3543.100000 403.020000 3543.110000 ;
+        RECT 580.020000 3543.100000 583.020000 3543.110000 ;
+        RECT 760.020000 3543.100000 763.020000 3543.110000 ;
+        RECT 940.020000 3543.100000 943.020000 3543.110000 ;
+        RECT 1120.020000 3543.100000 1123.020000 3543.110000 ;
+        RECT 1300.020000 3543.100000 1303.020000 3543.110000 ;
+        RECT 1480.020000 3543.100000 1483.020000 3543.110000 ;
+        RECT 1660.020000 3543.100000 1663.020000 3543.110000 ;
+        RECT 1840.020000 3543.100000 1843.020000 3543.110000 ;
+        RECT 2020.020000 3543.100000 2023.020000 3543.110000 ;
+        RECT 2200.020000 3543.100000 2203.020000 3543.110000 ;
+        RECT 2380.020000 3543.100000 2383.020000 3543.110000 ;
+        RECT 2560.020000 3543.100000 2563.020000 3543.110000 ;
+        RECT 2740.020000 3543.100000 2743.020000 3543.110000 ;
+        RECT 2945.400000 3543.100000 2948.400000 3543.110000 ;
+        RECT -28.780000 3540.100000 2948.400000 3543.100000 ;
+        RECT -28.780000 3540.090000 -25.780000 3540.100000 ;
+        RECT 40.020000 3540.090000 43.020000 3540.100000 ;
+        RECT 220.020000 3540.090000 223.020000 3540.100000 ;
+        RECT 400.020000 3540.090000 403.020000 3540.100000 ;
+        RECT 580.020000 3540.090000 583.020000 3540.100000 ;
+        RECT 760.020000 3540.090000 763.020000 3540.100000 ;
+        RECT 940.020000 3540.090000 943.020000 3540.100000 ;
+        RECT 1120.020000 3540.090000 1123.020000 3540.100000 ;
+        RECT 1300.020000 3540.090000 1303.020000 3540.100000 ;
+        RECT 1480.020000 3540.090000 1483.020000 3540.100000 ;
+        RECT 1660.020000 3540.090000 1663.020000 3540.100000 ;
+        RECT 1840.020000 3540.090000 1843.020000 3540.100000 ;
+        RECT 2020.020000 3540.090000 2023.020000 3540.100000 ;
+        RECT 2200.020000 3540.090000 2203.020000 3540.100000 ;
+        RECT 2380.020000 3540.090000 2383.020000 3540.100000 ;
+        RECT 2560.020000 3540.090000 2563.020000 3540.100000 ;
+        RECT 2740.020000 3540.090000 2743.020000 3540.100000 ;
+        RECT 2945.400000 3540.090000 2948.400000 3540.100000 ;
+        RECT -28.780000 3468.380000 -25.780000 3468.390000 ;
+        RECT 2945.400000 3468.380000 2948.400000 3468.390000 ;
+        RECT -33.480000 3465.380000 -0.400000 3468.380000 ;
+        RECT 2920.400000 3465.380000 2953.100000 3468.380000 ;
+        RECT -28.780000 3465.370000 -25.780000 3465.380000 ;
+        RECT 2945.400000 3465.370000 2948.400000 3465.380000 ;
+        RECT -28.780000 3288.380000 -25.780000 3288.390000 ;
+        RECT 2945.400000 3288.380000 2948.400000 3288.390000 ;
+        RECT -33.480000 3285.380000 -0.400000 3288.380000 ;
+        RECT 2920.400000 3285.380000 2953.100000 3288.380000 ;
+        RECT -28.780000 3285.370000 -25.780000 3285.380000 ;
+        RECT 2945.400000 3285.370000 2948.400000 3285.380000 ;
+        RECT -28.780000 3108.380000 -25.780000 3108.390000 ;
+        RECT 2945.400000 3108.380000 2948.400000 3108.390000 ;
+        RECT -33.480000 3105.380000 -0.400000 3108.380000 ;
+        RECT 2920.400000 3105.380000 2953.100000 3108.380000 ;
+        RECT -28.780000 3105.370000 -25.780000 3105.380000 ;
+        RECT 2945.400000 3105.370000 2948.400000 3105.380000 ;
+        RECT -28.780000 2928.380000 -25.780000 2928.390000 ;
+        RECT 2945.400000 2928.380000 2948.400000 2928.390000 ;
+        RECT -33.480000 2925.380000 -0.400000 2928.380000 ;
+        RECT 2920.400000 2925.380000 2953.100000 2928.380000 ;
+        RECT -28.780000 2925.370000 -25.780000 2925.380000 ;
+        RECT 2945.400000 2925.370000 2948.400000 2925.380000 ;
+        RECT -28.780000 2748.380000 -25.780000 2748.390000 ;
+        RECT 2945.400000 2748.380000 2948.400000 2748.390000 ;
+        RECT -33.480000 2745.380000 -0.400000 2748.380000 ;
+        RECT 2920.400000 2745.380000 2953.100000 2748.380000 ;
+        RECT -28.780000 2745.370000 -25.780000 2745.380000 ;
+        RECT 2945.400000 2745.370000 2948.400000 2745.380000 ;
+        RECT -28.780000 2568.380000 -25.780000 2568.390000 ;
+        RECT 2945.400000 2568.380000 2948.400000 2568.390000 ;
+        RECT -33.480000 2565.380000 -0.400000 2568.380000 ;
+        RECT 2920.400000 2565.380000 2953.100000 2568.380000 ;
+        RECT -28.780000 2565.370000 -25.780000 2565.380000 ;
+        RECT 2945.400000 2565.370000 2948.400000 2565.380000 ;
+        RECT -28.780000 2388.380000 -25.780000 2388.390000 ;
+        RECT 2945.400000 2388.380000 2948.400000 2388.390000 ;
+        RECT -33.480000 2385.380000 -0.400000 2388.380000 ;
+        RECT 2920.400000 2385.380000 2953.100000 2388.380000 ;
+        RECT -28.780000 2385.370000 -25.780000 2385.380000 ;
+        RECT 2945.400000 2385.370000 2948.400000 2385.380000 ;
+        RECT -28.780000 2208.380000 -25.780000 2208.390000 ;
+        RECT 2945.400000 2208.380000 2948.400000 2208.390000 ;
+        RECT -33.480000 2205.380000 -0.400000 2208.380000 ;
+        RECT 2920.400000 2205.380000 2953.100000 2208.380000 ;
+        RECT -28.780000 2205.370000 -25.780000 2205.380000 ;
+        RECT 2945.400000 2205.370000 2948.400000 2205.380000 ;
+        RECT -28.780000 2028.380000 -25.780000 2028.390000 ;
+        RECT 2945.400000 2028.380000 2948.400000 2028.390000 ;
+        RECT -33.480000 2025.380000 -0.400000 2028.380000 ;
+        RECT 2920.400000 2025.380000 2953.100000 2028.380000 ;
+        RECT -28.780000 2025.370000 -25.780000 2025.380000 ;
+        RECT 2945.400000 2025.370000 2948.400000 2025.380000 ;
+        RECT -28.780000 1848.380000 -25.780000 1848.390000 ;
+        RECT 2945.400000 1848.380000 2948.400000 1848.390000 ;
+        RECT -33.480000 1845.380000 -0.400000 1848.380000 ;
+        RECT 2920.400000 1845.380000 2953.100000 1848.380000 ;
+        RECT -28.780000 1845.370000 -25.780000 1845.380000 ;
+        RECT 2945.400000 1845.370000 2948.400000 1845.380000 ;
+        RECT -28.780000 1668.380000 -25.780000 1668.390000 ;
+        RECT 2945.400000 1668.380000 2948.400000 1668.390000 ;
+        RECT -33.480000 1665.380000 -0.400000 1668.380000 ;
+        RECT 2920.400000 1665.380000 2953.100000 1668.380000 ;
+        RECT -28.780000 1665.370000 -25.780000 1665.380000 ;
+        RECT 2945.400000 1665.370000 2948.400000 1665.380000 ;
+        RECT -28.780000 1488.380000 -25.780000 1488.390000 ;
+        RECT 2945.400000 1488.380000 2948.400000 1488.390000 ;
+        RECT -33.480000 1485.380000 -0.400000 1488.380000 ;
+        RECT 2920.400000 1485.380000 2953.100000 1488.380000 ;
+        RECT -28.780000 1485.370000 -25.780000 1485.380000 ;
+        RECT 2945.400000 1485.370000 2948.400000 1485.380000 ;
+        RECT -28.780000 1308.380000 -25.780000 1308.390000 ;
+        RECT 2945.400000 1308.380000 2948.400000 1308.390000 ;
+        RECT -33.480000 1305.380000 -0.400000 1308.380000 ;
+        RECT 2920.400000 1305.380000 2953.100000 1308.380000 ;
+        RECT -28.780000 1305.370000 -25.780000 1305.380000 ;
+        RECT 2945.400000 1305.370000 2948.400000 1305.380000 ;
+        RECT -28.780000 1128.380000 -25.780000 1128.390000 ;
+        RECT 2945.400000 1128.380000 2948.400000 1128.390000 ;
+        RECT -33.480000 1125.380000 -0.400000 1128.380000 ;
+        RECT 2920.400000 1125.380000 2953.100000 1128.380000 ;
+        RECT -28.780000 1125.370000 -25.780000 1125.380000 ;
+        RECT 2945.400000 1125.370000 2948.400000 1125.380000 ;
+        RECT -28.780000 948.380000 -25.780000 948.390000 ;
+        RECT 2945.400000 948.380000 2948.400000 948.390000 ;
+        RECT -33.480000 945.380000 -0.400000 948.380000 ;
+        RECT 2920.400000 945.380000 2953.100000 948.380000 ;
+        RECT -28.780000 945.370000 -25.780000 945.380000 ;
+        RECT 2945.400000 945.370000 2948.400000 945.380000 ;
+        RECT -28.780000 768.380000 -25.780000 768.390000 ;
+        RECT 2945.400000 768.380000 2948.400000 768.390000 ;
+        RECT -33.480000 765.380000 -0.400000 768.380000 ;
+        RECT 2920.400000 765.380000 2953.100000 768.380000 ;
+        RECT -28.780000 765.370000 -25.780000 765.380000 ;
+        RECT 2945.400000 765.370000 2948.400000 765.380000 ;
+        RECT -28.780000 588.380000 -25.780000 588.390000 ;
+        RECT 2945.400000 588.380000 2948.400000 588.390000 ;
+        RECT -33.480000 585.380000 -0.400000 588.380000 ;
+        RECT 2920.400000 585.380000 2953.100000 588.380000 ;
+        RECT -28.780000 585.370000 -25.780000 585.380000 ;
+        RECT 2945.400000 585.370000 2948.400000 585.380000 ;
+        RECT -28.780000 408.380000 -25.780000 408.390000 ;
+        RECT 2945.400000 408.380000 2948.400000 408.390000 ;
+        RECT -33.480000 405.380000 -0.400000 408.380000 ;
+        RECT 2920.400000 405.380000 2953.100000 408.380000 ;
+        RECT -28.780000 405.370000 -25.780000 405.380000 ;
+        RECT 2945.400000 405.370000 2948.400000 405.380000 ;
+        RECT -28.780000 228.380000 -25.780000 228.390000 ;
+        RECT 2945.400000 228.380000 2948.400000 228.390000 ;
+        RECT -33.480000 225.380000 -0.400000 228.380000 ;
+        RECT 2920.400000 225.380000 2953.100000 228.380000 ;
+        RECT -28.780000 225.370000 -25.780000 225.380000 ;
+        RECT 2945.400000 225.370000 2948.400000 225.380000 ;
+        RECT -28.780000 48.380000 -25.780000 48.390000 ;
+        RECT 2945.400000 48.380000 2948.400000 48.390000 ;
+        RECT -33.480000 45.380000 -0.400000 48.380000 ;
+        RECT 2920.400000 45.380000 2953.100000 48.380000 ;
+        RECT -28.780000 45.370000 -25.780000 45.380000 ;
+        RECT 2945.400000 45.370000 2948.400000 45.380000 ;
+        RECT -28.780000 -20.420000 -25.780000 -20.410000 ;
+        RECT 40.020000 -20.420000 43.020000 -20.410000 ;
+        RECT 220.020000 -20.420000 223.020000 -20.410000 ;
+        RECT 400.020000 -20.420000 403.020000 -20.410000 ;
+        RECT 580.020000 -20.420000 583.020000 -20.410000 ;
+        RECT 760.020000 -20.420000 763.020000 -20.410000 ;
+        RECT 940.020000 -20.420000 943.020000 -20.410000 ;
+        RECT 1120.020000 -20.420000 1123.020000 -20.410000 ;
+        RECT 1300.020000 -20.420000 1303.020000 -20.410000 ;
+        RECT 1480.020000 -20.420000 1483.020000 -20.410000 ;
+        RECT 1660.020000 -20.420000 1663.020000 -20.410000 ;
+        RECT 1840.020000 -20.420000 1843.020000 -20.410000 ;
+        RECT 2020.020000 -20.420000 2023.020000 -20.410000 ;
+        RECT 2200.020000 -20.420000 2203.020000 -20.410000 ;
+        RECT 2380.020000 -20.420000 2383.020000 -20.410000 ;
+        RECT 2560.020000 -20.420000 2563.020000 -20.410000 ;
+        RECT 2740.020000 -20.420000 2743.020000 -20.410000 ;
+        RECT 2945.400000 -20.420000 2948.400000 -20.410000 ;
+        RECT -28.780000 -23.420000 2948.400000 -20.420000 ;
+        RECT -28.780000 -23.430000 -25.780000 -23.420000 ;
+        RECT 40.020000 -23.430000 43.020000 -23.420000 ;
+        RECT 220.020000 -23.430000 223.020000 -23.420000 ;
+        RECT 400.020000 -23.430000 403.020000 -23.420000 ;
+        RECT 580.020000 -23.430000 583.020000 -23.420000 ;
+        RECT 760.020000 -23.430000 763.020000 -23.420000 ;
+        RECT 940.020000 -23.430000 943.020000 -23.420000 ;
+        RECT 1120.020000 -23.430000 1123.020000 -23.420000 ;
+        RECT 1300.020000 -23.430000 1303.020000 -23.420000 ;
+        RECT 1480.020000 -23.430000 1483.020000 -23.420000 ;
+        RECT 1660.020000 -23.430000 1663.020000 -23.420000 ;
+        RECT 1840.020000 -23.430000 1843.020000 -23.420000 ;
+        RECT 2020.020000 -23.430000 2023.020000 -23.420000 ;
+        RECT 2200.020000 -23.430000 2203.020000 -23.420000 ;
+        RECT 2380.020000 -23.430000 2383.020000 -23.420000 ;
+        RECT 2560.020000 -23.430000 2563.020000 -23.420000 ;
+        RECT 2740.020000 -23.430000 2743.020000 -23.420000 ;
+        RECT 2945.400000 -23.430000 2948.400000 -23.420000 ;
     END
   END vdda1
   PIN vssa1
     DIRECTION INPUT ;
     PORT
       LAYER met4 ;
-        RECT -32.980000 -27.620000 -29.980000 3547.300000 ;
-        RECT 130.020000 3520.400000 133.020000 3547.300000 ;
-        RECT 310.020000 3520.400000 313.020000 3547.300000 ;
-        RECT 490.020000 3520.400000 493.020000 3547.300000 ;
-        RECT 670.020000 3520.400000 673.020000 3547.300000 ;
-        RECT 850.020000 3520.400000 853.020000 3547.300000 ;
-        RECT 1030.020000 3520.400000 1033.020000 3547.300000 ;
-        RECT 1210.020000 3520.400000 1213.020000 3547.300000 ;
-        RECT 1390.020000 3520.400000 1393.020000 3547.300000 ;
-        RECT 1570.020000 3520.400000 1573.020000 3547.300000 ;
-        RECT 1750.020000 3520.400000 1753.020000 3547.300000 ;
-        RECT 1930.020000 3520.400000 1933.020000 3547.300000 ;
-        RECT 2110.020000 3520.400000 2113.020000 3547.300000 ;
-        RECT 2290.020000 3520.400000 2293.020000 3547.300000 ;
-        RECT 2470.020000 3520.400000 2473.020000 3547.300000 ;
-        RECT 2650.020000 3520.400000 2653.020000 3547.300000 ;
-        RECT 2830.020000 3520.400000 2833.020000 3547.300000 ;
-        RECT 130.020000 -27.620000 133.020000 -0.400000 ;
-        RECT 310.020000 -27.620000 313.020000 -0.400000 ;
-        RECT 490.020000 -27.620000 493.020000 -0.400000 ;
-        RECT 670.020000 -27.620000 673.020000 -0.400000 ;
-        RECT 850.020000 -27.620000 853.020000 -0.400000 ;
-        RECT 1030.020000 -27.620000 1033.020000 -0.400000 ;
-        RECT 1210.020000 -27.620000 1213.020000 -0.400000 ;
-        RECT 1390.020000 -27.620000 1393.020000 -0.400000 ;
-        RECT 1570.020000 -27.620000 1573.020000 -0.400000 ;
-        RECT 1750.020000 -27.620000 1753.020000 -0.400000 ;
-        RECT 1930.020000 -27.620000 1933.020000 -0.400000 ;
-        RECT 2110.020000 -27.620000 2113.020000 -0.400000 ;
-        RECT 2290.020000 -27.620000 2293.020000 -0.400000 ;
-        RECT 2470.020000 -27.620000 2473.020000 -0.400000 ;
-        RECT 2650.020000 -27.620000 2653.020000 -0.400000 ;
-        RECT 2830.020000 -27.620000 2833.020000 -0.400000 ;
-        RECT 2949.600000 -27.620000 2952.600000 3547.300000 ;
+        RECT -33.480000 -28.120000 -30.480000 3547.800000 ;
+        RECT 130.020000 3520.400000 133.020000 3547.800000 ;
+        RECT 310.020000 3520.400000 313.020000 3547.800000 ;
+        RECT 490.020000 3520.400000 493.020000 3547.800000 ;
+        RECT 670.020000 3520.400000 673.020000 3547.800000 ;
+        RECT 850.020000 3520.400000 853.020000 3547.800000 ;
+        RECT 1030.020000 3520.400000 1033.020000 3547.800000 ;
+        RECT 1210.020000 3520.400000 1213.020000 3547.800000 ;
+        RECT 1390.020000 3520.400000 1393.020000 3547.800000 ;
+        RECT 1570.020000 3520.400000 1573.020000 3547.800000 ;
+        RECT 1750.020000 3520.400000 1753.020000 3547.800000 ;
+        RECT 1930.020000 3520.400000 1933.020000 3547.800000 ;
+        RECT 2110.020000 3520.400000 2113.020000 3547.800000 ;
+        RECT 2290.020000 3520.400000 2293.020000 3547.800000 ;
+        RECT 2470.020000 3520.400000 2473.020000 3547.800000 ;
+        RECT 2650.020000 3520.400000 2653.020000 3547.800000 ;
+        RECT 2830.020000 3520.400000 2833.020000 3547.800000 ;
+        RECT 130.020000 -28.120000 133.020000 -0.400000 ;
+        RECT 310.020000 -28.120000 313.020000 -0.400000 ;
+        RECT 490.020000 -28.120000 493.020000 -0.400000 ;
+        RECT 670.020000 -28.120000 673.020000 -0.400000 ;
+        RECT 850.020000 -28.120000 853.020000 -0.400000 ;
+        RECT 1030.020000 -28.120000 1033.020000 -0.400000 ;
+        RECT 1210.020000 -28.120000 1213.020000 -0.400000 ;
+        RECT 1390.020000 -28.120000 1393.020000 -0.400000 ;
+        RECT 1570.020000 -28.120000 1573.020000 -0.400000 ;
+        RECT 1750.020000 -28.120000 1753.020000 -0.400000 ;
+        RECT 1930.020000 -28.120000 1933.020000 -0.400000 ;
+        RECT 2110.020000 -28.120000 2113.020000 -0.400000 ;
+        RECT 2290.020000 -28.120000 2293.020000 -0.400000 ;
+        RECT 2470.020000 -28.120000 2473.020000 -0.400000 ;
+        RECT 2650.020000 -28.120000 2653.020000 -0.400000 ;
+        RECT 2830.020000 -28.120000 2833.020000 -0.400000 ;
+        RECT 2950.100000 -28.120000 2953.100000 3547.800000 ;
       LAYER M4M5_PR_C ;
-        RECT -32.070000 3546.010000 -30.890000 3547.190000 ;
-        RECT -32.070000 3544.410000 -30.890000 3545.590000 ;
-        RECT 130.930000 3546.010000 132.110000 3547.190000 ;
-        RECT 130.930000 3544.410000 132.110000 3545.590000 ;
-        RECT 310.930000 3546.010000 312.110000 3547.190000 ;
-        RECT 310.930000 3544.410000 312.110000 3545.590000 ;
-        RECT 490.930000 3546.010000 492.110000 3547.190000 ;
-        RECT 490.930000 3544.410000 492.110000 3545.590000 ;
-        RECT 670.930000 3546.010000 672.110000 3547.190000 ;
-        RECT 670.930000 3544.410000 672.110000 3545.590000 ;
-        RECT 850.930000 3546.010000 852.110000 3547.190000 ;
-        RECT 850.930000 3544.410000 852.110000 3545.590000 ;
-        RECT 1030.930000 3546.010000 1032.110000 3547.190000 ;
-        RECT 1030.930000 3544.410000 1032.110000 3545.590000 ;
-        RECT 1210.930000 3546.010000 1212.110000 3547.190000 ;
-        RECT 1210.930000 3544.410000 1212.110000 3545.590000 ;
-        RECT 1390.930000 3546.010000 1392.110000 3547.190000 ;
-        RECT 1390.930000 3544.410000 1392.110000 3545.590000 ;
-        RECT 1570.930000 3546.010000 1572.110000 3547.190000 ;
-        RECT 1570.930000 3544.410000 1572.110000 3545.590000 ;
-        RECT 1750.930000 3546.010000 1752.110000 3547.190000 ;
-        RECT 1750.930000 3544.410000 1752.110000 3545.590000 ;
-        RECT 1930.930000 3546.010000 1932.110000 3547.190000 ;
-        RECT 1930.930000 3544.410000 1932.110000 3545.590000 ;
-        RECT 2110.930000 3546.010000 2112.110000 3547.190000 ;
-        RECT 2110.930000 3544.410000 2112.110000 3545.590000 ;
-        RECT 2290.930000 3546.010000 2292.110000 3547.190000 ;
-        RECT 2290.930000 3544.410000 2292.110000 3545.590000 ;
-        RECT 2470.930000 3546.010000 2472.110000 3547.190000 ;
-        RECT 2470.930000 3544.410000 2472.110000 3545.590000 ;
-        RECT 2650.930000 3546.010000 2652.110000 3547.190000 ;
-        RECT 2650.930000 3544.410000 2652.110000 3545.590000 ;
-        RECT 2830.930000 3546.010000 2832.110000 3547.190000 ;
-        RECT 2830.930000 3544.410000 2832.110000 3545.590000 ;
-        RECT 2950.510000 3546.010000 2951.690000 3547.190000 ;
-        RECT 2950.510000 3544.410000 2951.690000 3545.590000 ;
-        RECT -32.070000 3377.090000 -30.890000 3378.270000 ;
-        RECT -32.070000 3375.490000 -30.890000 3376.670000 ;
-        RECT -32.070000 3197.090000 -30.890000 3198.270000 ;
-        RECT -32.070000 3195.490000 -30.890000 3196.670000 ;
-        RECT -32.070000 3017.090000 -30.890000 3018.270000 ;
-        RECT -32.070000 3015.490000 -30.890000 3016.670000 ;
-        RECT -32.070000 2837.090000 -30.890000 2838.270000 ;
-        RECT -32.070000 2835.490000 -30.890000 2836.670000 ;
-        RECT -32.070000 2657.090000 -30.890000 2658.270000 ;
-        RECT -32.070000 2655.490000 -30.890000 2656.670000 ;
-        RECT -32.070000 2477.090000 -30.890000 2478.270000 ;
-        RECT -32.070000 2475.490000 -30.890000 2476.670000 ;
-        RECT -32.070000 2297.090000 -30.890000 2298.270000 ;
-        RECT -32.070000 2295.490000 -30.890000 2296.670000 ;
-        RECT -32.070000 2117.090000 -30.890000 2118.270000 ;
-        RECT -32.070000 2115.490000 -30.890000 2116.670000 ;
-        RECT -32.070000 1937.090000 -30.890000 1938.270000 ;
-        RECT -32.070000 1935.490000 -30.890000 1936.670000 ;
-        RECT -32.070000 1757.090000 -30.890000 1758.270000 ;
-        RECT -32.070000 1755.490000 -30.890000 1756.670000 ;
-        RECT -32.070000 1577.090000 -30.890000 1578.270000 ;
-        RECT -32.070000 1575.490000 -30.890000 1576.670000 ;
-        RECT -32.070000 1397.090000 -30.890000 1398.270000 ;
-        RECT -32.070000 1395.490000 -30.890000 1396.670000 ;
-        RECT -32.070000 1217.090000 -30.890000 1218.270000 ;
-        RECT -32.070000 1215.490000 -30.890000 1216.670000 ;
-        RECT -32.070000 1037.090000 -30.890000 1038.270000 ;
-        RECT -32.070000 1035.490000 -30.890000 1036.670000 ;
-        RECT -32.070000 857.090000 -30.890000 858.270000 ;
-        RECT -32.070000 855.490000 -30.890000 856.670000 ;
-        RECT -32.070000 677.090000 -30.890000 678.270000 ;
-        RECT -32.070000 675.490000 -30.890000 676.670000 ;
-        RECT -32.070000 497.090000 -30.890000 498.270000 ;
-        RECT -32.070000 495.490000 -30.890000 496.670000 ;
-        RECT -32.070000 317.090000 -30.890000 318.270000 ;
-        RECT -32.070000 315.490000 -30.890000 316.670000 ;
-        RECT -32.070000 137.090000 -30.890000 138.270000 ;
-        RECT -32.070000 135.490000 -30.890000 136.670000 ;
-        RECT 2950.510000 3377.090000 2951.690000 3378.270000 ;
-        RECT 2950.510000 3375.490000 2951.690000 3376.670000 ;
-        RECT 2950.510000 3197.090000 2951.690000 3198.270000 ;
-        RECT 2950.510000 3195.490000 2951.690000 3196.670000 ;
-        RECT 2950.510000 3017.090000 2951.690000 3018.270000 ;
-        RECT 2950.510000 3015.490000 2951.690000 3016.670000 ;
-        RECT 2950.510000 2837.090000 2951.690000 2838.270000 ;
-        RECT 2950.510000 2835.490000 2951.690000 2836.670000 ;
-        RECT 2950.510000 2657.090000 2951.690000 2658.270000 ;
-        RECT 2950.510000 2655.490000 2951.690000 2656.670000 ;
-        RECT 2950.510000 2477.090000 2951.690000 2478.270000 ;
-        RECT 2950.510000 2475.490000 2951.690000 2476.670000 ;
-        RECT 2950.510000 2297.090000 2951.690000 2298.270000 ;
-        RECT 2950.510000 2295.490000 2951.690000 2296.670000 ;
-        RECT 2950.510000 2117.090000 2951.690000 2118.270000 ;
-        RECT 2950.510000 2115.490000 2951.690000 2116.670000 ;
-        RECT 2950.510000 1937.090000 2951.690000 1938.270000 ;
-        RECT 2950.510000 1935.490000 2951.690000 1936.670000 ;
-        RECT 2950.510000 1757.090000 2951.690000 1758.270000 ;
-        RECT 2950.510000 1755.490000 2951.690000 1756.670000 ;
-        RECT 2950.510000 1577.090000 2951.690000 1578.270000 ;
-        RECT 2950.510000 1575.490000 2951.690000 1576.670000 ;
-        RECT 2950.510000 1397.090000 2951.690000 1398.270000 ;
-        RECT 2950.510000 1395.490000 2951.690000 1396.670000 ;
-        RECT 2950.510000 1217.090000 2951.690000 1218.270000 ;
-        RECT 2950.510000 1215.490000 2951.690000 1216.670000 ;
-        RECT 2950.510000 1037.090000 2951.690000 1038.270000 ;
-        RECT 2950.510000 1035.490000 2951.690000 1036.670000 ;
-        RECT 2950.510000 857.090000 2951.690000 858.270000 ;
-        RECT 2950.510000 855.490000 2951.690000 856.670000 ;
-        RECT 2950.510000 677.090000 2951.690000 678.270000 ;
-        RECT 2950.510000 675.490000 2951.690000 676.670000 ;
-        RECT 2950.510000 497.090000 2951.690000 498.270000 ;
-        RECT 2950.510000 495.490000 2951.690000 496.670000 ;
-        RECT 2950.510000 317.090000 2951.690000 318.270000 ;
-        RECT 2950.510000 315.490000 2951.690000 316.670000 ;
-        RECT 2950.510000 137.090000 2951.690000 138.270000 ;
-        RECT 2950.510000 135.490000 2951.690000 136.670000 ;
-        RECT -32.070000 -25.910000 -30.890000 -24.730000 ;
-        RECT -32.070000 -27.510000 -30.890000 -26.330000 ;
-        RECT 130.930000 -25.910000 132.110000 -24.730000 ;
-        RECT 130.930000 -27.510000 132.110000 -26.330000 ;
-        RECT 310.930000 -25.910000 312.110000 -24.730000 ;
-        RECT 310.930000 -27.510000 312.110000 -26.330000 ;
-        RECT 490.930000 -25.910000 492.110000 -24.730000 ;
-        RECT 490.930000 -27.510000 492.110000 -26.330000 ;
-        RECT 670.930000 -25.910000 672.110000 -24.730000 ;
-        RECT 670.930000 -27.510000 672.110000 -26.330000 ;
-        RECT 850.930000 -25.910000 852.110000 -24.730000 ;
-        RECT 850.930000 -27.510000 852.110000 -26.330000 ;
-        RECT 1030.930000 -25.910000 1032.110000 -24.730000 ;
-        RECT 1030.930000 -27.510000 1032.110000 -26.330000 ;
-        RECT 1210.930000 -25.910000 1212.110000 -24.730000 ;
-        RECT 1210.930000 -27.510000 1212.110000 -26.330000 ;
-        RECT 1390.930000 -25.910000 1392.110000 -24.730000 ;
-        RECT 1390.930000 -27.510000 1392.110000 -26.330000 ;
-        RECT 1570.930000 -25.910000 1572.110000 -24.730000 ;
-        RECT 1570.930000 -27.510000 1572.110000 -26.330000 ;
-        RECT 1750.930000 -25.910000 1752.110000 -24.730000 ;
-        RECT 1750.930000 -27.510000 1752.110000 -26.330000 ;
-        RECT 1930.930000 -25.910000 1932.110000 -24.730000 ;
-        RECT 1930.930000 -27.510000 1932.110000 -26.330000 ;
-        RECT 2110.930000 -25.910000 2112.110000 -24.730000 ;
-        RECT 2110.930000 -27.510000 2112.110000 -26.330000 ;
-        RECT 2290.930000 -25.910000 2292.110000 -24.730000 ;
-        RECT 2290.930000 -27.510000 2292.110000 -26.330000 ;
-        RECT 2470.930000 -25.910000 2472.110000 -24.730000 ;
-        RECT 2470.930000 -27.510000 2472.110000 -26.330000 ;
-        RECT 2650.930000 -25.910000 2652.110000 -24.730000 ;
-        RECT 2650.930000 -27.510000 2652.110000 -26.330000 ;
-        RECT 2830.930000 -25.910000 2832.110000 -24.730000 ;
-        RECT 2830.930000 -27.510000 2832.110000 -26.330000 ;
-        RECT 2950.510000 -25.910000 2951.690000 -24.730000 ;
-        RECT 2950.510000 -27.510000 2951.690000 -26.330000 ;
+        RECT -32.570000 3546.510000 -31.390000 3547.690000 ;
+        RECT -32.570000 3544.910000 -31.390000 3546.090000 ;
+        RECT 130.930000 3546.510000 132.110000 3547.690000 ;
+        RECT 130.930000 3544.910000 132.110000 3546.090000 ;
+        RECT 310.930000 3546.510000 312.110000 3547.690000 ;
+        RECT 310.930000 3544.910000 312.110000 3546.090000 ;
+        RECT 490.930000 3546.510000 492.110000 3547.690000 ;
+        RECT 490.930000 3544.910000 492.110000 3546.090000 ;
+        RECT 670.930000 3546.510000 672.110000 3547.690000 ;
+        RECT 670.930000 3544.910000 672.110000 3546.090000 ;
+        RECT 850.930000 3546.510000 852.110000 3547.690000 ;
+        RECT 850.930000 3544.910000 852.110000 3546.090000 ;
+        RECT 1030.930000 3546.510000 1032.110000 3547.690000 ;
+        RECT 1030.930000 3544.910000 1032.110000 3546.090000 ;
+        RECT 1210.930000 3546.510000 1212.110000 3547.690000 ;
+        RECT 1210.930000 3544.910000 1212.110000 3546.090000 ;
+        RECT 1390.930000 3546.510000 1392.110000 3547.690000 ;
+        RECT 1390.930000 3544.910000 1392.110000 3546.090000 ;
+        RECT 1570.930000 3546.510000 1572.110000 3547.690000 ;
+        RECT 1570.930000 3544.910000 1572.110000 3546.090000 ;
+        RECT 1750.930000 3546.510000 1752.110000 3547.690000 ;
+        RECT 1750.930000 3544.910000 1752.110000 3546.090000 ;
+        RECT 1930.930000 3546.510000 1932.110000 3547.690000 ;
+        RECT 1930.930000 3544.910000 1932.110000 3546.090000 ;
+        RECT 2110.930000 3546.510000 2112.110000 3547.690000 ;
+        RECT 2110.930000 3544.910000 2112.110000 3546.090000 ;
+        RECT 2290.930000 3546.510000 2292.110000 3547.690000 ;
+        RECT 2290.930000 3544.910000 2292.110000 3546.090000 ;
+        RECT 2470.930000 3546.510000 2472.110000 3547.690000 ;
+        RECT 2470.930000 3544.910000 2472.110000 3546.090000 ;
+        RECT 2650.930000 3546.510000 2652.110000 3547.690000 ;
+        RECT 2650.930000 3544.910000 2652.110000 3546.090000 ;
+        RECT 2830.930000 3546.510000 2832.110000 3547.690000 ;
+        RECT 2830.930000 3544.910000 2832.110000 3546.090000 ;
+        RECT 2951.010000 3546.510000 2952.190000 3547.690000 ;
+        RECT 2951.010000 3544.910000 2952.190000 3546.090000 ;
+        RECT -32.570000 3377.090000 -31.390000 3378.270000 ;
+        RECT -32.570000 3375.490000 -31.390000 3376.670000 ;
+        RECT -32.570000 3197.090000 -31.390000 3198.270000 ;
+        RECT -32.570000 3195.490000 -31.390000 3196.670000 ;
+        RECT -32.570000 3017.090000 -31.390000 3018.270000 ;
+        RECT -32.570000 3015.490000 -31.390000 3016.670000 ;
+        RECT -32.570000 2837.090000 -31.390000 2838.270000 ;
+        RECT -32.570000 2835.490000 -31.390000 2836.670000 ;
+        RECT -32.570000 2657.090000 -31.390000 2658.270000 ;
+        RECT -32.570000 2655.490000 -31.390000 2656.670000 ;
+        RECT -32.570000 2477.090000 -31.390000 2478.270000 ;
+        RECT -32.570000 2475.490000 -31.390000 2476.670000 ;
+        RECT -32.570000 2297.090000 -31.390000 2298.270000 ;
+        RECT -32.570000 2295.490000 -31.390000 2296.670000 ;
+        RECT -32.570000 2117.090000 -31.390000 2118.270000 ;
+        RECT -32.570000 2115.490000 -31.390000 2116.670000 ;
+        RECT -32.570000 1937.090000 -31.390000 1938.270000 ;
+        RECT -32.570000 1935.490000 -31.390000 1936.670000 ;
+        RECT -32.570000 1757.090000 -31.390000 1758.270000 ;
+        RECT -32.570000 1755.490000 -31.390000 1756.670000 ;
+        RECT -32.570000 1577.090000 -31.390000 1578.270000 ;
+        RECT -32.570000 1575.490000 -31.390000 1576.670000 ;
+        RECT -32.570000 1397.090000 -31.390000 1398.270000 ;
+        RECT -32.570000 1395.490000 -31.390000 1396.670000 ;
+        RECT -32.570000 1217.090000 -31.390000 1218.270000 ;
+        RECT -32.570000 1215.490000 -31.390000 1216.670000 ;
+        RECT -32.570000 1037.090000 -31.390000 1038.270000 ;
+        RECT -32.570000 1035.490000 -31.390000 1036.670000 ;
+        RECT -32.570000 857.090000 -31.390000 858.270000 ;
+        RECT -32.570000 855.490000 -31.390000 856.670000 ;
+        RECT -32.570000 677.090000 -31.390000 678.270000 ;
+        RECT -32.570000 675.490000 -31.390000 676.670000 ;
+        RECT -32.570000 497.090000 -31.390000 498.270000 ;
+        RECT -32.570000 495.490000 -31.390000 496.670000 ;
+        RECT -32.570000 317.090000 -31.390000 318.270000 ;
+        RECT -32.570000 315.490000 -31.390000 316.670000 ;
+        RECT -32.570000 137.090000 -31.390000 138.270000 ;
+        RECT -32.570000 135.490000 -31.390000 136.670000 ;
+        RECT 2951.010000 3377.090000 2952.190000 3378.270000 ;
+        RECT 2951.010000 3375.490000 2952.190000 3376.670000 ;
+        RECT 2951.010000 3197.090000 2952.190000 3198.270000 ;
+        RECT 2951.010000 3195.490000 2952.190000 3196.670000 ;
+        RECT 2951.010000 3017.090000 2952.190000 3018.270000 ;
+        RECT 2951.010000 3015.490000 2952.190000 3016.670000 ;
+        RECT 2951.010000 2837.090000 2952.190000 2838.270000 ;
+        RECT 2951.010000 2835.490000 2952.190000 2836.670000 ;
+        RECT 2951.010000 2657.090000 2952.190000 2658.270000 ;
+        RECT 2951.010000 2655.490000 2952.190000 2656.670000 ;
+        RECT 2951.010000 2477.090000 2952.190000 2478.270000 ;
+        RECT 2951.010000 2475.490000 2952.190000 2476.670000 ;
+        RECT 2951.010000 2297.090000 2952.190000 2298.270000 ;
+        RECT 2951.010000 2295.490000 2952.190000 2296.670000 ;
+        RECT 2951.010000 2117.090000 2952.190000 2118.270000 ;
+        RECT 2951.010000 2115.490000 2952.190000 2116.670000 ;
+        RECT 2951.010000 1937.090000 2952.190000 1938.270000 ;
+        RECT 2951.010000 1935.490000 2952.190000 1936.670000 ;
+        RECT 2951.010000 1757.090000 2952.190000 1758.270000 ;
+        RECT 2951.010000 1755.490000 2952.190000 1756.670000 ;
+        RECT 2951.010000 1577.090000 2952.190000 1578.270000 ;
+        RECT 2951.010000 1575.490000 2952.190000 1576.670000 ;
+        RECT 2951.010000 1397.090000 2952.190000 1398.270000 ;
+        RECT 2951.010000 1395.490000 2952.190000 1396.670000 ;
+        RECT 2951.010000 1217.090000 2952.190000 1218.270000 ;
+        RECT 2951.010000 1215.490000 2952.190000 1216.670000 ;
+        RECT 2951.010000 1037.090000 2952.190000 1038.270000 ;
+        RECT 2951.010000 1035.490000 2952.190000 1036.670000 ;
+        RECT 2951.010000 857.090000 2952.190000 858.270000 ;
+        RECT 2951.010000 855.490000 2952.190000 856.670000 ;
+        RECT 2951.010000 677.090000 2952.190000 678.270000 ;
+        RECT 2951.010000 675.490000 2952.190000 676.670000 ;
+        RECT 2951.010000 497.090000 2952.190000 498.270000 ;
+        RECT 2951.010000 495.490000 2952.190000 496.670000 ;
+        RECT 2951.010000 317.090000 2952.190000 318.270000 ;
+        RECT 2951.010000 315.490000 2952.190000 316.670000 ;
+        RECT 2951.010000 137.090000 2952.190000 138.270000 ;
+        RECT 2951.010000 135.490000 2952.190000 136.670000 ;
+        RECT -32.570000 -26.410000 -31.390000 -25.230000 ;
+        RECT -32.570000 -28.010000 -31.390000 -26.830000 ;
+        RECT 130.930000 -26.410000 132.110000 -25.230000 ;
+        RECT 130.930000 -28.010000 132.110000 -26.830000 ;
+        RECT 310.930000 -26.410000 312.110000 -25.230000 ;
+        RECT 310.930000 -28.010000 312.110000 -26.830000 ;
+        RECT 490.930000 -26.410000 492.110000 -25.230000 ;
+        RECT 490.930000 -28.010000 492.110000 -26.830000 ;
+        RECT 670.930000 -26.410000 672.110000 -25.230000 ;
+        RECT 670.930000 -28.010000 672.110000 -26.830000 ;
+        RECT 850.930000 -26.410000 852.110000 -25.230000 ;
+        RECT 850.930000 -28.010000 852.110000 -26.830000 ;
+        RECT 1030.930000 -26.410000 1032.110000 -25.230000 ;
+        RECT 1030.930000 -28.010000 1032.110000 -26.830000 ;
+        RECT 1210.930000 -26.410000 1212.110000 -25.230000 ;
+        RECT 1210.930000 -28.010000 1212.110000 -26.830000 ;
+        RECT 1390.930000 -26.410000 1392.110000 -25.230000 ;
+        RECT 1390.930000 -28.010000 1392.110000 -26.830000 ;
+        RECT 1570.930000 -26.410000 1572.110000 -25.230000 ;
+        RECT 1570.930000 -28.010000 1572.110000 -26.830000 ;
+        RECT 1750.930000 -26.410000 1752.110000 -25.230000 ;
+        RECT 1750.930000 -28.010000 1752.110000 -26.830000 ;
+        RECT 1930.930000 -26.410000 1932.110000 -25.230000 ;
+        RECT 1930.930000 -28.010000 1932.110000 -26.830000 ;
+        RECT 2110.930000 -26.410000 2112.110000 -25.230000 ;
+        RECT 2110.930000 -28.010000 2112.110000 -26.830000 ;
+        RECT 2290.930000 -26.410000 2292.110000 -25.230000 ;
+        RECT 2290.930000 -28.010000 2292.110000 -26.830000 ;
+        RECT 2470.930000 -26.410000 2472.110000 -25.230000 ;
+        RECT 2470.930000 -28.010000 2472.110000 -26.830000 ;
+        RECT 2650.930000 -26.410000 2652.110000 -25.230000 ;
+        RECT 2650.930000 -28.010000 2652.110000 -26.830000 ;
+        RECT 2830.930000 -26.410000 2832.110000 -25.230000 ;
+        RECT 2830.930000 -28.010000 2832.110000 -26.830000 ;
+        RECT 2951.010000 -26.410000 2952.190000 -25.230000 ;
+        RECT 2951.010000 -28.010000 2952.190000 -26.830000 ;
       LAYER met5 ;
-        RECT -32.980000 3547.300000 -29.980000 3547.310000 ;
-        RECT 130.020000 3547.300000 133.020000 3547.310000 ;
-        RECT 310.020000 3547.300000 313.020000 3547.310000 ;
-        RECT 490.020000 3547.300000 493.020000 3547.310000 ;
-        RECT 670.020000 3547.300000 673.020000 3547.310000 ;
-        RECT 850.020000 3547.300000 853.020000 3547.310000 ;
-        RECT 1030.020000 3547.300000 1033.020000 3547.310000 ;
-        RECT 1210.020000 3547.300000 1213.020000 3547.310000 ;
-        RECT 1390.020000 3547.300000 1393.020000 3547.310000 ;
-        RECT 1570.020000 3547.300000 1573.020000 3547.310000 ;
-        RECT 1750.020000 3547.300000 1753.020000 3547.310000 ;
-        RECT 1930.020000 3547.300000 1933.020000 3547.310000 ;
-        RECT 2110.020000 3547.300000 2113.020000 3547.310000 ;
-        RECT 2290.020000 3547.300000 2293.020000 3547.310000 ;
-        RECT 2470.020000 3547.300000 2473.020000 3547.310000 ;
-        RECT 2650.020000 3547.300000 2653.020000 3547.310000 ;
-        RECT 2830.020000 3547.300000 2833.020000 3547.310000 ;
-        RECT 2949.600000 3547.300000 2952.600000 3547.310000 ;
-        RECT -32.980000 3544.300000 2952.600000 3547.300000 ;
-        RECT -32.980000 3544.290000 -29.980000 3544.300000 ;
-        RECT 130.020000 3544.290000 133.020000 3544.300000 ;
-        RECT 310.020000 3544.290000 313.020000 3544.300000 ;
-        RECT 490.020000 3544.290000 493.020000 3544.300000 ;
-        RECT 670.020000 3544.290000 673.020000 3544.300000 ;
-        RECT 850.020000 3544.290000 853.020000 3544.300000 ;
-        RECT 1030.020000 3544.290000 1033.020000 3544.300000 ;
-        RECT 1210.020000 3544.290000 1213.020000 3544.300000 ;
-        RECT 1390.020000 3544.290000 1393.020000 3544.300000 ;
-        RECT 1570.020000 3544.290000 1573.020000 3544.300000 ;
-        RECT 1750.020000 3544.290000 1753.020000 3544.300000 ;
-        RECT 1930.020000 3544.290000 1933.020000 3544.300000 ;
-        RECT 2110.020000 3544.290000 2113.020000 3544.300000 ;
-        RECT 2290.020000 3544.290000 2293.020000 3544.300000 ;
-        RECT 2470.020000 3544.290000 2473.020000 3544.300000 ;
-        RECT 2650.020000 3544.290000 2653.020000 3544.300000 ;
-        RECT 2830.020000 3544.290000 2833.020000 3544.300000 ;
-        RECT 2949.600000 3544.290000 2952.600000 3544.300000 ;
-        RECT -32.980000 3378.380000 -29.980000 3378.390000 ;
-        RECT 2949.600000 3378.380000 2952.600000 3378.390000 ;
-        RECT -32.980000 3375.380000 -0.400000 3378.380000 ;
-        RECT 2920.400000 3375.380000 2952.600000 3378.380000 ;
-        RECT -32.980000 3375.370000 -29.980000 3375.380000 ;
-        RECT 2949.600000 3375.370000 2952.600000 3375.380000 ;
-        RECT -32.980000 3198.380000 -29.980000 3198.390000 ;
-        RECT 2949.600000 3198.380000 2952.600000 3198.390000 ;
-        RECT -32.980000 3195.380000 -0.400000 3198.380000 ;
-        RECT 2920.400000 3195.380000 2952.600000 3198.380000 ;
-        RECT -32.980000 3195.370000 -29.980000 3195.380000 ;
-        RECT 2949.600000 3195.370000 2952.600000 3195.380000 ;
-        RECT -32.980000 3018.380000 -29.980000 3018.390000 ;
-        RECT 2949.600000 3018.380000 2952.600000 3018.390000 ;
-        RECT -32.980000 3015.380000 -0.400000 3018.380000 ;
-        RECT 2920.400000 3015.380000 2952.600000 3018.380000 ;
-        RECT -32.980000 3015.370000 -29.980000 3015.380000 ;
-        RECT 2949.600000 3015.370000 2952.600000 3015.380000 ;
-        RECT -32.980000 2838.380000 -29.980000 2838.390000 ;
-        RECT 2949.600000 2838.380000 2952.600000 2838.390000 ;
-        RECT -32.980000 2835.380000 -0.400000 2838.380000 ;
-        RECT 2920.400000 2835.380000 2952.600000 2838.380000 ;
-        RECT -32.980000 2835.370000 -29.980000 2835.380000 ;
-        RECT 2949.600000 2835.370000 2952.600000 2835.380000 ;
-        RECT -32.980000 2658.380000 -29.980000 2658.390000 ;
-        RECT 2949.600000 2658.380000 2952.600000 2658.390000 ;
-        RECT -32.980000 2655.380000 -0.400000 2658.380000 ;
-        RECT 2920.400000 2655.380000 2952.600000 2658.380000 ;
-        RECT -32.980000 2655.370000 -29.980000 2655.380000 ;
-        RECT 2949.600000 2655.370000 2952.600000 2655.380000 ;
-        RECT -32.980000 2478.380000 -29.980000 2478.390000 ;
-        RECT 2949.600000 2478.380000 2952.600000 2478.390000 ;
-        RECT -32.980000 2475.380000 -0.400000 2478.380000 ;
-        RECT 2920.400000 2475.380000 2952.600000 2478.380000 ;
-        RECT -32.980000 2475.370000 -29.980000 2475.380000 ;
-        RECT 2949.600000 2475.370000 2952.600000 2475.380000 ;
-        RECT -32.980000 2298.380000 -29.980000 2298.390000 ;
-        RECT 2949.600000 2298.380000 2952.600000 2298.390000 ;
-        RECT -32.980000 2295.380000 -0.400000 2298.380000 ;
-        RECT 2920.400000 2295.380000 2952.600000 2298.380000 ;
-        RECT -32.980000 2295.370000 -29.980000 2295.380000 ;
-        RECT 2949.600000 2295.370000 2952.600000 2295.380000 ;
-        RECT -32.980000 2118.380000 -29.980000 2118.390000 ;
-        RECT 2949.600000 2118.380000 2952.600000 2118.390000 ;
-        RECT -32.980000 2115.380000 -0.400000 2118.380000 ;
-        RECT 2920.400000 2115.380000 2952.600000 2118.380000 ;
-        RECT -32.980000 2115.370000 -29.980000 2115.380000 ;
-        RECT 2949.600000 2115.370000 2952.600000 2115.380000 ;
-        RECT -32.980000 1938.380000 -29.980000 1938.390000 ;
-        RECT 2949.600000 1938.380000 2952.600000 1938.390000 ;
-        RECT -32.980000 1935.380000 -0.400000 1938.380000 ;
-        RECT 2920.400000 1935.380000 2952.600000 1938.380000 ;
-        RECT -32.980000 1935.370000 -29.980000 1935.380000 ;
-        RECT 2949.600000 1935.370000 2952.600000 1935.380000 ;
-        RECT -32.980000 1758.380000 -29.980000 1758.390000 ;
-        RECT 2949.600000 1758.380000 2952.600000 1758.390000 ;
-        RECT -32.980000 1755.380000 -0.400000 1758.380000 ;
-        RECT 2920.400000 1755.380000 2952.600000 1758.380000 ;
-        RECT -32.980000 1755.370000 -29.980000 1755.380000 ;
-        RECT 2949.600000 1755.370000 2952.600000 1755.380000 ;
-        RECT -32.980000 1578.380000 -29.980000 1578.390000 ;
-        RECT 2949.600000 1578.380000 2952.600000 1578.390000 ;
-        RECT -32.980000 1575.380000 -0.400000 1578.380000 ;
-        RECT 2920.400000 1575.380000 2952.600000 1578.380000 ;
-        RECT -32.980000 1575.370000 -29.980000 1575.380000 ;
-        RECT 2949.600000 1575.370000 2952.600000 1575.380000 ;
-        RECT -32.980000 1398.380000 -29.980000 1398.390000 ;
-        RECT 2949.600000 1398.380000 2952.600000 1398.390000 ;
-        RECT -32.980000 1395.380000 -0.400000 1398.380000 ;
-        RECT 2920.400000 1395.380000 2952.600000 1398.380000 ;
-        RECT -32.980000 1395.370000 -29.980000 1395.380000 ;
-        RECT 2949.600000 1395.370000 2952.600000 1395.380000 ;
-        RECT -32.980000 1218.380000 -29.980000 1218.390000 ;
-        RECT 2949.600000 1218.380000 2952.600000 1218.390000 ;
-        RECT -32.980000 1215.380000 -0.400000 1218.380000 ;
-        RECT 2920.400000 1215.380000 2952.600000 1218.380000 ;
-        RECT -32.980000 1215.370000 -29.980000 1215.380000 ;
-        RECT 2949.600000 1215.370000 2952.600000 1215.380000 ;
-        RECT -32.980000 1038.380000 -29.980000 1038.390000 ;
-        RECT 2949.600000 1038.380000 2952.600000 1038.390000 ;
-        RECT -32.980000 1035.380000 -0.400000 1038.380000 ;
-        RECT 2920.400000 1035.380000 2952.600000 1038.380000 ;
-        RECT -32.980000 1035.370000 -29.980000 1035.380000 ;
-        RECT 2949.600000 1035.370000 2952.600000 1035.380000 ;
-        RECT -32.980000 858.380000 -29.980000 858.390000 ;
-        RECT 2949.600000 858.380000 2952.600000 858.390000 ;
-        RECT -32.980000 855.380000 -0.400000 858.380000 ;
-        RECT 2920.400000 855.380000 2952.600000 858.380000 ;
-        RECT -32.980000 855.370000 -29.980000 855.380000 ;
-        RECT 2949.600000 855.370000 2952.600000 855.380000 ;
-        RECT -32.980000 678.380000 -29.980000 678.390000 ;
-        RECT 2949.600000 678.380000 2952.600000 678.390000 ;
-        RECT -32.980000 675.380000 -0.400000 678.380000 ;
-        RECT 2920.400000 675.380000 2952.600000 678.380000 ;
-        RECT -32.980000 675.370000 -29.980000 675.380000 ;
-        RECT 2949.600000 675.370000 2952.600000 675.380000 ;
-        RECT -32.980000 498.380000 -29.980000 498.390000 ;
-        RECT 2949.600000 498.380000 2952.600000 498.390000 ;
-        RECT -32.980000 495.380000 -0.400000 498.380000 ;
-        RECT 2920.400000 495.380000 2952.600000 498.380000 ;
-        RECT -32.980000 495.370000 -29.980000 495.380000 ;
-        RECT 2949.600000 495.370000 2952.600000 495.380000 ;
-        RECT -32.980000 318.380000 -29.980000 318.390000 ;
-        RECT 2949.600000 318.380000 2952.600000 318.390000 ;
-        RECT -32.980000 315.380000 -0.400000 318.380000 ;
-        RECT 2920.400000 315.380000 2952.600000 318.380000 ;
-        RECT -32.980000 315.370000 -29.980000 315.380000 ;
-        RECT 2949.600000 315.370000 2952.600000 315.380000 ;
-        RECT -32.980000 138.380000 -29.980000 138.390000 ;
-        RECT 2949.600000 138.380000 2952.600000 138.390000 ;
-        RECT -32.980000 135.380000 -0.400000 138.380000 ;
-        RECT 2920.400000 135.380000 2952.600000 138.380000 ;
-        RECT -32.980000 135.370000 -29.980000 135.380000 ;
-        RECT 2949.600000 135.370000 2952.600000 135.380000 ;
-        RECT -32.980000 -24.620000 -29.980000 -24.610000 ;
-        RECT 130.020000 -24.620000 133.020000 -24.610000 ;
-        RECT 310.020000 -24.620000 313.020000 -24.610000 ;
-        RECT 490.020000 -24.620000 493.020000 -24.610000 ;
-        RECT 670.020000 -24.620000 673.020000 -24.610000 ;
-        RECT 850.020000 -24.620000 853.020000 -24.610000 ;
-        RECT 1030.020000 -24.620000 1033.020000 -24.610000 ;
-        RECT 1210.020000 -24.620000 1213.020000 -24.610000 ;
-        RECT 1390.020000 -24.620000 1393.020000 -24.610000 ;
-        RECT 1570.020000 -24.620000 1573.020000 -24.610000 ;
-        RECT 1750.020000 -24.620000 1753.020000 -24.610000 ;
-        RECT 1930.020000 -24.620000 1933.020000 -24.610000 ;
-        RECT 2110.020000 -24.620000 2113.020000 -24.610000 ;
-        RECT 2290.020000 -24.620000 2293.020000 -24.610000 ;
-        RECT 2470.020000 -24.620000 2473.020000 -24.610000 ;
-        RECT 2650.020000 -24.620000 2653.020000 -24.610000 ;
-        RECT 2830.020000 -24.620000 2833.020000 -24.610000 ;
-        RECT 2949.600000 -24.620000 2952.600000 -24.610000 ;
-        RECT -32.980000 -27.620000 2952.600000 -24.620000 ;
-        RECT -32.980000 -27.630000 -29.980000 -27.620000 ;
-        RECT 130.020000 -27.630000 133.020000 -27.620000 ;
-        RECT 310.020000 -27.630000 313.020000 -27.620000 ;
-        RECT 490.020000 -27.630000 493.020000 -27.620000 ;
-        RECT 670.020000 -27.630000 673.020000 -27.620000 ;
-        RECT 850.020000 -27.630000 853.020000 -27.620000 ;
-        RECT 1030.020000 -27.630000 1033.020000 -27.620000 ;
-        RECT 1210.020000 -27.630000 1213.020000 -27.620000 ;
-        RECT 1390.020000 -27.630000 1393.020000 -27.620000 ;
-        RECT 1570.020000 -27.630000 1573.020000 -27.620000 ;
-        RECT 1750.020000 -27.630000 1753.020000 -27.620000 ;
-        RECT 1930.020000 -27.630000 1933.020000 -27.620000 ;
-        RECT 2110.020000 -27.630000 2113.020000 -27.620000 ;
-        RECT 2290.020000 -27.630000 2293.020000 -27.620000 ;
-        RECT 2470.020000 -27.630000 2473.020000 -27.620000 ;
-        RECT 2650.020000 -27.630000 2653.020000 -27.620000 ;
-        RECT 2830.020000 -27.630000 2833.020000 -27.620000 ;
-        RECT 2949.600000 -27.630000 2952.600000 -27.620000 ;
+        RECT -33.480000 3547.800000 -30.480000 3547.810000 ;
+        RECT 130.020000 3547.800000 133.020000 3547.810000 ;
+        RECT 310.020000 3547.800000 313.020000 3547.810000 ;
+        RECT 490.020000 3547.800000 493.020000 3547.810000 ;
+        RECT 670.020000 3547.800000 673.020000 3547.810000 ;
+        RECT 850.020000 3547.800000 853.020000 3547.810000 ;
+        RECT 1030.020000 3547.800000 1033.020000 3547.810000 ;
+        RECT 1210.020000 3547.800000 1213.020000 3547.810000 ;
+        RECT 1390.020000 3547.800000 1393.020000 3547.810000 ;
+        RECT 1570.020000 3547.800000 1573.020000 3547.810000 ;
+        RECT 1750.020000 3547.800000 1753.020000 3547.810000 ;
+        RECT 1930.020000 3547.800000 1933.020000 3547.810000 ;
+        RECT 2110.020000 3547.800000 2113.020000 3547.810000 ;
+        RECT 2290.020000 3547.800000 2293.020000 3547.810000 ;
+        RECT 2470.020000 3547.800000 2473.020000 3547.810000 ;
+        RECT 2650.020000 3547.800000 2653.020000 3547.810000 ;
+        RECT 2830.020000 3547.800000 2833.020000 3547.810000 ;
+        RECT 2950.100000 3547.800000 2953.100000 3547.810000 ;
+        RECT -33.480000 3544.800000 2953.100000 3547.800000 ;
+        RECT -33.480000 3544.790000 -30.480000 3544.800000 ;
+        RECT 130.020000 3544.790000 133.020000 3544.800000 ;
+        RECT 310.020000 3544.790000 313.020000 3544.800000 ;
+        RECT 490.020000 3544.790000 493.020000 3544.800000 ;
+        RECT 670.020000 3544.790000 673.020000 3544.800000 ;
+        RECT 850.020000 3544.790000 853.020000 3544.800000 ;
+        RECT 1030.020000 3544.790000 1033.020000 3544.800000 ;
+        RECT 1210.020000 3544.790000 1213.020000 3544.800000 ;
+        RECT 1390.020000 3544.790000 1393.020000 3544.800000 ;
+        RECT 1570.020000 3544.790000 1573.020000 3544.800000 ;
+        RECT 1750.020000 3544.790000 1753.020000 3544.800000 ;
+        RECT 1930.020000 3544.790000 1933.020000 3544.800000 ;
+        RECT 2110.020000 3544.790000 2113.020000 3544.800000 ;
+        RECT 2290.020000 3544.790000 2293.020000 3544.800000 ;
+        RECT 2470.020000 3544.790000 2473.020000 3544.800000 ;
+        RECT 2650.020000 3544.790000 2653.020000 3544.800000 ;
+        RECT 2830.020000 3544.790000 2833.020000 3544.800000 ;
+        RECT 2950.100000 3544.790000 2953.100000 3544.800000 ;
+        RECT -33.480000 3378.380000 -30.480000 3378.390000 ;
+        RECT 2950.100000 3378.380000 2953.100000 3378.390000 ;
+        RECT -33.480000 3375.380000 -0.400000 3378.380000 ;
+        RECT 2920.400000 3375.380000 2953.100000 3378.380000 ;
+        RECT -33.480000 3375.370000 -30.480000 3375.380000 ;
+        RECT 2950.100000 3375.370000 2953.100000 3375.380000 ;
+        RECT -33.480000 3198.380000 -30.480000 3198.390000 ;
+        RECT 2950.100000 3198.380000 2953.100000 3198.390000 ;
+        RECT -33.480000 3195.380000 -0.400000 3198.380000 ;
+        RECT 2920.400000 3195.380000 2953.100000 3198.380000 ;
+        RECT -33.480000 3195.370000 -30.480000 3195.380000 ;
+        RECT 2950.100000 3195.370000 2953.100000 3195.380000 ;
+        RECT -33.480000 3018.380000 -30.480000 3018.390000 ;
+        RECT 2950.100000 3018.380000 2953.100000 3018.390000 ;
+        RECT -33.480000 3015.380000 -0.400000 3018.380000 ;
+        RECT 2920.400000 3015.380000 2953.100000 3018.380000 ;
+        RECT -33.480000 3015.370000 -30.480000 3015.380000 ;
+        RECT 2950.100000 3015.370000 2953.100000 3015.380000 ;
+        RECT -33.480000 2838.380000 -30.480000 2838.390000 ;
+        RECT 2950.100000 2838.380000 2953.100000 2838.390000 ;
+        RECT -33.480000 2835.380000 -0.400000 2838.380000 ;
+        RECT 2920.400000 2835.380000 2953.100000 2838.380000 ;
+        RECT -33.480000 2835.370000 -30.480000 2835.380000 ;
+        RECT 2950.100000 2835.370000 2953.100000 2835.380000 ;
+        RECT -33.480000 2658.380000 -30.480000 2658.390000 ;
+        RECT 2950.100000 2658.380000 2953.100000 2658.390000 ;
+        RECT -33.480000 2655.380000 -0.400000 2658.380000 ;
+        RECT 2920.400000 2655.380000 2953.100000 2658.380000 ;
+        RECT -33.480000 2655.370000 -30.480000 2655.380000 ;
+        RECT 2950.100000 2655.370000 2953.100000 2655.380000 ;
+        RECT -33.480000 2478.380000 -30.480000 2478.390000 ;
+        RECT 2950.100000 2478.380000 2953.100000 2478.390000 ;
+        RECT -33.480000 2475.380000 -0.400000 2478.380000 ;
+        RECT 2920.400000 2475.380000 2953.100000 2478.380000 ;
+        RECT -33.480000 2475.370000 -30.480000 2475.380000 ;
+        RECT 2950.100000 2475.370000 2953.100000 2475.380000 ;
+        RECT -33.480000 2298.380000 -30.480000 2298.390000 ;
+        RECT 2950.100000 2298.380000 2953.100000 2298.390000 ;
+        RECT -33.480000 2295.380000 -0.400000 2298.380000 ;
+        RECT 2920.400000 2295.380000 2953.100000 2298.380000 ;
+        RECT -33.480000 2295.370000 -30.480000 2295.380000 ;
+        RECT 2950.100000 2295.370000 2953.100000 2295.380000 ;
+        RECT -33.480000 2118.380000 -30.480000 2118.390000 ;
+        RECT 2950.100000 2118.380000 2953.100000 2118.390000 ;
+        RECT -33.480000 2115.380000 -0.400000 2118.380000 ;
+        RECT 2920.400000 2115.380000 2953.100000 2118.380000 ;
+        RECT -33.480000 2115.370000 -30.480000 2115.380000 ;
+        RECT 2950.100000 2115.370000 2953.100000 2115.380000 ;
+        RECT -33.480000 1938.380000 -30.480000 1938.390000 ;
+        RECT 2950.100000 1938.380000 2953.100000 1938.390000 ;
+        RECT -33.480000 1935.380000 -0.400000 1938.380000 ;
+        RECT 2920.400000 1935.380000 2953.100000 1938.380000 ;
+        RECT -33.480000 1935.370000 -30.480000 1935.380000 ;
+        RECT 2950.100000 1935.370000 2953.100000 1935.380000 ;
+        RECT -33.480000 1758.380000 -30.480000 1758.390000 ;
+        RECT 2950.100000 1758.380000 2953.100000 1758.390000 ;
+        RECT -33.480000 1755.380000 -0.400000 1758.380000 ;
+        RECT 2920.400000 1755.380000 2953.100000 1758.380000 ;
+        RECT -33.480000 1755.370000 -30.480000 1755.380000 ;
+        RECT 2950.100000 1755.370000 2953.100000 1755.380000 ;
+        RECT -33.480000 1578.380000 -30.480000 1578.390000 ;
+        RECT 2950.100000 1578.380000 2953.100000 1578.390000 ;
+        RECT -33.480000 1575.380000 -0.400000 1578.380000 ;
+        RECT 2920.400000 1575.380000 2953.100000 1578.380000 ;
+        RECT -33.480000 1575.370000 -30.480000 1575.380000 ;
+        RECT 2950.100000 1575.370000 2953.100000 1575.380000 ;
+        RECT -33.480000 1398.380000 -30.480000 1398.390000 ;
+        RECT 2950.100000 1398.380000 2953.100000 1398.390000 ;
+        RECT -33.480000 1395.380000 -0.400000 1398.380000 ;
+        RECT 2920.400000 1395.380000 2953.100000 1398.380000 ;
+        RECT -33.480000 1395.370000 -30.480000 1395.380000 ;
+        RECT 2950.100000 1395.370000 2953.100000 1395.380000 ;
+        RECT -33.480000 1218.380000 -30.480000 1218.390000 ;
+        RECT 2950.100000 1218.380000 2953.100000 1218.390000 ;
+        RECT -33.480000 1215.380000 -0.400000 1218.380000 ;
+        RECT 2920.400000 1215.380000 2953.100000 1218.380000 ;
+        RECT -33.480000 1215.370000 -30.480000 1215.380000 ;
+        RECT 2950.100000 1215.370000 2953.100000 1215.380000 ;
+        RECT -33.480000 1038.380000 -30.480000 1038.390000 ;
+        RECT 2950.100000 1038.380000 2953.100000 1038.390000 ;
+        RECT -33.480000 1035.380000 -0.400000 1038.380000 ;
+        RECT 2920.400000 1035.380000 2953.100000 1038.380000 ;
+        RECT -33.480000 1035.370000 -30.480000 1035.380000 ;
+        RECT 2950.100000 1035.370000 2953.100000 1035.380000 ;
+        RECT -33.480000 858.380000 -30.480000 858.390000 ;
+        RECT 2950.100000 858.380000 2953.100000 858.390000 ;
+        RECT -33.480000 855.380000 -0.400000 858.380000 ;
+        RECT 2920.400000 855.380000 2953.100000 858.380000 ;
+        RECT -33.480000 855.370000 -30.480000 855.380000 ;
+        RECT 2950.100000 855.370000 2953.100000 855.380000 ;
+        RECT -33.480000 678.380000 -30.480000 678.390000 ;
+        RECT 2950.100000 678.380000 2953.100000 678.390000 ;
+        RECT -33.480000 675.380000 -0.400000 678.380000 ;
+        RECT 2920.400000 675.380000 2953.100000 678.380000 ;
+        RECT -33.480000 675.370000 -30.480000 675.380000 ;
+        RECT 2950.100000 675.370000 2953.100000 675.380000 ;
+        RECT -33.480000 498.380000 -30.480000 498.390000 ;
+        RECT 2950.100000 498.380000 2953.100000 498.390000 ;
+        RECT -33.480000 495.380000 -0.400000 498.380000 ;
+        RECT 2920.400000 495.380000 2953.100000 498.380000 ;
+        RECT -33.480000 495.370000 -30.480000 495.380000 ;
+        RECT 2950.100000 495.370000 2953.100000 495.380000 ;
+        RECT -33.480000 318.380000 -30.480000 318.390000 ;
+        RECT 2950.100000 318.380000 2953.100000 318.390000 ;
+        RECT -33.480000 315.380000 -0.400000 318.380000 ;
+        RECT 2920.400000 315.380000 2953.100000 318.380000 ;
+        RECT -33.480000 315.370000 -30.480000 315.380000 ;
+        RECT 2950.100000 315.370000 2953.100000 315.380000 ;
+        RECT -33.480000 138.380000 -30.480000 138.390000 ;
+        RECT 2950.100000 138.380000 2953.100000 138.390000 ;
+        RECT -33.480000 135.380000 -0.400000 138.380000 ;
+        RECT 2920.400000 135.380000 2953.100000 138.380000 ;
+        RECT -33.480000 135.370000 -30.480000 135.380000 ;
+        RECT 2950.100000 135.370000 2953.100000 135.380000 ;
+        RECT -33.480000 -25.120000 -30.480000 -25.110000 ;
+        RECT 130.020000 -25.120000 133.020000 -25.110000 ;
+        RECT 310.020000 -25.120000 313.020000 -25.110000 ;
+        RECT 490.020000 -25.120000 493.020000 -25.110000 ;
+        RECT 670.020000 -25.120000 673.020000 -25.110000 ;
+        RECT 850.020000 -25.120000 853.020000 -25.110000 ;
+        RECT 1030.020000 -25.120000 1033.020000 -25.110000 ;
+        RECT 1210.020000 -25.120000 1213.020000 -25.110000 ;
+        RECT 1390.020000 -25.120000 1393.020000 -25.110000 ;
+        RECT 1570.020000 -25.120000 1573.020000 -25.110000 ;
+        RECT 1750.020000 -25.120000 1753.020000 -25.110000 ;
+        RECT 1930.020000 -25.120000 1933.020000 -25.110000 ;
+        RECT 2110.020000 -25.120000 2113.020000 -25.110000 ;
+        RECT 2290.020000 -25.120000 2293.020000 -25.110000 ;
+        RECT 2470.020000 -25.120000 2473.020000 -25.110000 ;
+        RECT 2650.020000 -25.120000 2653.020000 -25.110000 ;
+        RECT 2830.020000 -25.120000 2833.020000 -25.110000 ;
+        RECT 2950.100000 -25.120000 2953.100000 -25.110000 ;
+        RECT -33.480000 -28.120000 2953.100000 -25.120000 ;
+        RECT -33.480000 -28.130000 -30.480000 -28.120000 ;
+        RECT 130.020000 -28.130000 133.020000 -28.120000 ;
+        RECT 310.020000 -28.130000 313.020000 -28.120000 ;
+        RECT 490.020000 -28.130000 493.020000 -28.120000 ;
+        RECT 670.020000 -28.130000 673.020000 -28.120000 ;
+        RECT 850.020000 -28.130000 853.020000 -28.120000 ;
+        RECT 1030.020000 -28.130000 1033.020000 -28.120000 ;
+        RECT 1210.020000 -28.130000 1213.020000 -28.120000 ;
+        RECT 1390.020000 -28.130000 1393.020000 -28.120000 ;
+        RECT 1570.020000 -28.130000 1573.020000 -28.120000 ;
+        RECT 1750.020000 -28.130000 1753.020000 -28.120000 ;
+        RECT 1930.020000 -28.130000 1933.020000 -28.120000 ;
+        RECT 2110.020000 -28.130000 2113.020000 -28.120000 ;
+        RECT 2290.020000 -28.130000 2293.020000 -28.120000 ;
+        RECT 2470.020000 -28.130000 2473.020000 -28.120000 ;
+        RECT 2650.020000 -28.130000 2653.020000 -28.120000 ;
+        RECT 2830.020000 -28.130000 2833.020000 -28.120000 ;
+        RECT 2950.100000 -28.130000 2953.100000 -28.120000 ;
     END
   END vssa1
   PIN vdda2
     DIRECTION INPUT ;
     PORT
       LAYER met4 ;
-        RECT -37.580000 -32.220000 -34.580000 3551.900000 ;
-        RECT 58.020000 3520.400000 61.020000 3556.500000 ;
-        RECT 238.020000 3520.400000 241.020000 3556.500000 ;
-        RECT 418.020000 3520.400000 421.020000 3556.500000 ;
-        RECT 598.020000 3520.400000 601.020000 3556.500000 ;
-        RECT 778.020000 3520.400000 781.020000 3556.500000 ;
-        RECT 958.020000 3520.400000 961.020000 3556.500000 ;
-        RECT 1138.020000 3520.400000 1141.020000 3556.500000 ;
-        RECT 1318.020000 3520.400000 1321.020000 3556.500000 ;
-        RECT 1498.020000 3520.400000 1501.020000 3556.500000 ;
-        RECT 1678.020000 3520.400000 1681.020000 3556.500000 ;
-        RECT 1858.020000 3520.400000 1861.020000 3556.500000 ;
-        RECT 2038.020000 3520.400000 2041.020000 3556.500000 ;
-        RECT 2218.020000 3520.400000 2221.020000 3556.500000 ;
-        RECT 2398.020000 3520.400000 2401.020000 3556.500000 ;
-        RECT 2578.020000 3520.400000 2581.020000 3556.500000 ;
-        RECT 2758.020000 3520.400000 2761.020000 3556.500000 ;
-        RECT 58.020000 -36.820000 61.020000 -0.400000 ;
-        RECT 238.020000 -36.820000 241.020000 -0.400000 ;
-        RECT 418.020000 -36.820000 421.020000 -0.400000 ;
-        RECT 598.020000 -36.820000 601.020000 -0.400000 ;
-        RECT 778.020000 -36.820000 781.020000 -0.400000 ;
-        RECT 958.020000 -36.820000 961.020000 -0.400000 ;
-        RECT 1138.020000 -36.820000 1141.020000 -0.400000 ;
-        RECT 1318.020000 -36.820000 1321.020000 -0.400000 ;
-        RECT 1498.020000 -36.820000 1501.020000 -0.400000 ;
-        RECT 1678.020000 -36.820000 1681.020000 -0.400000 ;
-        RECT 1858.020000 -36.820000 1861.020000 -0.400000 ;
-        RECT 2038.020000 -36.820000 2041.020000 -0.400000 ;
-        RECT 2218.020000 -36.820000 2221.020000 -0.400000 ;
-        RECT 2398.020000 -36.820000 2401.020000 -0.400000 ;
-        RECT 2578.020000 -36.820000 2581.020000 -0.400000 ;
-        RECT 2758.020000 -36.820000 2761.020000 -0.400000 ;
-        RECT 2954.200000 -32.220000 2957.200000 3551.900000 ;
+        RECT -38.180000 -32.820000 -35.180000 3552.500000 ;
+        RECT 58.020000 3520.400000 61.020000 3557.200000 ;
+        RECT 238.020000 3520.400000 241.020000 3557.200000 ;
+        RECT 418.020000 3520.400000 421.020000 3557.200000 ;
+        RECT 598.020000 3520.400000 601.020000 3557.200000 ;
+        RECT 778.020000 3520.400000 781.020000 3557.200000 ;
+        RECT 958.020000 3520.400000 961.020000 3557.200000 ;
+        RECT 1138.020000 3520.400000 1141.020000 3557.200000 ;
+        RECT 1318.020000 3520.400000 1321.020000 3557.200000 ;
+        RECT 1498.020000 3520.400000 1501.020000 3557.200000 ;
+        RECT 1678.020000 3520.400000 1681.020000 3557.200000 ;
+        RECT 1858.020000 3520.400000 1861.020000 3557.200000 ;
+        RECT 2038.020000 3520.400000 2041.020000 3557.200000 ;
+        RECT 2218.020000 3520.400000 2221.020000 3557.200000 ;
+        RECT 2398.020000 3520.400000 2401.020000 3557.200000 ;
+        RECT 2578.020000 3520.400000 2581.020000 3557.200000 ;
+        RECT 2758.020000 3520.400000 2761.020000 3557.200000 ;
+        RECT 58.020000 -37.520000 61.020000 -0.400000 ;
+        RECT 238.020000 -37.520000 241.020000 -0.400000 ;
+        RECT 418.020000 -37.520000 421.020000 -0.400000 ;
+        RECT 598.020000 -37.520000 601.020000 -0.400000 ;
+        RECT 778.020000 -37.520000 781.020000 -0.400000 ;
+        RECT 958.020000 -37.520000 961.020000 -0.400000 ;
+        RECT 1138.020000 -37.520000 1141.020000 -0.400000 ;
+        RECT 1318.020000 -37.520000 1321.020000 -0.400000 ;
+        RECT 1498.020000 -37.520000 1501.020000 -0.400000 ;
+        RECT 1678.020000 -37.520000 1681.020000 -0.400000 ;
+        RECT 1858.020000 -37.520000 1861.020000 -0.400000 ;
+        RECT 2038.020000 -37.520000 2041.020000 -0.400000 ;
+        RECT 2218.020000 -37.520000 2221.020000 -0.400000 ;
+        RECT 2398.020000 -37.520000 2401.020000 -0.400000 ;
+        RECT 2578.020000 -37.520000 2581.020000 -0.400000 ;
+        RECT 2758.020000 -37.520000 2761.020000 -0.400000 ;
+        RECT 2954.800000 -32.820000 2957.800000 3552.500000 ;
       LAYER M4M5_PR_C ;
-        RECT -36.670000 3550.610000 -35.490000 3551.790000 ;
-        RECT -36.670000 3549.010000 -35.490000 3550.190000 ;
-        RECT 58.930000 3550.610000 60.110000 3551.790000 ;
-        RECT 58.930000 3549.010000 60.110000 3550.190000 ;
-        RECT 238.930000 3550.610000 240.110000 3551.790000 ;
-        RECT 238.930000 3549.010000 240.110000 3550.190000 ;
-        RECT 418.930000 3550.610000 420.110000 3551.790000 ;
-        RECT 418.930000 3549.010000 420.110000 3550.190000 ;
-        RECT 598.930000 3550.610000 600.110000 3551.790000 ;
-        RECT 598.930000 3549.010000 600.110000 3550.190000 ;
-        RECT 778.930000 3550.610000 780.110000 3551.790000 ;
-        RECT 778.930000 3549.010000 780.110000 3550.190000 ;
-        RECT 958.930000 3550.610000 960.110000 3551.790000 ;
-        RECT 958.930000 3549.010000 960.110000 3550.190000 ;
-        RECT 1138.930000 3550.610000 1140.110000 3551.790000 ;
-        RECT 1138.930000 3549.010000 1140.110000 3550.190000 ;
-        RECT 1318.930000 3550.610000 1320.110000 3551.790000 ;
-        RECT 1318.930000 3549.010000 1320.110000 3550.190000 ;
-        RECT 1498.930000 3550.610000 1500.110000 3551.790000 ;
-        RECT 1498.930000 3549.010000 1500.110000 3550.190000 ;
-        RECT 1678.930000 3550.610000 1680.110000 3551.790000 ;
-        RECT 1678.930000 3549.010000 1680.110000 3550.190000 ;
-        RECT 1858.930000 3550.610000 1860.110000 3551.790000 ;
-        RECT 1858.930000 3549.010000 1860.110000 3550.190000 ;
-        RECT 2038.930000 3550.610000 2040.110000 3551.790000 ;
-        RECT 2038.930000 3549.010000 2040.110000 3550.190000 ;
-        RECT 2218.930000 3550.610000 2220.110000 3551.790000 ;
-        RECT 2218.930000 3549.010000 2220.110000 3550.190000 ;
-        RECT 2398.930000 3550.610000 2400.110000 3551.790000 ;
-        RECT 2398.930000 3549.010000 2400.110000 3550.190000 ;
-        RECT 2578.930000 3550.610000 2580.110000 3551.790000 ;
-        RECT 2578.930000 3549.010000 2580.110000 3550.190000 ;
-        RECT 2758.930000 3550.610000 2760.110000 3551.790000 ;
-        RECT 2758.930000 3549.010000 2760.110000 3550.190000 ;
-        RECT 2955.110000 3550.610000 2956.290000 3551.790000 ;
-        RECT 2955.110000 3549.010000 2956.290000 3550.190000 ;
-        RECT -36.670000 3485.090000 -35.490000 3486.270000 ;
-        RECT -36.670000 3483.490000 -35.490000 3484.670000 ;
-        RECT -36.670000 3305.090000 -35.490000 3306.270000 ;
-        RECT -36.670000 3303.490000 -35.490000 3304.670000 ;
-        RECT -36.670000 3125.090000 -35.490000 3126.270000 ;
-        RECT -36.670000 3123.490000 -35.490000 3124.670000 ;
-        RECT -36.670000 2945.090000 -35.490000 2946.270000 ;
-        RECT -36.670000 2943.490000 -35.490000 2944.670000 ;
-        RECT -36.670000 2765.090000 -35.490000 2766.270000 ;
-        RECT -36.670000 2763.490000 -35.490000 2764.670000 ;
-        RECT -36.670000 2585.090000 -35.490000 2586.270000 ;
-        RECT -36.670000 2583.490000 -35.490000 2584.670000 ;
-        RECT -36.670000 2405.090000 -35.490000 2406.270000 ;
-        RECT -36.670000 2403.490000 -35.490000 2404.670000 ;
-        RECT -36.670000 2225.090000 -35.490000 2226.270000 ;
-        RECT -36.670000 2223.490000 -35.490000 2224.670000 ;
-        RECT -36.670000 2045.090000 -35.490000 2046.270000 ;
-        RECT -36.670000 2043.490000 -35.490000 2044.670000 ;
-        RECT -36.670000 1865.090000 -35.490000 1866.270000 ;
-        RECT -36.670000 1863.490000 -35.490000 1864.670000 ;
-        RECT -36.670000 1685.090000 -35.490000 1686.270000 ;
-        RECT -36.670000 1683.490000 -35.490000 1684.670000 ;
-        RECT -36.670000 1505.090000 -35.490000 1506.270000 ;
-        RECT -36.670000 1503.490000 -35.490000 1504.670000 ;
-        RECT -36.670000 1325.090000 -35.490000 1326.270000 ;
-        RECT -36.670000 1323.490000 -35.490000 1324.670000 ;
-        RECT -36.670000 1145.090000 -35.490000 1146.270000 ;
-        RECT -36.670000 1143.490000 -35.490000 1144.670000 ;
-        RECT -36.670000 965.090000 -35.490000 966.270000 ;
-        RECT -36.670000 963.490000 -35.490000 964.670000 ;
-        RECT -36.670000 785.090000 -35.490000 786.270000 ;
-        RECT -36.670000 783.490000 -35.490000 784.670000 ;
-        RECT -36.670000 605.090000 -35.490000 606.270000 ;
-        RECT -36.670000 603.490000 -35.490000 604.670000 ;
-        RECT -36.670000 425.090000 -35.490000 426.270000 ;
-        RECT -36.670000 423.490000 -35.490000 424.670000 ;
-        RECT -36.670000 245.090000 -35.490000 246.270000 ;
-        RECT -36.670000 243.490000 -35.490000 244.670000 ;
-        RECT -36.670000 65.090000 -35.490000 66.270000 ;
-        RECT -36.670000 63.490000 -35.490000 64.670000 ;
-        RECT 2955.110000 3485.090000 2956.290000 3486.270000 ;
-        RECT 2955.110000 3483.490000 2956.290000 3484.670000 ;
-        RECT 2955.110000 3305.090000 2956.290000 3306.270000 ;
-        RECT 2955.110000 3303.490000 2956.290000 3304.670000 ;
-        RECT 2955.110000 3125.090000 2956.290000 3126.270000 ;
-        RECT 2955.110000 3123.490000 2956.290000 3124.670000 ;
-        RECT 2955.110000 2945.090000 2956.290000 2946.270000 ;
-        RECT 2955.110000 2943.490000 2956.290000 2944.670000 ;
-        RECT 2955.110000 2765.090000 2956.290000 2766.270000 ;
-        RECT 2955.110000 2763.490000 2956.290000 2764.670000 ;
-        RECT 2955.110000 2585.090000 2956.290000 2586.270000 ;
-        RECT 2955.110000 2583.490000 2956.290000 2584.670000 ;
-        RECT 2955.110000 2405.090000 2956.290000 2406.270000 ;
-        RECT 2955.110000 2403.490000 2956.290000 2404.670000 ;
-        RECT 2955.110000 2225.090000 2956.290000 2226.270000 ;
-        RECT 2955.110000 2223.490000 2956.290000 2224.670000 ;
-        RECT 2955.110000 2045.090000 2956.290000 2046.270000 ;
-        RECT 2955.110000 2043.490000 2956.290000 2044.670000 ;
-        RECT 2955.110000 1865.090000 2956.290000 1866.270000 ;
-        RECT 2955.110000 1863.490000 2956.290000 1864.670000 ;
-        RECT 2955.110000 1685.090000 2956.290000 1686.270000 ;
-        RECT 2955.110000 1683.490000 2956.290000 1684.670000 ;
-        RECT 2955.110000 1505.090000 2956.290000 1506.270000 ;
-        RECT 2955.110000 1503.490000 2956.290000 1504.670000 ;
-        RECT 2955.110000 1325.090000 2956.290000 1326.270000 ;
-        RECT 2955.110000 1323.490000 2956.290000 1324.670000 ;
-        RECT 2955.110000 1145.090000 2956.290000 1146.270000 ;
-        RECT 2955.110000 1143.490000 2956.290000 1144.670000 ;
-        RECT 2955.110000 965.090000 2956.290000 966.270000 ;
-        RECT 2955.110000 963.490000 2956.290000 964.670000 ;
-        RECT 2955.110000 785.090000 2956.290000 786.270000 ;
-        RECT 2955.110000 783.490000 2956.290000 784.670000 ;
-        RECT 2955.110000 605.090000 2956.290000 606.270000 ;
-        RECT 2955.110000 603.490000 2956.290000 604.670000 ;
-        RECT 2955.110000 425.090000 2956.290000 426.270000 ;
-        RECT 2955.110000 423.490000 2956.290000 424.670000 ;
-        RECT 2955.110000 245.090000 2956.290000 246.270000 ;
-        RECT 2955.110000 243.490000 2956.290000 244.670000 ;
-        RECT 2955.110000 65.090000 2956.290000 66.270000 ;
-        RECT 2955.110000 63.490000 2956.290000 64.670000 ;
-        RECT -36.670000 -30.510000 -35.490000 -29.330000 ;
-        RECT -36.670000 -32.110000 -35.490000 -30.930000 ;
-        RECT 58.930000 -30.510000 60.110000 -29.330000 ;
-        RECT 58.930000 -32.110000 60.110000 -30.930000 ;
-        RECT 238.930000 -30.510000 240.110000 -29.330000 ;
-        RECT 238.930000 -32.110000 240.110000 -30.930000 ;
-        RECT 418.930000 -30.510000 420.110000 -29.330000 ;
-        RECT 418.930000 -32.110000 420.110000 -30.930000 ;
-        RECT 598.930000 -30.510000 600.110000 -29.330000 ;
-        RECT 598.930000 -32.110000 600.110000 -30.930000 ;
-        RECT 778.930000 -30.510000 780.110000 -29.330000 ;
-        RECT 778.930000 -32.110000 780.110000 -30.930000 ;
-        RECT 958.930000 -30.510000 960.110000 -29.330000 ;
-        RECT 958.930000 -32.110000 960.110000 -30.930000 ;
-        RECT 1138.930000 -30.510000 1140.110000 -29.330000 ;
-        RECT 1138.930000 -32.110000 1140.110000 -30.930000 ;
-        RECT 1318.930000 -30.510000 1320.110000 -29.330000 ;
-        RECT 1318.930000 -32.110000 1320.110000 -30.930000 ;
-        RECT 1498.930000 -30.510000 1500.110000 -29.330000 ;
-        RECT 1498.930000 -32.110000 1500.110000 -30.930000 ;
-        RECT 1678.930000 -30.510000 1680.110000 -29.330000 ;
-        RECT 1678.930000 -32.110000 1680.110000 -30.930000 ;
-        RECT 1858.930000 -30.510000 1860.110000 -29.330000 ;
-        RECT 1858.930000 -32.110000 1860.110000 -30.930000 ;
-        RECT 2038.930000 -30.510000 2040.110000 -29.330000 ;
-        RECT 2038.930000 -32.110000 2040.110000 -30.930000 ;
-        RECT 2218.930000 -30.510000 2220.110000 -29.330000 ;
-        RECT 2218.930000 -32.110000 2220.110000 -30.930000 ;
-        RECT 2398.930000 -30.510000 2400.110000 -29.330000 ;
-        RECT 2398.930000 -32.110000 2400.110000 -30.930000 ;
-        RECT 2578.930000 -30.510000 2580.110000 -29.330000 ;
-        RECT 2578.930000 -32.110000 2580.110000 -30.930000 ;
-        RECT 2758.930000 -30.510000 2760.110000 -29.330000 ;
-        RECT 2758.930000 -32.110000 2760.110000 -30.930000 ;
-        RECT 2955.110000 -30.510000 2956.290000 -29.330000 ;
-        RECT 2955.110000 -32.110000 2956.290000 -30.930000 ;
+        RECT -37.270000 3551.210000 -36.090000 3552.390000 ;
+        RECT -37.270000 3549.610000 -36.090000 3550.790000 ;
+        RECT 58.930000 3551.210000 60.110000 3552.390000 ;
+        RECT 58.930000 3549.610000 60.110000 3550.790000 ;
+        RECT 238.930000 3551.210000 240.110000 3552.390000 ;
+        RECT 238.930000 3549.610000 240.110000 3550.790000 ;
+        RECT 418.930000 3551.210000 420.110000 3552.390000 ;
+        RECT 418.930000 3549.610000 420.110000 3550.790000 ;
+        RECT 598.930000 3551.210000 600.110000 3552.390000 ;
+        RECT 598.930000 3549.610000 600.110000 3550.790000 ;
+        RECT 778.930000 3551.210000 780.110000 3552.390000 ;
+        RECT 778.930000 3549.610000 780.110000 3550.790000 ;
+        RECT 958.930000 3551.210000 960.110000 3552.390000 ;
+        RECT 958.930000 3549.610000 960.110000 3550.790000 ;
+        RECT 1138.930000 3551.210000 1140.110000 3552.390000 ;
+        RECT 1138.930000 3549.610000 1140.110000 3550.790000 ;
+        RECT 1318.930000 3551.210000 1320.110000 3552.390000 ;
+        RECT 1318.930000 3549.610000 1320.110000 3550.790000 ;
+        RECT 1498.930000 3551.210000 1500.110000 3552.390000 ;
+        RECT 1498.930000 3549.610000 1500.110000 3550.790000 ;
+        RECT 1678.930000 3551.210000 1680.110000 3552.390000 ;
+        RECT 1678.930000 3549.610000 1680.110000 3550.790000 ;
+        RECT 1858.930000 3551.210000 1860.110000 3552.390000 ;
+        RECT 1858.930000 3549.610000 1860.110000 3550.790000 ;
+        RECT 2038.930000 3551.210000 2040.110000 3552.390000 ;
+        RECT 2038.930000 3549.610000 2040.110000 3550.790000 ;
+        RECT 2218.930000 3551.210000 2220.110000 3552.390000 ;
+        RECT 2218.930000 3549.610000 2220.110000 3550.790000 ;
+        RECT 2398.930000 3551.210000 2400.110000 3552.390000 ;
+        RECT 2398.930000 3549.610000 2400.110000 3550.790000 ;
+        RECT 2578.930000 3551.210000 2580.110000 3552.390000 ;
+        RECT 2578.930000 3549.610000 2580.110000 3550.790000 ;
+        RECT 2758.930000 3551.210000 2760.110000 3552.390000 ;
+        RECT 2758.930000 3549.610000 2760.110000 3550.790000 ;
+        RECT 2955.710000 3551.210000 2956.890000 3552.390000 ;
+        RECT 2955.710000 3549.610000 2956.890000 3550.790000 ;
+        RECT -37.270000 3485.090000 -36.090000 3486.270000 ;
+        RECT -37.270000 3483.490000 -36.090000 3484.670000 ;
+        RECT -37.270000 3305.090000 -36.090000 3306.270000 ;
+        RECT -37.270000 3303.490000 -36.090000 3304.670000 ;
+        RECT -37.270000 3125.090000 -36.090000 3126.270000 ;
+        RECT -37.270000 3123.490000 -36.090000 3124.670000 ;
+        RECT -37.270000 2945.090000 -36.090000 2946.270000 ;
+        RECT -37.270000 2943.490000 -36.090000 2944.670000 ;
+        RECT -37.270000 2765.090000 -36.090000 2766.270000 ;
+        RECT -37.270000 2763.490000 -36.090000 2764.670000 ;
+        RECT -37.270000 2585.090000 -36.090000 2586.270000 ;
+        RECT -37.270000 2583.490000 -36.090000 2584.670000 ;
+        RECT -37.270000 2405.090000 -36.090000 2406.270000 ;
+        RECT -37.270000 2403.490000 -36.090000 2404.670000 ;
+        RECT -37.270000 2225.090000 -36.090000 2226.270000 ;
+        RECT -37.270000 2223.490000 -36.090000 2224.670000 ;
+        RECT -37.270000 2045.090000 -36.090000 2046.270000 ;
+        RECT -37.270000 2043.490000 -36.090000 2044.670000 ;
+        RECT -37.270000 1865.090000 -36.090000 1866.270000 ;
+        RECT -37.270000 1863.490000 -36.090000 1864.670000 ;
+        RECT -37.270000 1685.090000 -36.090000 1686.270000 ;
+        RECT -37.270000 1683.490000 -36.090000 1684.670000 ;
+        RECT -37.270000 1505.090000 -36.090000 1506.270000 ;
+        RECT -37.270000 1503.490000 -36.090000 1504.670000 ;
+        RECT -37.270000 1325.090000 -36.090000 1326.270000 ;
+        RECT -37.270000 1323.490000 -36.090000 1324.670000 ;
+        RECT -37.270000 1145.090000 -36.090000 1146.270000 ;
+        RECT -37.270000 1143.490000 -36.090000 1144.670000 ;
+        RECT -37.270000 965.090000 -36.090000 966.270000 ;
+        RECT -37.270000 963.490000 -36.090000 964.670000 ;
+        RECT -37.270000 785.090000 -36.090000 786.270000 ;
+        RECT -37.270000 783.490000 -36.090000 784.670000 ;
+        RECT -37.270000 605.090000 -36.090000 606.270000 ;
+        RECT -37.270000 603.490000 -36.090000 604.670000 ;
+        RECT -37.270000 425.090000 -36.090000 426.270000 ;
+        RECT -37.270000 423.490000 -36.090000 424.670000 ;
+        RECT -37.270000 245.090000 -36.090000 246.270000 ;
+        RECT -37.270000 243.490000 -36.090000 244.670000 ;
+        RECT -37.270000 65.090000 -36.090000 66.270000 ;
+        RECT -37.270000 63.490000 -36.090000 64.670000 ;
+        RECT 2955.710000 3485.090000 2956.890000 3486.270000 ;
+        RECT 2955.710000 3483.490000 2956.890000 3484.670000 ;
+        RECT 2955.710000 3305.090000 2956.890000 3306.270000 ;
+        RECT 2955.710000 3303.490000 2956.890000 3304.670000 ;
+        RECT 2955.710000 3125.090000 2956.890000 3126.270000 ;
+        RECT 2955.710000 3123.490000 2956.890000 3124.670000 ;
+        RECT 2955.710000 2945.090000 2956.890000 2946.270000 ;
+        RECT 2955.710000 2943.490000 2956.890000 2944.670000 ;
+        RECT 2955.710000 2765.090000 2956.890000 2766.270000 ;
+        RECT 2955.710000 2763.490000 2956.890000 2764.670000 ;
+        RECT 2955.710000 2585.090000 2956.890000 2586.270000 ;
+        RECT 2955.710000 2583.490000 2956.890000 2584.670000 ;
+        RECT 2955.710000 2405.090000 2956.890000 2406.270000 ;
+        RECT 2955.710000 2403.490000 2956.890000 2404.670000 ;
+        RECT 2955.710000 2225.090000 2956.890000 2226.270000 ;
+        RECT 2955.710000 2223.490000 2956.890000 2224.670000 ;
+        RECT 2955.710000 2045.090000 2956.890000 2046.270000 ;
+        RECT 2955.710000 2043.490000 2956.890000 2044.670000 ;
+        RECT 2955.710000 1865.090000 2956.890000 1866.270000 ;
+        RECT 2955.710000 1863.490000 2956.890000 1864.670000 ;
+        RECT 2955.710000 1685.090000 2956.890000 1686.270000 ;
+        RECT 2955.710000 1683.490000 2956.890000 1684.670000 ;
+        RECT 2955.710000 1505.090000 2956.890000 1506.270000 ;
+        RECT 2955.710000 1503.490000 2956.890000 1504.670000 ;
+        RECT 2955.710000 1325.090000 2956.890000 1326.270000 ;
+        RECT 2955.710000 1323.490000 2956.890000 1324.670000 ;
+        RECT 2955.710000 1145.090000 2956.890000 1146.270000 ;
+        RECT 2955.710000 1143.490000 2956.890000 1144.670000 ;
+        RECT 2955.710000 965.090000 2956.890000 966.270000 ;
+        RECT 2955.710000 963.490000 2956.890000 964.670000 ;
+        RECT 2955.710000 785.090000 2956.890000 786.270000 ;
+        RECT 2955.710000 783.490000 2956.890000 784.670000 ;
+        RECT 2955.710000 605.090000 2956.890000 606.270000 ;
+        RECT 2955.710000 603.490000 2956.890000 604.670000 ;
+        RECT 2955.710000 425.090000 2956.890000 426.270000 ;
+        RECT 2955.710000 423.490000 2956.890000 424.670000 ;
+        RECT 2955.710000 245.090000 2956.890000 246.270000 ;
+        RECT 2955.710000 243.490000 2956.890000 244.670000 ;
+        RECT 2955.710000 65.090000 2956.890000 66.270000 ;
+        RECT 2955.710000 63.490000 2956.890000 64.670000 ;
+        RECT -37.270000 -31.110000 -36.090000 -29.930000 ;
+        RECT -37.270000 -32.710000 -36.090000 -31.530000 ;
+        RECT 58.930000 -31.110000 60.110000 -29.930000 ;
+        RECT 58.930000 -32.710000 60.110000 -31.530000 ;
+        RECT 238.930000 -31.110000 240.110000 -29.930000 ;
+        RECT 238.930000 -32.710000 240.110000 -31.530000 ;
+        RECT 418.930000 -31.110000 420.110000 -29.930000 ;
+        RECT 418.930000 -32.710000 420.110000 -31.530000 ;
+        RECT 598.930000 -31.110000 600.110000 -29.930000 ;
+        RECT 598.930000 -32.710000 600.110000 -31.530000 ;
+        RECT 778.930000 -31.110000 780.110000 -29.930000 ;
+        RECT 778.930000 -32.710000 780.110000 -31.530000 ;
+        RECT 958.930000 -31.110000 960.110000 -29.930000 ;
+        RECT 958.930000 -32.710000 960.110000 -31.530000 ;
+        RECT 1138.930000 -31.110000 1140.110000 -29.930000 ;
+        RECT 1138.930000 -32.710000 1140.110000 -31.530000 ;
+        RECT 1318.930000 -31.110000 1320.110000 -29.930000 ;
+        RECT 1318.930000 -32.710000 1320.110000 -31.530000 ;
+        RECT 1498.930000 -31.110000 1500.110000 -29.930000 ;
+        RECT 1498.930000 -32.710000 1500.110000 -31.530000 ;
+        RECT 1678.930000 -31.110000 1680.110000 -29.930000 ;
+        RECT 1678.930000 -32.710000 1680.110000 -31.530000 ;
+        RECT 1858.930000 -31.110000 1860.110000 -29.930000 ;
+        RECT 1858.930000 -32.710000 1860.110000 -31.530000 ;
+        RECT 2038.930000 -31.110000 2040.110000 -29.930000 ;
+        RECT 2038.930000 -32.710000 2040.110000 -31.530000 ;
+        RECT 2218.930000 -31.110000 2220.110000 -29.930000 ;
+        RECT 2218.930000 -32.710000 2220.110000 -31.530000 ;
+        RECT 2398.930000 -31.110000 2400.110000 -29.930000 ;
+        RECT 2398.930000 -32.710000 2400.110000 -31.530000 ;
+        RECT 2578.930000 -31.110000 2580.110000 -29.930000 ;
+        RECT 2578.930000 -32.710000 2580.110000 -31.530000 ;
+        RECT 2758.930000 -31.110000 2760.110000 -29.930000 ;
+        RECT 2758.930000 -32.710000 2760.110000 -31.530000 ;
+        RECT 2955.710000 -31.110000 2956.890000 -29.930000 ;
+        RECT 2955.710000 -32.710000 2956.890000 -31.530000 ;
       LAYER met5 ;
-        RECT -37.580000 3551.900000 -34.580000 3551.910000 ;
-        RECT 58.020000 3551.900000 61.020000 3551.910000 ;
-        RECT 238.020000 3551.900000 241.020000 3551.910000 ;
-        RECT 418.020000 3551.900000 421.020000 3551.910000 ;
-        RECT 598.020000 3551.900000 601.020000 3551.910000 ;
-        RECT 778.020000 3551.900000 781.020000 3551.910000 ;
-        RECT 958.020000 3551.900000 961.020000 3551.910000 ;
-        RECT 1138.020000 3551.900000 1141.020000 3551.910000 ;
-        RECT 1318.020000 3551.900000 1321.020000 3551.910000 ;
-        RECT 1498.020000 3551.900000 1501.020000 3551.910000 ;
-        RECT 1678.020000 3551.900000 1681.020000 3551.910000 ;
-        RECT 1858.020000 3551.900000 1861.020000 3551.910000 ;
-        RECT 2038.020000 3551.900000 2041.020000 3551.910000 ;
-        RECT 2218.020000 3551.900000 2221.020000 3551.910000 ;
-        RECT 2398.020000 3551.900000 2401.020000 3551.910000 ;
-        RECT 2578.020000 3551.900000 2581.020000 3551.910000 ;
-        RECT 2758.020000 3551.900000 2761.020000 3551.910000 ;
-        RECT 2954.200000 3551.900000 2957.200000 3551.910000 ;
-        RECT -37.580000 3548.900000 2957.200000 3551.900000 ;
-        RECT -37.580000 3548.890000 -34.580000 3548.900000 ;
-        RECT 58.020000 3548.890000 61.020000 3548.900000 ;
-        RECT 238.020000 3548.890000 241.020000 3548.900000 ;
-        RECT 418.020000 3548.890000 421.020000 3548.900000 ;
-        RECT 598.020000 3548.890000 601.020000 3548.900000 ;
-        RECT 778.020000 3548.890000 781.020000 3548.900000 ;
-        RECT 958.020000 3548.890000 961.020000 3548.900000 ;
-        RECT 1138.020000 3548.890000 1141.020000 3548.900000 ;
-        RECT 1318.020000 3548.890000 1321.020000 3548.900000 ;
-        RECT 1498.020000 3548.890000 1501.020000 3548.900000 ;
-        RECT 1678.020000 3548.890000 1681.020000 3548.900000 ;
-        RECT 1858.020000 3548.890000 1861.020000 3548.900000 ;
-        RECT 2038.020000 3548.890000 2041.020000 3548.900000 ;
-        RECT 2218.020000 3548.890000 2221.020000 3548.900000 ;
-        RECT 2398.020000 3548.890000 2401.020000 3548.900000 ;
-        RECT 2578.020000 3548.890000 2581.020000 3548.900000 ;
-        RECT 2758.020000 3548.890000 2761.020000 3548.900000 ;
-        RECT 2954.200000 3548.890000 2957.200000 3548.900000 ;
-        RECT -37.580000 3486.380000 -34.580000 3486.390000 ;
-        RECT 2954.200000 3486.380000 2957.200000 3486.390000 ;
-        RECT -42.180000 3483.380000 -0.400000 3486.380000 ;
-        RECT 2920.400000 3483.380000 2961.800000 3486.380000 ;
-        RECT -37.580000 3483.370000 -34.580000 3483.380000 ;
-        RECT 2954.200000 3483.370000 2957.200000 3483.380000 ;
-        RECT -37.580000 3306.380000 -34.580000 3306.390000 ;
-        RECT 2954.200000 3306.380000 2957.200000 3306.390000 ;
-        RECT -42.180000 3303.380000 -0.400000 3306.380000 ;
-        RECT 2920.400000 3303.380000 2961.800000 3306.380000 ;
-        RECT -37.580000 3303.370000 -34.580000 3303.380000 ;
-        RECT 2954.200000 3303.370000 2957.200000 3303.380000 ;
-        RECT -37.580000 3126.380000 -34.580000 3126.390000 ;
-        RECT 2954.200000 3126.380000 2957.200000 3126.390000 ;
-        RECT -42.180000 3123.380000 -0.400000 3126.380000 ;
-        RECT 2920.400000 3123.380000 2961.800000 3126.380000 ;
-        RECT -37.580000 3123.370000 -34.580000 3123.380000 ;
-        RECT 2954.200000 3123.370000 2957.200000 3123.380000 ;
-        RECT -37.580000 2946.380000 -34.580000 2946.390000 ;
-        RECT 2954.200000 2946.380000 2957.200000 2946.390000 ;
-        RECT -42.180000 2943.380000 -0.400000 2946.380000 ;
-        RECT 2920.400000 2943.380000 2961.800000 2946.380000 ;
-        RECT -37.580000 2943.370000 -34.580000 2943.380000 ;
-        RECT 2954.200000 2943.370000 2957.200000 2943.380000 ;
-        RECT -37.580000 2766.380000 -34.580000 2766.390000 ;
-        RECT 2954.200000 2766.380000 2957.200000 2766.390000 ;
-        RECT -42.180000 2763.380000 -0.400000 2766.380000 ;
-        RECT 2920.400000 2763.380000 2961.800000 2766.380000 ;
-        RECT -37.580000 2763.370000 -34.580000 2763.380000 ;
-        RECT 2954.200000 2763.370000 2957.200000 2763.380000 ;
-        RECT -37.580000 2586.380000 -34.580000 2586.390000 ;
-        RECT 2954.200000 2586.380000 2957.200000 2586.390000 ;
-        RECT -42.180000 2583.380000 -0.400000 2586.380000 ;
-        RECT 2920.400000 2583.380000 2961.800000 2586.380000 ;
-        RECT -37.580000 2583.370000 -34.580000 2583.380000 ;
-        RECT 2954.200000 2583.370000 2957.200000 2583.380000 ;
-        RECT -37.580000 2406.380000 -34.580000 2406.390000 ;
-        RECT 2954.200000 2406.380000 2957.200000 2406.390000 ;
-        RECT -42.180000 2403.380000 -0.400000 2406.380000 ;
-        RECT 2920.400000 2403.380000 2961.800000 2406.380000 ;
-        RECT -37.580000 2403.370000 -34.580000 2403.380000 ;
-        RECT 2954.200000 2403.370000 2957.200000 2403.380000 ;
-        RECT -37.580000 2226.380000 -34.580000 2226.390000 ;
-        RECT 2954.200000 2226.380000 2957.200000 2226.390000 ;
-        RECT -42.180000 2223.380000 -0.400000 2226.380000 ;
-        RECT 2920.400000 2223.380000 2961.800000 2226.380000 ;
-        RECT -37.580000 2223.370000 -34.580000 2223.380000 ;
-        RECT 2954.200000 2223.370000 2957.200000 2223.380000 ;
-        RECT -37.580000 2046.380000 -34.580000 2046.390000 ;
-        RECT 2954.200000 2046.380000 2957.200000 2046.390000 ;
-        RECT -42.180000 2043.380000 -0.400000 2046.380000 ;
-        RECT 2920.400000 2043.380000 2961.800000 2046.380000 ;
-        RECT -37.580000 2043.370000 -34.580000 2043.380000 ;
-        RECT 2954.200000 2043.370000 2957.200000 2043.380000 ;
-        RECT -37.580000 1866.380000 -34.580000 1866.390000 ;
-        RECT 2954.200000 1866.380000 2957.200000 1866.390000 ;
-        RECT -42.180000 1863.380000 -0.400000 1866.380000 ;
-        RECT 2920.400000 1863.380000 2961.800000 1866.380000 ;
-        RECT -37.580000 1863.370000 -34.580000 1863.380000 ;
-        RECT 2954.200000 1863.370000 2957.200000 1863.380000 ;
-        RECT -37.580000 1686.380000 -34.580000 1686.390000 ;
-        RECT 2954.200000 1686.380000 2957.200000 1686.390000 ;
-        RECT -42.180000 1683.380000 -0.400000 1686.380000 ;
-        RECT 2920.400000 1683.380000 2961.800000 1686.380000 ;
-        RECT -37.580000 1683.370000 -34.580000 1683.380000 ;
-        RECT 2954.200000 1683.370000 2957.200000 1683.380000 ;
-        RECT -37.580000 1506.380000 -34.580000 1506.390000 ;
-        RECT 2954.200000 1506.380000 2957.200000 1506.390000 ;
-        RECT -42.180000 1503.380000 -0.400000 1506.380000 ;
-        RECT 2920.400000 1503.380000 2961.800000 1506.380000 ;
-        RECT -37.580000 1503.370000 -34.580000 1503.380000 ;
-        RECT 2954.200000 1503.370000 2957.200000 1503.380000 ;
-        RECT -37.580000 1326.380000 -34.580000 1326.390000 ;
-        RECT 2954.200000 1326.380000 2957.200000 1326.390000 ;
-        RECT -42.180000 1323.380000 -0.400000 1326.380000 ;
-        RECT 2920.400000 1323.380000 2961.800000 1326.380000 ;
-        RECT -37.580000 1323.370000 -34.580000 1323.380000 ;
-        RECT 2954.200000 1323.370000 2957.200000 1323.380000 ;
-        RECT -37.580000 1146.380000 -34.580000 1146.390000 ;
-        RECT 2954.200000 1146.380000 2957.200000 1146.390000 ;
-        RECT -42.180000 1143.380000 -0.400000 1146.380000 ;
-        RECT 2920.400000 1143.380000 2961.800000 1146.380000 ;
-        RECT -37.580000 1143.370000 -34.580000 1143.380000 ;
-        RECT 2954.200000 1143.370000 2957.200000 1143.380000 ;
-        RECT -37.580000 966.380000 -34.580000 966.390000 ;
-        RECT 2954.200000 966.380000 2957.200000 966.390000 ;
-        RECT -42.180000 963.380000 -0.400000 966.380000 ;
-        RECT 2920.400000 963.380000 2961.800000 966.380000 ;
-        RECT -37.580000 963.370000 -34.580000 963.380000 ;
-        RECT 2954.200000 963.370000 2957.200000 963.380000 ;
-        RECT -37.580000 786.380000 -34.580000 786.390000 ;
-        RECT 2954.200000 786.380000 2957.200000 786.390000 ;
-        RECT -42.180000 783.380000 -0.400000 786.380000 ;
-        RECT 2920.400000 783.380000 2961.800000 786.380000 ;
-        RECT -37.580000 783.370000 -34.580000 783.380000 ;
-        RECT 2954.200000 783.370000 2957.200000 783.380000 ;
-        RECT -37.580000 606.380000 -34.580000 606.390000 ;
-        RECT 2954.200000 606.380000 2957.200000 606.390000 ;
-        RECT -42.180000 603.380000 -0.400000 606.380000 ;
-        RECT 2920.400000 603.380000 2961.800000 606.380000 ;
-        RECT -37.580000 603.370000 -34.580000 603.380000 ;
-        RECT 2954.200000 603.370000 2957.200000 603.380000 ;
-        RECT -37.580000 426.380000 -34.580000 426.390000 ;
-        RECT 2954.200000 426.380000 2957.200000 426.390000 ;
-        RECT -42.180000 423.380000 -0.400000 426.380000 ;
-        RECT 2920.400000 423.380000 2961.800000 426.380000 ;
-        RECT -37.580000 423.370000 -34.580000 423.380000 ;
-        RECT 2954.200000 423.370000 2957.200000 423.380000 ;
-        RECT -37.580000 246.380000 -34.580000 246.390000 ;
-        RECT 2954.200000 246.380000 2957.200000 246.390000 ;
-        RECT -42.180000 243.380000 -0.400000 246.380000 ;
-        RECT 2920.400000 243.380000 2961.800000 246.380000 ;
-        RECT -37.580000 243.370000 -34.580000 243.380000 ;
-        RECT 2954.200000 243.370000 2957.200000 243.380000 ;
-        RECT -37.580000 66.380000 -34.580000 66.390000 ;
-        RECT 2954.200000 66.380000 2957.200000 66.390000 ;
-        RECT -42.180000 63.380000 -0.400000 66.380000 ;
-        RECT 2920.400000 63.380000 2961.800000 66.380000 ;
-        RECT -37.580000 63.370000 -34.580000 63.380000 ;
-        RECT 2954.200000 63.370000 2957.200000 63.380000 ;
-        RECT -37.580000 -29.220000 -34.580000 -29.210000 ;
-        RECT 58.020000 -29.220000 61.020000 -29.210000 ;
-        RECT 238.020000 -29.220000 241.020000 -29.210000 ;
-        RECT 418.020000 -29.220000 421.020000 -29.210000 ;
-        RECT 598.020000 -29.220000 601.020000 -29.210000 ;
-        RECT 778.020000 -29.220000 781.020000 -29.210000 ;
-        RECT 958.020000 -29.220000 961.020000 -29.210000 ;
-        RECT 1138.020000 -29.220000 1141.020000 -29.210000 ;
-        RECT 1318.020000 -29.220000 1321.020000 -29.210000 ;
-        RECT 1498.020000 -29.220000 1501.020000 -29.210000 ;
-        RECT 1678.020000 -29.220000 1681.020000 -29.210000 ;
-        RECT 1858.020000 -29.220000 1861.020000 -29.210000 ;
-        RECT 2038.020000 -29.220000 2041.020000 -29.210000 ;
-        RECT 2218.020000 -29.220000 2221.020000 -29.210000 ;
-        RECT 2398.020000 -29.220000 2401.020000 -29.210000 ;
-        RECT 2578.020000 -29.220000 2581.020000 -29.210000 ;
-        RECT 2758.020000 -29.220000 2761.020000 -29.210000 ;
-        RECT 2954.200000 -29.220000 2957.200000 -29.210000 ;
-        RECT -37.580000 -32.220000 2957.200000 -29.220000 ;
-        RECT -37.580000 -32.230000 -34.580000 -32.220000 ;
-        RECT 58.020000 -32.230000 61.020000 -32.220000 ;
-        RECT 238.020000 -32.230000 241.020000 -32.220000 ;
-        RECT 418.020000 -32.230000 421.020000 -32.220000 ;
-        RECT 598.020000 -32.230000 601.020000 -32.220000 ;
-        RECT 778.020000 -32.230000 781.020000 -32.220000 ;
-        RECT 958.020000 -32.230000 961.020000 -32.220000 ;
-        RECT 1138.020000 -32.230000 1141.020000 -32.220000 ;
-        RECT 1318.020000 -32.230000 1321.020000 -32.220000 ;
-        RECT 1498.020000 -32.230000 1501.020000 -32.220000 ;
-        RECT 1678.020000 -32.230000 1681.020000 -32.220000 ;
-        RECT 1858.020000 -32.230000 1861.020000 -32.220000 ;
-        RECT 2038.020000 -32.230000 2041.020000 -32.220000 ;
-        RECT 2218.020000 -32.230000 2221.020000 -32.220000 ;
-        RECT 2398.020000 -32.230000 2401.020000 -32.220000 ;
-        RECT 2578.020000 -32.230000 2581.020000 -32.220000 ;
-        RECT 2758.020000 -32.230000 2761.020000 -32.220000 ;
-        RECT 2954.200000 -32.230000 2957.200000 -32.220000 ;
+        RECT -38.180000 3552.500000 -35.180000 3552.510000 ;
+        RECT 58.020000 3552.500000 61.020000 3552.510000 ;
+        RECT 238.020000 3552.500000 241.020000 3552.510000 ;
+        RECT 418.020000 3552.500000 421.020000 3552.510000 ;
+        RECT 598.020000 3552.500000 601.020000 3552.510000 ;
+        RECT 778.020000 3552.500000 781.020000 3552.510000 ;
+        RECT 958.020000 3552.500000 961.020000 3552.510000 ;
+        RECT 1138.020000 3552.500000 1141.020000 3552.510000 ;
+        RECT 1318.020000 3552.500000 1321.020000 3552.510000 ;
+        RECT 1498.020000 3552.500000 1501.020000 3552.510000 ;
+        RECT 1678.020000 3552.500000 1681.020000 3552.510000 ;
+        RECT 1858.020000 3552.500000 1861.020000 3552.510000 ;
+        RECT 2038.020000 3552.500000 2041.020000 3552.510000 ;
+        RECT 2218.020000 3552.500000 2221.020000 3552.510000 ;
+        RECT 2398.020000 3552.500000 2401.020000 3552.510000 ;
+        RECT 2578.020000 3552.500000 2581.020000 3552.510000 ;
+        RECT 2758.020000 3552.500000 2761.020000 3552.510000 ;
+        RECT 2954.800000 3552.500000 2957.800000 3552.510000 ;
+        RECT -38.180000 3549.500000 2957.800000 3552.500000 ;
+        RECT -38.180000 3549.490000 -35.180000 3549.500000 ;
+        RECT 58.020000 3549.490000 61.020000 3549.500000 ;
+        RECT 238.020000 3549.490000 241.020000 3549.500000 ;
+        RECT 418.020000 3549.490000 421.020000 3549.500000 ;
+        RECT 598.020000 3549.490000 601.020000 3549.500000 ;
+        RECT 778.020000 3549.490000 781.020000 3549.500000 ;
+        RECT 958.020000 3549.490000 961.020000 3549.500000 ;
+        RECT 1138.020000 3549.490000 1141.020000 3549.500000 ;
+        RECT 1318.020000 3549.490000 1321.020000 3549.500000 ;
+        RECT 1498.020000 3549.490000 1501.020000 3549.500000 ;
+        RECT 1678.020000 3549.490000 1681.020000 3549.500000 ;
+        RECT 1858.020000 3549.490000 1861.020000 3549.500000 ;
+        RECT 2038.020000 3549.490000 2041.020000 3549.500000 ;
+        RECT 2218.020000 3549.490000 2221.020000 3549.500000 ;
+        RECT 2398.020000 3549.490000 2401.020000 3549.500000 ;
+        RECT 2578.020000 3549.490000 2581.020000 3549.500000 ;
+        RECT 2758.020000 3549.490000 2761.020000 3549.500000 ;
+        RECT 2954.800000 3549.490000 2957.800000 3549.500000 ;
+        RECT -38.180000 3486.380000 -35.180000 3486.390000 ;
+        RECT 2954.800000 3486.380000 2957.800000 3486.390000 ;
+        RECT -42.880000 3483.380000 -0.400000 3486.380000 ;
+        RECT 2920.400000 3483.380000 2962.500000 3486.380000 ;
+        RECT -38.180000 3483.370000 -35.180000 3483.380000 ;
+        RECT 2954.800000 3483.370000 2957.800000 3483.380000 ;
+        RECT -38.180000 3306.380000 -35.180000 3306.390000 ;
+        RECT 2954.800000 3306.380000 2957.800000 3306.390000 ;
+        RECT -42.880000 3303.380000 -0.400000 3306.380000 ;
+        RECT 2920.400000 3303.380000 2962.500000 3306.380000 ;
+        RECT -38.180000 3303.370000 -35.180000 3303.380000 ;
+        RECT 2954.800000 3303.370000 2957.800000 3303.380000 ;
+        RECT -38.180000 3126.380000 -35.180000 3126.390000 ;
+        RECT 2954.800000 3126.380000 2957.800000 3126.390000 ;
+        RECT -42.880000 3123.380000 -0.400000 3126.380000 ;
+        RECT 2920.400000 3123.380000 2962.500000 3126.380000 ;
+        RECT -38.180000 3123.370000 -35.180000 3123.380000 ;
+        RECT 2954.800000 3123.370000 2957.800000 3123.380000 ;
+        RECT -38.180000 2946.380000 -35.180000 2946.390000 ;
+        RECT 2954.800000 2946.380000 2957.800000 2946.390000 ;
+        RECT -42.880000 2943.380000 -0.400000 2946.380000 ;
+        RECT 2920.400000 2943.380000 2962.500000 2946.380000 ;
+        RECT -38.180000 2943.370000 -35.180000 2943.380000 ;
+        RECT 2954.800000 2943.370000 2957.800000 2943.380000 ;
+        RECT -38.180000 2766.380000 -35.180000 2766.390000 ;
+        RECT 2954.800000 2766.380000 2957.800000 2766.390000 ;
+        RECT -42.880000 2763.380000 -0.400000 2766.380000 ;
+        RECT 2920.400000 2763.380000 2962.500000 2766.380000 ;
+        RECT -38.180000 2763.370000 -35.180000 2763.380000 ;
+        RECT 2954.800000 2763.370000 2957.800000 2763.380000 ;
+        RECT -38.180000 2586.380000 -35.180000 2586.390000 ;
+        RECT 2954.800000 2586.380000 2957.800000 2586.390000 ;
+        RECT -42.880000 2583.380000 -0.400000 2586.380000 ;
+        RECT 2920.400000 2583.380000 2962.500000 2586.380000 ;
+        RECT -38.180000 2583.370000 -35.180000 2583.380000 ;
+        RECT 2954.800000 2583.370000 2957.800000 2583.380000 ;
+        RECT -38.180000 2406.380000 -35.180000 2406.390000 ;
+        RECT 2954.800000 2406.380000 2957.800000 2406.390000 ;
+        RECT -42.880000 2403.380000 -0.400000 2406.380000 ;
+        RECT 2920.400000 2403.380000 2962.500000 2406.380000 ;
+        RECT -38.180000 2403.370000 -35.180000 2403.380000 ;
+        RECT 2954.800000 2403.370000 2957.800000 2403.380000 ;
+        RECT -38.180000 2226.380000 -35.180000 2226.390000 ;
+        RECT 2954.800000 2226.380000 2957.800000 2226.390000 ;
+        RECT -42.880000 2223.380000 -0.400000 2226.380000 ;
+        RECT 2920.400000 2223.380000 2962.500000 2226.380000 ;
+        RECT -38.180000 2223.370000 -35.180000 2223.380000 ;
+        RECT 2954.800000 2223.370000 2957.800000 2223.380000 ;
+        RECT -38.180000 2046.380000 -35.180000 2046.390000 ;
+        RECT 2954.800000 2046.380000 2957.800000 2046.390000 ;
+        RECT -42.880000 2043.380000 -0.400000 2046.380000 ;
+        RECT 2920.400000 2043.380000 2962.500000 2046.380000 ;
+        RECT -38.180000 2043.370000 -35.180000 2043.380000 ;
+        RECT 2954.800000 2043.370000 2957.800000 2043.380000 ;
+        RECT -38.180000 1866.380000 -35.180000 1866.390000 ;
+        RECT 2954.800000 1866.380000 2957.800000 1866.390000 ;
+        RECT -42.880000 1863.380000 -0.400000 1866.380000 ;
+        RECT 2920.400000 1863.380000 2962.500000 1866.380000 ;
+        RECT -38.180000 1863.370000 -35.180000 1863.380000 ;
+        RECT 2954.800000 1863.370000 2957.800000 1863.380000 ;
+        RECT -38.180000 1686.380000 -35.180000 1686.390000 ;
+        RECT 2954.800000 1686.380000 2957.800000 1686.390000 ;
+        RECT -42.880000 1683.380000 -0.400000 1686.380000 ;
+        RECT 2920.400000 1683.380000 2962.500000 1686.380000 ;
+        RECT -38.180000 1683.370000 -35.180000 1683.380000 ;
+        RECT 2954.800000 1683.370000 2957.800000 1683.380000 ;
+        RECT -38.180000 1506.380000 -35.180000 1506.390000 ;
+        RECT 2954.800000 1506.380000 2957.800000 1506.390000 ;
+        RECT -42.880000 1503.380000 -0.400000 1506.380000 ;
+        RECT 2920.400000 1503.380000 2962.500000 1506.380000 ;
+        RECT -38.180000 1503.370000 -35.180000 1503.380000 ;
+        RECT 2954.800000 1503.370000 2957.800000 1503.380000 ;
+        RECT -38.180000 1326.380000 -35.180000 1326.390000 ;
+        RECT 2954.800000 1326.380000 2957.800000 1326.390000 ;
+        RECT -42.880000 1323.380000 -0.400000 1326.380000 ;
+        RECT 2920.400000 1323.380000 2962.500000 1326.380000 ;
+        RECT -38.180000 1323.370000 -35.180000 1323.380000 ;
+        RECT 2954.800000 1323.370000 2957.800000 1323.380000 ;
+        RECT -38.180000 1146.380000 -35.180000 1146.390000 ;
+        RECT 2954.800000 1146.380000 2957.800000 1146.390000 ;
+        RECT -42.880000 1143.380000 -0.400000 1146.380000 ;
+        RECT 2920.400000 1143.380000 2962.500000 1146.380000 ;
+        RECT -38.180000 1143.370000 -35.180000 1143.380000 ;
+        RECT 2954.800000 1143.370000 2957.800000 1143.380000 ;
+        RECT -38.180000 966.380000 -35.180000 966.390000 ;
+        RECT 2954.800000 966.380000 2957.800000 966.390000 ;
+        RECT -42.880000 963.380000 -0.400000 966.380000 ;
+        RECT 2920.400000 963.380000 2962.500000 966.380000 ;
+        RECT -38.180000 963.370000 -35.180000 963.380000 ;
+        RECT 2954.800000 963.370000 2957.800000 963.380000 ;
+        RECT -38.180000 786.380000 -35.180000 786.390000 ;
+        RECT 2954.800000 786.380000 2957.800000 786.390000 ;
+        RECT -42.880000 783.380000 -0.400000 786.380000 ;
+        RECT 2920.400000 783.380000 2962.500000 786.380000 ;
+        RECT -38.180000 783.370000 -35.180000 783.380000 ;
+        RECT 2954.800000 783.370000 2957.800000 783.380000 ;
+        RECT -38.180000 606.380000 -35.180000 606.390000 ;
+        RECT 2954.800000 606.380000 2957.800000 606.390000 ;
+        RECT -42.880000 603.380000 -0.400000 606.380000 ;
+        RECT 2920.400000 603.380000 2962.500000 606.380000 ;
+        RECT -38.180000 603.370000 -35.180000 603.380000 ;
+        RECT 2954.800000 603.370000 2957.800000 603.380000 ;
+        RECT -38.180000 426.380000 -35.180000 426.390000 ;
+        RECT 2954.800000 426.380000 2957.800000 426.390000 ;
+        RECT -42.880000 423.380000 -0.400000 426.380000 ;
+        RECT 2920.400000 423.380000 2962.500000 426.380000 ;
+        RECT -38.180000 423.370000 -35.180000 423.380000 ;
+        RECT 2954.800000 423.370000 2957.800000 423.380000 ;
+        RECT -38.180000 246.380000 -35.180000 246.390000 ;
+        RECT 2954.800000 246.380000 2957.800000 246.390000 ;
+        RECT -42.880000 243.380000 -0.400000 246.380000 ;
+        RECT 2920.400000 243.380000 2962.500000 246.380000 ;
+        RECT -38.180000 243.370000 -35.180000 243.380000 ;
+        RECT 2954.800000 243.370000 2957.800000 243.380000 ;
+        RECT -38.180000 66.380000 -35.180000 66.390000 ;
+        RECT 2954.800000 66.380000 2957.800000 66.390000 ;
+        RECT -42.880000 63.380000 -0.400000 66.380000 ;
+        RECT 2920.400000 63.380000 2962.500000 66.380000 ;
+        RECT -38.180000 63.370000 -35.180000 63.380000 ;
+        RECT 2954.800000 63.370000 2957.800000 63.380000 ;
+        RECT -38.180000 -29.820000 -35.180000 -29.810000 ;
+        RECT 58.020000 -29.820000 61.020000 -29.810000 ;
+        RECT 238.020000 -29.820000 241.020000 -29.810000 ;
+        RECT 418.020000 -29.820000 421.020000 -29.810000 ;
+        RECT 598.020000 -29.820000 601.020000 -29.810000 ;
+        RECT 778.020000 -29.820000 781.020000 -29.810000 ;
+        RECT 958.020000 -29.820000 961.020000 -29.810000 ;
+        RECT 1138.020000 -29.820000 1141.020000 -29.810000 ;
+        RECT 1318.020000 -29.820000 1321.020000 -29.810000 ;
+        RECT 1498.020000 -29.820000 1501.020000 -29.810000 ;
+        RECT 1678.020000 -29.820000 1681.020000 -29.810000 ;
+        RECT 1858.020000 -29.820000 1861.020000 -29.810000 ;
+        RECT 2038.020000 -29.820000 2041.020000 -29.810000 ;
+        RECT 2218.020000 -29.820000 2221.020000 -29.810000 ;
+        RECT 2398.020000 -29.820000 2401.020000 -29.810000 ;
+        RECT 2578.020000 -29.820000 2581.020000 -29.810000 ;
+        RECT 2758.020000 -29.820000 2761.020000 -29.810000 ;
+        RECT 2954.800000 -29.820000 2957.800000 -29.810000 ;
+        RECT -38.180000 -32.820000 2957.800000 -29.820000 ;
+        RECT -38.180000 -32.830000 -35.180000 -32.820000 ;
+        RECT 58.020000 -32.830000 61.020000 -32.820000 ;
+        RECT 238.020000 -32.830000 241.020000 -32.820000 ;
+        RECT 418.020000 -32.830000 421.020000 -32.820000 ;
+        RECT 598.020000 -32.830000 601.020000 -32.820000 ;
+        RECT 778.020000 -32.830000 781.020000 -32.820000 ;
+        RECT 958.020000 -32.830000 961.020000 -32.820000 ;
+        RECT 1138.020000 -32.830000 1141.020000 -32.820000 ;
+        RECT 1318.020000 -32.830000 1321.020000 -32.820000 ;
+        RECT 1498.020000 -32.830000 1501.020000 -32.820000 ;
+        RECT 1678.020000 -32.830000 1681.020000 -32.820000 ;
+        RECT 1858.020000 -32.830000 1861.020000 -32.820000 ;
+        RECT 2038.020000 -32.830000 2041.020000 -32.820000 ;
+        RECT 2218.020000 -32.830000 2221.020000 -32.820000 ;
+        RECT 2398.020000 -32.830000 2401.020000 -32.820000 ;
+        RECT 2578.020000 -32.830000 2581.020000 -32.820000 ;
+        RECT 2758.020000 -32.830000 2761.020000 -32.820000 ;
+        RECT 2954.800000 -32.830000 2957.800000 -32.820000 ;
     END
   END vdda2
   PIN vssa2
     DIRECTION INPUT ;
     PORT
       LAYER met4 ;
-        RECT -42.180000 -36.820000 -39.180000 3556.500000 ;
-        RECT 148.020000 3520.400000 151.020000 3556.500000 ;
-        RECT 328.020000 3520.400000 331.020000 3556.500000 ;
-        RECT 508.020000 3520.400000 511.020000 3556.500000 ;
-        RECT 688.020000 3520.400000 691.020000 3556.500000 ;
-        RECT 868.020000 3520.400000 871.020000 3556.500000 ;
-        RECT 1048.020000 3520.400000 1051.020000 3556.500000 ;
-        RECT 1228.020000 3520.400000 1231.020000 3556.500000 ;
-        RECT 1408.020000 3520.400000 1411.020000 3556.500000 ;
-        RECT 1588.020000 3520.400000 1591.020000 3556.500000 ;
-        RECT 1768.020000 3520.400000 1771.020000 3556.500000 ;
-        RECT 1948.020000 3520.400000 1951.020000 3556.500000 ;
-        RECT 2128.020000 3520.400000 2131.020000 3556.500000 ;
-        RECT 2308.020000 3520.400000 2311.020000 3556.500000 ;
-        RECT 2488.020000 3520.400000 2491.020000 3556.500000 ;
-        RECT 2668.020000 3520.400000 2671.020000 3556.500000 ;
-        RECT 2848.020000 3520.400000 2851.020000 3556.500000 ;
-        RECT 148.020000 -36.820000 151.020000 -0.400000 ;
-        RECT 328.020000 -36.820000 331.020000 -0.400000 ;
-        RECT 508.020000 -36.820000 511.020000 -0.400000 ;
-        RECT 688.020000 -36.820000 691.020000 -0.400000 ;
-        RECT 868.020000 -36.820000 871.020000 -0.400000 ;
-        RECT 1048.020000 -36.820000 1051.020000 -0.400000 ;
-        RECT 1228.020000 -36.820000 1231.020000 -0.400000 ;
-        RECT 1408.020000 -36.820000 1411.020000 -0.400000 ;
-        RECT 1588.020000 -36.820000 1591.020000 -0.400000 ;
-        RECT 1768.020000 -36.820000 1771.020000 -0.400000 ;
-        RECT 1948.020000 -36.820000 1951.020000 -0.400000 ;
-        RECT 2128.020000 -36.820000 2131.020000 -0.400000 ;
-        RECT 2308.020000 -36.820000 2311.020000 -0.400000 ;
-        RECT 2488.020000 -36.820000 2491.020000 -0.400000 ;
-        RECT 2668.020000 -36.820000 2671.020000 -0.400000 ;
-        RECT 2848.020000 -36.820000 2851.020000 -0.400000 ;
-        RECT 2958.800000 -36.820000 2961.800000 3556.500000 ;
+        RECT -42.880000 -37.520000 -39.880000 3557.200000 ;
+        RECT 148.020000 3520.400000 151.020000 3557.200000 ;
+        RECT 328.020000 3520.400000 331.020000 3557.200000 ;
+        RECT 508.020000 3520.400000 511.020000 3557.200000 ;
+        RECT 688.020000 3520.400000 691.020000 3557.200000 ;
+        RECT 868.020000 3520.400000 871.020000 3557.200000 ;
+        RECT 1048.020000 3520.400000 1051.020000 3557.200000 ;
+        RECT 1228.020000 3520.400000 1231.020000 3557.200000 ;
+        RECT 1408.020000 3520.400000 1411.020000 3557.200000 ;
+        RECT 1588.020000 3520.400000 1591.020000 3557.200000 ;
+        RECT 1768.020000 3520.400000 1771.020000 3557.200000 ;
+        RECT 1948.020000 3520.400000 1951.020000 3557.200000 ;
+        RECT 2128.020000 3520.400000 2131.020000 3557.200000 ;
+        RECT 2308.020000 3520.400000 2311.020000 3557.200000 ;
+        RECT 2488.020000 3520.400000 2491.020000 3557.200000 ;
+        RECT 2668.020000 3520.400000 2671.020000 3557.200000 ;
+        RECT 2848.020000 3520.400000 2851.020000 3557.200000 ;
+        RECT 148.020000 -37.520000 151.020000 -0.400000 ;
+        RECT 328.020000 -37.520000 331.020000 -0.400000 ;
+        RECT 508.020000 -37.520000 511.020000 -0.400000 ;
+        RECT 688.020000 -37.520000 691.020000 -0.400000 ;
+        RECT 868.020000 -37.520000 871.020000 -0.400000 ;
+        RECT 1048.020000 -37.520000 1051.020000 -0.400000 ;
+        RECT 1228.020000 -37.520000 1231.020000 -0.400000 ;
+        RECT 1408.020000 -37.520000 1411.020000 -0.400000 ;
+        RECT 1588.020000 -37.520000 1591.020000 -0.400000 ;
+        RECT 1768.020000 -37.520000 1771.020000 -0.400000 ;
+        RECT 1948.020000 -37.520000 1951.020000 -0.400000 ;
+        RECT 2128.020000 -37.520000 2131.020000 -0.400000 ;
+        RECT 2308.020000 -37.520000 2311.020000 -0.400000 ;
+        RECT 2488.020000 -37.520000 2491.020000 -0.400000 ;
+        RECT 2668.020000 -37.520000 2671.020000 -0.400000 ;
+        RECT 2848.020000 -37.520000 2851.020000 -0.400000 ;
+        RECT 2959.500000 -37.520000 2962.500000 3557.200000 ;
       LAYER M4M5_PR_C ;
-        RECT -41.270000 3555.210000 -40.090000 3556.390000 ;
-        RECT -41.270000 3553.610000 -40.090000 3554.790000 ;
-        RECT 148.930000 3555.210000 150.110000 3556.390000 ;
-        RECT 148.930000 3553.610000 150.110000 3554.790000 ;
-        RECT 328.930000 3555.210000 330.110000 3556.390000 ;
-        RECT 328.930000 3553.610000 330.110000 3554.790000 ;
-        RECT 508.930000 3555.210000 510.110000 3556.390000 ;
-        RECT 508.930000 3553.610000 510.110000 3554.790000 ;
-        RECT 688.930000 3555.210000 690.110000 3556.390000 ;
-        RECT 688.930000 3553.610000 690.110000 3554.790000 ;
-        RECT 868.930000 3555.210000 870.110000 3556.390000 ;
-        RECT 868.930000 3553.610000 870.110000 3554.790000 ;
-        RECT 1048.930000 3555.210000 1050.110000 3556.390000 ;
-        RECT 1048.930000 3553.610000 1050.110000 3554.790000 ;
-        RECT 1228.930000 3555.210000 1230.110000 3556.390000 ;
-        RECT 1228.930000 3553.610000 1230.110000 3554.790000 ;
-        RECT 1408.930000 3555.210000 1410.110000 3556.390000 ;
-        RECT 1408.930000 3553.610000 1410.110000 3554.790000 ;
-        RECT 1588.930000 3555.210000 1590.110000 3556.390000 ;
-        RECT 1588.930000 3553.610000 1590.110000 3554.790000 ;
-        RECT 1768.930000 3555.210000 1770.110000 3556.390000 ;
-        RECT 1768.930000 3553.610000 1770.110000 3554.790000 ;
-        RECT 1948.930000 3555.210000 1950.110000 3556.390000 ;
-        RECT 1948.930000 3553.610000 1950.110000 3554.790000 ;
-        RECT 2128.930000 3555.210000 2130.110000 3556.390000 ;
-        RECT 2128.930000 3553.610000 2130.110000 3554.790000 ;
-        RECT 2308.930000 3555.210000 2310.110000 3556.390000 ;
-        RECT 2308.930000 3553.610000 2310.110000 3554.790000 ;
-        RECT 2488.930000 3555.210000 2490.110000 3556.390000 ;
-        RECT 2488.930000 3553.610000 2490.110000 3554.790000 ;
-        RECT 2668.930000 3555.210000 2670.110000 3556.390000 ;
-        RECT 2668.930000 3553.610000 2670.110000 3554.790000 ;
-        RECT 2848.930000 3555.210000 2850.110000 3556.390000 ;
-        RECT 2848.930000 3553.610000 2850.110000 3554.790000 ;
-        RECT 2959.710000 3555.210000 2960.890000 3556.390000 ;
-        RECT 2959.710000 3553.610000 2960.890000 3554.790000 ;
-        RECT -41.270000 3395.090000 -40.090000 3396.270000 ;
-        RECT -41.270000 3393.490000 -40.090000 3394.670000 ;
-        RECT -41.270000 3215.090000 -40.090000 3216.270000 ;
-        RECT -41.270000 3213.490000 -40.090000 3214.670000 ;
-        RECT -41.270000 3035.090000 -40.090000 3036.270000 ;
-        RECT -41.270000 3033.490000 -40.090000 3034.670000 ;
-        RECT -41.270000 2855.090000 -40.090000 2856.270000 ;
-        RECT -41.270000 2853.490000 -40.090000 2854.670000 ;
-        RECT -41.270000 2675.090000 -40.090000 2676.270000 ;
-        RECT -41.270000 2673.490000 -40.090000 2674.670000 ;
-        RECT -41.270000 2495.090000 -40.090000 2496.270000 ;
-        RECT -41.270000 2493.490000 -40.090000 2494.670000 ;
-        RECT -41.270000 2315.090000 -40.090000 2316.270000 ;
-        RECT -41.270000 2313.490000 -40.090000 2314.670000 ;
-        RECT -41.270000 2135.090000 -40.090000 2136.270000 ;
-        RECT -41.270000 2133.490000 -40.090000 2134.670000 ;
-        RECT -41.270000 1955.090000 -40.090000 1956.270000 ;
-        RECT -41.270000 1953.490000 -40.090000 1954.670000 ;
-        RECT -41.270000 1775.090000 -40.090000 1776.270000 ;
-        RECT -41.270000 1773.490000 -40.090000 1774.670000 ;
-        RECT -41.270000 1595.090000 -40.090000 1596.270000 ;
-        RECT -41.270000 1593.490000 -40.090000 1594.670000 ;
-        RECT -41.270000 1415.090000 -40.090000 1416.270000 ;
-        RECT -41.270000 1413.490000 -40.090000 1414.670000 ;
-        RECT -41.270000 1235.090000 -40.090000 1236.270000 ;
-        RECT -41.270000 1233.490000 -40.090000 1234.670000 ;
-        RECT -41.270000 1055.090000 -40.090000 1056.270000 ;
-        RECT -41.270000 1053.490000 -40.090000 1054.670000 ;
-        RECT -41.270000 875.090000 -40.090000 876.270000 ;
-        RECT -41.270000 873.490000 -40.090000 874.670000 ;
-        RECT -41.270000 695.090000 -40.090000 696.270000 ;
-        RECT -41.270000 693.490000 -40.090000 694.670000 ;
-        RECT -41.270000 515.090000 -40.090000 516.270000 ;
-        RECT -41.270000 513.490000 -40.090000 514.670000 ;
-        RECT -41.270000 335.090000 -40.090000 336.270000 ;
-        RECT -41.270000 333.490000 -40.090000 334.670000 ;
-        RECT -41.270000 155.090000 -40.090000 156.270000 ;
-        RECT -41.270000 153.490000 -40.090000 154.670000 ;
-        RECT 2959.710000 3395.090000 2960.890000 3396.270000 ;
-        RECT 2959.710000 3393.490000 2960.890000 3394.670000 ;
-        RECT 2959.710000 3215.090000 2960.890000 3216.270000 ;
-        RECT 2959.710000 3213.490000 2960.890000 3214.670000 ;
-        RECT 2959.710000 3035.090000 2960.890000 3036.270000 ;
-        RECT 2959.710000 3033.490000 2960.890000 3034.670000 ;
-        RECT 2959.710000 2855.090000 2960.890000 2856.270000 ;
-        RECT 2959.710000 2853.490000 2960.890000 2854.670000 ;
-        RECT 2959.710000 2675.090000 2960.890000 2676.270000 ;
-        RECT 2959.710000 2673.490000 2960.890000 2674.670000 ;
-        RECT 2959.710000 2495.090000 2960.890000 2496.270000 ;
-        RECT 2959.710000 2493.490000 2960.890000 2494.670000 ;
-        RECT 2959.710000 2315.090000 2960.890000 2316.270000 ;
-        RECT 2959.710000 2313.490000 2960.890000 2314.670000 ;
-        RECT 2959.710000 2135.090000 2960.890000 2136.270000 ;
-        RECT 2959.710000 2133.490000 2960.890000 2134.670000 ;
-        RECT 2959.710000 1955.090000 2960.890000 1956.270000 ;
-        RECT 2959.710000 1953.490000 2960.890000 1954.670000 ;
-        RECT 2959.710000 1775.090000 2960.890000 1776.270000 ;
-        RECT 2959.710000 1773.490000 2960.890000 1774.670000 ;
-        RECT 2959.710000 1595.090000 2960.890000 1596.270000 ;
-        RECT 2959.710000 1593.490000 2960.890000 1594.670000 ;
-        RECT 2959.710000 1415.090000 2960.890000 1416.270000 ;
-        RECT 2959.710000 1413.490000 2960.890000 1414.670000 ;
-        RECT 2959.710000 1235.090000 2960.890000 1236.270000 ;
-        RECT 2959.710000 1233.490000 2960.890000 1234.670000 ;
-        RECT 2959.710000 1055.090000 2960.890000 1056.270000 ;
-        RECT 2959.710000 1053.490000 2960.890000 1054.670000 ;
-        RECT 2959.710000 875.090000 2960.890000 876.270000 ;
-        RECT 2959.710000 873.490000 2960.890000 874.670000 ;
-        RECT 2959.710000 695.090000 2960.890000 696.270000 ;
-        RECT 2959.710000 693.490000 2960.890000 694.670000 ;
-        RECT 2959.710000 515.090000 2960.890000 516.270000 ;
-        RECT 2959.710000 513.490000 2960.890000 514.670000 ;
-        RECT 2959.710000 335.090000 2960.890000 336.270000 ;
-        RECT 2959.710000 333.490000 2960.890000 334.670000 ;
-        RECT 2959.710000 155.090000 2960.890000 156.270000 ;
-        RECT 2959.710000 153.490000 2960.890000 154.670000 ;
-        RECT -41.270000 -35.110000 -40.090000 -33.930000 ;
-        RECT -41.270000 -36.710000 -40.090000 -35.530000 ;
-        RECT 148.930000 -35.110000 150.110000 -33.930000 ;
-        RECT 148.930000 -36.710000 150.110000 -35.530000 ;
-        RECT 328.930000 -35.110000 330.110000 -33.930000 ;
-        RECT 328.930000 -36.710000 330.110000 -35.530000 ;
-        RECT 508.930000 -35.110000 510.110000 -33.930000 ;
-        RECT 508.930000 -36.710000 510.110000 -35.530000 ;
-        RECT 688.930000 -35.110000 690.110000 -33.930000 ;
-        RECT 688.930000 -36.710000 690.110000 -35.530000 ;
-        RECT 868.930000 -35.110000 870.110000 -33.930000 ;
-        RECT 868.930000 -36.710000 870.110000 -35.530000 ;
-        RECT 1048.930000 -35.110000 1050.110000 -33.930000 ;
-        RECT 1048.930000 -36.710000 1050.110000 -35.530000 ;
-        RECT 1228.930000 -35.110000 1230.110000 -33.930000 ;
-        RECT 1228.930000 -36.710000 1230.110000 -35.530000 ;
-        RECT 1408.930000 -35.110000 1410.110000 -33.930000 ;
-        RECT 1408.930000 -36.710000 1410.110000 -35.530000 ;
-        RECT 1588.930000 -35.110000 1590.110000 -33.930000 ;
-        RECT 1588.930000 -36.710000 1590.110000 -35.530000 ;
-        RECT 1768.930000 -35.110000 1770.110000 -33.930000 ;
-        RECT 1768.930000 -36.710000 1770.110000 -35.530000 ;
-        RECT 1948.930000 -35.110000 1950.110000 -33.930000 ;
-        RECT 1948.930000 -36.710000 1950.110000 -35.530000 ;
-        RECT 2128.930000 -35.110000 2130.110000 -33.930000 ;
-        RECT 2128.930000 -36.710000 2130.110000 -35.530000 ;
-        RECT 2308.930000 -35.110000 2310.110000 -33.930000 ;
-        RECT 2308.930000 -36.710000 2310.110000 -35.530000 ;
-        RECT 2488.930000 -35.110000 2490.110000 -33.930000 ;
-        RECT 2488.930000 -36.710000 2490.110000 -35.530000 ;
-        RECT 2668.930000 -35.110000 2670.110000 -33.930000 ;
-        RECT 2668.930000 -36.710000 2670.110000 -35.530000 ;
-        RECT 2848.930000 -35.110000 2850.110000 -33.930000 ;
-        RECT 2848.930000 -36.710000 2850.110000 -35.530000 ;
-        RECT 2959.710000 -35.110000 2960.890000 -33.930000 ;
-        RECT 2959.710000 -36.710000 2960.890000 -35.530000 ;
+        RECT -41.970000 3555.910000 -40.790000 3557.090000 ;
+        RECT -41.970000 3554.310000 -40.790000 3555.490000 ;
+        RECT 148.930000 3555.910000 150.110000 3557.090000 ;
+        RECT 148.930000 3554.310000 150.110000 3555.490000 ;
+        RECT 328.930000 3555.910000 330.110000 3557.090000 ;
+        RECT 328.930000 3554.310000 330.110000 3555.490000 ;
+        RECT 508.930000 3555.910000 510.110000 3557.090000 ;
+        RECT 508.930000 3554.310000 510.110000 3555.490000 ;
+        RECT 688.930000 3555.910000 690.110000 3557.090000 ;
+        RECT 688.930000 3554.310000 690.110000 3555.490000 ;
+        RECT 868.930000 3555.910000 870.110000 3557.090000 ;
+        RECT 868.930000 3554.310000 870.110000 3555.490000 ;
+        RECT 1048.930000 3555.910000 1050.110000 3557.090000 ;
+        RECT 1048.930000 3554.310000 1050.110000 3555.490000 ;
+        RECT 1228.930000 3555.910000 1230.110000 3557.090000 ;
+        RECT 1228.930000 3554.310000 1230.110000 3555.490000 ;
+        RECT 1408.930000 3555.910000 1410.110000 3557.090000 ;
+        RECT 1408.930000 3554.310000 1410.110000 3555.490000 ;
+        RECT 1588.930000 3555.910000 1590.110000 3557.090000 ;
+        RECT 1588.930000 3554.310000 1590.110000 3555.490000 ;
+        RECT 1768.930000 3555.910000 1770.110000 3557.090000 ;
+        RECT 1768.930000 3554.310000 1770.110000 3555.490000 ;
+        RECT 1948.930000 3555.910000 1950.110000 3557.090000 ;
+        RECT 1948.930000 3554.310000 1950.110000 3555.490000 ;
+        RECT 2128.930000 3555.910000 2130.110000 3557.090000 ;
+        RECT 2128.930000 3554.310000 2130.110000 3555.490000 ;
+        RECT 2308.930000 3555.910000 2310.110000 3557.090000 ;
+        RECT 2308.930000 3554.310000 2310.110000 3555.490000 ;
+        RECT 2488.930000 3555.910000 2490.110000 3557.090000 ;
+        RECT 2488.930000 3554.310000 2490.110000 3555.490000 ;
+        RECT 2668.930000 3555.910000 2670.110000 3557.090000 ;
+        RECT 2668.930000 3554.310000 2670.110000 3555.490000 ;
+        RECT 2848.930000 3555.910000 2850.110000 3557.090000 ;
+        RECT 2848.930000 3554.310000 2850.110000 3555.490000 ;
+        RECT 2960.410000 3555.910000 2961.590000 3557.090000 ;
+        RECT 2960.410000 3554.310000 2961.590000 3555.490000 ;
+        RECT -41.970000 3395.090000 -40.790000 3396.270000 ;
+        RECT -41.970000 3393.490000 -40.790000 3394.670000 ;
+        RECT -41.970000 3215.090000 -40.790000 3216.270000 ;
+        RECT -41.970000 3213.490000 -40.790000 3214.670000 ;
+        RECT -41.970000 3035.090000 -40.790000 3036.270000 ;
+        RECT -41.970000 3033.490000 -40.790000 3034.670000 ;
+        RECT -41.970000 2855.090000 -40.790000 2856.270000 ;
+        RECT -41.970000 2853.490000 -40.790000 2854.670000 ;
+        RECT -41.970000 2675.090000 -40.790000 2676.270000 ;
+        RECT -41.970000 2673.490000 -40.790000 2674.670000 ;
+        RECT -41.970000 2495.090000 -40.790000 2496.270000 ;
+        RECT -41.970000 2493.490000 -40.790000 2494.670000 ;
+        RECT -41.970000 2315.090000 -40.790000 2316.270000 ;
+        RECT -41.970000 2313.490000 -40.790000 2314.670000 ;
+        RECT -41.970000 2135.090000 -40.790000 2136.270000 ;
+        RECT -41.970000 2133.490000 -40.790000 2134.670000 ;
+        RECT -41.970000 1955.090000 -40.790000 1956.270000 ;
+        RECT -41.970000 1953.490000 -40.790000 1954.670000 ;
+        RECT -41.970000 1775.090000 -40.790000 1776.270000 ;
+        RECT -41.970000 1773.490000 -40.790000 1774.670000 ;
+        RECT -41.970000 1595.090000 -40.790000 1596.270000 ;
+        RECT -41.970000 1593.490000 -40.790000 1594.670000 ;
+        RECT -41.970000 1415.090000 -40.790000 1416.270000 ;
+        RECT -41.970000 1413.490000 -40.790000 1414.670000 ;
+        RECT -41.970000 1235.090000 -40.790000 1236.270000 ;
+        RECT -41.970000 1233.490000 -40.790000 1234.670000 ;
+        RECT -41.970000 1055.090000 -40.790000 1056.270000 ;
+        RECT -41.970000 1053.490000 -40.790000 1054.670000 ;
+        RECT -41.970000 875.090000 -40.790000 876.270000 ;
+        RECT -41.970000 873.490000 -40.790000 874.670000 ;
+        RECT -41.970000 695.090000 -40.790000 696.270000 ;
+        RECT -41.970000 693.490000 -40.790000 694.670000 ;
+        RECT -41.970000 515.090000 -40.790000 516.270000 ;
+        RECT -41.970000 513.490000 -40.790000 514.670000 ;
+        RECT -41.970000 335.090000 -40.790000 336.270000 ;
+        RECT -41.970000 333.490000 -40.790000 334.670000 ;
+        RECT -41.970000 155.090000 -40.790000 156.270000 ;
+        RECT -41.970000 153.490000 -40.790000 154.670000 ;
+        RECT 2960.410000 3395.090000 2961.590000 3396.270000 ;
+        RECT 2960.410000 3393.490000 2961.590000 3394.670000 ;
+        RECT 2960.410000 3215.090000 2961.590000 3216.270000 ;
+        RECT 2960.410000 3213.490000 2961.590000 3214.670000 ;
+        RECT 2960.410000 3035.090000 2961.590000 3036.270000 ;
+        RECT 2960.410000 3033.490000 2961.590000 3034.670000 ;
+        RECT 2960.410000 2855.090000 2961.590000 2856.270000 ;
+        RECT 2960.410000 2853.490000 2961.590000 2854.670000 ;
+        RECT 2960.410000 2675.090000 2961.590000 2676.270000 ;
+        RECT 2960.410000 2673.490000 2961.590000 2674.670000 ;
+        RECT 2960.410000 2495.090000 2961.590000 2496.270000 ;
+        RECT 2960.410000 2493.490000 2961.590000 2494.670000 ;
+        RECT 2960.410000 2315.090000 2961.590000 2316.270000 ;
+        RECT 2960.410000 2313.490000 2961.590000 2314.670000 ;
+        RECT 2960.410000 2135.090000 2961.590000 2136.270000 ;
+        RECT 2960.410000 2133.490000 2961.590000 2134.670000 ;
+        RECT 2960.410000 1955.090000 2961.590000 1956.270000 ;
+        RECT 2960.410000 1953.490000 2961.590000 1954.670000 ;
+        RECT 2960.410000 1775.090000 2961.590000 1776.270000 ;
+        RECT 2960.410000 1773.490000 2961.590000 1774.670000 ;
+        RECT 2960.410000 1595.090000 2961.590000 1596.270000 ;
+        RECT 2960.410000 1593.490000 2961.590000 1594.670000 ;
+        RECT 2960.410000 1415.090000 2961.590000 1416.270000 ;
+        RECT 2960.410000 1413.490000 2961.590000 1414.670000 ;
+        RECT 2960.410000 1235.090000 2961.590000 1236.270000 ;
+        RECT 2960.410000 1233.490000 2961.590000 1234.670000 ;
+        RECT 2960.410000 1055.090000 2961.590000 1056.270000 ;
+        RECT 2960.410000 1053.490000 2961.590000 1054.670000 ;
+        RECT 2960.410000 875.090000 2961.590000 876.270000 ;
+        RECT 2960.410000 873.490000 2961.590000 874.670000 ;
+        RECT 2960.410000 695.090000 2961.590000 696.270000 ;
+        RECT 2960.410000 693.490000 2961.590000 694.670000 ;
+        RECT 2960.410000 515.090000 2961.590000 516.270000 ;
+        RECT 2960.410000 513.490000 2961.590000 514.670000 ;
+        RECT 2960.410000 335.090000 2961.590000 336.270000 ;
+        RECT 2960.410000 333.490000 2961.590000 334.670000 ;
+        RECT 2960.410000 155.090000 2961.590000 156.270000 ;
+        RECT 2960.410000 153.490000 2961.590000 154.670000 ;
+        RECT -41.970000 -35.810000 -40.790000 -34.630000 ;
+        RECT -41.970000 -37.410000 -40.790000 -36.230000 ;
+        RECT 148.930000 -35.810000 150.110000 -34.630000 ;
+        RECT 148.930000 -37.410000 150.110000 -36.230000 ;
+        RECT 328.930000 -35.810000 330.110000 -34.630000 ;
+        RECT 328.930000 -37.410000 330.110000 -36.230000 ;
+        RECT 508.930000 -35.810000 510.110000 -34.630000 ;
+        RECT 508.930000 -37.410000 510.110000 -36.230000 ;
+        RECT 688.930000 -35.810000 690.110000 -34.630000 ;
+        RECT 688.930000 -37.410000 690.110000 -36.230000 ;
+        RECT 868.930000 -35.810000 870.110000 -34.630000 ;
+        RECT 868.930000 -37.410000 870.110000 -36.230000 ;
+        RECT 1048.930000 -35.810000 1050.110000 -34.630000 ;
+        RECT 1048.930000 -37.410000 1050.110000 -36.230000 ;
+        RECT 1228.930000 -35.810000 1230.110000 -34.630000 ;
+        RECT 1228.930000 -37.410000 1230.110000 -36.230000 ;
+        RECT 1408.930000 -35.810000 1410.110000 -34.630000 ;
+        RECT 1408.930000 -37.410000 1410.110000 -36.230000 ;
+        RECT 1588.930000 -35.810000 1590.110000 -34.630000 ;
+        RECT 1588.930000 -37.410000 1590.110000 -36.230000 ;
+        RECT 1768.930000 -35.810000 1770.110000 -34.630000 ;
+        RECT 1768.930000 -37.410000 1770.110000 -36.230000 ;
+        RECT 1948.930000 -35.810000 1950.110000 -34.630000 ;
+        RECT 1948.930000 -37.410000 1950.110000 -36.230000 ;
+        RECT 2128.930000 -35.810000 2130.110000 -34.630000 ;
+        RECT 2128.930000 -37.410000 2130.110000 -36.230000 ;
+        RECT 2308.930000 -35.810000 2310.110000 -34.630000 ;
+        RECT 2308.930000 -37.410000 2310.110000 -36.230000 ;
+        RECT 2488.930000 -35.810000 2490.110000 -34.630000 ;
+        RECT 2488.930000 -37.410000 2490.110000 -36.230000 ;
+        RECT 2668.930000 -35.810000 2670.110000 -34.630000 ;
+        RECT 2668.930000 -37.410000 2670.110000 -36.230000 ;
+        RECT 2848.930000 -35.810000 2850.110000 -34.630000 ;
+        RECT 2848.930000 -37.410000 2850.110000 -36.230000 ;
+        RECT 2960.410000 -35.810000 2961.590000 -34.630000 ;
+        RECT 2960.410000 -37.410000 2961.590000 -36.230000 ;
       LAYER met5 ;
-        RECT -42.180000 3556.500000 -39.180000 3556.510000 ;
-        RECT 148.020000 3556.500000 151.020000 3556.510000 ;
-        RECT 328.020000 3556.500000 331.020000 3556.510000 ;
-        RECT 508.020000 3556.500000 511.020000 3556.510000 ;
-        RECT 688.020000 3556.500000 691.020000 3556.510000 ;
-        RECT 868.020000 3556.500000 871.020000 3556.510000 ;
-        RECT 1048.020000 3556.500000 1051.020000 3556.510000 ;
-        RECT 1228.020000 3556.500000 1231.020000 3556.510000 ;
-        RECT 1408.020000 3556.500000 1411.020000 3556.510000 ;
-        RECT 1588.020000 3556.500000 1591.020000 3556.510000 ;
-        RECT 1768.020000 3556.500000 1771.020000 3556.510000 ;
-        RECT 1948.020000 3556.500000 1951.020000 3556.510000 ;
-        RECT 2128.020000 3556.500000 2131.020000 3556.510000 ;
-        RECT 2308.020000 3556.500000 2311.020000 3556.510000 ;
-        RECT 2488.020000 3556.500000 2491.020000 3556.510000 ;
-        RECT 2668.020000 3556.500000 2671.020000 3556.510000 ;
-        RECT 2848.020000 3556.500000 2851.020000 3556.510000 ;
-        RECT 2958.800000 3556.500000 2961.800000 3556.510000 ;
-        RECT -42.180000 3553.500000 2961.800000 3556.500000 ;
-        RECT -42.180000 3553.490000 -39.180000 3553.500000 ;
-        RECT 148.020000 3553.490000 151.020000 3553.500000 ;
-        RECT 328.020000 3553.490000 331.020000 3553.500000 ;
-        RECT 508.020000 3553.490000 511.020000 3553.500000 ;
-        RECT 688.020000 3553.490000 691.020000 3553.500000 ;
-        RECT 868.020000 3553.490000 871.020000 3553.500000 ;
-        RECT 1048.020000 3553.490000 1051.020000 3553.500000 ;
-        RECT 1228.020000 3553.490000 1231.020000 3553.500000 ;
-        RECT 1408.020000 3553.490000 1411.020000 3553.500000 ;
-        RECT 1588.020000 3553.490000 1591.020000 3553.500000 ;
-        RECT 1768.020000 3553.490000 1771.020000 3553.500000 ;
-        RECT 1948.020000 3553.490000 1951.020000 3553.500000 ;
-        RECT 2128.020000 3553.490000 2131.020000 3553.500000 ;
-        RECT 2308.020000 3553.490000 2311.020000 3553.500000 ;
-        RECT 2488.020000 3553.490000 2491.020000 3553.500000 ;
-        RECT 2668.020000 3553.490000 2671.020000 3553.500000 ;
-        RECT 2848.020000 3553.490000 2851.020000 3553.500000 ;
-        RECT 2958.800000 3553.490000 2961.800000 3553.500000 ;
-        RECT -42.180000 3396.380000 -39.180000 3396.390000 ;
-        RECT 2958.800000 3396.380000 2961.800000 3396.390000 ;
-        RECT -42.180000 3393.380000 -0.400000 3396.380000 ;
-        RECT 2920.400000 3393.380000 2961.800000 3396.380000 ;
-        RECT -42.180000 3393.370000 -39.180000 3393.380000 ;
-        RECT 2958.800000 3393.370000 2961.800000 3393.380000 ;
-        RECT -42.180000 3216.380000 -39.180000 3216.390000 ;
-        RECT 2958.800000 3216.380000 2961.800000 3216.390000 ;
-        RECT -42.180000 3213.380000 -0.400000 3216.380000 ;
-        RECT 2920.400000 3213.380000 2961.800000 3216.380000 ;
-        RECT -42.180000 3213.370000 -39.180000 3213.380000 ;
-        RECT 2958.800000 3213.370000 2961.800000 3213.380000 ;
-        RECT -42.180000 3036.380000 -39.180000 3036.390000 ;
-        RECT 2958.800000 3036.380000 2961.800000 3036.390000 ;
-        RECT -42.180000 3033.380000 -0.400000 3036.380000 ;
-        RECT 2920.400000 3033.380000 2961.800000 3036.380000 ;
-        RECT -42.180000 3033.370000 -39.180000 3033.380000 ;
-        RECT 2958.800000 3033.370000 2961.800000 3033.380000 ;
-        RECT -42.180000 2856.380000 -39.180000 2856.390000 ;
-        RECT 2958.800000 2856.380000 2961.800000 2856.390000 ;
-        RECT -42.180000 2853.380000 -0.400000 2856.380000 ;
-        RECT 2920.400000 2853.380000 2961.800000 2856.380000 ;
-        RECT -42.180000 2853.370000 -39.180000 2853.380000 ;
-        RECT 2958.800000 2853.370000 2961.800000 2853.380000 ;
-        RECT -42.180000 2676.380000 -39.180000 2676.390000 ;
-        RECT 2958.800000 2676.380000 2961.800000 2676.390000 ;
-        RECT -42.180000 2673.380000 -0.400000 2676.380000 ;
-        RECT 2920.400000 2673.380000 2961.800000 2676.380000 ;
-        RECT -42.180000 2673.370000 -39.180000 2673.380000 ;
-        RECT 2958.800000 2673.370000 2961.800000 2673.380000 ;
-        RECT -42.180000 2496.380000 -39.180000 2496.390000 ;
-        RECT 2958.800000 2496.380000 2961.800000 2496.390000 ;
-        RECT -42.180000 2493.380000 -0.400000 2496.380000 ;
-        RECT 2920.400000 2493.380000 2961.800000 2496.380000 ;
-        RECT -42.180000 2493.370000 -39.180000 2493.380000 ;
-        RECT 2958.800000 2493.370000 2961.800000 2493.380000 ;
-        RECT -42.180000 2316.380000 -39.180000 2316.390000 ;
-        RECT 2958.800000 2316.380000 2961.800000 2316.390000 ;
-        RECT -42.180000 2313.380000 -0.400000 2316.380000 ;
-        RECT 2920.400000 2313.380000 2961.800000 2316.380000 ;
-        RECT -42.180000 2313.370000 -39.180000 2313.380000 ;
-        RECT 2958.800000 2313.370000 2961.800000 2313.380000 ;
-        RECT -42.180000 2136.380000 -39.180000 2136.390000 ;
-        RECT 2958.800000 2136.380000 2961.800000 2136.390000 ;
-        RECT -42.180000 2133.380000 -0.400000 2136.380000 ;
-        RECT 2920.400000 2133.380000 2961.800000 2136.380000 ;
-        RECT -42.180000 2133.370000 -39.180000 2133.380000 ;
-        RECT 2958.800000 2133.370000 2961.800000 2133.380000 ;
-        RECT -42.180000 1956.380000 -39.180000 1956.390000 ;
-        RECT 2958.800000 1956.380000 2961.800000 1956.390000 ;
-        RECT -42.180000 1953.380000 -0.400000 1956.380000 ;
-        RECT 2920.400000 1953.380000 2961.800000 1956.380000 ;
-        RECT -42.180000 1953.370000 -39.180000 1953.380000 ;
-        RECT 2958.800000 1953.370000 2961.800000 1953.380000 ;
-        RECT -42.180000 1776.380000 -39.180000 1776.390000 ;
-        RECT 2958.800000 1776.380000 2961.800000 1776.390000 ;
-        RECT -42.180000 1773.380000 -0.400000 1776.380000 ;
-        RECT 2920.400000 1773.380000 2961.800000 1776.380000 ;
-        RECT -42.180000 1773.370000 -39.180000 1773.380000 ;
-        RECT 2958.800000 1773.370000 2961.800000 1773.380000 ;
-        RECT -42.180000 1596.380000 -39.180000 1596.390000 ;
-        RECT 2958.800000 1596.380000 2961.800000 1596.390000 ;
-        RECT -42.180000 1593.380000 -0.400000 1596.380000 ;
-        RECT 2920.400000 1593.380000 2961.800000 1596.380000 ;
-        RECT -42.180000 1593.370000 -39.180000 1593.380000 ;
-        RECT 2958.800000 1593.370000 2961.800000 1593.380000 ;
-        RECT -42.180000 1416.380000 -39.180000 1416.390000 ;
-        RECT 2958.800000 1416.380000 2961.800000 1416.390000 ;
-        RECT -42.180000 1413.380000 -0.400000 1416.380000 ;
-        RECT 2920.400000 1413.380000 2961.800000 1416.380000 ;
-        RECT -42.180000 1413.370000 -39.180000 1413.380000 ;
-        RECT 2958.800000 1413.370000 2961.800000 1413.380000 ;
-        RECT -42.180000 1236.380000 -39.180000 1236.390000 ;
-        RECT 2958.800000 1236.380000 2961.800000 1236.390000 ;
-        RECT -42.180000 1233.380000 -0.400000 1236.380000 ;
-        RECT 2920.400000 1233.380000 2961.800000 1236.380000 ;
-        RECT -42.180000 1233.370000 -39.180000 1233.380000 ;
-        RECT 2958.800000 1233.370000 2961.800000 1233.380000 ;
-        RECT -42.180000 1056.380000 -39.180000 1056.390000 ;
-        RECT 2958.800000 1056.380000 2961.800000 1056.390000 ;
-        RECT -42.180000 1053.380000 -0.400000 1056.380000 ;
-        RECT 2920.400000 1053.380000 2961.800000 1056.380000 ;
-        RECT -42.180000 1053.370000 -39.180000 1053.380000 ;
-        RECT 2958.800000 1053.370000 2961.800000 1053.380000 ;
-        RECT -42.180000 876.380000 -39.180000 876.390000 ;
-        RECT 2958.800000 876.380000 2961.800000 876.390000 ;
-        RECT -42.180000 873.380000 -0.400000 876.380000 ;
-        RECT 2920.400000 873.380000 2961.800000 876.380000 ;
-        RECT -42.180000 873.370000 -39.180000 873.380000 ;
-        RECT 2958.800000 873.370000 2961.800000 873.380000 ;
-        RECT -42.180000 696.380000 -39.180000 696.390000 ;
-        RECT 2958.800000 696.380000 2961.800000 696.390000 ;
-        RECT -42.180000 693.380000 -0.400000 696.380000 ;
-        RECT 2920.400000 693.380000 2961.800000 696.380000 ;
-        RECT -42.180000 693.370000 -39.180000 693.380000 ;
-        RECT 2958.800000 693.370000 2961.800000 693.380000 ;
-        RECT -42.180000 516.380000 -39.180000 516.390000 ;
-        RECT 2958.800000 516.380000 2961.800000 516.390000 ;
-        RECT -42.180000 513.380000 -0.400000 516.380000 ;
-        RECT 2920.400000 513.380000 2961.800000 516.380000 ;
-        RECT -42.180000 513.370000 -39.180000 513.380000 ;
-        RECT 2958.800000 513.370000 2961.800000 513.380000 ;
-        RECT -42.180000 336.380000 -39.180000 336.390000 ;
-        RECT 2958.800000 336.380000 2961.800000 336.390000 ;
-        RECT -42.180000 333.380000 -0.400000 336.380000 ;
-        RECT 2920.400000 333.380000 2961.800000 336.380000 ;
-        RECT -42.180000 333.370000 -39.180000 333.380000 ;
-        RECT 2958.800000 333.370000 2961.800000 333.380000 ;
-        RECT -42.180000 156.380000 -39.180000 156.390000 ;
-        RECT 2958.800000 156.380000 2961.800000 156.390000 ;
-        RECT -42.180000 153.380000 -0.400000 156.380000 ;
-        RECT 2920.400000 153.380000 2961.800000 156.380000 ;
-        RECT -42.180000 153.370000 -39.180000 153.380000 ;
-        RECT 2958.800000 153.370000 2961.800000 153.380000 ;
-        RECT -42.180000 -33.820000 -39.180000 -33.810000 ;
-        RECT 148.020000 -33.820000 151.020000 -33.810000 ;
-        RECT 328.020000 -33.820000 331.020000 -33.810000 ;
-        RECT 508.020000 -33.820000 511.020000 -33.810000 ;
-        RECT 688.020000 -33.820000 691.020000 -33.810000 ;
-        RECT 868.020000 -33.820000 871.020000 -33.810000 ;
-        RECT 1048.020000 -33.820000 1051.020000 -33.810000 ;
-        RECT 1228.020000 -33.820000 1231.020000 -33.810000 ;
-        RECT 1408.020000 -33.820000 1411.020000 -33.810000 ;
-        RECT 1588.020000 -33.820000 1591.020000 -33.810000 ;
-        RECT 1768.020000 -33.820000 1771.020000 -33.810000 ;
-        RECT 1948.020000 -33.820000 1951.020000 -33.810000 ;
-        RECT 2128.020000 -33.820000 2131.020000 -33.810000 ;
-        RECT 2308.020000 -33.820000 2311.020000 -33.810000 ;
-        RECT 2488.020000 -33.820000 2491.020000 -33.810000 ;
-        RECT 2668.020000 -33.820000 2671.020000 -33.810000 ;
-        RECT 2848.020000 -33.820000 2851.020000 -33.810000 ;
-        RECT 2958.800000 -33.820000 2961.800000 -33.810000 ;
-        RECT -42.180000 -36.820000 2961.800000 -33.820000 ;
-        RECT -42.180000 -36.830000 -39.180000 -36.820000 ;
-        RECT 148.020000 -36.830000 151.020000 -36.820000 ;
-        RECT 328.020000 -36.830000 331.020000 -36.820000 ;
-        RECT 508.020000 -36.830000 511.020000 -36.820000 ;
-        RECT 688.020000 -36.830000 691.020000 -36.820000 ;
-        RECT 868.020000 -36.830000 871.020000 -36.820000 ;
-        RECT 1048.020000 -36.830000 1051.020000 -36.820000 ;
-        RECT 1228.020000 -36.830000 1231.020000 -36.820000 ;
-        RECT 1408.020000 -36.830000 1411.020000 -36.820000 ;
-        RECT 1588.020000 -36.830000 1591.020000 -36.820000 ;
-        RECT 1768.020000 -36.830000 1771.020000 -36.820000 ;
-        RECT 1948.020000 -36.830000 1951.020000 -36.820000 ;
-        RECT 2128.020000 -36.830000 2131.020000 -36.820000 ;
-        RECT 2308.020000 -36.830000 2311.020000 -36.820000 ;
-        RECT 2488.020000 -36.830000 2491.020000 -36.820000 ;
-        RECT 2668.020000 -36.830000 2671.020000 -36.820000 ;
-        RECT 2848.020000 -36.830000 2851.020000 -36.820000 ;
-        RECT 2958.800000 -36.830000 2961.800000 -36.820000 ;
+        RECT -42.880000 3557.200000 -39.880000 3557.210000 ;
+        RECT 148.020000 3557.200000 151.020000 3557.210000 ;
+        RECT 328.020000 3557.200000 331.020000 3557.210000 ;
+        RECT 508.020000 3557.200000 511.020000 3557.210000 ;
+        RECT 688.020000 3557.200000 691.020000 3557.210000 ;
+        RECT 868.020000 3557.200000 871.020000 3557.210000 ;
+        RECT 1048.020000 3557.200000 1051.020000 3557.210000 ;
+        RECT 1228.020000 3557.200000 1231.020000 3557.210000 ;
+        RECT 1408.020000 3557.200000 1411.020000 3557.210000 ;
+        RECT 1588.020000 3557.200000 1591.020000 3557.210000 ;
+        RECT 1768.020000 3557.200000 1771.020000 3557.210000 ;
+        RECT 1948.020000 3557.200000 1951.020000 3557.210000 ;
+        RECT 2128.020000 3557.200000 2131.020000 3557.210000 ;
+        RECT 2308.020000 3557.200000 2311.020000 3557.210000 ;
+        RECT 2488.020000 3557.200000 2491.020000 3557.210000 ;
+        RECT 2668.020000 3557.200000 2671.020000 3557.210000 ;
+        RECT 2848.020000 3557.200000 2851.020000 3557.210000 ;
+        RECT 2959.500000 3557.200000 2962.500000 3557.210000 ;
+        RECT -42.880000 3554.200000 2962.500000 3557.200000 ;
+        RECT -42.880000 3554.190000 -39.880000 3554.200000 ;
+        RECT 148.020000 3554.190000 151.020000 3554.200000 ;
+        RECT 328.020000 3554.190000 331.020000 3554.200000 ;
+        RECT 508.020000 3554.190000 511.020000 3554.200000 ;
+        RECT 688.020000 3554.190000 691.020000 3554.200000 ;
+        RECT 868.020000 3554.190000 871.020000 3554.200000 ;
+        RECT 1048.020000 3554.190000 1051.020000 3554.200000 ;
+        RECT 1228.020000 3554.190000 1231.020000 3554.200000 ;
+        RECT 1408.020000 3554.190000 1411.020000 3554.200000 ;
+        RECT 1588.020000 3554.190000 1591.020000 3554.200000 ;
+        RECT 1768.020000 3554.190000 1771.020000 3554.200000 ;
+        RECT 1948.020000 3554.190000 1951.020000 3554.200000 ;
+        RECT 2128.020000 3554.190000 2131.020000 3554.200000 ;
+        RECT 2308.020000 3554.190000 2311.020000 3554.200000 ;
+        RECT 2488.020000 3554.190000 2491.020000 3554.200000 ;
+        RECT 2668.020000 3554.190000 2671.020000 3554.200000 ;
+        RECT 2848.020000 3554.190000 2851.020000 3554.200000 ;
+        RECT 2959.500000 3554.190000 2962.500000 3554.200000 ;
+        RECT -42.880000 3396.380000 -39.880000 3396.390000 ;
+        RECT 2959.500000 3396.380000 2962.500000 3396.390000 ;
+        RECT -42.880000 3393.380000 -0.400000 3396.380000 ;
+        RECT 2920.400000 3393.380000 2962.500000 3396.380000 ;
+        RECT -42.880000 3393.370000 -39.880000 3393.380000 ;
+        RECT 2959.500000 3393.370000 2962.500000 3393.380000 ;
+        RECT -42.880000 3216.380000 -39.880000 3216.390000 ;
+        RECT 2959.500000 3216.380000 2962.500000 3216.390000 ;
+        RECT -42.880000 3213.380000 -0.400000 3216.380000 ;
+        RECT 2920.400000 3213.380000 2962.500000 3216.380000 ;
+        RECT -42.880000 3213.370000 -39.880000 3213.380000 ;
+        RECT 2959.500000 3213.370000 2962.500000 3213.380000 ;
+        RECT -42.880000 3036.380000 -39.880000 3036.390000 ;
+        RECT 2959.500000 3036.380000 2962.500000 3036.390000 ;
+        RECT -42.880000 3033.380000 -0.400000 3036.380000 ;
+        RECT 2920.400000 3033.380000 2962.500000 3036.380000 ;
+        RECT -42.880000 3033.370000 -39.880000 3033.380000 ;
+        RECT 2959.500000 3033.370000 2962.500000 3033.380000 ;
+        RECT -42.880000 2856.380000 -39.880000 2856.390000 ;
+        RECT 2959.500000 2856.380000 2962.500000 2856.390000 ;
+        RECT -42.880000 2853.380000 -0.400000 2856.380000 ;
+        RECT 2920.400000 2853.380000 2962.500000 2856.380000 ;
+        RECT -42.880000 2853.370000 -39.880000 2853.380000 ;
+        RECT 2959.500000 2853.370000 2962.500000 2853.380000 ;
+        RECT -42.880000 2676.380000 -39.880000 2676.390000 ;
+        RECT 2959.500000 2676.380000 2962.500000 2676.390000 ;
+        RECT -42.880000 2673.380000 -0.400000 2676.380000 ;
+        RECT 2920.400000 2673.380000 2962.500000 2676.380000 ;
+        RECT -42.880000 2673.370000 -39.880000 2673.380000 ;
+        RECT 2959.500000 2673.370000 2962.500000 2673.380000 ;
+        RECT -42.880000 2496.380000 -39.880000 2496.390000 ;
+        RECT 2959.500000 2496.380000 2962.500000 2496.390000 ;
+        RECT -42.880000 2493.380000 -0.400000 2496.380000 ;
+        RECT 2920.400000 2493.380000 2962.500000 2496.380000 ;
+        RECT -42.880000 2493.370000 -39.880000 2493.380000 ;
+        RECT 2959.500000 2493.370000 2962.500000 2493.380000 ;
+        RECT -42.880000 2316.380000 -39.880000 2316.390000 ;
+        RECT 2959.500000 2316.380000 2962.500000 2316.390000 ;
+        RECT -42.880000 2313.380000 -0.400000 2316.380000 ;
+        RECT 2920.400000 2313.380000 2962.500000 2316.380000 ;
+        RECT -42.880000 2313.370000 -39.880000 2313.380000 ;
+        RECT 2959.500000 2313.370000 2962.500000 2313.380000 ;
+        RECT -42.880000 2136.380000 -39.880000 2136.390000 ;
+        RECT 2959.500000 2136.380000 2962.500000 2136.390000 ;
+        RECT -42.880000 2133.380000 -0.400000 2136.380000 ;
+        RECT 2920.400000 2133.380000 2962.500000 2136.380000 ;
+        RECT -42.880000 2133.370000 -39.880000 2133.380000 ;
+        RECT 2959.500000 2133.370000 2962.500000 2133.380000 ;
+        RECT -42.880000 1956.380000 -39.880000 1956.390000 ;
+        RECT 2959.500000 1956.380000 2962.500000 1956.390000 ;
+        RECT -42.880000 1953.380000 -0.400000 1956.380000 ;
+        RECT 2920.400000 1953.380000 2962.500000 1956.380000 ;
+        RECT -42.880000 1953.370000 -39.880000 1953.380000 ;
+        RECT 2959.500000 1953.370000 2962.500000 1953.380000 ;
+        RECT -42.880000 1776.380000 -39.880000 1776.390000 ;
+        RECT 2959.500000 1776.380000 2962.500000 1776.390000 ;
+        RECT -42.880000 1773.380000 -0.400000 1776.380000 ;
+        RECT 2920.400000 1773.380000 2962.500000 1776.380000 ;
+        RECT -42.880000 1773.370000 -39.880000 1773.380000 ;
+        RECT 2959.500000 1773.370000 2962.500000 1773.380000 ;
+        RECT -42.880000 1596.380000 -39.880000 1596.390000 ;
+        RECT 2959.500000 1596.380000 2962.500000 1596.390000 ;
+        RECT -42.880000 1593.380000 -0.400000 1596.380000 ;
+        RECT 2920.400000 1593.380000 2962.500000 1596.380000 ;
+        RECT -42.880000 1593.370000 -39.880000 1593.380000 ;
+        RECT 2959.500000 1593.370000 2962.500000 1593.380000 ;
+        RECT -42.880000 1416.380000 -39.880000 1416.390000 ;
+        RECT 2959.500000 1416.380000 2962.500000 1416.390000 ;
+        RECT -42.880000 1413.380000 -0.400000 1416.380000 ;
+        RECT 2920.400000 1413.380000 2962.500000 1416.380000 ;
+        RECT -42.880000 1413.370000 -39.880000 1413.380000 ;
+        RECT 2959.500000 1413.370000 2962.500000 1413.380000 ;
+        RECT -42.880000 1236.380000 -39.880000 1236.390000 ;
+        RECT 2959.500000 1236.380000 2962.500000 1236.390000 ;
+        RECT -42.880000 1233.380000 -0.400000 1236.380000 ;
+        RECT 2920.400000 1233.380000 2962.500000 1236.380000 ;
+        RECT -42.880000 1233.370000 -39.880000 1233.380000 ;
+        RECT 2959.500000 1233.370000 2962.500000 1233.380000 ;
+        RECT -42.880000 1056.380000 -39.880000 1056.390000 ;
+        RECT 2959.500000 1056.380000 2962.500000 1056.390000 ;
+        RECT -42.880000 1053.380000 -0.400000 1056.380000 ;
+        RECT 2920.400000 1053.380000 2962.500000 1056.380000 ;
+        RECT -42.880000 1053.370000 -39.880000 1053.380000 ;
+        RECT 2959.500000 1053.370000 2962.500000 1053.380000 ;
+        RECT -42.880000 876.380000 -39.880000 876.390000 ;
+        RECT 2959.500000 876.380000 2962.500000 876.390000 ;
+        RECT -42.880000 873.380000 -0.400000 876.380000 ;
+        RECT 2920.400000 873.380000 2962.500000 876.380000 ;
+        RECT -42.880000 873.370000 -39.880000 873.380000 ;
+        RECT 2959.500000 873.370000 2962.500000 873.380000 ;
+        RECT -42.880000 696.380000 -39.880000 696.390000 ;
+        RECT 2959.500000 696.380000 2962.500000 696.390000 ;
+        RECT -42.880000 693.380000 -0.400000 696.380000 ;
+        RECT 2920.400000 693.380000 2962.500000 696.380000 ;
+        RECT -42.880000 693.370000 -39.880000 693.380000 ;
+        RECT 2959.500000 693.370000 2962.500000 693.380000 ;
+        RECT -42.880000 516.380000 -39.880000 516.390000 ;
+        RECT 2959.500000 516.380000 2962.500000 516.390000 ;
+        RECT -42.880000 513.380000 -0.400000 516.380000 ;
+        RECT 2920.400000 513.380000 2962.500000 516.380000 ;
+        RECT -42.880000 513.370000 -39.880000 513.380000 ;
+        RECT 2959.500000 513.370000 2962.500000 513.380000 ;
+        RECT -42.880000 336.380000 -39.880000 336.390000 ;
+        RECT 2959.500000 336.380000 2962.500000 336.390000 ;
+        RECT -42.880000 333.380000 -0.400000 336.380000 ;
+        RECT 2920.400000 333.380000 2962.500000 336.380000 ;
+        RECT -42.880000 333.370000 -39.880000 333.380000 ;
+        RECT 2959.500000 333.370000 2962.500000 333.380000 ;
+        RECT -42.880000 156.380000 -39.880000 156.390000 ;
+        RECT 2959.500000 156.380000 2962.500000 156.390000 ;
+        RECT -42.880000 153.380000 -0.400000 156.380000 ;
+        RECT 2920.400000 153.380000 2962.500000 156.380000 ;
+        RECT -42.880000 153.370000 -39.880000 153.380000 ;
+        RECT 2959.500000 153.370000 2962.500000 153.380000 ;
+        RECT -42.880000 -34.520000 -39.880000 -34.510000 ;
+        RECT 148.020000 -34.520000 151.020000 -34.510000 ;
+        RECT 328.020000 -34.520000 331.020000 -34.510000 ;
+        RECT 508.020000 -34.520000 511.020000 -34.510000 ;
+        RECT 688.020000 -34.520000 691.020000 -34.510000 ;
+        RECT 868.020000 -34.520000 871.020000 -34.510000 ;
+        RECT 1048.020000 -34.520000 1051.020000 -34.510000 ;
+        RECT 1228.020000 -34.520000 1231.020000 -34.510000 ;
+        RECT 1408.020000 -34.520000 1411.020000 -34.510000 ;
+        RECT 1588.020000 -34.520000 1591.020000 -34.510000 ;
+        RECT 1768.020000 -34.520000 1771.020000 -34.510000 ;
+        RECT 1948.020000 -34.520000 1951.020000 -34.510000 ;
+        RECT 2128.020000 -34.520000 2131.020000 -34.510000 ;
+        RECT 2308.020000 -34.520000 2311.020000 -34.510000 ;
+        RECT 2488.020000 -34.520000 2491.020000 -34.510000 ;
+        RECT 2668.020000 -34.520000 2671.020000 -34.510000 ;
+        RECT 2848.020000 -34.520000 2851.020000 -34.510000 ;
+        RECT 2959.500000 -34.520000 2962.500000 -34.510000 ;
+        RECT -42.880000 -37.520000 2962.500000 -34.520000 ;
+        RECT -42.880000 -37.530000 -39.880000 -37.520000 ;
+        RECT 148.020000 -37.530000 151.020000 -37.520000 ;
+        RECT 328.020000 -37.530000 331.020000 -37.520000 ;
+        RECT 508.020000 -37.530000 511.020000 -37.520000 ;
+        RECT 688.020000 -37.530000 691.020000 -37.520000 ;
+        RECT 868.020000 -37.530000 871.020000 -37.520000 ;
+        RECT 1048.020000 -37.530000 1051.020000 -37.520000 ;
+        RECT 1228.020000 -37.530000 1231.020000 -37.520000 ;
+        RECT 1408.020000 -37.530000 1411.020000 -37.520000 ;
+        RECT 1588.020000 -37.530000 1591.020000 -37.520000 ;
+        RECT 1768.020000 -37.530000 1771.020000 -37.520000 ;
+        RECT 1948.020000 -37.530000 1951.020000 -37.520000 ;
+        RECT 2128.020000 -37.530000 2131.020000 -37.520000 ;
+        RECT 2308.020000 -37.530000 2311.020000 -37.520000 ;
+        RECT 2488.020000 -37.530000 2491.020000 -37.520000 ;
+        RECT 2668.020000 -37.530000 2671.020000 -37.520000 ;
+        RECT 2848.020000 -37.530000 2851.020000 -37.520000 ;
+        RECT 2959.500000 -37.530000 2962.500000 -37.520000 ;
     END
   END vssa2
    OBS
diff --git a/lef/user_project_wrapper_empty.lef b/lef/user_project_wrapper_empty.lef
index ac413eb..1d27714 100644
--- a/lef/user_project_wrapper_empty.lef
+++ b/lef/user_project_wrapper_empty.lef
@@ -4464,40 +4464,40 @@
     PORT
       LAYER met4 ;
         RECT -9.980 -4.620 -6.980 3524.300 ;
-        RECT 4.020 3517.600 7.020 3528.900 ;
-        RECT 184.020 3517.600 187.020 3528.900 ;
-        RECT 364.020 3517.600 367.020 3528.900 ;
-        RECT 544.020 3517.600 547.020 3528.900 ;
-        RECT 724.020 3517.600 727.020 3528.900 ;
-        RECT 904.020 3517.600 907.020 3528.900 ;
-        RECT 1084.020 3517.600 1087.020 3528.900 ;
-        RECT 1264.020 3517.600 1267.020 3528.900 ;
-        RECT 1444.020 3517.600 1447.020 3528.900 ;
-        RECT 1624.020 3517.600 1627.020 3528.900 ;
-        RECT 1804.020 3517.600 1807.020 3528.900 ;
-        RECT 1984.020 3517.600 1987.020 3528.900 ;
-        RECT 2164.020 3517.600 2167.020 3528.900 ;
-        RECT 2344.020 3517.600 2347.020 3528.900 ;
-        RECT 2524.020 3517.600 2527.020 3528.900 ;
-        RECT 2704.020 3517.600 2707.020 3528.900 ;
-        RECT 2884.020 3517.600 2887.020 3528.900 ;
-        RECT 4.020 -9.220 7.020 2.400 ;
-        RECT 184.020 -9.220 187.020 2.400 ;
-        RECT 364.020 -9.220 367.020 2.400 ;
-        RECT 544.020 -9.220 547.020 2.400 ;
-        RECT 724.020 -9.220 727.020 2.400 ;
-        RECT 904.020 -9.220 907.020 2.400 ;
-        RECT 1084.020 -9.220 1087.020 2.400 ;
-        RECT 1264.020 -9.220 1267.020 2.400 ;
-        RECT 1444.020 -9.220 1447.020 2.400 ;
-        RECT 1624.020 -9.220 1627.020 2.400 ;
-        RECT 1804.020 -9.220 1807.020 2.400 ;
-        RECT 1984.020 -9.220 1987.020 2.400 ;
-        RECT 2164.020 -9.220 2167.020 2.400 ;
-        RECT 2344.020 -9.220 2347.020 2.400 ;
-        RECT 2524.020 -9.220 2527.020 2.400 ;
-        RECT 2704.020 -9.220 2707.020 2.400 ;
-        RECT 2884.020 -9.220 2887.020 2.400 ;
+        RECT 4.020 3517.600 7.020 3529.000 ;
+        RECT 184.020 3517.600 187.020 3529.000 ;
+        RECT 364.020 3517.600 367.020 3529.000 ;
+        RECT 544.020 3517.600 547.020 3529.000 ;
+        RECT 724.020 3517.600 727.020 3529.000 ;
+        RECT 904.020 3517.600 907.020 3529.000 ;
+        RECT 1084.020 3517.600 1087.020 3529.000 ;
+        RECT 1264.020 3517.600 1267.020 3529.000 ;
+        RECT 1444.020 3517.600 1447.020 3529.000 ;
+        RECT 1624.020 3517.600 1627.020 3529.000 ;
+        RECT 1804.020 3517.600 1807.020 3529.000 ;
+        RECT 1984.020 3517.600 1987.020 3529.000 ;
+        RECT 2164.020 3517.600 2167.020 3529.000 ;
+        RECT 2344.020 3517.600 2347.020 3529.000 ;
+        RECT 2524.020 3517.600 2527.020 3529.000 ;
+        RECT 2704.020 3517.600 2707.020 3529.000 ;
+        RECT 2884.020 3517.600 2887.020 3529.000 ;
+        RECT 4.020 -9.320 7.020 2.400 ;
+        RECT 184.020 -9.320 187.020 2.400 ;
+        RECT 364.020 -9.320 367.020 2.400 ;
+        RECT 544.020 -9.320 547.020 2.400 ;
+        RECT 724.020 -9.320 727.020 2.400 ;
+        RECT 904.020 -9.320 907.020 2.400 ;
+        RECT 1084.020 -9.320 1087.020 2.400 ;
+        RECT 1264.020 -9.320 1267.020 2.400 ;
+        RECT 1444.020 -9.320 1447.020 2.400 ;
+        RECT 1624.020 -9.320 1627.020 2.400 ;
+        RECT 1804.020 -9.320 1807.020 2.400 ;
+        RECT 1984.020 -9.320 1987.020 2.400 ;
+        RECT 2164.020 -9.320 2167.020 2.400 ;
+        RECT 2344.020 -9.320 2347.020 2.400 ;
+        RECT 2524.020 -9.320 2527.020 2.400 ;
+        RECT 2704.020 -9.320 2707.020 2.400 ;
+        RECT 2884.020 -9.320 2887.020 2.400 ;
         RECT 2926.600 -4.620 2929.600 3524.300 ;
       LAYER M4M5_PR_C ;
         RECT -9.070 3523.010 -7.890 3524.190 ;
@@ -4698,122 +4698,122 @@
         RECT 2926.600 3521.290 2929.600 3521.300 ;
         RECT -9.980 3432.380 -6.980 3432.390 ;
         RECT 2926.600 3432.380 2929.600 3432.390 ;
-        RECT -14.580 3429.380 2.400 3432.380 ;
-        RECT 2917.600 3429.380 2934.200 3432.380 ;
+        RECT -14.680 3429.380 2.400 3432.380 ;
+        RECT 2917.600 3429.380 2934.300 3432.380 ;
         RECT -9.980 3429.370 -6.980 3429.380 ;
         RECT 2926.600 3429.370 2929.600 3429.380 ;
         RECT -9.980 3252.380 -6.980 3252.390 ;
         RECT 2926.600 3252.380 2929.600 3252.390 ;
-        RECT -14.580 3249.380 2.400 3252.380 ;
-        RECT 2917.600 3249.380 2934.200 3252.380 ;
+        RECT -14.680 3249.380 2.400 3252.380 ;
+        RECT 2917.600 3249.380 2934.300 3252.380 ;
         RECT -9.980 3249.370 -6.980 3249.380 ;
         RECT 2926.600 3249.370 2929.600 3249.380 ;
         RECT -9.980 3072.380 -6.980 3072.390 ;
         RECT 2926.600 3072.380 2929.600 3072.390 ;
-        RECT -14.580 3069.380 2.400 3072.380 ;
-        RECT 2917.600 3069.380 2934.200 3072.380 ;
+        RECT -14.680 3069.380 2.400 3072.380 ;
+        RECT 2917.600 3069.380 2934.300 3072.380 ;
         RECT -9.980 3069.370 -6.980 3069.380 ;
         RECT 2926.600 3069.370 2929.600 3069.380 ;
         RECT -9.980 2892.380 -6.980 2892.390 ;
         RECT 2926.600 2892.380 2929.600 2892.390 ;
-        RECT -14.580 2889.380 2.400 2892.380 ;
-        RECT 2917.600 2889.380 2934.200 2892.380 ;
+        RECT -14.680 2889.380 2.400 2892.380 ;
+        RECT 2917.600 2889.380 2934.300 2892.380 ;
         RECT -9.980 2889.370 -6.980 2889.380 ;
         RECT 2926.600 2889.370 2929.600 2889.380 ;
         RECT -9.980 2712.380 -6.980 2712.390 ;
         RECT 2926.600 2712.380 2929.600 2712.390 ;
-        RECT -14.580 2709.380 2.400 2712.380 ;
-        RECT 2917.600 2709.380 2934.200 2712.380 ;
+        RECT -14.680 2709.380 2.400 2712.380 ;
+        RECT 2917.600 2709.380 2934.300 2712.380 ;
         RECT -9.980 2709.370 -6.980 2709.380 ;
         RECT 2926.600 2709.370 2929.600 2709.380 ;
         RECT -9.980 2532.380 -6.980 2532.390 ;
         RECT 2926.600 2532.380 2929.600 2532.390 ;
-        RECT -14.580 2529.380 2.400 2532.380 ;
-        RECT 2917.600 2529.380 2934.200 2532.380 ;
+        RECT -14.680 2529.380 2.400 2532.380 ;
+        RECT 2917.600 2529.380 2934.300 2532.380 ;
         RECT -9.980 2529.370 -6.980 2529.380 ;
         RECT 2926.600 2529.370 2929.600 2529.380 ;
         RECT -9.980 2352.380 -6.980 2352.390 ;
         RECT 2926.600 2352.380 2929.600 2352.390 ;
-        RECT -14.580 2349.380 2.400 2352.380 ;
-        RECT 2917.600 2349.380 2934.200 2352.380 ;
+        RECT -14.680 2349.380 2.400 2352.380 ;
+        RECT 2917.600 2349.380 2934.300 2352.380 ;
         RECT -9.980 2349.370 -6.980 2349.380 ;
         RECT 2926.600 2349.370 2929.600 2349.380 ;
         RECT -9.980 2172.380 -6.980 2172.390 ;
         RECT 2926.600 2172.380 2929.600 2172.390 ;
-        RECT -14.580 2169.380 2.400 2172.380 ;
-        RECT 2917.600 2169.380 2934.200 2172.380 ;
+        RECT -14.680 2169.380 2.400 2172.380 ;
+        RECT 2917.600 2169.380 2934.300 2172.380 ;
         RECT -9.980 2169.370 -6.980 2169.380 ;
         RECT 2926.600 2169.370 2929.600 2169.380 ;
         RECT -9.980 1992.380 -6.980 1992.390 ;
         RECT 2926.600 1992.380 2929.600 1992.390 ;
-        RECT -14.580 1989.380 2.400 1992.380 ;
-        RECT 2917.600 1989.380 2934.200 1992.380 ;
+        RECT -14.680 1989.380 2.400 1992.380 ;
+        RECT 2917.600 1989.380 2934.300 1992.380 ;
         RECT -9.980 1989.370 -6.980 1989.380 ;
         RECT 2926.600 1989.370 2929.600 1989.380 ;
         RECT -9.980 1812.380 -6.980 1812.390 ;
         RECT 2926.600 1812.380 2929.600 1812.390 ;
-        RECT -14.580 1809.380 2.400 1812.380 ;
-        RECT 2917.600 1809.380 2934.200 1812.380 ;
+        RECT -14.680 1809.380 2.400 1812.380 ;
+        RECT 2917.600 1809.380 2934.300 1812.380 ;
         RECT -9.980 1809.370 -6.980 1809.380 ;
         RECT 2926.600 1809.370 2929.600 1809.380 ;
         RECT -9.980 1632.380 -6.980 1632.390 ;
         RECT 2926.600 1632.380 2929.600 1632.390 ;
-        RECT -14.580 1629.380 2.400 1632.380 ;
-        RECT 2917.600 1629.380 2934.200 1632.380 ;
+        RECT -14.680 1629.380 2.400 1632.380 ;
+        RECT 2917.600 1629.380 2934.300 1632.380 ;
         RECT -9.980 1629.370 -6.980 1629.380 ;
         RECT 2926.600 1629.370 2929.600 1629.380 ;
         RECT -9.980 1452.380 -6.980 1452.390 ;
         RECT 2926.600 1452.380 2929.600 1452.390 ;
-        RECT -14.580 1449.380 2.400 1452.380 ;
-        RECT 2917.600 1449.380 2934.200 1452.380 ;
+        RECT -14.680 1449.380 2.400 1452.380 ;
+        RECT 2917.600 1449.380 2934.300 1452.380 ;
         RECT -9.980 1449.370 -6.980 1449.380 ;
         RECT 2926.600 1449.370 2929.600 1449.380 ;
         RECT -9.980 1272.380 -6.980 1272.390 ;
         RECT 2926.600 1272.380 2929.600 1272.390 ;
-        RECT -14.580 1269.380 2.400 1272.380 ;
-        RECT 2917.600 1269.380 2934.200 1272.380 ;
+        RECT -14.680 1269.380 2.400 1272.380 ;
+        RECT 2917.600 1269.380 2934.300 1272.380 ;
         RECT -9.980 1269.370 -6.980 1269.380 ;
         RECT 2926.600 1269.370 2929.600 1269.380 ;
         RECT -9.980 1092.380 -6.980 1092.390 ;
         RECT 2926.600 1092.380 2929.600 1092.390 ;
-        RECT -14.580 1089.380 2.400 1092.380 ;
-        RECT 2917.600 1089.380 2934.200 1092.380 ;
+        RECT -14.680 1089.380 2.400 1092.380 ;
+        RECT 2917.600 1089.380 2934.300 1092.380 ;
         RECT -9.980 1089.370 -6.980 1089.380 ;
         RECT 2926.600 1089.370 2929.600 1089.380 ;
         RECT -9.980 912.380 -6.980 912.390 ;
         RECT 2926.600 912.380 2929.600 912.390 ;
-        RECT -14.580 909.380 2.400 912.380 ;
-        RECT 2917.600 909.380 2934.200 912.380 ;
+        RECT -14.680 909.380 2.400 912.380 ;
+        RECT 2917.600 909.380 2934.300 912.380 ;
         RECT -9.980 909.370 -6.980 909.380 ;
         RECT 2926.600 909.370 2929.600 909.380 ;
         RECT -9.980 732.380 -6.980 732.390 ;
         RECT 2926.600 732.380 2929.600 732.390 ;
-        RECT -14.580 729.380 2.400 732.380 ;
-        RECT 2917.600 729.380 2934.200 732.380 ;
+        RECT -14.680 729.380 2.400 732.380 ;
+        RECT 2917.600 729.380 2934.300 732.380 ;
         RECT -9.980 729.370 -6.980 729.380 ;
         RECT 2926.600 729.370 2929.600 729.380 ;
         RECT -9.980 552.380 -6.980 552.390 ;
         RECT 2926.600 552.380 2929.600 552.390 ;
-        RECT -14.580 549.380 2.400 552.380 ;
-        RECT 2917.600 549.380 2934.200 552.380 ;
+        RECT -14.680 549.380 2.400 552.380 ;
+        RECT 2917.600 549.380 2934.300 552.380 ;
         RECT -9.980 549.370 -6.980 549.380 ;
         RECT 2926.600 549.370 2929.600 549.380 ;
         RECT -9.980 372.380 -6.980 372.390 ;
         RECT 2926.600 372.380 2929.600 372.390 ;
-        RECT -14.580 369.380 2.400 372.380 ;
-        RECT 2917.600 369.380 2934.200 372.380 ;
+        RECT -14.680 369.380 2.400 372.380 ;
+        RECT 2917.600 369.380 2934.300 372.380 ;
         RECT -9.980 369.370 -6.980 369.380 ;
         RECT 2926.600 369.370 2929.600 369.380 ;
         RECT -9.980 192.380 -6.980 192.390 ;
         RECT 2926.600 192.380 2929.600 192.390 ;
-        RECT -14.580 189.380 2.400 192.380 ;
-        RECT 2917.600 189.380 2934.200 192.380 ;
+        RECT -14.680 189.380 2.400 192.380 ;
+        RECT 2917.600 189.380 2934.300 192.380 ;
         RECT -9.980 189.370 -6.980 189.380 ;
         RECT 2926.600 189.370 2929.600 189.380 ;
         RECT -9.980 12.380 -6.980 12.390 ;
         RECT 2926.600 12.380 2929.600 12.390 ;
-        RECT -14.580 9.380 2.400 12.380 ;
-        RECT 2917.600 9.380 2934.200 12.380 ;
+        RECT -14.680 9.380 2.400 12.380 ;
+        RECT 2917.600 9.380 2934.300 12.380 ;
         RECT -9.980 9.370 -6.980 9.380 ;
         RECT 2926.600 9.370 2929.600 9.380 ;
         RECT -9.980 -1.620 -6.980 -1.610 ;
@@ -4861,2686 +4861,2686 @@
     DIRECTION INPUT ;
     PORT
       LAYER met4 ;
-        RECT -14.580 -9.220 -11.580 3528.900 ;
-        RECT 94.020 3517.600 97.020 3528.900 ;
-        RECT 274.020 3517.600 277.020 3528.900 ;
-        RECT 454.020 3517.600 457.020 3528.900 ;
-        RECT 634.020 3517.600 637.020 3528.900 ;
-        RECT 814.020 3517.600 817.020 3528.900 ;
-        RECT 994.020 3517.600 997.020 3528.900 ;
-        RECT 1174.020 3517.600 1177.020 3528.900 ;
-        RECT 1354.020 3517.600 1357.020 3528.900 ;
-        RECT 1534.020 3517.600 1537.020 3528.900 ;
-        RECT 1714.020 3517.600 1717.020 3528.900 ;
-        RECT 1894.020 3517.600 1897.020 3528.900 ;
-        RECT 2074.020 3517.600 2077.020 3528.900 ;
-        RECT 2254.020 3517.600 2257.020 3528.900 ;
-        RECT 2434.020 3517.600 2437.020 3528.900 ;
-        RECT 2614.020 3517.600 2617.020 3528.900 ;
-        RECT 2794.020 3517.600 2797.020 3528.900 ;
-        RECT 94.020 -9.220 97.020 2.400 ;
-        RECT 274.020 -9.220 277.020 2.400 ;
-        RECT 454.020 -9.220 457.020 2.400 ;
-        RECT 634.020 -9.220 637.020 2.400 ;
-        RECT 814.020 -9.220 817.020 2.400 ;
-        RECT 994.020 -9.220 997.020 2.400 ;
-        RECT 1174.020 -9.220 1177.020 2.400 ;
-        RECT 1354.020 -9.220 1357.020 2.400 ;
-        RECT 1534.020 -9.220 1537.020 2.400 ;
-        RECT 1714.020 -9.220 1717.020 2.400 ;
-        RECT 1894.020 -9.220 1897.020 2.400 ;
-        RECT 2074.020 -9.220 2077.020 2.400 ;
-        RECT 2254.020 -9.220 2257.020 2.400 ;
-        RECT 2434.020 -9.220 2437.020 2.400 ;
-        RECT 2614.020 -9.220 2617.020 2.400 ;
-        RECT 2794.020 -9.220 2797.020 2.400 ;
-        RECT 2931.200 -9.220 2934.200 3528.900 ;
+        RECT -14.680 -9.320 -11.680 3529.000 ;
+        RECT 94.020 3517.600 97.020 3529.000 ;
+        RECT 274.020 3517.600 277.020 3529.000 ;
+        RECT 454.020 3517.600 457.020 3529.000 ;
+        RECT 634.020 3517.600 637.020 3529.000 ;
+        RECT 814.020 3517.600 817.020 3529.000 ;
+        RECT 994.020 3517.600 997.020 3529.000 ;
+        RECT 1174.020 3517.600 1177.020 3529.000 ;
+        RECT 1354.020 3517.600 1357.020 3529.000 ;
+        RECT 1534.020 3517.600 1537.020 3529.000 ;
+        RECT 1714.020 3517.600 1717.020 3529.000 ;
+        RECT 1894.020 3517.600 1897.020 3529.000 ;
+        RECT 2074.020 3517.600 2077.020 3529.000 ;
+        RECT 2254.020 3517.600 2257.020 3529.000 ;
+        RECT 2434.020 3517.600 2437.020 3529.000 ;
+        RECT 2614.020 3517.600 2617.020 3529.000 ;
+        RECT 2794.020 3517.600 2797.020 3529.000 ;
+        RECT 94.020 -9.320 97.020 2.400 ;
+        RECT 274.020 -9.320 277.020 2.400 ;
+        RECT 454.020 -9.320 457.020 2.400 ;
+        RECT 634.020 -9.320 637.020 2.400 ;
+        RECT 814.020 -9.320 817.020 2.400 ;
+        RECT 994.020 -9.320 997.020 2.400 ;
+        RECT 1174.020 -9.320 1177.020 2.400 ;
+        RECT 1354.020 -9.320 1357.020 2.400 ;
+        RECT 1534.020 -9.320 1537.020 2.400 ;
+        RECT 1714.020 -9.320 1717.020 2.400 ;
+        RECT 1894.020 -9.320 1897.020 2.400 ;
+        RECT 2074.020 -9.320 2077.020 2.400 ;
+        RECT 2254.020 -9.320 2257.020 2.400 ;
+        RECT 2434.020 -9.320 2437.020 2.400 ;
+        RECT 2614.020 -9.320 2617.020 2.400 ;
+        RECT 2794.020 -9.320 2797.020 2.400 ;
+        RECT 2931.300 -9.320 2934.300 3529.000 ;
       LAYER M4M5_PR_C ;
-        RECT -13.670 3527.610 -12.490 3528.790 ;
-        RECT -13.670 3526.010 -12.490 3527.190 ;
-        RECT 94.930 3527.610 96.110 3528.790 ;
-        RECT 94.930 3526.010 96.110 3527.190 ;
-        RECT 274.930 3527.610 276.110 3528.790 ;
-        RECT 274.930 3526.010 276.110 3527.190 ;
-        RECT 454.930 3527.610 456.110 3528.790 ;
-        RECT 454.930 3526.010 456.110 3527.190 ;
-        RECT 634.930 3527.610 636.110 3528.790 ;
-        RECT 634.930 3526.010 636.110 3527.190 ;
-        RECT 814.930 3527.610 816.110 3528.790 ;
-        RECT 814.930 3526.010 816.110 3527.190 ;
-        RECT 994.930 3527.610 996.110 3528.790 ;
-        RECT 994.930 3526.010 996.110 3527.190 ;
-        RECT 1174.930 3527.610 1176.110 3528.790 ;
-        RECT 1174.930 3526.010 1176.110 3527.190 ;
-        RECT 1354.930 3527.610 1356.110 3528.790 ;
-        RECT 1354.930 3526.010 1356.110 3527.190 ;
-        RECT 1534.930 3527.610 1536.110 3528.790 ;
-        RECT 1534.930 3526.010 1536.110 3527.190 ;
-        RECT 1714.930 3527.610 1716.110 3528.790 ;
-        RECT 1714.930 3526.010 1716.110 3527.190 ;
-        RECT 1894.930 3527.610 1896.110 3528.790 ;
-        RECT 1894.930 3526.010 1896.110 3527.190 ;
-        RECT 2074.930 3527.610 2076.110 3528.790 ;
-        RECT 2074.930 3526.010 2076.110 3527.190 ;
-        RECT 2254.930 3527.610 2256.110 3528.790 ;
-        RECT 2254.930 3526.010 2256.110 3527.190 ;
-        RECT 2434.930 3527.610 2436.110 3528.790 ;
-        RECT 2434.930 3526.010 2436.110 3527.190 ;
-        RECT 2614.930 3527.610 2616.110 3528.790 ;
-        RECT 2614.930 3526.010 2616.110 3527.190 ;
-        RECT 2794.930 3527.610 2796.110 3528.790 ;
-        RECT 2794.930 3526.010 2796.110 3527.190 ;
-        RECT 2932.110 3527.610 2933.290 3528.790 ;
-        RECT 2932.110 3526.010 2933.290 3527.190 ;
-        RECT -13.670 3341.090 -12.490 3342.270 ;
-        RECT -13.670 3339.490 -12.490 3340.670 ;
-        RECT -13.670 3161.090 -12.490 3162.270 ;
-        RECT -13.670 3159.490 -12.490 3160.670 ;
-        RECT -13.670 2981.090 -12.490 2982.270 ;
-        RECT -13.670 2979.490 -12.490 2980.670 ;
-        RECT -13.670 2801.090 -12.490 2802.270 ;
-        RECT -13.670 2799.490 -12.490 2800.670 ;
-        RECT -13.670 2621.090 -12.490 2622.270 ;
-        RECT -13.670 2619.490 -12.490 2620.670 ;
-        RECT -13.670 2441.090 -12.490 2442.270 ;
-        RECT -13.670 2439.490 -12.490 2440.670 ;
-        RECT -13.670 2261.090 -12.490 2262.270 ;
-        RECT -13.670 2259.490 -12.490 2260.670 ;
-        RECT -13.670 2081.090 -12.490 2082.270 ;
-        RECT -13.670 2079.490 -12.490 2080.670 ;
-        RECT -13.670 1901.090 -12.490 1902.270 ;
-        RECT -13.670 1899.490 -12.490 1900.670 ;
-        RECT -13.670 1721.090 -12.490 1722.270 ;
-        RECT -13.670 1719.490 -12.490 1720.670 ;
-        RECT -13.670 1541.090 -12.490 1542.270 ;
-        RECT -13.670 1539.490 -12.490 1540.670 ;
-        RECT -13.670 1361.090 -12.490 1362.270 ;
-        RECT -13.670 1359.490 -12.490 1360.670 ;
-        RECT -13.670 1181.090 -12.490 1182.270 ;
-        RECT -13.670 1179.490 -12.490 1180.670 ;
-        RECT -13.670 1001.090 -12.490 1002.270 ;
-        RECT -13.670 999.490 -12.490 1000.670 ;
-        RECT -13.670 821.090 -12.490 822.270 ;
-        RECT -13.670 819.490 -12.490 820.670 ;
-        RECT -13.670 641.090 -12.490 642.270 ;
-        RECT -13.670 639.490 -12.490 640.670 ;
-        RECT -13.670 461.090 -12.490 462.270 ;
-        RECT -13.670 459.490 -12.490 460.670 ;
-        RECT -13.670 281.090 -12.490 282.270 ;
-        RECT -13.670 279.490 -12.490 280.670 ;
-        RECT -13.670 101.090 -12.490 102.270 ;
-        RECT -13.670 99.490 -12.490 100.670 ;
-        RECT 2932.110 3341.090 2933.290 3342.270 ;
-        RECT 2932.110 3339.490 2933.290 3340.670 ;
-        RECT 2932.110 3161.090 2933.290 3162.270 ;
-        RECT 2932.110 3159.490 2933.290 3160.670 ;
-        RECT 2932.110 2981.090 2933.290 2982.270 ;
-        RECT 2932.110 2979.490 2933.290 2980.670 ;
-        RECT 2932.110 2801.090 2933.290 2802.270 ;
-        RECT 2932.110 2799.490 2933.290 2800.670 ;
-        RECT 2932.110 2621.090 2933.290 2622.270 ;
-        RECT 2932.110 2619.490 2933.290 2620.670 ;
-        RECT 2932.110 2441.090 2933.290 2442.270 ;
-        RECT 2932.110 2439.490 2933.290 2440.670 ;
-        RECT 2932.110 2261.090 2933.290 2262.270 ;
-        RECT 2932.110 2259.490 2933.290 2260.670 ;
-        RECT 2932.110 2081.090 2933.290 2082.270 ;
-        RECT 2932.110 2079.490 2933.290 2080.670 ;
-        RECT 2932.110 1901.090 2933.290 1902.270 ;
-        RECT 2932.110 1899.490 2933.290 1900.670 ;
-        RECT 2932.110 1721.090 2933.290 1722.270 ;
-        RECT 2932.110 1719.490 2933.290 1720.670 ;
-        RECT 2932.110 1541.090 2933.290 1542.270 ;
-        RECT 2932.110 1539.490 2933.290 1540.670 ;
-        RECT 2932.110 1361.090 2933.290 1362.270 ;
-        RECT 2932.110 1359.490 2933.290 1360.670 ;
-        RECT 2932.110 1181.090 2933.290 1182.270 ;
-        RECT 2932.110 1179.490 2933.290 1180.670 ;
-        RECT 2932.110 1001.090 2933.290 1002.270 ;
-        RECT 2932.110 999.490 2933.290 1000.670 ;
-        RECT 2932.110 821.090 2933.290 822.270 ;
-        RECT 2932.110 819.490 2933.290 820.670 ;
-        RECT 2932.110 641.090 2933.290 642.270 ;
-        RECT 2932.110 639.490 2933.290 640.670 ;
-        RECT 2932.110 461.090 2933.290 462.270 ;
-        RECT 2932.110 459.490 2933.290 460.670 ;
-        RECT 2932.110 281.090 2933.290 282.270 ;
-        RECT 2932.110 279.490 2933.290 280.670 ;
-        RECT 2932.110 101.090 2933.290 102.270 ;
-        RECT 2932.110 99.490 2933.290 100.670 ;
-        RECT -13.670 -7.510 -12.490 -6.330 ;
-        RECT -13.670 -9.110 -12.490 -7.930 ;
-        RECT 94.930 -7.510 96.110 -6.330 ;
-        RECT 94.930 -9.110 96.110 -7.930 ;
-        RECT 274.930 -7.510 276.110 -6.330 ;
-        RECT 274.930 -9.110 276.110 -7.930 ;
-        RECT 454.930 -7.510 456.110 -6.330 ;
-        RECT 454.930 -9.110 456.110 -7.930 ;
-        RECT 634.930 -7.510 636.110 -6.330 ;
-        RECT 634.930 -9.110 636.110 -7.930 ;
-        RECT 814.930 -7.510 816.110 -6.330 ;
-        RECT 814.930 -9.110 816.110 -7.930 ;
-        RECT 994.930 -7.510 996.110 -6.330 ;
-        RECT 994.930 -9.110 996.110 -7.930 ;
-        RECT 1174.930 -7.510 1176.110 -6.330 ;
-        RECT 1174.930 -9.110 1176.110 -7.930 ;
-        RECT 1354.930 -7.510 1356.110 -6.330 ;
-        RECT 1354.930 -9.110 1356.110 -7.930 ;
-        RECT 1534.930 -7.510 1536.110 -6.330 ;
-        RECT 1534.930 -9.110 1536.110 -7.930 ;
-        RECT 1714.930 -7.510 1716.110 -6.330 ;
-        RECT 1714.930 -9.110 1716.110 -7.930 ;
-        RECT 1894.930 -7.510 1896.110 -6.330 ;
-        RECT 1894.930 -9.110 1896.110 -7.930 ;
-        RECT 2074.930 -7.510 2076.110 -6.330 ;
-        RECT 2074.930 -9.110 2076.110 -7.930 ;
-        RECT 2254.930 -7.510 2256.110 -6.330 ;
-        RECT 2254.930 -9.110 2256.110 -7.930 ;
-        RECT 2434.930 -7.510 2436.110 -6.330 ;
-        RECT 2434.930 -9.110 2436.110 -7.930 ;
-        RECT 2614.930 -7.510 2616.110 -6.330 ;
-        RECT 2614.930 -9.110 2616.110 -7.930 ;
-        RECT 2794.930 -7.510 2796.110 -6.330 ;
-        RECT 2794.930 -9.110 2796.110 -7.930 ;
-        RECT 2932.110 -7.510 2933.290 -6.330 ;
-        RECT 2932.110 -9.110 2933.290 -7.930 ;
+        RECT -13.770 3527.710 -12.590 3528.890 ;
+        RECT -13.770 3526.110 -12.590 3527.290 ;
+        RECT 94.930 3527.710 96.110 3528.890 ;
+        RECT 94.930 3526.110 96.110 3527.290 ;
+        RECT 274.930 3527.710 276.110 3528.890 ;
+        RECT 274.930 3526.110 276.110 3527.290 ;
+        RECT 454.930 3527.710 456.110 3528.890 ;
+        RECT 454.930 3526.110 456.110 3527.290 ;
+        RECT 634.930 3527.710 636.110 3528.890 ;
+        RECT 634.930 3526.110 636.110 3527.290 ;
+        RECT 814.930 3527.710 816.110 3528.890 ;
+        RECT 814.930 3526.110 816.110 3527.290 ;
+        RECT 994.930 3527.710 996.110 3528.890 ;
+        RECT 994.930 3526.110 996.110 3527.290 ;
+        RECT 1174.930 3527.710 1176.110 3528.890 ;
+        RECT 1174.930 3526.110 1176.110 3527.290 ;
+        RECT 1354.930 3527.710 1356.110 3528.890 ;
+        RECT 1354.930 3526.110 1356.110 3527.290 ;
+        RECT 1534.930 3527.710 1536.110 3528.890 ;
+        RECT 1534.930 3526.110 1536.110 3527.290 ;
+        RECT 1714.930 3527.710 1716.110 3528.890 ;
+        RECT 1714.930 3526.110 1716.110 3527.290 ;
+        RECT 1894.930 3527.710 1896.110 3528.890 ;
+        RECT 1894.930 3526.110 1896.110 3527.290 ;
+        RECT 2074.930 3527.710 2076.110 3528.890 ;
+        RECT 2074.930 3526.110 2076.110 3527.290 ;
+        RECT 2254.930 3527.710 2256.110 3528.890 ;
+        RECT 2254.930 3526.110 2256.110 3527.290 ;
+        RECT 2434.930 3527.710 2436.110 3528.890 ;
+        RECT 2434.930 3526.110 2436.110 3527.290 ;
+        RECT 2614.930 3527.710 2616.110 3528.890 ;
+        RECT 2614.930 3526.110 2616.110 3527.290 ;
+        RECT 2794.930 3527.710 2796.110 3528.890 ;
+        RECT 2794.930 3526.110 2796.110 3527.290 ;
+        RECT 2932.210 3527.710 2933.390 3528.890 ;
+        RECT 2932.210 3526.110 2933.390 3527.290 ;
+        RECT -13.770 3341.090 -12.590 3342.270 ;
+        RECT -13.770 3339.490 -12.590 3340.670 ;
+        RECT -13.770 3161.090 -12.590 3162.270 ;
+        RECT -13.770 3159.490 -12.590 3160.670 ;
+        RECT -13.770 2981.090 -12.590 2982.270 ;
+        RECT -13.770 2979.490 -12.590 2980.670 ;
+        RECT -13.770 2801.090 -12.590 2802.270 ;
+        RECT -13.770 2799.490 -12.590 2800.670 ;
+        RECT -13.770 2621.090 -12.590 2622.270 ;
+        RECT -13.770 2619.490 -12.590 2620.670 ;
+        RECT -13.770 2441.090 -12.590 2442.270 ;
+        RECT -13.770 2439.490 -12.590 2440.670 ;
+        RECT -13.770 2261.090 -12.590 2262.270 ;
+        RECT -13.770 2259.490 -12.590 2260.670 ;
+        RECT -13.770 2081.090 -12.590 2082.270 ;
+        RECT -13.770 2079.490 -12.590 2080.670 ;
+        RECT -13.770 1901.090 -12.590 1902.270 ;
+        RECT -13.770 1899.490 -12.590 1900.670 ;
+        RECT -13.770 1721.090 -12.590 1722.270 ;
+        RECT -13.770 1719.490 -12.590 1720.670 ;
+        RECT -13.770 1541.090 -12.590 1542.270 ;
+        RECT -13.770 1539.490 -12.590 1540.670 ;
+        RECT -13.770 1361.090 -12.590 1362.270 ;
+        RECT -13.770 1359.490 -12.590 1360.670 ;
+        RECT -13.770 1181.090 -12.590 1182.270 ;
+        RECT -13.770 1179.490 -12.590 1180.670 ;
+        RECT -13.770 1001.090 -12.590 1002.270 ;
+        RECT -13.770 999.490 -12.590 1000.670 ;
+        RECT -13.770 821.090 -12.590 822.270 ;
+        RECT -13.770 819.490 -12.590 820.670 ;
+        RECT -13.770 641.090 -12.590 642.270 ;
+        RECT -13.770 639.490 -12.590 640.670 ;
+        RECT -13.770 461.090 -12.590 462.270 ;
+        RECT -13.770 459.490 -12.590 460.670 ;
+        RECT -13.770 281.090 -12.590 282.270 ;
+        RECT -13.770 279.490 -12.590 280.670 ;
+        RECT -13.770 101.090 -12.590 102.270 ;
+        RECT -13.770 99.490 -12.590 100.670 ;
+        RECT 2932.210 3341.090 2933.390 3342.270 ;
+        RECT 2932.210 3339.490 2933.390 3340.670 ;
+        RECT 2932.210 3161.090 2933.390 3162.270 ;
+        RECT 2932.210 3159.490 2933.390 3160.670 ;
+        RECT 2932.210 2981.090 2933.390 2982.270 ;
+        RECT 2932.210 2979.490 2933.390 2980.670 ;
+        RECT 2932.210 2801.090 2933.390 2802.270 ;
+        RECT 2932.210 2799.490 2933.390 2800.670 ;
+        RECT 2932.210 2621.090 2933.390 2622.270 ;
+        RECT 2932.210 2619.490 2933.390 2620.670 ;
+        RECT 2932.210 2441.090 2933.390 2442.270 ;
+        RECT 2932.210 2439.490 2933.390 2440.670 ;
+        RECT 2932.210 2261.090 2933.390 2262.270 ;
+        RECT 2932.210 2259.490 2933.390 2260.670 ;
+        RECT 2932.210 2081.090 2933.390 2082.270 ;
+        RECT 2932.210 2079.490 2933.390 2080.670 ;
+        RECT 2932.210 1901.090 2933.390 1902.270 ;
+        RECT 2932.210 1899.490 2933.390 1900.670 ;
+        RECT 2932.210 1721.090 2933.390 1722.270 ;
+        RECT 2932.210 1719.490 2933.390 1720.670 ;
+        RECT 2932.210 1541.090 2933.390 1542.270 ;
+        RECT 2932.210 1539.490 2933.390 1540.670 ;
+        RECT 2932.210 1361.090 2933.390 1362.270 ;
+        RECT 2932.210 1359.490 2933.390 1360.670 ;
+        RECT 2932.210 1181.090 2933.390 1182.270 ;
+        RECT 2932.210 1179.490 2933.390 1180.670 ;
+        RECT 2932.210 1001.090 2933.390 1002.270 ;
+        RECT 2932.210 999.490 2933.390 1000.670 ;
+        RECT 2932.210 821.090 2933.390 822.270 ;
+        RECT 2932.210 819.490 2933.390 820.670 ;
+        RECT 2932.210 641.090 2933.390 642.270 ;
+        RECT 2932.210 639.490 2933.390 640.670 ;
+        RECT 2932.210 461.090 2933.390 462.270 ;
+        RECT 2932.210 459.490 2933.390 460.670 ;
+        RECT 2932.210 281.090 2933.390 282.270 ;
+        RECT 2932.210 279.490 2933.390 280.670 ;
+        RECT 2932.210 101.090 2933.390 102.270 ;
+        RECT 2932.210 99.490 2933.390 100.670 ;
+        RECT -13.770 -7.610 -12.590 -6.430 ;
+        RECT -13.770 -9.210 -12.590 -8.030 ;
+        RECT 94.930 -7.610 96.110 -6.430 ;
+        RECT 94.930 -9.210 96.110 -8.030 ;
+        RECT 274.930 -7.610 276.110 -6.430 ;
+        RECT 274.930 -9.210 276.110 -8.030 ;
+        RECT 454.930 -7.610 456.110 -6.430 ;
+        RECT 454.930 -9.210 456.110 -8.030 ;
+        RECT 634.930 -7.610 636.110 -6.430 ;
+        RECT 634.930 -9.210 636.110 -8.030 ;
+        RECT 814.930 -7.610 816.110 -6.430 ;
+        RECT 814.930 -9.210 816.110 -8.030 ;
+        RECT 994.930 -7.610 996.110 -6.430 ;
+        RECT 994.930 -9.210 996.110 -8.030 ;
+        RECT 1174.930 -7.610 1176.110 -6.430 ;
+        RECT 1174.930 -9.210 1176.110 -8.030 ;
+        RECT 1354.930 -7.610 1356.110 -6.430 ;
+        RECT 1354.930 -9.210 1356.110 -8.030 ;
+        RECT 1534.930 -7.610 1536.110 -6.430 ;
+        RECT 1534.930 -9.210 1536.110 -8.030 ;
+        RECT 1714.930 -7.610 1716.110 -6.430 ;
+        RECT 1714.930 -9.210 1716.110 -8.030 ;
+        RECT 1894.930 -7.610 1896.110 -6.430 ;
+        RECT 1894.930 -9.210 1896.110 -8.030 ;
+        RECT 2074.930 -7.610 2076.110 -6.430 ;
+        RECT 2074.930 -9.210 2076.110 -8.030 ;
+        RECT 2254.930 -7.610 2256.110 -6.430 ;
+        RECT 2254.930 -9.210 2256.110 -8.030 ;
+        RECT 2434.930 -7.610 2436.110 -6.430 ;
+        RECT 2434.930 -9.210 2436.110 -8.030 ;
+        RECT 2614.930 -7.610 2616.110 -6.430 ;
+        RECT 2614.930 -9.210 2616.110 -8.030 ;
+        RECT 2794.930 -7.610 2796.110 -6.430 ;
+        RECT 2794.930 -9.210 2796.110 -8.030 ;
+        RECT 2932.210 -7.610 2933.390 -6.430 ;
+        RECT 2932.210 -9.210 2933.390 -8.030 ;
       LAYER met5 ;
-        RECT -14.580 3528.900 -11.580 3528.910 ;
-        RECT 94.020 3528.900 97.020 3528.910 ;
-        RECT 274.020 3528.900 277.020 3528.910 ;
-        RECT 454.020 3528.900 457.020 3528.910 ;
-        RECT 634.020 3528.900 637.020 3528.910 ;
-        RECT 814.020 3528.900 817.020 3528.910 ;
-        RECT 994.020 3528.900 997.020 3528.910 ;
-        RECT 1174.020 3528.900 1177.020 3528.910 ;
-        RECT 1354.020 3528.900 1357.020 3528.910 ;
-        RECT 1534.020 3528.900 1537.020 3528.910 ;
-        RECT 1714.020 3528.900 1717.020 3528.910 ;
-        RECT 1894.020 3528.900 1897.020 3528.910 ;
-        RECT 2074.020 3528.900 2077.020 3528.910 ;
-        RECT 2254.020 3528.900 2257.020 3528.910 ;
-        RECT 2434.020 3528.900 2437.020 3528.910 ;
-        RECT 2614.020 3528.900 2617.020 3528.910 ;
-        RECT 2794.020 3528.900 2797.020 3528.910 ;
-        RECT 2931.200 3528.900 2934.200 3528.910 ;
-        RECT -14.580 3525.900 2934.200 3528.900 ;
-        RECT -14.580 3525.890 -11.580 3525.900 ;
-        RECT 94.020 3525.890 97.020 3525.900 ;
-        RECT 274.020 3525.890 277.020 3525.900 ;
-        RECT 454.020 3525.890 457.020 3525.900 ;
-        RECT 634.020 3525.890 637.020 3525.900 ;
-        RECT 814.020 3525.890 817.020 3525.900 ;
-        RECT 994.020 3525.890 997.020 3525.900 ;
-        RECT 1174.020 3525.890 1177.020 3525.900 ;
-        RECT 1354.020 3525.890 1357.020 3525.900 ;
-        RECT 1534.020 3525.890 1537.020 3525.900 ;
-        RECT 1714.020 3525.890 1717.020 3525.900 ;
-        RECT 1894.020 3525.890 1897.020 3525.900 ;
-        RECT 2074.020 3525.890 2077.020 3525.900 ;
-        RECT 2254.020 3525.890 2257.020 3525.900 ;
-        RECT 2434.020 3525.890 2437.020 3525.900 ;
-        RECT 2614.020 3525.890 2617.020 3525.900 ;
-        RECT 2794.020 3525.890 2797.020 3525.900 ;
-        RECT 2931.200 3525.890 2934.200 3525.900 ;
-        RECT -14.580 3342.380 -11.580 3342.390 ;
-        RECT 2931.200 3342.380 2934.200 3342.390 ;
-        RECT -14.580 3339.380 2.400 3342.380 ;
-        RECT 2917.600 3339.380 2934.200 3342.380 ;
-        RECT -14.580 3339.370 -11.580 3339.380 ;
-        RECT 2931.200 3339.370 2934.200 3339.380 ;
-        RECT -14.580 3162.380 -11.580 3162.390 ;
-        RECT 2931.200 3162.380 2934.200 3162.390 ;
-        RECT -14.580 3159.380 2.400 3162.380 ;
-        RECT 2917.600 3159.380 2934.200 3162.380 ;
-        RECT -14.580 3159.370 -11.580 3159.380 ;
-        RECT 2931.200 3159.370 2934.200 3159.380 ;
-        RECT -14.580 2982.380 -11.580 2982.390 ;
-        RECT 2931.200 2982.380 2934.200 2982.390 ;
-        RECT -14.580 2979.380 2.400 2982.380 ;
-        RECT 2917.600 2979.380 2934.200 2982.380 ;
-        RECT -14.580 2979.370 -11.580 2979.380 ;
-        RECT 2931.200 2979.370 2934.200 2979.380 ;
-        RECT -14.580 2802.380 -11.580 2802.390 ;
-        RECT 2931.200 2802.380 2934.200 2802.390 ;
-        RECT -14.580 2799.380 2.400 2802.380 ;
-        RECT 2917.600 2799.380 2934.200 2802.380 ;
-        RECT -14.580 2799.370 -11.580 2799.380 ;
-        RECT 2931.200 2799.370 2934.200 2799.380 ;
-        RECT -14.580 2622.380 -11.580 2622.390 ;
-        RECT 2931.200 2622.380 2934.200 2622.390 ;
-        RECT -14.580 2619.380 2.400 2622.380 ;
-        RECT 2917.600 2619.380 2934.200 2622.380 ;
-        RECT -14.580 2619.370 -11.580 2619.380 ;
-        RECT 2931.200 2619.370 2934.200 2619.380 ;
-        RECT -14.580 2442.380 -11.580 2442.390 ;
-        RECT 2931.200 2442.380 2934.200 2442.390 ;
-        RECT -14.580 2439.380 2.400 2442.380 ;
-        RECT 2917.600 2439.380 2934.200 2442.380 ;
-        RECT -14.580 2439.370 -11.580 2439.380 ;
-        RECT 2931.200 2439.370 2934.200 2439.380 ;
-        RECT -14.580 2262.380 -11.580 2262.390 ;
-        RECT 2931.200 2262.380 2934.200 2262.390 ;
-        RECT -14.580 2259.380 2.400 2262.380 ;
-        RECT 2917.600 2259.380 2934.200 2262.380 ;
-        RECT -14.580 2259.370 -11.580 2259.380 ;
-        RECT 2931.200 2259.370 2934.200 2259.380 ;
-        RECT -14.580 2082.380 -11.580 2082.390 ;
-        RECT 2931.200 2082.380 2934.200 2082.390 ;
-        RECT -14.580 2079.380 2.400 2082.380 ;
-        RECT 2917.600 2079.380 2934.200 2082.380 ;
-        RECT -14.580 2079.370 -11.580 2079.380 ;
-        RECT 2931.200 2079.370 2934.200 2079.380 ;
-        RECT -14.580 1902.380 -11.580 1902.390 ;
-        RECT 2931.200 1902.380 2934.200 1902.390 ;
-        RECT -14.580 1899.380 2.400 1902.380 ;
-        RECT 2917.600 1899.380 2934.200 1902.380 ;
-        RECT -14.580 1899.370 -11.580 1899.380 ;
-        RECT 2931.200 1899.370 2934.200 1899.380 ;
-        RECT -14.580 1722.380 -11.580 1722.390 ;
-        RECT 2931.200 1722.380 2934.200 1722.390 ;
-        RECT -14.580 1719.380 2.400 1722.380 ;
-        RECT 2917.600 1719.380 2934.200 1722.380 ;
-        RECT -14.580 1719.370 -11.580 1719.380 ;
-        RECT 2931.200 1719.370 2934.200 1719.380 ;
-        RECT -14.580 1542.380 -11.580 1542.390 ;
-        RECT 2931.200 1542.380 2934.200 1542.390 ;
-        RECT -14.580 1539.380 2.400 1542.380 ;
-        RECT 2917.600 1539.380 2934.200 1542.380 ;
-        RECT -14.580 1539.370 -11.580 1539.380 ;
-        RECT 2931.200 1539.370 2934.200 1539.380 ;
-        RECT -14.580 1362.380 -11.580 1362.390 ;
-        RECT 2931.200 1362.380 2934.200 1362.390 ;
-        RECT -14.580 1359.380 2.400 1362.380 ;
-        RECT 2917.600 1359.380 2934.200 1362.380 ;
-        RECT -14.580 1359.370 -11.580 1359.380 ;
-        RECT 2931.200 1359.370 2934.200 1359.380 ;
-        RECT -14.580 1182.380 -11.580 1182.390 ;
-        RECT 2931.200 1182.380 2934.200 1182.390 ;
-        RECT -14.580 1179.380 2.400 1182.380 ;
-        RECT 2917.600 1179.380 2934.200 1182.380 ;
-        RECT -14.580 1179.370 -11.580 1179.380 ;
-        RECT 2931.200 1179.370 2934.200 1179.380 ;
-        RECT -14.580 1002.380 -11.580 1002.390 ;
-        RECT 2931.200 1002.380 2934.200 1002.390 ;
-        RECT -14.580 999.380 2.400 1002.380 ;
-        RECT 2917.600 999.380 2934.200 1002.380 ;
-        RECT -14.580 999.370 -11.580 999.380 ;
-        RECT 2931.200 999.370 2934.200 999.380 ;
-        RECT -14.580 822.380 -11.580 822.390 ;
-        RECT 2931.200 822.380 2934.200 822.390 ;
-        RECT -14.580 819.380 2.400 822.380 ;
-        RECT 2917.600 819.380 2934.200 822.380 ;
-        RECT -14.580 819.370 -11.580 819.380 ;
-        RECT 2931.200 819.370 2934.200 819.380 ;
-        RECT -14.580 642.380 -11.580 642.390 ;
-        RECT 2931.200 642.380 2934.200 642.390 ;
-        RECT -14.580 639.380 2.400 642.380 ;
-        RECT 2917.600 639.380 2934.200 642.380 ;
-        RECT -14.580 639.370 -11.580 639.380 ;
-        RECT 2931.200 639.370 2934.200 639.380 ;
-        RECT -14.580 462.380 -11.580 462.390 ;
-        RECT 2931.200 462.380 2934.200 462.390 ;
-        RECT -14.580 459.380 2.400 462.380 ;
-        RECT 2917.600 459.380 2934.200 462.380 ;
-        RECT -14.580 459.370 -11.580 459.380 ;
-        RECT 2931.200 459.370 2934.200 459.380 ;
-        RECT -14.580 282.380 -11.580 282.390 ;
-        RECT 2931.200 282.380 2934.200 282.390 ;
-        RECT -14.580 279.380 2.400 282.380 ;
-        RECT 2917.600 279.380 2934.200 282.380 ;
-        RECT -14.580 279.370 -11.580 279.380 ;
-        RECT 2931.200 279.370 2934.200 279.380 ;
-        RECT -14.580 102.380 -11.580 102.390 ;
-        RECT 2931.200 102.380 2934.200 102.390 ;
-        RECT -14.580 99.380 2.400 102.380 ;
-        RECT 2917.600 99.380 2934.200 102.380 ;
-        RECT -14.580 99.370 -11.580 99.380 ;
-        RECT 2931.200 99.370 2934.200 99.380 ;
-        RECT -14.580 -6.220 -11.580 -6.210 ;
-        RECT 94.020 -6.220 97.020 -6.210 ;
-        RECT 274.020 -6.220 277.020 -6.210 ;
-        RECT 454.020 -6.220 457.020 -6.210 ;
-        RECT 634.020 -6.220 637.020 -6.210 ;
-        RECT 814.020 -6.220 817.020 -6.210 ;
-        RECT 994.020 -6.220 997.020 -6.210 ;
-        RECT 1174.020 -6.220 1177.020 -6.210 ;
-        RECT 1354.020 -6.220 1357.020 -6.210 ;
-        RECT 1534.020 -6.220 1537.020 -6.210 ;
-        RECT 1714.020 -6.220 1717.020 -6.210 ;
-        RECT 1894.020 -6.220 1897.020 -6.210 ;
-        RECT 2074.020 -6.220 2077.020 -6.210 ;
-        RECT 2254.020 -6.220 2257.020 -6.210 ;
-        RECT 2434.020 -6.220 2437.020 -6.210 ;
-        RECT 2614.020 -6.220 2617.020 -6.210 ;
-        RECT 2794.020 -6.220 2797.020 -6.210 ;
-        RECT 2931.200 -6.220 2934.200 -6.210 ;
-        RECT -14.580 -9.220 2934.200 -6.220 ;
-        RECT -14.580 -9.230 -11.580 -9.220 ;
-        RECT 94.020 -9.230 97.020 -9.220 ;
-        RECT 274.020 -9.230 277.020 -9.220 ;
-        RECT 454.020 -9.230 457.020 -9.220 ;
-        RECT 634.020 -9.230 637.020 -9.220 ;
-        RECT 814.020 -9.230 817.020 -9.220 ;
-        RECT 994.020 -9.230 997.020 -9.220 ;
-        RECT 1174.020 -9.230 1177.020 -9.220 ;
-        RECT 1354.020 -9.230 1357.020 -9.220 ;
-        RECT 1534.020 -9.230 1537.020 -9.220 ;
-        RECT 1714.020 -9.230 1717.020 -9.220 ;
-        RECT 1894.020 -9.230 1897.020 -9.220 ;
-        RECT 2074.020 -9.230 2077.020 -9.220 ;
-        RECT 2254.020 -9.230 2257.020 -9.220 ;
-        RECT 2434.020 -9.230 2437.020 -9.220 ;
-        RECT 2614.020 -9.230 2617.020 -9.220 ;
-        RECT 2794.020 -9.230 2797.020 -9.220 ;
-        RECT 2931.200 -9.230 2934.200 -9.220 ;
+        RECT -14.680 3529.000 -11.680 3529.010 ;
+        RECT 94.020 3529.000 97.020 3529.010 ;
+        RECT 274.020 3529.000 277.020 3529.010 ;
+        RECT 454.020 3529.000 457.020 3529.010 ;
+        RECT 634.020 3529.000 637.020 3529.010 ;
+        RECT 814.020 3529.000 817.020 3529.010 ;
+        RECT 994.020 3529.000 997.020 3529.010 ;
+        RECT 1174.020 3529.000 1177.020 3529.010 ;
+        RECT 1354.020 3529.000 1357.020 3529.010 ;
+        RECT 1534.020 3529.000 1537.020 3529.010 ;
+        RECT 1714.020 3529.000 1717.020 3529.010 ;
+        RECT 1894.020 3529.000 1897.020 3529.010 ;
+        RECT 2074.020 3529.000 2077.020 3529.010 ;
+        RECT 2254.020 3529.000 2257.020 3529.010 ;
+        RECT 2434.020 3529.000 2437.020 3529.010 ;
+        RECT 2614.020 3529.000 2617.020 3529.010 ;
+        RECT 2794.020 3529.000 2797.020 3529.010 ;
+        RECT 2931.300 3529.000 2934.300 3529.010 ;
+        RECT -14.680 3526.000 2934.300 3529.000 ;
+        RECT -14.680 3525.990 -11.680 3526.000 ;
+        RECT 94.020 3525.990 97.020 3526.000 ;
+        RECT 274.020 3525.990 277.020 3526.000 ;
+        RECT 454.020 3525.990 457.020 3526.000 ;
+        RECT 634.020 3525.990 637.020 3526.000 ;
+        RECT 814.020 3525.990 817.020 3526.000 ;
+        RECT 994.020 3525.990 997.020 3526.000 ;
+        RECT 1174.020 3525.990 1177.020 3526.000 ;
+        RECT 1354.020 3525.990 1357.020 3526.000 ;
+        RECT 1534.020 3525.990 1537.020 3526.000 ;
+        RECT 1714.020 3525.990 1717.020 3526.000 ;
+        RECT 1894.020 3525.990 1897.020 3526.000 ;
+        RECT 2074.020 3525.990 2077.020 3526.000 ;
+        RECT 2254.020 3525.990 2257.020 3526.000 ;
+        RECT 2434.020 3525.990 2437.020 3526.000 ;
+        RECT 2614.020 3525.990 2617.020 3526.000 ;
+        RECT 2794.020 3525.990 2797.020 3526.000 ;
+        RECT 2931.300 3525.990 2934.300 3526.000 ;
+        RECT -14.680 3342.380 -11.680 3342.390 ;
+        RECT 2931.300 3342.380 2934.300 3342.390 ;
+        RECT -14.680 3339.380 2.400 3342.380 ;
+        RECT 2917.600 3339.380 2934.300 3342.380 ;
+        RECT -14.680 3339.370 -11.680 3339.380 ;
+        RECT 2931.300 3339.370 2934.300 3339.380 ;
+        RECT -14.680 3162.380 -11.680 3162.390 ;
+        RECT 2931.300 3162.380 2934.300 3162.390 ;
+        RECT -14.680 3159.380 2.400 3162.380 ;
+        RECT 2917.600 3159.380 2934.300 3162.380 ;
+        RECT -14.680 3159.370 -11.680 3159.380 ;
+        RECT 2931.300 3159.370 2934.300 3159.380 ;
+        RECT -14.680 2982.380 -11.680 2982.390 ;
+        RECT 2931.300 2982.380 2934.300 2982.390 ;
+        RECT -14.680 2979.380 2.400 2982.380 ;
+        RECT 2917.600 2979.380 2934.300 2982.380 ;
+        RECT -14.680 2979.370 -11.680 2979.380 ;
+        RECT 2931.300 2979.370 2934.300 2979.380 ;
+        RECT -14.680 2802.380 -11.680 2802.390 ;
+        RECT 2931.300 2802.380 2934.300 2802.390 ;
+        RECT -14.680 2799.380 2.400 2802.380 ;
+        RECT 2917.600 2799.380 2934.300 2802.380 ;
+        RECT -14.680 2799.370 -11.680 2799.380 ;
+        RECT 2931.300 2799.370 2934.300 2799.380 ;
+        RECT -14.680 2622.380 -11.680 2622.390 ;
+        RECT 2931.300 2622.380 2934.300 2622.390 ;
+        RECT -14.680 2619.380 2.400 2622.380 ;
+        RECT 2917.600 2619.380 2934.300 2622.380 ;
+        RECT -14.680 2619.370 -11.680 2619.380 ;
+        RECT 2931.300 2619.370 2934.300 2619.380 ;
+        RECT -14.680 2442.380 -11.680 2442.390 ;
+        RECT 2931.300 2442.380 2934.300 2442.390 ;
+        RECT -14.680 2439.380 2.400 2442.380 ;
+        RECT 2917.600 2439.380 2934.300 2442.380 ;
+        RECT -14.680 2439.370 -11.680 2439.380 ;
+        RECT 2931.300 2439.370 2934.300 2439.380 ;
+        RECT -14.680 2262.380 -11.680 2262.390 ;
+        RECT 2931.300 2262.380 2934.300 2262.390 ;
+        RECT -14.680 2259.380 2.400 2262.380 ;
+        RECT 2917.600 2259.380 2934.300 2262.380 ;
+        RECT -14.680 2259.370 -11.680 2259.380 ;
+        RECT 2931.300 2259.370 2934.300 2259.380 ;
+        RECT -14.680 2082.380 -11.680 2082.390 ;
+        RECT 2931.300 2082.380 2934.300 2082.390 ;
+        RECT -14.680 2079.380 2.400 2082.380 ;
+        RECT 2917.600 2079.380 2934.300 2082.380 ;
+        RECT -14.680 2079.370 -11.680 2079.380 ;
+        RECT 2931.300 2079.370 2934.300 2079.380 ;
+        RECT -14.680 1902.380 -11.680 1902.390 ;
+        RECT 2931.300 1902.380 2934.300 1902.390 ;
+        RECT -14.680 1899.380 2.400 1902.380 ;
+        RECT 2917.600 1899.380 2934.300 1902.380 ;
+        RECT -14.680 1899.370 -11.680 1899.380 ;
+        RECT 2931.300 1899.370 2934.300 1899.380 ;
+        RECT -14.680 1722.380 -11.680 1722.390 ;
+        RECT 2931.300 1722.380 2934.300 1722.390 ;
+        RECT -14.680 1719.380 2.400 1722.380 ;
+        RECT 2917.600 1719.380 2934.300 1722.380 ;
+        RECT -14.680 1719.370 -11.680 1719.380 ;
+        RECT 2931.300 1719.370 2934.300 1719.380 ;
+        RECT -14.680 1542.380 -11.680 1542.390 ;
+        RECT 2931.300 1542.380 2934.300 1542.390 ;
+        RECT -14.680 1539.380 2.400 1542.380 ;
+        RECT 2917.600 1539.380 2934.300 1542.380 ;
+        RECT -14.680 1539.370 -11.680 1539.380 ;
+        RECT 2931.300 1539.370 2934.300 1539.380 ;
+        RECT -14.680 1362.380 -11.680 1362.390 ;
+        RECT 2931.300 1362.380 2934.300 1362.390 ;
+        RECT -14.680 1359.380 2.400 1362.380 ;
+        RECT 2917.600 1359.380 2934.300 1362.380 ;
+        RECT -14.680 1359.370 -11.680 1359.380 ;
+        RECT 2931.300 1359.370 2934.300 1359.380 ;
+        RECT -14.680 1182.380 -11.680 1182.390 ;
+        RECT 2931.300 1182.380 2934.300 1182.390 ;
+        RECT -14.680 1179.380 2.400 1182.380 ;
+        RECT 2917.600 1179.380 2934.300 1182.380 ;
+        RECT -14.680 1179.370 -11.680 1179.380 ;
+        RECT 2931.300 1179.370 2934.300 1179.380 ;
+        RECT -14.680 1002.380 -11.680 1002.390 ;
+        RECT 2931.300 1002.380 2934.300 1002.390 ;
+        RECT -14.680 999.380 2.400 1002.380 ;
+        RECT 2917.600 999.380 2934.300 1002.380 ;
+        RECT -14.680 999.370 -11.680 999.380 ;
+        RECT 2931.300 999.370 2934.300 999.380 ;
+        RECT -14.680 822.380 -11.680 822.390 ;
+        RECT 2931.300 822.380 2934.300 822.390 ;
+        RECT -14.680 819.380 2.400 822.380 ;
+        RECT 2917.600 819.380 2934.300 822.380 ;
+        RECT -14.680 819.370 -11.680 819.380 ;
+        RECT 2931.300 819.370 2934.300 819.380 ;
+        RECT -14.680 642.380 -11.680 642.390 ;
+        RECT 2931.300 642.380 2934.300 642.390 ;
+        RECT -14.680 639.380 2.400 642.380 ;
+        RECT 2917.600 639.380 2934.300 642.380 ;
+        RECT -14.680 639.370 -11.680 639.380 ;
+        RECT 2931.300 639.370 2934.300 639.380 ;
+        RECT -14.680 462.380 -11.680 462.390 ;
+        RECT 2931.300 462.380 2934.300 462.390 ;
+        RECT -14.680 459.380 2.400 462.380 ;
+        RECT 2917.600 459.380 2934.300 462.380 ;
+        RECT -14.680 459.370 -11.680 459.380 ;
+        RECT 2931.300 459.370 2934.300 459.380 ;
+        RECT -14.680 282.380 -11.680 282.390 ;
+        RECT 2931.300 282.380 2934.300 282.390 ;
+        RECT -14.680 279.380 2.400 282.380 ;
+        RECT 2917.600 279.380 2934.300 282.380 ;
+        RECT -14.680 279.370 -11.680 279.380 ;
+        RECT 2931.300 279.370 2934.300 279.380 ;
+        RECT -14.680 102.380 -11.680 102.390 ;
+        RECT 2931.300 102.380 2934.300 102.390 ;
+        RECT -14.680 99.380 2.400 102.380 ;
+        RECT 2917.600 99.380 2934.300 102.380 ;
+        RECT -14.680 99.370 -11.680 99.380 ;
+        RECT 2931.300 99.370 2934.300 99.380 ;
+        RECT -14.680 -6.320 -11.680 -6.310 ;
+        RECT 94.020 -6.320 97.020 -6.310 ;
+        RECT 274.020 -6.320 277.020 -6.310 ;
+        RECT 454.020 -6.320 457.020 -6.310 ;
+        RECT 634.020 -6.320 637.020 -6.310 ;
+        RECT 814.020 -6.320 817.020 -6.310 ;
+        RECT 994.020 -6.320 997.020 -6.310 ;
+        RECT 1174.020 -6.320 1177.020 -6.310 ;
+        RECT 1354.020 -6.320 1357.020 -6.310 ;
+        RECT 1534.020 -6.320 1537.020 -6.310 ;
+        RECT 1714.020 -6.320 1717.020 -6.310 ;
+        RECT 1894.020 -6.320 1897.020 -6.310 ;
+        RECT 2074.020 -6.320 2077.020 -6.310 ;
+        RECT 2254.020 -6.320 2257.020 -6.310 ;
+        RECT 2434.020 -6.320 2437.020 -6.310 ;
+        RECT 2614.020 -6.320 2617.020 -6.310 ;
+        RECT 2794.020 -6.320 2797.020 -6.310 ;
+        RECT 2931.300 -6.320 2934.300 -6.310 ;
+        RECT -14.680 -9.320 2934.300 -6.320 ;
+        RECT -14.680 -9.330 -11.680 -9.320 ;
+        RECT 94.020 -9.330 97.020 -9.320 ;
+        RECT 274.020 -9.330 277.020 -9.320 ;
+        RECT 454.020 -9.330 457.020 -9.320 ;
+        RECT 634.020 -9.330 637.020 -9.320 ;
+        RECT 814.020 -9.330 817.020 -9.320 ;
+        RECT 994.020 -9.330 997.020 -9.320 ;
+        RECT 1174.020 -9.330 1177.020 -9.320 ;
+        RECT 1354.020 -9.330 1357.020 -9.320 ;
+        RECT 1534.020 -9.330 1537.020 -9.320 ;
+        RECT 1714.020 -9.330 1717.020 -9.320 ;
+        RECT 1894.020 -9.330 1897.020 -9.320 ;
+        RECT 2074.020 -9.330 2077.020 -9.320 ;
+        RECT 2254.020 -9.330 2257.020 -9.320 ;
+        RECT 2434.020 -9.330 2437.020 -9.320 ;
+        RECT 2614.020 -9.330 2617.020 -9.320 ;
+        RECT 2794.020 -9.330 2797.020 -9.320 ;
+        RECT 2931.300 -9.330 2934.300 -9.320 ;
     END
   END vssd1
   PIN vccd2
     DIRECTION INPUT ;
     PORT
       LAYER met4 ;
-        RECT -19.180 -13.820 -16.180 3533.500 ;
-        RECT 22.020 3517.600 25.020 3538.100 ;
-        RECT 202.020 3517.600 205.020 3538.100 ;
-        RECT 382.020 3517.600 385.020 3538.100 ;
-        RECT 562.020 3517.600 565.020 3538.100 ;
-        RECT 742.020 3517.600 745.020 3538.100 ;
-        RECT 922.020 3517.600 925.020 3538.100 ;
-        RECT 1102.020 3517.600 1105.020 3538.100 ;
-        RECT 1282.020 3517.600 1285.020 3538.100 ;
-        RECT 1462.020 3517.600 1465.020 3538.100 ;
-        RECT 1642.020 3517.600 1645.020 3538.100 ;
-        RECT 1822.020 3517.600 1825.020 3538.100 ;
-        RECT 2002.020 3517.600 2005.020 3538.100 ;
-        RECT 2182.020 3517.600 2185.020 3538.100 ;
-        RECT 2362.020 3517.600 2365.020 3538.100 ;
-        RECT 2542.020 3517.600 2545.020 3538.100 ;
-        RECT 2722.020 3517.600 2725.020 3538.100 ;
-        RECT 2902.020 3517.600 2905.020 3538.100 ;
-        RECT 22.020 -18.420 25.020 2.400 ;
-        RECT 202.020 -18.420 205.020 2.400 ;
-        RECT 382.020 -18.420 385.020 2.400 ;
-        RECT 562.020 -18.420 565.020 2.400 ;
-        RECT 742.020 -18.420 745.020 2.400 ;
-        RECT 922.020 -18.420 925.020 2.400 ;
-        RECT 1102.020 -18.420 1105.020 2.400 ;
-        RECT 1282.020 -18.420 1285.020 2.400 ;
-        RECT 1462.020 -18.420 1465.020 2.400 ;
-        RECT 1642.020 -18.420 1645.020 2.400 ;
-        RECT 1822.020 -18.420 1825.020 2.400 ;
-        RECT 2002.020 -18.420 2005.020 2.400 ;
-        RECT 2182.020 -18.420 2185.020 2.400 ;
-        RECT 2362.020 -18.420 2365.020 2.400 ;
-        RECT 2542.020 -18.420 2545.020 2.400 ;
-        RECT 2722.020 -18.420 2725.020 2.400 ;
-        RECT 2902.020 -18.420 2905.020 2.400 ;
-        RECT 2935.800 -13.820 2938.800 3533.500 ;
+        RECT -19.380 -14.020 -16.380 3533.700 ;
+        RECT 22.020 3517.600 25.020 3538.400 ;
+        RECT 202.020 3517.600 205.020 3538.400 ;
+        RECT 382.020 3517.600 385.020 3538.400 ;
+        RECT 562.020 3517.600 565.020 3538.400 ;
+        RECT 742.020 3517.600 745.020 3538.400 ;
+        RECT 922.020 3517.600 925.020 3538.400 ;
+        RECT 1102.020 3517.600 1105.020 3538.400 ;
+        RECT 1282.020 3517.600 1285.020 3538.400 ;
+        RECT 1462.020 3517.600 1465.020 3538.400 ;
+        RECT 1642.020 3517.600 1645.020 3538.400 ;
+        RECT 1822.020 3517.600 1825.020 3538.400 ;
+        RECT 2002.020 3517.600 2005.020 3538.400 ;
+        RECT 2182.020 3517.600 2185.020 3538.400 ;
+        RECT 2362.020 3517.600 2365.020 3538.400 ;
+        RECT 2542.020 3517.600 2545.020 3538.400 ;
+        RECT 2722.020 3517.600 2725.020 3538.400 ;
+        RECT 2902.020 3517.600 2905.020 3538.400 ;
+        RECT 22.020 -18.720 25.020 2.400 ;
+        RECT 202.020 -18.720 205.020 2.400 ;
+        RECT 382.020 -18.720 385.020 2.400 ;
+        RECT 562.020 -18.720 565.020 2.400 ;
+        RECT 742.020 -18.720 745.020 2.400 ;
+        RECT 922.020 -18.720 925.020 2.400 ;
+        RECT 1102.020 -18.720 1105.020 2.400 ;
+        RECT 1282.020 -18.720 1285.020 2.400 ;
+        RECT 1462.020 -18.720 1465.020 2.400 ;
+        RECT 1642.020 -18.720 1645.020 2.400 ;
+        RECT 1822.020 -18.720 1825.020 2.400 ;
+        RECT 2002.020 -18.720 2005.020 2.400 ;
+        RECT 2182.020 -18.720 2185.020 2.400 ;
+        RECT 2362.020 -18.720 2365.020 2.400 ;
+        RECT 2542.020 -18.720 2545.020 2.400 ;
+        RECT 2722.020 -18.720 2725.020 2.400 ;
+        RECT 2902.020 -18.720 2905.020 2.400 ;
+        RECT 2936.000 -14.020 2939.000 3533.700 ;
       LAYER M4M5_PR_C ;
-        RECT -18.270 3532.210 -17.090 3533.390 ;
-        RECT -18.270 3530.610 -17.090 3531.790 ;
-        RECT 22.930 3532.210 24.110 3533.390 ;
-        RECT 22.930 3530.610 24.110 3531.790 ;
-        RECT 202.930 3532.210 204.110 3533.390 ;
-        RECT 202.930 3530.610 204.110 3531.790 ;
-        RECT 382.930 3532.210 384.110 3533.390 ;
-        RECT 382.930 3530.610 384.110 3531.790 ;
-        RECT 562.930 3532.210 564.110 3533.390 ;
-        RECT 562.930 3530.610 564.110 3531.790 ;
-        RECT 742.930 3532.210 744.110 3533.390 ;
-        RECT 742.930 3530.610 744.110 3531.790 ;
-        RECT 922.930 3532.210 924.110 3533.390 ;
-        RECT 922.930 3530.610 924.110 3531.790 ;
-        RECT 1102.930 3532.210 1104.110 3533.390 ;
-        RECT 1102.930 3530.610 1104.110 3531.790 ;
-        RECT 1282.930 3532.210 1284.110 3533.390 ;
-        RECT 1282.930 3530.610 1284.110 3531.790 ;
-        RECT 1462.930 3532.210 1464.110 3533.390 ;
-        RECT 1462.930 3530.610 1464.110 3531.790 ;
-        RECT 1642.930 3532.210 1644.110 3533.390 ;
-        RECT 1642.930 3530.610 1644.110 3531.790 ;
-        RECT 1822.930 3532.210 1824.110 3533.390 ;
-        RECT 1822.930 3530.610 1824.110 3531.790 ;
-        RECT 2002.930 3532.210 2004.110 3533.390 ;
-        RECT 2002.930 3530.610 2004.110 3531.790 ;
-        RECT 2182.930 3532.210 2184.110 3533.390 ;
-        RECT 2182.930 3530.610 2184.110 3531.790 ;
-        RECT 2362.930 3532.210 2364.110 3533.390 ;
-        RECT 2362.930 3530.610 2364.110 3531.790 ;
-        RECT 2542.930 3532.210 2544.110 3533.390 ;
-        RECT 2542.930 3530.610 2544.110 3531.790 ;
-        RECT 2722.930 3532.210 2724.110 3533.390 ;
-        RECT 2722.930 3530.610 2724.110 3531.790 ;
-        RECT 2902.930 3532.210 2904.110 3533.390 ;
-        RECT 2902.930 3530.610 2904.110 3531.790 ;
-        RECT 2936.710 3532.210 2937.890 3533.390 ;
-        RECT 2936.710 3530.610 2937.890 3531.790 ;
-        RECT -18.270 3449.090 -17.090 3450.270 ;
-        RECT -18.270 3447.490 -17.090 3448.670 ;
-        RECT -18.270 3269.090 -17.090 3270.270 ;
-        RECT -18.270 3267.490 -17.090 3268.670 ;
-        RECT -18.270 3089.090 -17.090 3090.270 ;
-        RECT -18.270 3087.490 -17.090 3088.670 ;
-        RECT -18.270 2909.090 -17.090 2910.270 ;
-        RECT -18.270 2907.490 -17.090 2908.670 ;
-        RECT -18.270 2729.090 -17.090 2730.270 ;
-        RECT -18.270 2727.490 -17.090 2728.670 ;
-        RECT -18.270 2549.090 -17.090 2550.270 ;
-        RECT -18.270 2547.490 -17.090 2548.670 ;
-        RECT -18.270 2369.090 -17.090 2370.270 ;
-        RECT -18.270 2367.490 -17.090 2368.670 ;
-        RECT -18.270 2189.090 -17.090 2190.270 ;
-        RECT -18.270 2187.490 -17.090 2188.670 ;
-        RECT -18.270 2009.090 -17.090 2010.270 ;
-        RECT -18.270 2007.490 -17.090 2008.670 ;
-        RECT -18.270 1829.090 -17.090 1830.270 ;
-        RECT -18.270 1827.490 -17.090 1828.670 ;
-        RECT -18.270 1649.090 -17.090 1650.270 ;
-        RECT -18.270 1647.490 -17.090 1648.670 ;
-        RECT -18.270 1469.090 -17.090 1470.270 ;
-        RECT -18.270 1467.490 -17.090 1468.670 ;
-        RECT -18.270 1289.090 -17.090 1290.270 ;
-        RECT -18.270 1287.490 -17.090 1288.670 ;
-        RECT -18.270 1109.090 -17.090 1110.270 ;
-        RECT -18.270 1107.490 -17.090 1108.670 ;
-        RECT -18.270 929.090 -17.090 930.270 ;
-        RECT -18.270 927.490 -17.090 928.670 ;
-        RECT -18.270 749.090 -17.090 750.270 ;
-        RECT -18.270 747.490 -17.090 748.670 ;
-        RECT -18.270 569.090 -17.090 570.270 ;
-        RECT -18.270 567.490 -17.090 568.670 ;
-        RECT -18.270 389.090 -17.090 390.270 ;
-        RECT -18.270 387.490 -17.090 388.670 ;
-        RECT -18.270 209.090 -17.090 210.270 ;
-        RECT -18.270 207.490 -17.090 208.670 ;
-        RECT -18.270 29.090 -17.090 30.270 ;
-        RECT -18.270 27.490 -17.090 28.670 ;
-        RECT 2936.710 3449.090 2937.890 3450.270 ;
-        RECT 2936.710 3447.490 2937.890 3448.670 ;
-        RECT 2936.710 3269.090 2937.890 3270.270 ;
-        RECT 2936.710 3267.490 2937.890 3268.670 ;
-        RECT 2936.710 3089.090 2937.890 3090.270 ;
-        RECT 2936.710 3087.490 2937.890 3088.670 ;
-        RECT 2936.710 2909.090 2937.890 2910.270 ;
-        RECT 2936.710 2907.490 2937.890 2908.670 ;
-        RECT 2936.710 2729.090 2937.890 2730.270 ;
-        RECT 2936.710 2727.490 2937.890 2728.670 ;
-        RECT 2936.710 2549.090 2937.890 2550.270 ;
-        RECT 2936.710 2547.490 2937.890 2548.670 ;
-        RECT 2936.710 2369.090 2937.890 2370.270 ;
-        RECT 2936.710 2367.490 2937.890 2368.670 ;
-        RECT 2936.710 2189.090 2937.890 2190.270 ;
-        RECT 2936.710 2187.490 2937.890 2188.670 ;
-        RECT 2936.710 2009.090 2937.890 2010.270 ;
-        RECT 2936.710 2007.490 2937.890 2008.670 ;
-        RECT 2936.710 1829.090 2937.890 1830.270 ;
-        RECT 2936.710 1827.490 2937.890 1828.670 ;
-        RECT 2936.710 1649.090 2937.890 1650.270 ;
-        RECT 2936.710 1647.490 2937.890 1648.670 ;
-        RECT 2936.710 1469.090 2937.890 1470.270 ;
-        RECT 2936.710 1467.490 2937.890 1468.670 ;
-        RECT 2936.710 1289.090 2937.890 1290.270 ;
-        RECT 2936.710 1287.490 2937.890 1288.670 ;
-        RECT 2936.710 1109.090 2937.890 1110.270 ;
-        RECT 2936.710 1107.490 2937.890 1108.670 ;
-        RECT 2936.710 929.090 2937.890 930.270 ;
-        RECT 2936.710 927.490 2937.890 928.670 ;
-        RECT 2936.710 749.090 2937.890 750.270 ;
-        RECT 2936.710 747.490 2937.890 748.670 ;
-        RECT 2936.710 569.090 2937.890 570.270 ;
-        RECT 2936.710 567.490 2937.890 568.670 ;
-        RECT 2936.710 389.090 2937.890 390.270 ;
-        RECT 2936.710 387.490 2937.890 388.670 ;
-        RECT 2936.710 209.090 2937.890 210.270 ;
-        RECT 2936.710 207.490 2937.890 208.670 ;
-        RECT 2936.710 29.090 2937.890 30.270 ;
-        RECT 2936.710 27.490 2937.890 28.670 ;
-        RECT -18.270 -12.110 -17.090 -10.930 ;
-        RECT -18.270 -13.710 -17.090 -12.530 ;
-        RECT 22.930 -12.110 24.110 -10.930 ;
-        RECT 22.930 -13.710 24.110 -12.530 ;
-        RECT 202.930 -12.110 204.110 -10.930 ;
-        RECT 202.930 -13.710 204.110 -12.530 ;
-        RECT 382.930 -12.110 384.110 -10.930 ;
-        RECT 382.930 -13.710 384.110 -12.530 ;
-        RECT 562.930 -12.110 564.110 -10.930 ;
-        RECT 562.930 -13.710 564.110 -12.530 ;
-        RECT 742.930 -12.110 744.110 -10.930 ;
-        RECT 742.930 -13.710 744.110 -12.530 ;
-        RECT 922.930 -12.110 924.110 -10.930 ;
-        RECT 922.930 -13.710 924.110 -12.530 ;
-        RECT 1102.930 -12.110 1104.110 -10.930 ;
-        RECT 1102.930 -13.710 1104.110 -12.530 ;
-        RECT 1282.930 -12.110 1284.110 -10.930 ;
-        RECT 1282.930 -13.710 1284.110 -12.530 ;
-        RECT 1462.930 -12.110 1464.110 -10.930 ;
-        RECT 1462.930 -13.710 1464.110 -12.530 ;
-        RECT 1642.930 -12.110 1644.110 -10.930 ;
-        RECT 1642.930 -13.710 1644.110 -12.530 ;
-        RECT 1822.930 -12.110 1824.110 -10.930 ;
-        RECT 1822.930 -13.710 1824.110 -12.530 ;
-        RECT 2002.930 -12.110 2004.110 -10.930 ;
-        RECT 2002.930 -13.710 2004.110 -12.530 ;
-        RECT 2182.930 -12.110 2184.110 -10.930 ;
-        RECT 2182.930 -13.710 2184.110 -12.530 ;
-        RECT 2362.930 -12.110 2364.110 -10.930 ;
-        RECT 2362.930 -13.710 2364.110 -12.530 ;
-        RECT 2542.930 -12.110 2544.110 -10.930 ;
-        RECT 2542.930 -13.710 2544.110 -12.530 ;
-        RECT 2722.930 -12.110 2724.110 -10.930 ;
-        RECT 2722.930 -13.710 2724.110 -12.530 ;
-        RECT 2902.930 -12.110 2904.110 -10.930 ;
-        RECT 2902.930 -13.710 2904.110 -12.530 ;
-        RECT 2936.710 -12.110 2937.890 -10.930 ;
-        RECT 2936.710 -13.710 2937.890 -12.530 ;
+        RECT -18.470 3532.410 -17.290 3533.590 ;
+        RECT -18.470 3530.810 -17.290 3531.990 ;
+        RECT 22.930 3532.410 24.110 3533.590 ;
+        RECT 22.930 3530.810 24.110 3531.990 ;
+        RECT 202.930 3532.410 204.110 3533.590 ;
+        RECT 202.930 3530.810 204.110 3531.990 ;
+        RECT 382.930 3532.410 384.110 3533.590 ;
+        RECT 382.930 3530.810 384.110 3531.990 ;
+        RECT 562.930 3532.410 564.110 3533.590 ;
+        RECT 562.930 3530.810 564.110 3531.990 ;
+        RECT 742.930 3532.410 744.110 3533.590 ;
+        RECT 742.930 3530.810 744.110 3531.990 ;
+        RECT 922.930 3532.410 924.110 3533.590 ;
+        RECT 922.930 3530.810 924.110 3531.990 ;
+        RECT 1102.930 3532.410 1104.110 3533.590 ;
+        RECT 1102.930 3530.810 1104.110 3531.990 ;
+        RECT 1282.930 3532.410 1284.110 3533.590 ;
+        RECT 1282.930 3530.810 1284.110 3531.990 ;
+        RECT 1462.930 3532.410 1464.110 3533.590 ;
+        RECT 1462.930 3530.810 1464.110 3531.990 ;
+        RECT 1642.930 3532.410 1644.110 3533.590 ;
+        RECT 1642.930 3530.810 1644.110 3531.990 ;
+        RECT 1822.930 3532.410 1824.110 3533.590 ;
+        RECT 1822.930 3530.810 1824.110 3531.990 ;
+        RECT 2002.930 3532.410 2004.110 3533.590 ;
+        RECT 2002.930 3530.810 2004.110 3531.990 ;
+        RECT 2182.930 3532.410 2184.110 3533.590 ;
+        RECT 2182.930 3530.810 2184.110 3531.990 ;
+        RECT 2362.930 3532.410 2364.110 3533.590 ;
+        RECT 2362.930 3530.810 2364.110 3531.990 ;
+        RECT 2542.930 3532.410 2544.110 3533.590 ;
+        RECT 2542.930 3530.810 2544.110 3531.990 ;
+        RECT 2722.930 3532.410 2724.110 3533.590 ;
+        RECT 2722.930 3530.810 2724.110 3531.990 ;
+        RECT 2902.930 3532.410 2904.110 3533.590 ;
+        RECT 2902.930 3530.810 2904.110 3531.990 ;
+        RECT 2936.910 3532.410 2938.090 3533.590 ;
+        RECT 2936.910 3530.810 2938.090 3531.990 ;
+        RECT -18.470 3449.090 -17.290 3450.270 ;
+        RECT -18.470 3447.490 -17.290 3448.670 ;
+        RECT -18.470 3269.090 -17.290 3270.270 ;
+        RECT -18.470 3267.490 -17.290 3268.670 ;
+        RECT -18.470 3089.090 -17.290 3090.270 ;
+        RECT -18.470 3087.490 -17.290 3088.670 ;
+        RECT -18.470 2909.090 -17.290 2910.270 ;
+        RECT -18.470 2907.490 -17.290 2908.670 ;
+        RECT -18.470 2729.090 -17.290 2730.270 ;
+        RECT -18.470 2727.490 -17.290 2728.670 ;
+        RECT -18.470 2549.090 -17.290 2550.270 ;
+        RECT -18.470 2547.490 -17.290 2548.670 ;
+        RECT -18.470 2369.090 -17.290 2370.270 ;
+        RECT -18.470 2367.490 -17.290 2368.670 ;
+        RECT -18.470 2189.090 -17.290 2190.270 ;
+        RECT -18.470 2187.490 -17.290 2188.670 ;
+        RECT -18.470 2009.090 -17.290 2010.270 ;
+        RECT -18.470 2007.490 -17.290 2008.670 ;
+        RECT -18.470 1829.090 -17.290 1830.270 ;
+        RECT -18.470 1827.490 -17.290 1828.670 ;
+        RECT -18.470 1649.090 -17.290 1650.270 ;
+        RECT -18.470 1647.490 -17.290 1648.670 ;
+        RECT -18.470 1469.090 -17.290 1470.270 ;
+        RECT -18.470 1467.490 -17.290 1468.670 ;
+        RECT -18.470 1289.090 -17.290 1290.270 ;
+        RECT -18.470 1287.490 -17.290 1288.670 ;
+        RECT -18.470 1109.090 -17.290 1110.270 ;
+        RECT -18.470 1107.490 -17.290 1108.670 ;
+        RECT -18.470 929.090 -17.290 930.270 ;
+        RECT -18.470 927.490 -17.290 928.670 ;
+        RECT -18.470 749.090 -17.290 750.270 ;
+        RECT -18.470 747.490 -17.290 748.670 ;
+        RECT -18.470 569.090 -17.290 570.270 ;
+        RECT -18.470 567.490 -17.290 568.670 ;
+        RECT -18.470 389.090 -17.290 390.270 ;
+        RECT -18.470 387.490 -17.290 388.670 ;
+        RECT -18.470 209.090 -17.290 210.270 ;
+        RECT -18.470 207.490 -17.290 208.670 ;
+        RECT -18.470 29.090 -17.290 30.270 ;
+        RECT -18.470 27.490 -17.290 28.670 ;
+        RECT 2936.910 3449.090 2938.090 3450.270 ;
+        RECT 2936.910 3447.490 2938.090 3448.670 ;
+        RECT 2936.910 3269.090 2938.090 3270.270 ;
+        RECT 2936.910 3267.490 2938.090 3268.670 ;
+        RECT 2936.910 3089.090 2938.090 3090.270 ;
+        RECT 2936.910 3087.490 2938.090 3088.670 ;
+        RECT 2936.910 2909.090 2938.090 2910.270 ;
+        RECT 2936.910 2907.490 2938.090 2908.670 ;
+        RECT 2936.910 2729.090 2938.090 2730.270 ;
+        RECT 2936.910 2727.490 2938.090 2728.670 ;
+        RECT 2936.910 2549.090 2938.090 2550.270 ;
+        RECT 2936.910 2547.490 2938.090 2548.670 ;
+        RECT 2936.910 2369.090 2938.090 2370.270 ;
+        RECT 2936.910 2367.490 2938.090 2368.670 ;
+        RECT 2936.910 2189.090 2938.090 2190.270 ;
+        RECT 2936.910 2187.490 2938.090 2188.670 ;
+        RECT 2936.910 2009.090 2938.090 2010.270 ;
+        RECT 2936.910 2007.490 2938.090 2008.670 ;
+        RECT 2936.910 1829.090 2938.090 1830.270 ;
+        RECT 2936.910 1827.490 2938.090 1828.670 ;
+        RECT 2936.910 1649.090 2938.090 1650.270 ;
+        RECT 2936.910 1647.490 2938.090 1648.670 ;
+        RECT 2936.910 1469.090 2938.090 1470.270 ;
+        RECT 2936.910 1467.490 2938.090 1468.670 ;
+        RECT 2936.910 1289.090 2938.090 1290.270 ;
+        RECT 2936.910 1287.490 2938.090 1288.670 ;
+        RECT 2936.910 1109.090 2938.090 1110.270 ;
+        RECT 2936.910 1107.490 2938.090 1108.670 ;
+        RECT 2936.910 929.090 2938.090 930.270 ;
+        RECT 2936.910 927.490 2938.090 928.670 ;
+        RECT 2936.910 749.090 2938.090 750.270 ;
+        RECT 2936.910 747.490 2938.090 748.670 ;
+        RECT 2936.910 569.090 2938.090 570.270 ;
+        RECT 2936.910 567.490 2938.090 568.670 ;
+        RECT 2936.910 389.090 2938.090 390.270 ;
+        RECT 2936.910 387.490 2938.090 388.670 ;
+        RECT 2936.910 209.090 2938.090 210.270 ;
+        RECT 2936.910 207.490 2938.090 208.670 ;
+        RECT 2936.910 29.090 2938.090 30.270 ;
+        RECT 2936.910 27.490 2938.090 28.670 ;
+        RECT -18.470 -12.310 -17.290 -11.130 ;
+        RECT -18.470 -13.910 -17.290 -12.730 ;
+        RECT 22.930 -12.310 24.110 -11.130 ;
+        RECT 22.930 -13.910 24.110 -12.730 ;
+        RECT 202.930 -12.310 204.110 -11.130 ;
+        RECT 202.930 -13.910 204.110 -12.730 ;
+        RECT 382.930 -12.310 384.110 -11.130 ;
+        RECT 382.930 -13.910 384.110 -12.730 ;
+        RECT 562.930 -12.310 564.110 -11.130 ;
+        RECT 562.930 -13.910 564.110 -12.730 ;
+        RECT 742.930 -12.310 744.110 -11.130 ;
+        RECT 742.930 -13.910 744.110 -12.730 ;
+        RECT 922.930 -12.310 924.110 -11.130 ;
+        RECT 922.930 -13.910 924.110 -12.730 ;
+        RECT 1102.930 -12.310 1104.110 -11.130 ;
+        RECT 1102.930 -13.910 1104.110 -12.730 ;
+        RECT 1282.930 -12.310 1284.110 -11.130 ;
+        RECT 1282.930 -13.910 1284.110 -12.730 ;
+        RECT 1462.930 -12.310 1464.110 -11.130 ;
+        RECT 1462.930 -13.910 1464.110 -12.730 ;
+        RECT 1642.930 -12.310 1644.110 -11.130 ;
+        RECT 1642.930 -13.910 1644.110 -12.730 ;
+        RECT 1822.930 -12.310 1824.110 -11.130 ;
+        RECT 1822.930 -13.910 1824.110 -12.730 ;
+        RECT 2002.930 -12.310 2004.110 -11.130 ;
+        RECT 2002.930 -13.910 2004.110 -12.730 ;
+        RECT 2182.930 -12.310 2184.110 -11.130 ;
+        RECT 2182.930 -13.910 2184.110 -12.730 ;
+        RECT 2362.930 -12.310 2364.110 -11.130 ;
+        RECT 2362.930 -13.910 2364.110 -12.730 ;
+        RECT 2542.930 -12.310 2544.110 -11.130 ;
+        RECT 2542.930 -13.910 2544.110 -12.730 ;
+        RECT 2722.930 -12.310 2724.110 -11.130 ;
+        RECT 2722.930 -13.910 2724.110 -12.730 ;
+        RECT 2902.930 -12.310 2904.110 -11.130 ;
+        RECT 2902.930 -13.910 2904.110 -12.730 ;
+        RECT 2936.910 -12.310 2938.090 -11.130 ;
+        RECT 2936.910 -13.910 2938.090 -12.730 ;
       LAYER met5 ;
-        RECT -19.180 3533.500 -16.180 3533.510 ;
-        RECT 22.020 3533.500 25.020 3533.510 ;
-        RECT 202.020 3533.500 205.020 3533.510 ;
-        RECT 382.020 3533.500 385.020 3533.510 ;
-        RECT 562.020 3533.500 565.020 3533.510 ;
-        RECT 742.020 3533.500 745.020 3533.510 ;
-        RECT 922.020 3533.500 925.020 3533.510 ;
-        RECT 1102.020 3533.500 1105.020 3533.510 ;
-        RECT 1282.020 3533.500 1285.020 3533.510 ;
-        RECT 1462.020 3533.500 1465.020 3533.510 ;
-        RECT 1642.020 3533.500 1645.020 3533.510 ;
-        RECT 1822.020 3533.500 1825.020 3533.510 ;
-        RECT 2002.020 3533.500 2005.020 3533.510 ;
-        RECT 2182.020 3533.500 2185.020 3533.510 ;
-        RECT 2362.020 3533.500 2365.020 3533.510 ;
-        RECT 2542.020 3533.500 2545.020 3533.510 ;
-        RECT 2722.020 3533.500 2725.020 3533.510 ;
-        RECT 2902.020 3533.500 2905.020 3533.510 ;
-        RECT 2935.800 3533.500 2938.800 3533.510 ;
-        RECT -19.180 3530.500 2938.800 3533.500 ;
-        RECT -19.180 3530.490 -16.180 3530.500 ;
-        RECT 22.020 3530.490 25.020 3530.500 ;
-        RECT 202.020 3530.490 205.020 3530.500 ;
-        RECT 382.020 3530.490 385.020 3530.500 ;
-        RECT 562.020 3530.490 565.020 3530.500 ;
-        RECT 742.020 3530.490 745.020 3530.500 ;
-        RECT 922.020 3530.490 925.020 3530.500 ;
-        RECT 1102.020 3530.490 1105.020 3530.500 ;
-        RECT 1282.020 3530.490 1285.020 3530.500 ;
-        RECT 1462.020 3530.490 1465.020 3530.500 ;
-        RECT 1642.020 3530.490 1645.020 3530.500 ;
-        RECT 1822.020 3530.490 1825.020 3530.500 ;
-        RECT 2002.020 3530.490 2005.020 3530.500 ;
-        RECT 2182.020 3530.490 2185.020 3530.500 ;
-        RECT 2362.020 3530.490 2365.020 3530.500 ;
-        RECT 2542.020 3530.490 2545.020 3530.500 ;
-        RECT 2722.020 3530.490 2725.020 3530.500 ;
-        RECT 2902.020 3530.490 2905.020 3530.500 ;
-        RECT 2935.800 3530.490 2938.800 3530.500 ;
-        RECT -19.180 3450.380 -16.180 3450.390 ;
-        RECT 2935.800 3450.380 2938.800 3450.390 ;
-        RECT -23.780 3447.380 2.400 3450.380 ;
-        RECT 2917.600 3447.380 2943.400 3450.380 ;
-        RECT -19.180 3447.370 -16.180 3447.380 ;
-        RECT 2935.800 3447.370 2938.800 3447.380 ;
-        RECT -19.180 3270.380 -16.180 3270.390 ;
-        RECT 2935.800 3270.380 2938.800 3270.390 ;
-        RECT -23.780 3267.380 2.400 3270.380 ;
-        RECT 2917.600 3267.380 2943.400 3270.380 ;
-        RECT -19.180 3267.370 -16.180 3267.380 ;
-        RECT 2935.800 3267.370 2938.800 3267.380 ;
-        RECT -19.180 3090.380 -16.180 3090.390 ;
-        RECT 2935.800 3090.380 2938.800 3090.390 ;
-        RECT -23.780 3087.380 2.400 3090.380 ;
-        RECT 2917.600 3087.380 2943.400 3090.380 ;
-        RECT -19.180 3087.370 -16.180 3087.380 ;
-        RECT 2935.800 3087.370 2938.800 3087.380 ;
-        RECT -19.180 2910.380 -16.180 2910.390 ;
-        RECT 2935.800 2910.380 2938.800 2910.390 ;
-        RECT -23.780 2907.380 2.400 2910.380 ;
-        RECT 2917.600 2907.380 2943.400 2910.380 ;
-        RECT -19.180 2907.370 -16.180 2907.380 ;
-        RECT 2935.800 2907.370 2938.800 2907.380 ;
-        RECT -19.180 2730.380 -16.180 2730.390 ;
-        RECT 2935.800 2730.380 2938.800 2730.390 ;
-        RECT -23.780 2727.380 2.400 2730.380 ;
-        RECT 2917.600 2727.380 2943.400 2730.380 ;
-        RECT -19.180 2727.370 -16.180 2727.380 ;
-        RECT 2935.800 2727.370 2938.800 2727.380 ;
-        RECT -19.180 2550.380 -16.180 2550.390 ;
-        RECT 2935.800 2550.380 2938.800 2550.390 ;
-        RECT -23.780 2547.380 2.400 2550.380 ;
-        RECT 2917.600 2547.380 2943.400 2550.380 ;
-        RECT -19.180 2547.370 -16.180 2547.380 ;
-        RECT 2935.800 2547.370 2938.800 2547.380 ;
-        RECT -19.180 2370.380 -16.180 2370.390 ;
-        RECT 2935.800 2370.380 2938.800 2370.390 ;
-        RECT -23.780 2367.380 2.400 2370.380 ;
-        RECT 2917.600 2367.380 2943.400 2370.380 ;
-        RECT -19.180 2367.370 -16.180 2367.380 ;
-        RECT 2935.800 2367.370 2938.800 2367.380 ;
-        RECT -19.180 2190.380 -16.180 2190.390 ;
-        RECT 2935.800 2190.380 2938.800 2190.390 ;
-        RECT -23.780 2187.380 2.400 2190.380 ;
-        RECT 2917.600 2187.380 2943.400 2190.380 ;
-        RECT -19.180 2187.370 -16.180 2187.380 ;
-        RECT 2935.800 2187.370 2938.800 2187.380 ;
-        RECT -19.180 2010.380 -16.180 2010.390 ;
-        RECT 2935.800 2010.380 2938.800 2010.390 ;
-        RECT -23.780 2007.380 2.400 2010.380 ;
-        RECT 2917.600 2007.380 2943.400 2010.380 ;
-        RECT -19.180 2007.370 -16.180 2007.380 ;
-        RECT 2935.800 2007.370 2938.800 2007.380 ;
-        RECT -19.180 1830.380 -16.180 1830.390 ;
-        RECT 2935.800 1830.380 2938.800 1830.390 ;
-        RECT -23.780 1827.380 2.400 1830.380 ;
-        RECT 2917.600 1827.380 2943.400 1830.380 ;
-        RECT -19.180 1827.370 -16.180 1827.380 ;
-        RECT 2935.800 1827.370 2938.800 1827.380 ;
-        RECT -19.180 1650.380 -16.180 1650.390 ;
-        RECT 2935.800 1650.380 2938.800 1650.390 ;
-        RECT -23.780 1647.380 2.400 1650.380 ;
-        RECT 2917.600 1647.380 2943.400 1650.380 ;
-        RECT -19.180 1647.370 -16.180 1647.380 ;
-        RECT 2935.800 1647.370 2938.800 1647.380 ;
-        RECT -19.180 1470.380 -16.180 1470.390 ;
-        RECT 2935.800 1470.380 2938.800 1470.390 ;
-        RECT -23.780 1467.380 2.400 1470.380 ;
-        RECT 2917.600 1467.380 2943.400 1470.380 ;
-        RECT -19.180 1467.370 -16.180 1467.380 ;
-        RECT 2935.800 1467.370 2938.800 1467.380 ;
-        RECT -19.180 1290.380 -16.180 1290.390 ;
-        RECT 2935.800 1290.380 2938.800 1290.390 ;
-        RECT -23.780 1287.380 2.400 1290.380 ;
-        RECT 2917.600 1287.380 2943.400 1290.380 ;
-        RECT -19.180 1287.370 -16.180 1287.380 ;
-        RECT 2935.800 1287.370 2938.800 1287.380 ;
-        RECT -19.180 1110.380 -16.180 1110.390 ;
-        RECT 2935.800 1110.380 2938.800 1110.390 ;
-        RECT -23.780 1107.380 2.400 1110.380 ;
-        RECT 2917.600 1107.380 2943.400 1110.380 ;
-        RECT -19.180 1107.370 -16.180 1107.380 ;
-        RECT 2935.800 1107.370 2938.800 1107.380 ;
-        RECT -19.180 930.380 -16.180 930.390 ;
-        RECT 2935.800 930.380 2938.800 930.390 ;
-        RECT -23.780 927.380 2.400 930.380 ;
-        RECT 2917.600 927.380 2943.400 930.380 ;
-        RECT -19.180 927.370 -16.180 927.380 ;
-        RECT 2935.800 927.370 2938.800 927.380 ;
-        RECT -19.180 750.380 -16.180 750.390 ;
-        RECT 2935.800 750.380 2938.800 750.390 ;
-        RECT -23.780 747.380 2.400 750.380 ;
-        RECT 2917.600 747.380 2943.400 750.380 ;
-        RECT -19.180 747.370 -16.180 747.380 ;
-        RECT 2935.800 747.370 2938.800 747.380 ;
-        RECT -19.180 570.380 -16.180 570.390 ;
-        RECT 2935.800 570.380 2938.800 570.390 ;
-        RECT -23.780 567.380 2.400 570.380 ;
-        RECT 2917.600 567.380 2943.400 570.380 ;
-        RECT -19.180 567.370 -16.180 567.380 ;
-        RECT 2935.800 567.370 2938.800 567.380 ;
-        RECT -19.180 390.380 -16.180 390.390 ;
-        RECT 2935.800 390.380 2938.800 390.390 ;
-        RECT -23.780 387.380 2.400 390.380 ;
-        RECT 2917.600 387.380 2943.400 390.380 ;
-        RECT -19.180 387.370 -16.180 387.380 ;
-        RECT 2935.800 387.370 2938.800 387.380 ;
-        RECT -19.180 210.380 -16.180 210.390 ;
-        RECT 2935.800 210.380 2938.800 210.390 ;
-        RECT -23.780 207.380 2.400 210.380 ;
-        RECT 2917.600 207.380 2943.400 210.380 ;
-        RECT -19.180 207.370 -16.180 207.380 ;
-        RECT 2935.800 207.370 2938.800 207.380 ;
-        RECT -19.180 30.380 -16.180 30.390 ;
-        RECT 2935.800 30.380 2938.800 30.390 ;
-        RECT -23.780 27.380 2.400 30.380 ;
-        RECT 2917.600 27.380 2943.400 30.380 ;
-        RECT -19.180 27.370 -16.180 27.380 ;
-        RECT 2935.800 27.370 2938.800 27.380 ;
-        RECT -19.180 -10.820 -16.180 -10.810 ;
-        RECT 22.020 -10.820 25.020 -10.810 ;
-        RECT 202.020 -10.820 205.020 -10.810 ;
-        RECT 382.020 -10.820 385.020 -10.810 ;
-        RECT 562.020 -10.820 565.020 -10.810 ;
-        RECT 742.020 -10.820 745.020 -10.810 ;
-        RECT 922.020 -10.820 925.020 -10.810 ;
-        RECT 1102.020 -10.820 1105.020 -10.810 ;
-        RECT 1282.020 -10.820 1285.020 -10.810 ;
-        RECT 1462.020 -10.820 1465.020 -10.810 ;
-        RECT 1642.020 -10.820 1645.020 -10.810 ;
-        RECT 1822.020 -10.820 1825.020 -10.810 ;
-        RECT 2002.020 -10.820 2005.020 -10.810 ;
-        RECT 2182.020 -10.820 2185.020 -10.810 ;
-        RECT 2362.020 -10.820 2365.020 -10.810 ;
-        RECT 2542.020 -10.820 2545.020 -10.810 ;
-        RECT 2722.020 -10.820 2725.020 -10.810 ;
-        RECT 2902.020 -10.820 2905.020 -10.810 ;
-        RECT 2935.800 -10.820 2938.800 -10.810 ;
-        RECT -19.180 -13.820 2938.800 -10.820 ;
-        RECT -19.180 -13.830 -16.180 -13.820 ;
-        RECT 22.020 -13.830 25.020 -13.820 ;
-        RECT 202.020 -13.830 205.020 -13.820 ;
-        RECT 382.020 -13.830 385.020 -13.820 ;
-        RECT 562.020 -13.830 565.020 -13.820 ;
-        RECT 742.020 -13.830 745.020 -13.820 ;
-        RECT 922.020 -13.830 925.020 -13.820 ;
-        RECT 1102.020 -13.830 1105.020 -13.820 ;
-        RECT 1282.020 -13.830 1285.020 -13.820 ;
-        RECT 1462.020 -13.830 1465.020 -13.820 ;
-        RECT 1642.020 -13.830 1645.020 -13.820 ;
-        RECT 1822.020 -13.830 1825.020 -13.820 ;
-        RECT 2002.020 -13.830 2005.020 -13.820 ;
-        RECT 2182.020 -13.830 2185.020 -13.820 ;
-        RECT 2362.020 -13.830 2365.020 -13.820 ;
-        RECT 2542.020 -13.830 2545.020 -13.820 ;
-        RECT 2722.020 -13.830 2725.020 -13.820 ;
-        RECT 2902.020 -13.830 2905.020 -13.820 ;
-        RECT 2935.800 -13.830 2938.800 -13.820 ;
+        RECT -19.380 3533.700 -16.380 3533.710 ;
+        RECT 22.020 3533.700 25.020 3533.710 ;
+        RECT 202.020 3533.700 205.020 3533.710 ;
+        RECT 382.020 3533.700 385.020 3533.710 ;
+        RECT 562.020 3533.700 565.020 3533.710 ;
+        RECT 742.020 3533.700 745.020 3533.710 ;
+        RECT 922.020 3533.700 925.020 3533.710 ;
+        RECT 1102.020 3533.700 1105.020 3533.710 ;
+        RECT 1282.020 3533.700 1285.020 3533.710 ;
+        RECT 1462.020 3533.700 1465.020 3533.710 ;
+        RECT 1642.020 3533.700 1645.020 3533.710 ;
+        RECT 1822.020 3533.700 1825.020 3533.710 ;
+        RECT 2002.020 3533.700 2005.020 3533.710 ;
+        RECT 2182.020 3533.700 2185.020 3533.710 ;
+        RECT 2362.020 3533.700 2365.020 3533.710 ;
+        RECT 2542.020 3533.700 2545.020 3533.710 ;
+        RECT 2722.020 3533.700 2725.020 3533.710 ;
+        RECT 2902.020 3533.700 2905.020 3533.710 ;
+        RECT 2936.000 3533.700 2939.000 3533.710 ;
+        RECT -19.380 3530.700 2939.000 3533.700 ;
+        RECT -19.380 3530.690 -16.380 3530.700 ;
+        RECT 22.020 3530.690 25.020 3530.700 ;
+        RECT 202.020 3530.690 205.020 3530.700 ;
+        RECT 382.020 3530.690 385.020 3530.700 ;
+        RECT 562.020 3530.690 565.020 3530.700 ;
+        RECT 742.020 3530.690 745.020 3530.700 ;
+        RECT 922.020 3530.690 925.020 3530.700 ;
+        RECT 1102.020 3530.690 1105.020 3530.700 ;
+        RECT 1282.020 3530.690 1285.020 3530.700 ;
+        RECT 1462.020 3530.690 1465.020 3530.700 ;
+        RECT 1642.020 3530.690 1645.020 3530.700 ;
+        RECT 1822.020 3530.690 1825.020 3530.700 ;
+        RECT 2002.020 3530.690 2005.020 3530.700 ;
+        RECT 2182.020 3530.690 2185.020 3530.700 ;
+        RECT 2362.020 3530.690 2365.020 3530.700 ;
+        RECT 2542.020 3530.690 2545.020 3530.700 ;
+        RECT 2722.020 3530.690 2725.020 3530.700 ;
+        RECT 2902.020 3530.690 2905.020 3530.700 ;
+        RECT 2936.000 3530.690 2939.000 3530.700 ;
+        RECT -19.380 3450.380 -16.380 3450.390 ;
+        RECT 2936.000 3450.380 2939.000 3450.390 ;
+        RECT -24.080 3447.380 2.400 3450.380 ;
+        RECT 2917.600 3447.380 2943.700 3450.380 ;
+        RECT -19.380 3447.370 -16.380 3447.380 ;
+        RECT 2936.000 3447.370 2939.000 3447.380 ;
+        RECT -19.380 3270.380 -16.380 3270.390 ;
+        RECT 2936.000 3270.380 2939.000 3270.390 ;
+        RECT -24.080 3267.380 2.400 3270.380 ;
+        RECT 2917.600 3267.380 2943.700 3270.380 ;
+        RECT -19.380 3267.370 -16.380 3267.380 ;
+        RECT 2936.000 3267.370 2939.000 3267.380 ;
+        RECT -19.380 3090.380 -16.380 3090.390 ;
+        RECT 2936.000 3090.380 2939.000 3090.390 ;
+        RECT -24.080 3087.380 2.400 3090.380 ;
+        RECT 2917.600 3087.380 2943.700 3090.380 ;
+        RECT -19.380 3087.370 -16.380 3087.380 ;
+        RECT 2936.000 3087.370 2939.000 3087.380 ;
+        RECT -19.380 2910.380 -16.380 2910.390 ;
+        RECT 2936.000 2910.380 2939.000 2910.390 ;
+        RECT -24.080 2907.380 2.400 2910.380 ;
+        RECT 2917.600 2907.380 2943.700 2910.380 ;
+        RECT -19.380 2907.370 -16.380 2907.380 ;
+        RECT 2936.000 2907.370 2939.000 2907.380 ;
+        RECT -19.380 2730.380 -16.380 2730.390 ;
+        RECT 2936.000 2730.380 2939.000 2730.390 ;
+        RECT -24.080 2727.380 2.400 2730.380 ;
+        RECT 2917.600 2727.380 2943.700 2730.380 ;
+        RECT -19.380 2727.370 -16.380 2727.380 ;
+        RECT 2936.000 2727.370 2939.000 2727.380 ;
+        RECT -19.380 2550.380 -16.380 2550.390 ;
+        RECT 2936.000 2550.380 2939.000 2550.390 ;
+        RECT -24.080 2547.380 2.400 2550.380 ;
+        RECT 2917.600 2547.380 2943.700 2550.380 ;
+        RECT -19.380 2547.370 -16.380 2547.380 ;
+        RECT 2936.000 2547.370 2939.000 2547.380 ;
+        RECT -19.380 2370.380 -16.380 2370.390 ;
+        RECT 2936.000 2370.380 2939.000 2370.390 ;
+        RECT -24.080 2367.380 2.400 2370.380 ;
+        RECT 2917.600 2367.380 2943.700 2370.380 ;
+        RECT -19.380 2367.370 -16.380 2367.380 ;
+        RECT 2936.000 2367.370 2939.000 2367.380 ;
+        RECT -19.380 2190.380 -16.380 2190.390 ;
+        RECT 2936.000 2190.380 2939.000 2190.390 ;
+        RECT -24.080 2187.380 2.400 2190.380 ;
+        RECT 2917.600 2187.380 2943.700 2190.380 ;
+        RECT -19.380 2187.370 -16.380 2187.380 ;
+        RECT 2936.000 2187.370 2939.000 2187.380 ;
+        RECT -19.380 2010.380 -16.380 2010.390 ;
+        RECT 2936.000 2010.380 2939.000 2010.390 ;
+        RECT -24.080 2007.380 2.400 2010.380 ;
+        RECT 2917.600 2007.380 2943.700 2010.380 ;
+        RECT -19.380 2007.370 -16.380 2007.380 ;
+        RECT 2936.000 2007.370 2939.000 2007.380 ;
+        RECT -19.380 1830.380 -16.380 1830.390 ;
+        RECT 2936.000 1830.380 2939.000 1830.390 ;
+        RECT -24.080 1827.380 2.400 1830.380 ;
+        RECT 2917.600 1827.380 2943.700 1830.380 ;
+        RECT -19.380 1827.370 -16.380 1827.380 ;
+        RECT 2936.000 1827.370 2939.000 1827.380 ;
+        RECT -19.380 1650.380 -16.380 1650.390 ;
+        RECT 2936.000 1650.380 2939.000 1650.390 ;
+        RECT -24.080 1647.380 2.400 1650.380 ;
+        RECT 2917.600 1647.380 2943.700 1650.380 ;
+        RECT -19.380 1647.370 -16.380 1647.380 ;
+        RECT 2936.000 1647.370 2939.000 1647.380 ;
+        RECT -19.380 1470.380 -16.380 1470.390 ;
+        RECT 2936.000 1470.380 2939.000 1470.390 ;
+        RECT -24.080 1467.380 2.400 1470.380 ;
+        RECT 2917.600 1467.380 2943.700 1470.380 ;
+        RECT -19.380 1467.370 -16.380 1467.380 ;
+        RECT 2936.000 1467.370 2939.000 1467.380 ;
+        RECT -19.380 1290.380 -16.380 1290.390 ;
+        RECT 2936.000 1290.380 2939.000 1290.390 ;
+        RECT -24.080 1287.380 2.400 1290.380 ;
+        RECT 2917.600 1287.380 2943.700 1290.380 ;
+        RECT -19.380 1287.370 -16.380 1287.380 ;
+        RECT 2936.000 1287.370 2939.000 1287.380 ;
+        RECT -19.380 1110.380 -16.380 1110.390 ;
+        RECT 2936.000 1110.380 2939.000 1110.390 ;
+        RECT -24.080 1107.380 2.400 1110.380 ;
+        RECT 2917.600 1107.380 2943.700 1110.380 ;
+        RECT -19.380 1107.370 -16.380 1107.380 ;
+        RECT 2936.000 1107.370 2939.000 1107.380 ;
+        RECT -19.380 930.380 -16.380 930.390 ;
+        RECT 2936.000 930.380 2939.000 930.390 ;
+        RECT -24.080 927.380 2.400 930.380 ;
+        RECT 2917.600 927.380 2943.700 930.380 ;
+        RECT -19.380 927.370 -16.380 927.380 ;
+        RECT 2936.000 927.370 2939.000 927.380 ;
+        RECT -19.380 750.380 -16.380 750.390 ;
+        RECT 2936.000 750.380 2939.000 750.390 ;
+        RECT -24.080 747.380 2.400 750.380 ;
+        RECT 2917.600 747.380 2943.700 750.380 ;
+        RECT -19.380 747.370 -16.380 747.380 ;
+        RECT 2936.000 747.370 2939.000 747.380 ;
+        RECT -19.380 570.380 -16.380 570.390 ;
+        RECT 2936.000 570.380 2939.000 570.390 ;
+        RECT -24.080 567.380 2.400 570.380 ;
+        RECT 2917.600 567.380 2943.700 570.380 ;
+        RECT -19.380 567.370 -16.380 567.380 ;
+        RECT 2936.000 567.370 2939.000 567.380 ;
+        RECT -19.380 390.380 -16.380 390.390 ;
+        RECT 2936.000 390.380 2939.000 390.390 ;
+        RECT -24.080 387.380 2.400 390.380 ;
+        RECT 2917.600 387.380 2943.700 390.380 ;
+        RECT -19.380 387.370 -16.380 387.380 ;
+        RECT 2936.000 387.370 2939.000 387.380 ;
+        RECT -19.380 210.380 -16.380 210.390 ;
+        RECT 2936.000 210.380 2939.000 210.390 ;
+        RECT -24.080 207.380 2.400 210.380 ;
+        RECT 2917.600 207.380 2943.700 210.380 ;
+        RECT -19.380 207.370 -16.380 207.380 ;
+        RECT 2936.000 207.370 2939.000 207.380 ;
+        RECT -19.380 30.380 -16.380 30.390 ;
+        RECT 2936.000 30.380 2939.000 30.390 ;
+        RECT -24.080 27.380 2.400 30.380 ;
+        RECT 2917.600 27.380 2943.700 30.380 ;
+        RECT -19.380 27.370 -16.380 27.380 ;
+        RECT 2936.000 27.370 2939.000 27.380 ;
+        RECT -19.380 -11.020 -16.380 -11.010 ;
+        RECT 22.020 -11.020 25.020 -11.010 ;
+        RECT 202.020 -11.020 205.020 -11.010 ;
+        RECT 382.020 -11.020 385.020 -11.010 ;
+        RECT 562.020 -11.020 565.020 -11.010 ;
+        RECT 742.020 -11.020 745.020 -11.010 ;
+        RECT 922.020 -11.020 925.020 -11.010 ;
+        RECT 1102.020 -11.020 1105.020 -11.010 ;
+        RECT 1282.020 -11.020 1285.020 -11.010 ;
+        RECT 1462.020 -11.020 1465.020 -11.010 ;
+        RECT 1642.020 -11.020 1645.020 -11.010 ;
+        RECT 1822.020 -11.020 1825.020 -11.010 ;
+        RECT 2002.020 -11.020 2005.020 -11.010 ;
+        RECT 2182.020 -11.020 2185.020 -11.010 ;
+        RECT 2362.020 -11.020 2365.020 -11.010 ;
+        RECT 2542.020 -11.020 2545.020 -11.010 ;
+        RECT 2722.020 -11.020 2725.020 -11.010 ;
+        RECT 2902.020 -11.020 2905.020 -11.010 ;
+        RECT 2936.000 -11.020 2939.000 -11.010 ;
+        RECT -19.380 -14.020 2939.000 -11.020 ;
+        RECT -19.380 -14.030 -16.380 -14.020 ;
+        RECT 22.020 -14.030 25.020 -14.020 ;
+        RECT 202.020 -14.030 205.020 -14.020 ;
+        RECT 382.020 -14.030 385.020 -14.020 ;
+        RECT 562.020 -14.030 565.020 -14.020 ;
+        RECT 742.020 -14.030 745.020 -14.020 ;
+        RECT 922.020 -14.030 925.020 -14.020 ;
+        RECT 1102.020 -14.030 1105.020 -14.020 ;
+        RECT 1282.020 -14.030 1285.020 -14.020 ;
+        RECT 1462.020 -14.030 1465.020 -14.020 ;
+        RECT 1642.020 -14.030 1645.020 -14.020 ;
+        RECT 1822.020 -14.030 1825.020 -14.020 ;
+        RECT 2002.020 -14.030 2005.020 -14.020 ;
+        RECT 2182.020 -14.030 2185.020 -14.020 ;
+        RECT 2362.020 -14.030 2365.020 -14.020 ;
+        RECT 2542.020 -14.030 2545.020 -14.020 ;
+        RECT 2722.020 -14.030 2725.020 -14.020 ;
+        RECT 2902.020 -14.030 2905.020 -14.020 ;
+        RECT 2936.000 -14.030 2939.000 -14.020 ;
     END
   END vccd2
   PIN vssd2
     DIRECTION INPUT ;
     PORT
       LAYER met4 ;
-        RECT -23.780 -18.420 -20.780 3538.100 ;
-        RECT 112.020 3517.600 115.020 3538.100 ;
-        RECT 292.020 3517.600 295.020 3538.100 ;
-        RECT 472.020 3517.600 475.020 3538.100 ;
-        RECT 652.020 3517.600 655.020 3538.100 ;
-        RECT 832.020 3517.600 835.020 3538.100 ;
-        RECT 1012.020 3517.600 1015.020 3538.100 ;
-        RECT 1192.020 3517.600 1195.020 3538.100 ;
-        RECT 1372.020 3517.600 1375.020 3538.100 ;
-        RECT 1552.020 3517.600 1555.020 3538.100 ;
-        RECT 1732.020 3517.600 1735.020 3538.100 ;
-        RECT 1912.020 3517.600 1915.020 3538.100 ;
-        RECT 2092.020 3517.600 2095.020 3538.100 ;
-        RECT 2272.020 3517.600 2275.020 3538.100 ;
-        RECT 2452.020 3517.600 2455.020 3538.100 ;
-        RECT 2632.020 3517.600 2635.020 3538.100 ;
-        RECT 2812.020 3517.600 2815.020 3538.100 ;
-        RECT 112.020 -18.420 115.020 2.400 ;
-        RECT 292.020 -18.420 295.020 2.400 ;
-        RECT 472.020 -18.420 475.020 2.400 ;
-        RECT 652.020 -18.420 655.020 2.400 ;
-        RECT 832.020 -18.420 835.020 2.400 ;
-        RECT 1012.020 -18.420 1015.020 2.400 ;
-        RECT 1192.020 -18.420 1195.020 2.400 ;
-        RECT 1372.020 -18.420 1375.020 2.400 ;
-        RECT 1552.020 -18.420 1555.020 2.400 ;
-        RECT 1732.020 -18.420 1735.020 2.400 ;
-        RECT 1912.020 -18.420 1915.020 2.400 ;
-        RECT 2092.020 -18.420 2095.020 2.400 ;
-        RECT 2272.020 -18.420 2275.020 2.400 ;
-        RECT 2452.020 -18.420 2455.020 2.400 ;
-        RECT 2632.020 -18.420 2635.020 2.400 ;
-        RECT 2812.020 -18.420 2815.020 2.400 ;
-        RECT 2940.400 -18.420 2943.400 3538.100 ;
+        RECT -24.080 -18.720 -21.080 3538.400 ;
+        RECT 112.020 3517.600 115.020 3538.400 ;
+        RECT 292.020 3517.600 295.020 3538.400 ;
+        RECT 472.020 3517.600 475.020 3538.400 ;
+        RECT 652.020 3517.600 655.020 3538.400 ;
+        RECT 832.020 3517.600 835.020 3538.400 ;
+        RECT 1012.020 3517.600 1015.020 3538.400 ;
+        RECT 1192.020 3517.600 1195.020 3538.400 ;
+        RECT 1372.020 3517.600 1375.020 3538.400 ;
+        RECT 1552.020 3517.600 1555.020 3538.400 ;
+        RECT 1732.020 3517.600 1735.020 3538.400 ;
+        RECT 1912.020 3517.600 1915.020 3538.400 ;
+        RECT 2092.020 3517.600 2095.020 3538.400 ;
+        RECT 2272.020 3517.600 2275.020 3538.400 ;
+        RECT 2452.020 3517.600 2455.020 3538.400 ;
+        RECT 2632.020 3517.600 2635.020 3538.400 ;
+        RECT 2812.020 3517.600 2815.020 3538.400 ;
+        RECT 112.020 -18.720 115.020 2.400 ;
+        RECT 292.020 -18.720 295.020 2.400 ;
+        RECT 472.020 -18.720 475.020 2.400 ;
+        RECT 652.020 -18.720 655.020 2.400 ;
+        RECT 832.020 -18.720 835.020 2.400 ;
+        RECT 1012.020 -18.720 1015.020 2.400 ;
+        RECT 1192.020 -18.720 1195.020 2.400 ;
+        RECT 1372.020 -18.720 1375.020 2.400 ;
+        RECT 1552.020 -18.720 1555.020 2.400 ;
+        RECT 1732.020 -18.720 1735.020 2.400 ;
+        RECT 1912.020 -18.720 1915.020 2.400 ;
+        RECT 2092.020 -18.720 2095.020 2.400 ;
+        RECT 2272.020 -18.720 2275.020 2.400 ;
+        RECT 2452.020 -18.720 2455.020 2.400 ;
+        RECT 2632.020 -18.720 2635.020 2.400 ;
+        RECT 2812.020 -18.720 2815.020 2.400 ;
+        RECT 2940.700 -18.720 2943.700 3538.400 ;
       LAYER M4M5_PR_C ;
-        RECT -22.870 3536.810 -21.690 3537.990 ;
-        RECT -22.870 3535.210 -21.690 3536.390 ;
-        RECT 112.930 3536.810 114.110 3537.990 ;
-        RECT 112.930 3535.210 114.110 3536.390 ;
-        RECT 292.930 3536.810 294.110 3537.990 ;
-        RECT 292.930 3535.210 294.110 3536.390 ;
-        RECT 472.930 3536.810 474.110 3537.990 ;
-        RECT 472.930 3535.210 474.110 3536.390 ;
-        RECT 652.930 3536.810 654.110 3537.990 ;
-        RECT 652.930 3535.210 654.110 3536.390 ;
-        RECT 832.930 3536.810 834.110 3537.990 ;
-        RECT 832.930 3535.210 834.110 3536.390 ;
-        RECT 1012.930 3536.810 1014.110 3537.990 ;
-        RECT 1012.930 3535.210 1014.110 3536.390 ;
-        RECT 1192.930 3536.810 1194.110 3537.990 ;
-        RECT 1192.930 3535.210 1194.110 3536.390 ;
-        RECT 1372.930 3536.810 1374.110 3537.990 ;
-        RECT 1372.930 3535.210 1374.110 3536.390 ;
-        RECT 1552.930 3536.810 1554.110 3537.990 ;
-        RECT 1552.930 3535.210 1554.110 3536.390 ;
-        RECT 1732.930 3536.810 1734.110 3537.990 ;
-        RECT 1732.930 3535.210 1734.110 3536.390 ;
-        RECT 1912.930 3536.810 1914.110 3537.990 ;
-        RECT 1912.930 3535.210 1914.110 3536.390 ;
-        RECT 2092.930 3536.810 2094.110 3537.990 ;
-        RECT 2092.930 3535.210 2094.110 3536.390 ;
-        RECT 2272.930 3536.810 2274.110 3537.990 ;
-        RECT 2272.930 3535.210 2274.110 3536.390 ;
-        RECT 2452.930 3536.810 2454.110 3537.990 ;
-        RECT 2452.930 3535.210 2454.110 3536.390 ;
-        RECT 2632.930 3536.810 2634.110 3537.990 ;
-        RECT 2632.930 3535.210 2634.110 3536.390 ;
-        RECT 2812.930 3536.810 2814.110 3537.990 ;
-        RECT 2812.930 3535.210 2814.110 3536.390 ;
-        RECT 2941.310 3536.810 2942.490 3537.990 ;
-        RECT 2941.310 3535.210 2942.490 3536.390 ;
-        RECT -22.870 3359.090 -21.690 3360.270 ;
-        RECT -22.870 3357.490 -21.690 3358.670 ;
-        RECT -22.870 3179.090 -21.690 3180.270 ;
-        RECT -22.870 3177.490 -21.690 3178.670 ;
-        RECT -22.870 2999.090 -21.690 3000.270 ;
-        RECT -22.870 2997.490 -21.690 2998.670 ;
-        RECT -22.870 2819.090 -21.690 2820.270 ;
-        RECT -22.870 2817.490 -21.690 2818.670 ;
-        RECT -22.870 2639.090 -21.690 2640.270 ;
-        RECT -22.870 2637.490 -21.690 2638.670 ;
-        RECT -22.870 2459.090 -21.690 2460.270 ;
-        RECT -22.870 2457.490 -21.690 2458.670 ;
-        RECT -22.870 2279.090 -21.690 2280.270 ;
-        RECT -22.870 2277.490 -21.690 2278.670 ;
-        RECT -22.870 2099.090 -21.690 2100.270 ;
-        RECT -22.870 2097.490 -21.690 2098.670 ;
-        RECT -22.870 1919.090 -21.690 1920.270 ;
-        RECT -22.870 1917.490 -21.690 1918.670 ;
-        RECT -22.870 1739.090 -21.690 1740.270 ;
-        RECT -22.870 1737.490 -21.690 1738.670 ;
-        RECT -22.870 1559.090 -21.690 1560.270 ;
-        RECT -22.870 1557.490 -21.690 1558.670 ;
-        RECT -22.870 1379.090 -21.690 1380.270 ;
-        RECT -22.870 1377.490 -21.690 1378.670 ;
-        RECT -22.870 1199.090 -21.690 1200.270 ;
-        RECT -22.870 1197.490 -21.690 1198.670 ;
-        RECT -22.870 1019.090 -21.690 1020.270 ;
-        RECT -22.870 1017.490 -21.690 1018.670 ;
-        RECT -22.870 839.090 -21.690 840.270 ;
-        RECT -22.870 837.490 -21.690 838.670 ;
-        RECT -22.870 659.090 -21.690 660.270 ;
-        RECT -22.870 657.490 -21.690 658.670 ;
-        RECT -22.870 479.090 -21.690 480.270 ;
-        RECT -22.870 477.490 -21.690 478.670 ;
-        RECT -22.870 299.090 -21.690 300.270 ;
-        RECT -22.870 297.490 -21.690 298.670 ;
-        RECT -22.870 119.090 -21.690 120.270 ;
-        RECT -22.870 117.490 -21.690 118.670 ;
-        RECT 2941.310 3359.090 2942.490 3360.270 ;
-        RECT 2941.310 3357.490 2942.490 3358.670 ;
-        RECT 2941.310 3179.090 2942.490 3180.270 ;
-        RECT 2941.310 3177.490 2942.490 3178.670 ;
-        RECT 2941.310 2999.090 2942.490 3000.270 ;
-        RECT 2941.310 2997.490 2942.490 2998.670 ;
-        RECT 2941.310 2819.090 2942.490 2820.270 ;
-        RECT 2941.310 2817.490 2942.490 2818.670 ;
-        RECT 2941.310 2639.090 2942.490 2640.270 ;
-        RECT 2941.310 2637.490 2942.490 2638.670 ;
-        RECT 2941.310 2459.090 2942.490 2460.270 ;
-        RECT 2941.310 2457.490 2942.490 2458.670 ;
-        RECT 2941.310 2279.090 2942.490 2280.270 ;
-        RECT 2941.310 2277.490 2942.490 2278.670 ;
-        RECT 2941.310 2099.090 2942.490 2100.270 ;
-        RECT 2941.310 2097.490 2942.490 2098.670 ;
-        RECT 2941.310 1919.090 2942.490 1920.270 ;
-        RECT 2941.310 1917.490 2942.490 1918.670 ;
-        RECT 2941.310 1739.090 2942.490 1740.270 ;
-        RECT 2941.310 1737.490 2942.490 1738.670 ;
-        RECT 2941.310 1559.090 2942.490 1560.270 ;
-        RECT 2941.310 1557.490 2942.490 1558.670 ;
-        RECT 2941.310 1379.090 2942.490 1380.270 ;
-        RECT 2941.310 1377.490 2942.490 1378.670 ;
-        RECT 2941.310 1199.090 2942.490 1200.270 ;
-        RECT 2941.310 1197.490 2942.490 1198.670 ;
-        RECT 2941.310 1019.090 2942.490 1020.270 ;
-        RECT 2941.310 1017.490 2942.490 1018.670 ;
-        RECT 2941.310 839.090 2942.490 840.270 ;
-        RECT 2941.310 837.490 2942.490 838.670 ;
-        RECT 2941.310 659.090 2942.490 660.270 ;
-        RECT 2941.310 657.490 2942.490 658.670 ;
-        RECT 2941.310 479.090 2942.490 480.270 ;
-        RECT 2941.310 477.490 2942.490 478.670 ;
-        RECT 2941.310 299.090 2942.490 300.270 ;
-        RECT 2941.310 297.490 2942.490 298.670 ;
-        RECT 2941.310 119.090 2942.490 120.270 ;
-        RECT 2941.310 117.490 2942.490 118.670 ;
-        RECT -22.870 -16.710 -21.690 -15.530 ;
-        RECT -22.870 -18.310 -21.690 -17.130 ;
-        RECT 112.930 -16.710 114.110 -15.530 ;
-        RECT 112.930 -18.310 114.110 -17.130 ;
-        RECT 292.930 -16.710 294.110 -15.530 ;
-        RECT 292.930 -18.310 294.110 -17.130 ;
-        RECT 472.930 -16.710 474.110 -15.530 ;
-        RECT 472.930 -18.310 474.110 -17.130 ;
-        RECT 652.930 -16.710 654.110 -15.530 ;
-        RECT 652.930 -18.310 654.110 -17.130 ;
-        RECT 832.930 -16.710 834.110 -15.530 ;
-        RECT 832.930 -18.310 834.110 -17.130 ;
-        RECT 1012.930 -16.710 1014.110 -15.530 ;
-        RECT 1012.930 -18.310 1014.110 -17.130 ;
-        RECT 1192.930 -16.710 1194.110 -15.530 ;
-        RECT 1192.930 -18.310 1194.110 -17.130 ;
-        RECT 1372.930 -16.710 1374.110 -15.530 ;
-        RECT 1372.930 -18.310 1374.110 -17.130 ;
-        RECT 1552.930 -16.710 1554.110 -15.530 ;
-        RECT 1552.930 -18.310 1554.110 -17.130 ;
-        RECT 1732.930 -16.710 1734.110 -15.530 ;
-        RECT 1732.930 -18.310 1734.110 -17.130 ;
-        RECT 1912.930 -16.710 1914.110 -15.530 ;
-        RECT 1912.930 -18.310 1914.110 -17.130 ;
-        RECT 2092.930 -16.710 2094.110 -15.530 ;
-        RECT 2092.930 -18.310 2094.110 -17.130 ;
-        RECT 2272.930 -16.710 2274.110 -15.530 ;
-        RECT 2272.930 -18.310 2274.110 -17.130 ;
-        RECT 2452.930 -16.710 2454.110 -15.530 ;
-        RECT 2452.930 -18.310 2454.110 -17.130 ;
-        RECT 2632.930 -16.710 2634.110 -15.530 ;
-        RECT 2632.930 -18.310 2634.110 -17.130 ;
-        RECT 2812.930 -16.710 2814.110 -15.530 ;
-        RECT 2812.930 -18.310 2814.110 -17.130 ;
-        RECT 2941.310 -16.710 2942.490 -15.530 ;
-        RECT 2941.310 -18.310 2942.490 -17.130 ;
+        RECT -23.170 3537.110 -21.990 3538.290 ;
+        RECT -23.170 3535.510 -21.990 3536.690 ;
+        RECT 112.930 3537.110 114.110 3538.290 ;
+        RECT 112.930 3535.510 114.110 3536.690 ;
+        RECT 292.930 3537.110 294.110 3538.290 ;
+        RECT 292.930 3535.510 294.110 3536.690 ;
+        RECT 472.930 3537.110 474.110 3538.290 ;
+        RECT 472.930 3535.510 474.110 3536.690 ;
+        RECT 652.930 3537.110 654.110 3538.290 ;
+        RECT 652.930 3535.510 654.110 3536.690 ;
+        RECT 832.930 3537.110 834.110 3538.290 ;
+        RECT 832.930 3535.510 834.110 3536.690 ;
+        RECT 1012.930 3537.110 1014.110 3538.290 ;
+        RECT 1012.930 3535.510 1014.110 3536.690 ;
+        RECT 1192.930 3537.110 1194.110 3538.290 ;
+        RECT 1192.930 3535.510 1194.110 3536.690 ;
+        RECT 1372.930 3537.110 1374.110 3538.290 ;
+        RECT 1372.930 3535.510 1374.110 3536.690 ;
+        RECT 1552.930 3537.110 1554.110 3538.290 ;
+        RECT 1552.930 3535.510 1554.110 3536.690 ;
+        RECT 1732.930 3537.110 1734.110 3538.290 ;
+        RECT 1732.930 3535.510 1734.110 3536.690 ;
+        RECT 1912.930 3537.110 1914.110 3538.290 ;
+        RECT 1912.930 3535.510 1914.110 3536.690 ;
+        RECT 2092.930 3537.110 2094.110 3538.290 ;
+        RECT 2092.930 3535.510 2094.110 3536.690 ;
+        RECT 2272.930 3537.110 2274.110 3538.290 ;
+        RECT 2272.930 3535.510 2274.110 3536.690 ;
+        RECT 2452.930 3537.110 2454.110 3538.290 ;
+        RECT 2452.930 3535.510 2454.110 3536.690 ;
+        RECT 2632.930 3537.110 2634.110 3538.290 ;
+        RECT 2632.930 3535.510 2634.110 3536.690 ;
+        RECT 2812.930 3537.110 2814.110 3538.290 ;
+        RECT 2812.930 3535.510 2814.110 3536.690 ;
+        RECT 2941.610 3537.110 2942.790 3538.290 ;
+        RECT 2941.610 3535.510 2942.790 3536.690 ;
+        RECT -23.170 3359.090 -21.990 3360.270 ;
+        RECT -23.170 3357.490 -21.990 3358.670 ;
+        RECT -23.170 3179.090 -21.990 3180.270 ;
+        RECT -23.170 3177.490 -21.990 3178.670 ;
+        RECT -23.170 2999.090 -21.990 3000.270 ;
+        RECT -23.170 2997.490 -21.990 2998.670 ;
+        RECT -23.170 2819.090 -21.990 2820.270 ;
+        RECT -23.170 2817.490 -21.990 2818.670 ;
+        RECT -23.170 2639.090 -21.990 2640.270 ;
+        RECT -23.170 2637.490 -21.990 2638.670 ;
+        RECT -23.170 2459.090 -21.990 2460.270 ;
+        RECT -23.170 2457.490 -21.990 2458.670 ;
+        RECT -23.170 2279.090 -21.990 2280.270 ;
+        RECT -23.170 2277.490 -21.990 2278.670 ;
+        RECT -23.170 2099.090 -21.990 2100.270 ;
+        RECT -23.170 2097.490 -21.990 2098.670 ;
+        RECT -23.170 1919.090 -21.990 1920.270 ;
+        RECT -23.170 1917.490 -21.990 1918.670 ;
+        RECT -23.170 1739.090 -21.990 1740.270 ;
+        RECT -23.170 1737.490 -21.990 1738.670 ;
+        RECT -23.170 1559.090 -21.990 1560.270 ;
+        RECT -23.170 1557.490 -21.990 1558.670 ;
+        RECT -23.170 1379.090 -21.990 1380.270 ;
+        RECT -23.170 1377.490 -21.990 1378.670 ;
+        RECT -23.170 1199.090 -21.990 1200.270 ;
+        RECT -23.170 1197.490 -21.990 1198.670 ;
+        RECT -23.170 1019.090 -21.990 1020.270 ;
+        RECT -23.170 1017.490 -21.990 1018.670 ;
+        RECT -23.170 839.090 -21.990 840.270 ;
+        RECT -23.170 837.490 -21.990 838.670 ;
+        RECT -23.170 659.090 -21.990 660.270 ;
+        RECT -23.170 657.490 -21.990 658.670 ;
+        RECT -23.170 479.090 -21.990 480.270 ;
+        RECT -23.170 477.490 -21.990 478.670 ;
+        RECT -23.170 299.090 -21.990 300.270 ;
+        RECT -23.170 297.490 -21.990 298.670 ;
+        RECT -23.170 119.090 -21.990 120.270 ;
+        RECT -23.170 117.490 -21.990 118.670 ;
+        RECT 2941.610 3359.090 2942.790 3360.270 ;
+        RECT 2941.610 3357.490 2942.790 3358.670 ;
+        RECT 2941.610 3179.090 2942.790 3180.270 ;
+        RECT 2941.610 3177.490 2942.790 3178.670 ;
+        RECT 2941.610 2999.090 2942.790 3000.270 ;
+        RECT 2941.610 2997.490 2942.790 2998.670 ;
+        RECT 2941.610 2819.090 2942.790 2820.270 ;
+        RECT 2941.610 2817.490 2942.790 2818.670 ;
+        RECT 2941.610 2639.090 2942.790 2640.270 ;
+        RECT 2941.610 2637.490 2942.790 2638.670 ;
+        RECT 2941.610 2459.090 2942.790 2460.270 ;
+        RECT 2941.610 2457.490 2942.790 2458.670 ;
+        RECT 2941.610 2279.090 2942.790 2280.270 ;
+        RECT 2941.610 2277.490 2942.790 2278.670 ;
+        RECT 2941.610 2099.090 2942.790 2100.270 ;
+        RECT 2941.610 2097.490 2942.790 2098.670 ;
+        RECT 2941.610 1919.090 2942.790 1920.270 ;
+        RECT 2941.610 1917.490 2942.790 1918.670 ;
+        RECT 2941.610 1739.090 2942.790 1740.270 ;
+        RECT 2941.610 1737.490 2942.790 1738.670 ;
+        RECT 2941.610 1559.090 2942.790 1560.270 ;
+        RECT 2941.610 1557.490 2942.790 1558.670 ;
+        RECT 2941.610 1379.090 2942.790 1380.270 ;
+        RECT 2941.610 1377.490 2942.790 1378.670 ;
+        RECT 2941.610 1199.090 2942.790 1200.270 ;
+        RECT 2941.610 1197.490 2942.790 1198.670 ;
+        RECT 2941.610 1019.090 2942.790 1020.270 ;
+        RECT 2941.610 1017.490 2942.790 1018.670 ;
+        RECT 2941.610 839.090 2942.790 840.270 ;
+        RECT 2941.610 837.490 2942.790 838.670 ;
+        RECT 2941.610 659.090 2942.790 660.270 ;
+        RECT 2941.610 657.490 2942.790 658.670 ;
+        RECT 2941.610 479.090 2942.790 480.270 ;
+        RECT 2941.610 477.490 2942.790 478.670 ;
+        RECT 2941.610 299.090 2942.790 300.270 ;
+        RECT 2941.610 297.490 2942.790 298.670 ;
+        RECT 2941.610 119.090 2942.790 120.270 ;
+        RECT 2941.610 117.490 2942.790 118.670 ;
+        RECT -23.170 -17.010 -21.990 -15.830 ;
+        RECT -23.170 -18.610 -21.990 -17.430 ;
+        RECT 112.930 -17.010 114.110 -15.830 ;
+        RECT 112.930 -18.610 114.110 -17.430 ;
+        RECT 292.930 -17.010 294.110 -15.830 ;
+        RECT 292.930 -18.610 294.110 -17.430 ;
+        RECT 472.930 -17.010 474.110 -15.830 ;
+        RECT 472.930 -18.610 474.110 -17.430 ;
+        RECT 652.930 -17.010 654.110 -15.830 ;
+        RECT 652.930 -18.610 654.110 -17.430 ;
+        RECT 832.930 -17.010 834.110 -15.830 ;
+        RECT 832.930 -18.610 834.110 -17.430 ;
+        RECT 1012.930 -17.010 1014.110 -15.830 ;
+        RECT 1012.930 -18.610 1014.110 -17.430 ;
+        RECT 1192.930 -17.010 1194.110 -15.830 ;
+        RECT 1192.930 -18.610 1194.110 -17.430 ;
+        RECT 1372.930 -17.010 1374.110 -15.830 ;
+        RECT 1372.930 -18.610 1374.110 -17.430 ;
+        RECT 1552.930 -17.010 1554.110 -15.830 ;
+        RECT 1552.930 -18.610 1554.110 -17.430 ;
+        RECT 1732.930 -17.010 1734.110 -15.830 ;
+        RECT 1732.930 -18.610 1734.110 -17.430 ;
+        RECT 1912.930 -17.010 1914.110 -15.830 ;
+        RECT 1912.930 -18.610 1914.110 -17.430 ;
+        RECT 2092.930 -17.010 2094.110 -15.830 ;
+        RECT 2092.930 -18.610 2094.110 -17.430 ;
+        RECT 2272.930 -17.010 2274.110 -15.830 ;
+        RECT 2272.930 -18.610 2274.110 -17.430 ;
+        RECT 2452.930 -17.010 2454.110 -15.830 ;
+        RECT 2452.930 -18.610 2454.110 -17.430 ;
+        RECT 2632.930 -17.010 2634.110 -15.830 ;
+        RECT 2632.930 -18.610 2634.110 -17.430 ;
+        RECT 2812.930 -17.010 2814.110 -15.830 ;
+        RECT 2812.930 -18.610 2814.110 -17.430 ;
+        RECT 2941.610 -17.010 2942.790 -15.830 ;
+        RECT 2941.610 -18.610 2942.790 -17.430 ;
       LAYER met5 ;
-        RECT -23.780 3538.100 -20.780 3538.110 ;
-        RECT 112.020 3538.100 115.020 3538.110 ;
-        RECT 292.020 3538.100 295.020 3538.110 ;
-        RECT 472.020 3538.100 475.020 3538.110 ;
-        RECT 652.020 3538.100 655.020 3538.110 ;
-        RECT 832.020 3538.100 835.020 3538.110 ;
-        RECT 1012.020 3538.100 1015.020 3538.110 ;
-        RECT 1192.020 3538.100 1195.020 3538.110 ;
-        RECT 1372.020 3538.100 1375.020 3538.110 ;
-        RECT 1552.020 3538.100 1555.020 3538.110 ;
-        RECT 1732.020 3538.100 1735.020 3538.110 ;
-        RECT 1912.020 3538.100 1915.020 3538.110 ;
-        RECT 2092.020 3538.100 2095.020 3538.110 ;
-        RECT 2272.020 3538.100 2275.020 3538.110 ;
-        RECT 2452.020 3538.100 2455.020 3538.110 ;
-        RECT 2632.020 3538.100 2635.020 3538.110 ;
-        RECT 2812.020 3538.100 2815.020 3538.110 ;
-        RECT 2940.400 3538.100 2943.400 3538.110 ;
-        RECT -23.780 3535.100 2943.400 3538.100 ;
-        RECT -23.780 3535.090 -20.780 3535.100 ;
-        RECT 112.020 3535.090 115.020 3535.100 ;
-        RECT 292.020 3535.090 295.020 3535.100 ;
-        RECT 472.020 3535.090 475.020 3535.100 ;
-        RECT 652.020 3535.090 655.020 3535.100 ;
-        RECT 832.020 3535.090 835.020 3535.100 ;
-        RECT 1012.020 3535.090 1015.020 3535.100 ;
-        RECT 1192.020 3535.090 1195.020 3535.100 ;
-        RECT 1372.020 3535.090 1375.020 3535.100 ;
-        RECT 1552.020 3535.090 1555.020 3535.100 ;
-        RECT 1732.020 3535.090 1735.020 3535.100 ;
-        RECT 1912.020 3535.090 1915.020 3535.100 ;
-        RECT 2092.020 3535.090 2095.020 3535.100 ;
-        RECT 2272.020 3535.090 2275.020 3535.100 ;
-        RECT 2452.020 3535.090 2455.020 3535.100 ;
-        RECT 2632.020 3535.090 2635.020 3535.100 ;
-        RECT 2812.020 3535.090 2815.020 3535.100 ;
-        RECT 2940.400 3535.090 2943.400 3535.100 ;
-        RECT -23.780 3360.380 -20.780 3360.390 ;
-        RECT 2940.400 3360.380 2943.400 3360.390 ;
-        RECT -23.780 3357.380 2.400 3360.380 ;
-        RECT 2917.600 3357.380 2943.400 3360.380 ;
-        RECT -23.780 3357.370 -20.780 3357.380 ;
-        RECT 2940.400 3357.370 2943.400 3357.380 ;
-        RECT -23.780 3180.380 -20.780 3180.390 ;
-        RECT 2940.400 3180.380 2943.400 3180.390 ;
-        RECT -23.780 3177.380 2.400 3180.380 ;
-        RECT 2917.600 3177.380 2943.400 3180.380 ;
-        RECT -23.780 3177.370 -20.780 3177.380 ;
-        RECT 2940.400 3177.370 2943.400 3177.380 ;
-        RECT -23.780 3000.380 -20.780 3000.390 ;
-        RECT 2940.400 3000.380 2943.400 3000.390 ;
-        RECT -23.780 2997.380 2.400 3000.380 ;
-        RECT 2917.600 2997.380 2943.400 3000.380 ;
-        RECT -23.780 2997.370 -20.780 2997.380 ;
-        RECT 2940.400 2997.370 2943.400 2997.380 ;
-        RECT -23.780 2820.380 -20.780 2820.390 ;
-        RECT 2940.400 2820.380 2943.400 2820.390 ;
-        RECT -23.780 2817.380 2.400 2820.380 ;
-        RECT 2917.600 2817.380 2943.400 2820.380 ;
-        RECT -23.780 2817.370 -20.780 2817.380 ;
-        RECT 2940.400 2817.370 2943.400 2817.380 ;
-        RECT -23.780 2640.380 -20.780 2640.390 ;
-        RECT 2940.400 2640.380 2943.400 2640.390 ;
-        RECT -23.780 2637.380 2.400 2640.380 ;
-        RECT 2917.600 2637.380 2943.400 2640.380 ;
-        RECT -23.780 2637.370 -20.780 2637.380 ;
-        RECT 2940.400 2637.370 2943.400 2637.380 ;
-        RECT -23.780 2460.380 -20.780 2460.390 ;
-        RECT 2940.400 2460.380 2943.400 2460.390 ;
-        RECT -23.780 2457.380 2.400 2460.380 ;
-        RECT 2917.600 2457.380 2943.400 2460.380 ;
-        RECT -23.780 2457.370 -20.780 2457.380 ;
-        RECT 2940.400 2457.370 2943.400 2457.380 ;
-        RECT -23.780 2280.380 -20.780 2280.390 ;
-        RECT 2940.400 2280.380 2943.400 2280.390 ;
-        RECT -23.780 2277.380 2.400 2280.380 ;
-        RECT 2917.600 2277.380 2943.400 2280.380 ;
-        RECT -23.780 2277.370 -20.780 2277.380 ;
-        RECT 2940.400 2277.370 2943.400 2277.380 ;
-        RECT -23.780 2100.380 -20.780 2100.390 ;
-        RECT 2940.400 2100.380 2943.400 2100.390 ;
-        RECT -23.780 2097.380 2.400 2100.380 ;
-        RECT 2917.600 2097.380 2943.400 2100.380 ;
-        RECT -23.780 2097.370 -20.780 2097.380 ;
-        RECT 2940.400 2097.370 2943.400 2097.380 ;
-        RECT -23.780 1920.380 -20.780 1920.390 ;
-        RECT 2940.400 1920.380 2943.400 1920.390 ;
-        RECT -23.780 1917.380 2.400 1920.380 ;
-        RECT 2917.600 1917.380 2943.400 1920.380 ;
-        RECT -23.780 1917.370 -20.780 1917.380 ;
-        RECT 2940.400 1917.370 2943.400 1917.380 ;
-        RECT -23.780 1740.380 -20.780 1740.390 ;
-        RECT 2940.400 1740.380 2943.400 1740.390 ;
-        RECT -23.780 1737.380 2.400 1740.380 ;
-        RECT 2917.600 1737.380 2943.400 1740.380 ;
-        RECT -23.780 1737.370 -20.780 1737.380 ;
-        RECT 2940.400 1737.370 2943.400 1737.380 ;
-        RECT -23.780 1560.380 -20.780 1560.390 ;
-        RECT 2940.400 1560.380 2943.400 1560.390 ;
-        RECT -23.780 1557.380 2.400 1560.380 ;
-        RECT 2917.600 1557.380 2943.400 1560.380 ;
-        RECT -23.780 1557.370 -20.780 1557.380 ;
-        RECT 2940.400 1557.370 2943.400 1557.380 ;
-        RECT -23.780 1380.380 -20.780 1380.390 ;
-        RECT 2940.400 1380.380 2943.400 1380.390 ;
-        RECT -23.780 1377.380 2.400 1380.380 ;
-        RECT 2917.600 1377.380 2943.400 1380.380 ;
-        RECT -23.780 1377.370 -20.780 1377.380 ;
-        RECT 2940.400 1377.370 2943.400 1377.380 ;
-        RECT -23.780 1200.380 -20.780 1200.390 ;
-        RECT 2940.400 1200.380 2943.400 1200.390 ;
-        RECT -23.780 1197.380 2.400 1200.380 ;
-        RECT 2917.600 1197.380 2943.400 1200.380 ;
-        RECT -23.780 1197.370 -20.780 1197.380 ;
-        RECT 2940.400 1197.370 2943.400 1197.380 ;
-        RECT -23.780 1020.380 -20.780 1020.390 ;
-        RECT 2940.400 1020.380 2943.400 1020.390 ;
-        RECT -23.780 1017.380 2.400 1020.380 ;
-        RECT 2917.600 1017.380 2943.400 1020.380 ;
-        RECT -23.780 1017.370 -20.780 1017.380 ;
-        RECT 2940.400 1017.370 2943.400 1017.380 ;
-        RECT -23.780 840.380 -20.780 840.390 ;
-        RECT 2940.400 840.380 2943.400 840.390 ;
-        RECT -23.780 837.380 2.400 840.380 ;
-        RECT 2917.600 837.380 2943.400 840.380 ;
-        RECT -23.780 837.370 -20.780 837.380 ;
-        RECT 2940.400 837.370 2943.400 837.380 ;
-        RECT -23.780 660.380 -20.780 660.390 ;
-        RECT 2940.400 660.380 2943.400 660.390 ;
-        RECT -23.780 657.380 2.400 660.380 ;
-        RECT 2917.600 657.380 2943.400 660.380 ;
-        RECT -23.780 657.370 -20.780 657.380 ;
-        RECT 2940.400 657.370 2943.400 657.380 ;
-        RECT -23.780 480.380 -20.780 480.390 ;
-        RECT 2940.400 480.380 2943.400 480.390 ;
-        RECT -23.780 477.380 2.400 480.380 ;
-        RECT 2917.600 477.380 2943.400 480.380 ;
-        RECT -23.780 477.370 -20.780 477.380 ;
-        RECT 2940.400 477.370 2943.400 477.380 ;
-        RECT -23.780 300.380 -20.780 300.390 ;
-        RECT 2940.400 300.380 2943.400 300.390 ;
-        RECT -23.780 297.380 2.400 300.380 ;
-        RECT 2917.600 297.380 2943.400 300.380 ;
-        RECT -23.780 297.370 -20.780 297.380 ;
-        RECT 2940.400 297.370 2943.400 297.380 ;
-        RECT -23.780 120.380 -20.780 120.390 ;
-        RECT 2940.400 120.380 2943.400 120.390 ;
-        RECT -23.780 117.380 2.400 120.380 ;
-        RECT 2917.600 117.380 2943.400 120.380 ;
-        RECT -23.780 117.370 -20.780 117.380 ;
-        RECT 2940.400 117.370 2943.400 117.380 ;
-        RECT -23.780 -15.420 -20.780 -15.410 ;
-        RECT 112.020 -15.420 115.020 -15.410 ;
-        RECT 292.020 -15.420 295.020 -15.410 ;
-        RECT 472.020 -15.420 475.020 -15.410 ;
-        RECT 652.020 -15.420 655.020 -15.410 ;
-        RECT 832.020 -15.420 835.020 -15.410 ;
-        RECT 1012.020 -15.420 1015.020 -15.410 ;
-        RECT 1192.020 -15.420 1195.020 -15.410 ;
-        RECT 1372.020 -15.420 1375.020 -15.410 ;
-        RECT 1552.020 -15.420 1555.020 -15.410 ;
-        RECT 1732.020 -15.420 1735.020 -15.410 ;
-        RECT 1912.020 -15.420 1915.020 -15.410 ;
-        RECT 2092.020 -15.420 2095.020 -15.410 ;
-        RECT 2272.020 -15.420 2275.020 -15.410 ;
-        RECT 2452.020 -15.420 2455.020 -15.410 ;
-        RECT 2632.020 -15.420 2635.020 -15.410 ;
-        RECT 2812.020 -15.420 2815.020 -15.410 ;
-        RECT 2940.400 -15.420 2943.400 -15.410 ;
-        RECT -23.780 -18.420 2943.400 -15.420 ;
-        RECT -23.780 -18.430 -20.780 -18.420 ;
-        RECT 112.020 -18.430 115.020 -18.420 ;
-        RECT 292.020 -18.430 295.020 -18.420 ;
-        RECT 472.020 -18.430 475.020 -18.420 ;
-        RECT 652.020 -18.430 655.020 -18.420 ;
-        RECT 832.020 -18.430 835.020 -18.420 ;
-        RECT 1012.020 -18.430 1015.020 -18.420 ;
-        RECT 1192.020 -18.430 1195.020 -18.420 ;
-        RECT 1372.020 -18.430 1375.020 -18.420 ;
-        RECT 1552.020 -18.430 1555.020 -18.420 ;
-        RECT 1732.020 -18.430 1735.020 -18.420 ;
-        RECT 1912.020 -18.430 1915.020 -18.420 ;
-        RECT 2092.020 -18.430 2095.020 -18.420 ;
-        RECT 2272.020 -18.430 2275.020 -18.420 ;
-        RECT 2452.020 -18.430 2455.020 -18.420 ;
-        RECT 2632.020 -18.430 2635.020 -18.420 ;
-        RECT 2812.020 -18.430 2815.020 -18.420 ;
-        RECT 2940.400 -18.430 2943.400 -18.420 ;
+        RECT -24.080 3538.400 -21.080 3538.410 ;
+        RECT 112.020 3538.400 115.020 3538.410 ;
+        RECT 292.020 3538.400 295.020 3538.410 ;
+        RECT 472.020 3538.400 475.020 3538.410 ;
+        RECT 652.020 3538.400 655.020 3538.410 ;
+        RECT 832.020 3538.400 835.020 3538.410 ;
+        RECT 1012.020 3538.400 1015.020 3538.410 ;
+        RECT 1192.020 3538.400 1195.020 3538.410 ;
+        RECT 1372.020 3538.400 1375.020 3538.410 ;
+        RECT 1552.020 3538.400 1555.020 3538.410 ;
+        RECT 1732.020 3538.400 1735.020 3538.410 ;
+        RECT 1912.020 3538.400 1915.020 3538.410 ;
+        RECT 2092.020 3538.400 2095.020 3538.410 ;
+        RECT 2272.020 3538.400 2275.020 3538.410 ;
+        RECT 2452.020 3538.400 2455.020 3538.410 ;
+        RECT 2632.020 3538.400 2635.020 3538.410 ;
+        RECT 2812.020 3538.400 2815.020 3538.410 ;
+        RECT 2940.700 3538.400 2943.700 3538.410 ;
+        RECT -24.080 3535.400 2943.700 3538.400 ;
+        RECT -24.080 3535.390 -21.080 3535.400 ;
+        RECT 112.020 3535.390 115.020 3535.400 ;
+        RECT 292.020 3535.390 295.020 3535.400 ;
+        RECT 472.020 3535.390 475.020 3535.400 ;
+        RECT 652.020 3535.390 655.020 3535.400 ;
+        RECT 832.020 3535.390 835.020 3535.400 ;
+        RECT 1012.020 3535.390 1015.020 3535.400 ;
+        RECT 1192.020 3535.390 1195.020 3535.400 ;
+        RECT 1372.020 3535.390 1375.020 3535.400 ;
+        RECT 1552.020 3535.390 1555.020 3535.400 ;
+        RECT 1732.020 3535.390 1735.020 3535.400 ;
+        RECT 1912.020 3535.390 1915.020 3535.400 ;
+        RECT 2092.020 3535.390 2095.020 3535.400 ;
+        RECT 2272.020 3535.390 2275.020 3535.400 ;
+        RECT 2452.020 3535.390 2455.020 3535.400 ;
+        RECT 2632.020 3535.390 2635.020 3535.400 ;
+        RECT 2812.020 3535.390 2815.020 3535.400 ;
+        RECT 2940.700 3535.390 2943.700 3535.400 ;
+        RECT -24.080 3360.380 -21.080 3360.390 ;
+        RECT 2940.700 3360.380 2943.700 3360.390 ;
+        RECT -24.080 3357.380 2.400 3360.380 ;
+        RECT 2917.600 3357.380 2943.700 3360.380 ;
+        RECT -24.080 3357.370 -21.080 3357.380 ;
+        RECT 2940.700 3357.370 2943.700 3357.380 ;
+        RECT -24.080 3180.380 -21.080 3180.390 ;
+        RECT 2940.700 3180.380 2943.700 3180.390 ;
+        RECT -24.080 3177.380 2.400 3180.380 ;
+        RECT 2917.600 3177.380 2943.700 3180.380 ;
+        RECT -24.080 3177.370 -21.080 3177.380 ;
+        RECT 2940.700 3177.370 2943.700 3177.380 ;
+        RECT -24.080 3000.380 -21.080 3000.390 ;
+        RECT 2940.700 3000.380 2943.700 3000.390 ;
+        RECT -24.080 2997.380 2.400 3000.380 ;
+        RECT 2917.600 2997.380 2943.700 3000.380 ;
+        RECT -24.080 2997.370 -21.080 2997.380 ;
+        RECT 2940.700 2997.370 2943.700 2997.380 ;
+        RECT -24.080 2820.380 -21.080 2820.390 ;
+        RECT 2940.700 2820.380 2943.700 2820.390 ;
+        RECT -24.080 2817.380 2.400 2820.380 ;
+        RECT 2917.600 2817.380 2943.700 2820.380 ;
+        RECT -24.080 2817.370 -21.080 2817.380 ;
+        RECT 2940.700 2817.370 2943.700 2817.380 ;
+        RECT -24.080 2640.380 -21.080 2640.390 ;
+        RECT 2940.700 2640.380 2943.700 2640.390 ;
+        RECT -24.080 2637.380 2.400 2640.380 ;
+        RECT 2917.600 2637.380 2943.700 2640.380 ;
+        RECT -24.080 2637.370 -21.080 2637.380 ;
+        RECT 2940.700 2637.370 2943.700 2637.380 ;
+        RECT -24.080 2460.380 -21.080 2460.390 ;
+        RECT 2940.700 2460.380 2943.700 2460.390 ;
+        RECT -24.080 2457.380 2.400 2460.380 ;
+        RECT 2917.600 2457.380 2943.700 2460.380 ;
+        RECT -24.080 2457.370 -21.080 2457.380 ;
+        RECT 2940.700 2457.370 2943.700 2457.380 ;
+        RECT -24.080 2280.380 -21.080 2280.390 ;
+        RECT 2940.700 2280.380 2943.700 2280.390 ;
+        RECT -24.080 2277.380 2.400 2280.380 ;
+        RECT 2917.600 2277.380 2943.700 2280.380 ;
+        RECT -24.080 2277.370 -21.080 2277.380 ;
+        RECT 2940.700 2277.370 2943.700 2277.380 ;
+        RECT -24.080 2100.380 -21.080 2100.390 ;
+        RECT 2940.700 2100.380 2943.700 2100.390 ;
+        RECT -24.080 2097.380 2.400 2100.380 ;
+        RECT 2917.600 2097.380 2943.700 2100.380 ;
+        RECT -24.080 2097.370 -21.080 2097.380 ;
+        RECT 2940.700 2097.370 2943.700 2097.380 ;
+        RECT -24.080 1920.380 -21.080 1920.390 ;
+        RECT 2940.700 1920.380 2943.700 1920.390 ;
+        RECT -24.080 1917.380 2.400 1920.380 ;
+        RECT 2917.600 1917.380 2943.700 1920.380 ;
+        RECT -24.080 1917.370 -21.080 1917.380 ;
+        RECT 2940.700 1917.370 2943.700 1917.380 ;
+        RECT -24.080 1740.380 -21.080 1740.390 ;
+        RECT 2940.700 1740.380 2943.700 1740.390 ;
+        RECT -24.080 1737.380 2.400 1740.380 ;
+        RECT 2917.600 1737.380 2943.700 1740.380 ;
+        RECT -24.080 1737.370 -21.080 1737.380 ;
+        RECT 2940.700 1737.370 2943.700 1737.380 ;
+        RECT -24.080 1560.380 -21.080 1560.390 ;
+        RECT 2940.700 1560.380 2943.700 1560.390 ;
+        RECT -24.080 1557.380 2.400 1560.380 ;
+        RECT 2917.600 1557.380 2943.700 1560.380 ;
+        RECT -24.080 1557.370 -21.080 1557.380 ;
+        RECT 2940.700 1557.370 2943.700 1557.380 ;
+        RECT -24.080 1380.380 -21.080 1380.390 ;
+        RECT 2940.700 1380.380 2943.700 1380.390 ;
+        RECT -24.080 1377.380 2.400 1380.380 ;
+        RECT 2917.600 1377.380 2943.700 1380.380 ;
+        RECT -24.080 1377.370 -21.080 1377.380 ;
+        RECT 2940.700 1377.370 2943.700 1377.380 ;
+        RECT -24.080 1200.380 -21.080 1200.390 ;
+        RECT 2940.700 1200.380 2943.700 1200.390 ;
+        RECT -24.080 1197.380 2.400 1200.380 ;
+        RECT 2917.600 1197.380 2943.700 1200.380 ;
+        RECT -24.080 1197.370 -21.080 1197.380 ;
+        RECT 2940.700 1197.370 2943.700 1197.380 ;
+        RECT -24.080 1020.380 -21.080 1020.390 ;
+        RECT 2940.700 1020.380 2943.700 1020.390 ;
+        RECT -24.080 1017.380 2.400 1020.380 ;
+        RECT 2917.600 1017.380 2943.700 1020.380 ;
+        RECT -24.080 1017.370 -21.080 1017.380 ;
+        RECT 2940.700 1017.370 2943.700 1017.380 ;
+        RECT -24.080 840.380 -21.080 840.390 ;
+        RECT 2940.700 840.380 2943.700 840.390 ;
+        RECT -24.080 837.380 2.400 840.380 ;
+        RECT 2917.600 837.380 2943.700 840.380 ;
+        RECT -24.080 837.370 -21.080 837.380 ;
+        RECT 2940.700 837.370 2943.700 837.380 ;
+        RECT -24.080 660.380 -21.080 660.390 ;
+        RECT 2940.700 660.380 2943.700 660.390 ;
+        RECT -24.080 657.380 2.400 660.380 ;
+        RECT 2917.600 657.380 2943.700 660.380 ;
+        RECT -24.080 657.370 -21.080 657.380 ;
+        RECT 2940.700 657.370 2943.700 657.380 ;
+        RECT -24.080 480.380 -21.080 480.390 ;
+        RECT 2940.700 480.380 2943.700 480.390 ;
+        RECT -24.080 477.380 2.400 480.380 ;
+        RECT 2917.600 477.380 2943.700 480.380 ;
+        RECT -24.080 477.370 -21.080 477.380 ;
+        RECT 2940.700 477.370 2943.700 477.380 ;
+        RECT -24.080 300.380 -21.080 300.390 ;
+        RECT 2940.700 300.380 2943.700 300.390 ;
+        RECT -24.080 297.380 2.400 300.380 ;
+        RECT 2917.600 297.380 2943.700 300.380 ;
+        RECT -24.080 297.370 -21.080 297.380 ;
+        RECT 2940.700 297.370 2943.700 297.380 ;
+        RECT -24.080 120.380 -21.080 120.390 ;
+        RECT 2940.700 120.380 2943.700 120.390 ;
+        RECT -24.080 117.380 2.400 120.380 ;
+        RECT 2917.600 117.380 2943.700 120.380 ;
+        RECT -24.080 117.370 -21.080 117.380 ;
+        RECT 2940.700 117.370 2943.700 117.380 ;
+        RECT -24.080 -15.720 -21.080 -15.710 ;
+        RECT 112.020 -15.720 115.020 -15.710 ;
+        RECT 292.020 -15.720 295.020 -15.710 ;
+        RECT 472.020 -15.720 475.020 -15.710 ;
+        RECT 652.020 -15.720 655.020 -15.710 ;
+        RECT 832.020 -15.720 835.020 -15.710 ;
+        RECT 1012.020 -15.720 1015.020 -15.710 ;
+        RECT 1192.020 -15.720 1195.020 -15.710 ;
+        RECT 1372.020 -15.720 1375.020 -15.710 ;
+        RECT 1552.020 -15.720 1555.020 -15.710 ;
+        RECT 1732.020 -15.720 1735.020 -15.710 ;
+        RECT 1912.020 -15.720 1915.020 -15.710 ;
+        RECT 2092.020 -15.720 2095.020 -15.710 ;
+        RECT 2272.020 -15.720 2275.020 -15.710 ;
+        RECT 2452.020 -15.720 2455.020 -15.710 ;
+        RECT 2632.020 -15.720 2635.020 -15.710 ;
+        RECT 2812.020 -15.720 2815.020 -15.710 ;
+        RECT 2940.700 -15.720 2943.700 -15.710 ;
+        RECT -24.080 -18.720 2943.700 -15.720 ;
+        RECT -24.080 -18.730 -21.080 -18.720 ;
+        RECT 112.020 -18.730 115.020 -18.720 ;
+        RECT 292.020 -18.730 295.020 -18.720 ;
+        RECT 472.020 -18.730 475.020 -18.720 ;
+        RECT 652.020 -18.730 655.020 -18.720 ;
+        RECT 832.020 -18.730 835.020 -18.720 ;
+        RECT 1012.020 -18.730 1015.020 -18.720 ;
+        RECT 1192.020 -18.730 1195.020 -18.720 ;
+        RECT 1372.020 -18.730 1375.020 -18.720 ;
+        RECT 1552.020 -18.730 1555.020 -18.720 ;
+        RECT 1732.020 -18.730 1735.020 -18.720 ;
+        RECT 1912.020 -18.730 1915.020 -18.720 ;
+        RECT 2092.020 -18.730 2095.020 -18.720 ;
+        RECT 2272.020 -18.730 2275.020 -18.720 ;
+        RECT 2452.020 -18.730 2455.020 -18.720 ;
+        RECT 2632.020 -18.730 2635.020 -18.720 ;
+        RECT 2812.020 -18.730 2815.020 -18.720 ;
+        RECT 2940.700 -18.730 2943.700 -18.720 ;
     END
   END vssd2
   PIN vdda1
     DIRECTION INPUT ;
     PORT
       LAYER met4 ;
-        RECT -28.380 -23.020 -25.380 3542.700 ;
-        RECT 40.020 3517.600 43.020 3547.300 ;
-        RECT 220.020 3517.600 223.020 3547.300 ;
-        RECT 400.020 3517.600 403.020 3547.300 ;
-        RECT 580.020 3517.600 583.020 3547.300 ;
-        RECT 760.020 3517.600 763.020 3547.300 ;
-        RECT 940.020 3517.600 943.020 3547.300 ;
-        RECT 1120.020 3517.600 1123.020 3547.300 ;
-        RECT 1300.020 3517.600 1303.020 3547.300 ;
-        RECT 1480.020 3517.600 1483.020 3547.300 ;
-        RECT 1660.020 3517.600 1663.020 3547.300 ;
-        RECT 1840.020 3517.600 1843.020 3547.300 ;
-        RECT 2020.020 3517.600 2023.020 3547.300 ;
-        RECT 2200.020 3517.600 2203.020 3547.300 ;
-        RECT 2380.020 3517.600 2383.020 3547.300 ;
-        RECT 2560.020 3517.600 2563.020 3547.300 ;
-        RECT 2740.020 3517.600 2743.020 3547.300 ;
-        RECT 40.020 -27.620 43.020 2.400 ;
-        RECT 220.020 -27.620 223.020 2.400 ;
-        RECT 400.020 -27.620 403.020 2.400 ;
-        RECT 580.020 -27.620 583.020 2.400 ;
-        RECT 760.020 -27.620 763.020 2.400 ;
-        RECT 940.020 -27.620 943.020 2.400 ;
-        RECT 1120.020 -27.620 1123.020 2.400 ;
-        RECT 1300.020 -27.620 1303.020 2.400 ;
-        RECT 1480.020 -27.620 1483.020 2.400 ;
-        RECT 1660.020 -27.620 1663.020 2.400 ;
-        RECT 1840.020 -27.620 1843.020 2.400 ;
-        RECT 2020.020 -27.620 2023.020 2.400 ;
-        RECT 2200.020 -27.620 2203.020 2.400 ;
-        RECT 2380.020 -27.620 2383.020 2.400 ;
-        RECT 2560.020 -27.620 2563.020 2.400 ;
-        RECT 2740.020 -27.620 2743.020 2.400 ;
-        RECT 2945.000 -23.020 2948.000 3542.700 ;
+        RECT -28.780 -23.420 -25.780 3543.100 ;
+        RECT 40.020 3517.600 43.020 3547.800 ;
+        RECT 220.020 3517.600 223.020 3547.800 ;
+        RECT 400.020 3517.600 403.020 3547.800 ;
+        RECT 580.020 3517.600 583.020 3547.800 ;
+        RECT 760.020 3517.600 763.020 3547.800 ;
+        RECT 940.020 3517.600 943.020 3547.800 ;
+        RECT 1120.020 3517.600 1123.020 3547.800 ;
+        RECT 1300.020 3517.600 1303.020 3547.800 ;
+        RECT 1480.020 3517.600 1483.020 3547.800 ;
+        RECT 1660.020 3517.600 1663.020 3547.800 ;
+        RECT 1840.020 3517.600 1843.020 3547.800 ;
+        RECT 2020.020 3517.600 2023.020 3547.800 ;
+        RECT 2200.020 3517.600 2203.020 3547.800 ;
+        RECT 2380.020 3517.600 2383.020 3547.800 ;
+        RECT 2560.020 3517.600 2563.020 3547.800 ;
+        RECT 2740.020 3517.600 2743.020 3547.800 ;
+        RECT 40.020 -28.120 43.020 2.400 ;
+        RECT 220.020 -28.120 223.020 2.400 ;
+        RECT 400.020 -28.120 403.020 2.400 ;
+        RECT 580.020 -28.120 583.020 2.400 ;
+        RECT 760.020 -28.120 763.020 2.400 ;
+        RECT 940.020 -28.120 943.020 2.400 ;
+        RECT 1120.020 -28.120 1123.020 2.400 ;
+        RECT 1300.020 -28.120 1303.020 2.400 ;
+        RECT 1480.020 -28.120 1483.020 2.400 ;
+        RECT 1660.020 -28.120 1663.020 2.400 ;
+        RECT 1840.020 -28.120 1843.020 2.400 ;
+        RECT 2020.020 -28.120 2023.020 2.400 ;
+        RECT 2200.020 -28.120 2203.020 2.400 ;
+        RECT 2380.020 -28.120 2383.020 2.400 ;
+        RECT 2560.020 -28.120 2563.020 2.400 ;
+        RECT 2740.020 -28.120 2743.020 2.400 ;
+        RECT 2945.400 -23.420 2948.400 3543.100 ;
       LAYER M4M5_PR_C ;
-        RECT -27.470 3541.410 -26.290 3542.590 ;
-        RECT -27.470 3539.810 -26.290 3540.990 ;
-        RECT 40.930 3541.410 42.110 3542.590 ;
-        RECT 40.930 3539.810 42.110 3540.990 ;
-        RECT 220.930 3541.410 222.110 3542.590 ;
-        RECT 220.930 3539.810 222.110 3540.990 ;
-        RECT 400.930 3541.410 402.110 3542.590 ;
-        RECT 400.930 3539.810 402.110 3540.990 ;
-        RECT 580.930 3541.410 582.110 3542.590 ;
-        RECT 580.930 3539.810 582.110 3540.990 ;
-        RECT 760.930 3541.410 762.110 3542.590 ;
-        RECT 760.930 3539.810 762.110 3540.990 ;
-        RECT 940.930 3541.410 942.110 3542.590 ;
-        RECT 940.930 3539.810 942.110 3540.990 ;
-        RECT 1120.930 3541.410 1122.110 3542.590 ;
-        RECT 1120.930 3539.810 1122.110 3540.990 ;
-        RECT 1300.930 3541.410 1302.110 3542.590 ;
-        RECT 1300.930 3539.810 1302.110 3540.990 ;
-        RECT 1480.930 3541.410 1482.110 3542.590 ;
-        RECT 1480.930 3539.810 1482.110 3540.990 ;
-        RECT 1660.930 3541.410 1662.110 3542.590 ;
-        RECT 1660.930 3539.810 1662.110 3540.990 ;
-        RECT 1840.930 3541.410 1842.110 3542.590 ;
-        RECT 1840.930 3539.810 1842.110 3540.990 ;
-        RECT 2020.930 3541.410 2022.110 3542.590 ;
-        RECT 2020.930 3539.810 2022.110 3540.990 ;
-        RECT 2200.930 3541.410 2202.110 3542.590 ;
-        RECT 2200.930 3539.810 2202.110 3540.990 ;
-        RECT 2380.930 3541.410 2382.110 3542.590 ;
-        RECT 2380.930 3539.810 2382.110 3540.990 ;
-        RECT 2560.930 3541.410 2562.110 3542.590 ;
-        RECT 2560.930 3539.810 2562.110 3540.990 ;
-        RECT 2740.930 3541.410 2742.110 3542.590 ;
-        RECT 2740.930 3539.810 2742.110 3540.990 ;
-        RECT 2945.910 3541.410 2947.090 3542.590 ;
-        RECT 2945.910 3539.810 2947.090 3540.990 ;
-        RECT -27.470 3467.090 -26.290 3468.270 ;
-        RECT -27.470 3465.490 -26.290 3466.670 ;
-        RECT -27.470 3287.090 -26.290 3288.270 ;
-        RECT -27.470 3285.490 -26.290 3286.670 ;
-        RECT -27.470 3107.090 -26.290 3108.270 ;
-        RECT -27.470 3105.490 -26.290 3106.670 ;
-        RECT -27.470 2927.090 -26.290 2928.270 ;
-        RECT -27.470 2925.490 -26.290 2926.670 ;
-        RECT -27.470 2747.090 -26.290 2748.270 ;
-        RECT -27.470 2745.490 -26.290 2746.670 ;
-        RECT -27.470 2567.090 -26.290 2568.270 ;
-        RECT -27.470 2565.490 -26.290 2566.670 ;
-        RECT -27.470 2387.090 -26.290 2388.270 ;
-        RECT -27.470 2385.490 -26.290 2386.670 ;
-        RECT -27.470 2207.090 -26.290 2208.270 ;
-        RECT -27.470 2205.490 -26.290 2206.670 ;
-        RECT -27.470 2027.090 -26.290 2028.270 ;
-        RECT -27.470 2025.490 -26.290 2026.670 ;
-        RECT -27.470 1847.090 -26.290 1848.270 ;
-        RECT -27.470 1845.490 -26.290 1846.670 ;
-        RECT -27.470 1667.090 -26.290 1668.270 ;
-        RECT -27.470 1665.490 -26.290 1666.670 ;
-        RECT -27.470 1487.090 -26.290 1488.270 ;
-        RECT -27.470 1485.490 -26.290 1486.670 ;
-        RECT -27.470 1307.090 -26.290 1308.270 ;
-        RECT -27.470 1305.490 -26.290 1306.670 ;
-        RECT -27.470 1127.090 -26.290 1128.270 ;
-        RECT -27.470 1125.490 -26.290 1126.670 ;
-        RECT -27.470 947.090 -26.290 948.270 ;
-        RECT -27.470 945.490 -26.290 946.670 ;
-        RECT -27.470 767.090 -26.290 768.270 ;
-        RECT -27.470 765.490 -26.290 766.670 ;
-        RECT -27.470 587.090 -26.290 588.270 ;
-        RECT -27.470 585.490 -26.290 586.670 ;
-        RECT -27.470 407.090 -26.290 408.270 ;
-        RECT -27.470 405.490 -26.290 406.670 ;
-        RECT -27.470 227.090 -26.290 228.270 ;
-        RECT -27.470 225.490 -26.290 226.670 ;
-        RECT -27.470 47.090 -26.290 48.270 ;
-        RECT -27.470 45.490 -26.290 46.670 ;
-        RECT 2945.910 3467.090 2947.090 3468.270 ;
-        RECT 2945.910 3465.490 2947.090 3466.670 ;
-        RECT 2945.910 3287.090 2947.090 3288.270 ;
-        RECT 2945.910 3285.490 2947.090 3286.670 ;
-        RECT 2945.910 3107.090 2947.090 3108.270 ;
-        RECT 2945.910 3105.490 2947.090 3106.670 ;
-        RECT 2945.910 2927.090 2947.090 2928.270 ;
-        RECT 2945.910 2925.490 2947.090 2926.670 ;
-        RECT 2945.910 2747.090 2947.090 2748.270 ;
-        RECT 2945.910 2745.490 2947.090 2746.670 ;
-        RECT 2945.910 2567.090 2947.090 2568.270 ;
-        RECT 2945.910 2565.490 2947.090 2566.670 ;
-        RECT 2945.910 2387.090 2947.090 2388.270 ;
-        RECT 2945.910 2385.490 2947.090 2386.670 ;
-        RECT 2945.910 2207.090 2947.090 2208.270 ;
-        RECT 2945.910 2205.490 2947.090 2206.670 ;
-        RECT 2945.910 2027.090 2947.090 2028.270 ;
-        RECT 2945.910 2025.490 2947.090 2026.670 ;
-        RECT 2945.910 1847.090 2947.090 1848.270 ;
-        RECT 2945.910 1845.490 2947.090 1846.670 ;
-        RECT 2945.910 1667.090 2947.090 1668.270 ;
-        RECT 2945.910 1665.490 2947.090 1666.670 ;
-        RECT 2945.910 1487.090 2947.090 1488.270 ;
-        RECT 2945.910 1485.490 2947.090 1486.670 ;
-        RECT 2945.910 1307.090 2947.090 1308.270 ;
-        RECT 2945.910 1305.490 2947.090 1306.670 ;
-        RECT 2945.910 1127.090 2947.090 1128.270 ;
-        RECT 2945.910 1125.490 2947.090 1126.670 ;
-        RECT 2945.910 947.090 2947.090 948.270 ;
-        RECT 2945.910 945.490 2947.090 946.670 ;
-        RECT 2945.910 767.090 2947.090 768.270 ;
-        RECT 2945.910 765.490 2947.090 766.670 ;
-        RECT 2945.910 587.090 2947.090 588.270 ;
-        RECT 2945.910 585.490 2947.090 586.670 ;
-        RECT 2945.910 407.090 2947.090 408.270 ;
-        RECT 2945.910 405.490 2947.090 406.670 ;
-        RECT 2945.910 227.090 2947.090 228.270 ;
-        RECT 2945.910 225.490 2947.090 226.670 ;
-        RECT 2945.910 47.090 2947.090 48.270 ;
-        RECT 2945.910 45.490 2947.090 46.670 ;
-        RECT -27.470 -21.310 -26.290 -20.130 ;
-        RECT -27.470 -22.910 -26.290 -21.730 ;
-        RECT 40.930 -21.310 42.110 -20.130 ;
-        RECT 40.930 -22.910 42.110 -21.730 ;
-        RECT 220.930 -21.310 222.110 -20.130 ;
-        RECT 220.930 -22.910 222.110 -21.730 ;
-        RECT 400.930 -21.310 402.110 -20.130 ;
-        RECT 400.930 -22.910 402.110 -21.730 ;
-        RECT 580.930 -21.310 582.110 -20.130 ;
-        RECT 580.930 -22.910 582.110 -21.730 ;
-        RECT 760.930 -21.310 762.110 -20.130 ;
-        RECT 760.930 -22.910 762.110 -21.730 ;
-        RECT 940.930 -21.310 942.110 -20.130 ;
-        RECT 940.930 -22.910 942.110 -21.730 ;
-        RECT 1120.930 -21.310 1122.110 -20.130 ;
-        RECT 1120.930 -22.910 1122.110 -21.730 ;
-        RECT 1300.930 -21.310 1302.110 -20.130 ;
-        RECT 1300.930 -22.910 1302.110 -21.730 ;
-        RECT 1480.930 -21.310 1482.110 -20.130 ;
-        RECT 1480.930 -22.910 1482.110 -21.730 ;
-        RECT 1660.930 -21.310 1662.110 -20.130 ;
-        RECT 1660.930 -22.910 1662.110 -21.730 ;
-        RECT 1840.930 -21.310 1842.110 -20.130 ;
-        RECT 1840.930 -22.910 1842.110 -21.730 ;
-        RECT 2020.930 -21.310 2022.110 -20.130 ;
-        RECT 2020.930 -22.910 2022.110 -21.730 ;
-        RECT 2200.930 -21.310 2202.110 -20.130 ;
-        RECT 2200.930 -22.910 2202.110 -21.730 ;
-        RECT 2380.930 -21.310 2382.110 -20.130 ;
-        RECT 2380.930 -22.910 2382.110 -21.730 ;
-        RECT 2560.930 -21.310 2562.110 -20.130 ;
-        RECT 2560.930 -22.910 2562.110 -21.730 ;
-        RECT 2740.930 -21.310 2742.110 -20.130 ;
-        RECT 2740.930 -22.910 2742.110 -21.730 ;
-        RECT 2945.910 -21.310 2947.090 -20.130 ;
-        RECT 2945.910 -22.910 2947.090 -21.730 ;
+        RECT -27.870 3541.810 -26.690 3542.990 ;
+        RECT -27.870 3540.210 -26.690 3541.390 ;
+        RECT 40.930 3541.810 42.110 3542.990 ;
+        RECT 40.930 3540.210 42.110 3541.390 ;
+        RECT 220.930 3541.810 222.110 3542.990 ;
+        RECT 220.930 3540.210 222.110 3541.390 ;
+        RECT 400.930 3541.810 402.110 3542.990 ;
+        RECT 400.930 3540.210 402.110 3541.390 ;
+        RECT 580.930 3541.810 582.110 3542.990 ;
+        RECT 580.930 3540.210 582.110 3541.390 ;
+        RECT 760.930 3541.810 762.110 3542.990 ;
+        RECT 760.930 3540.210 762.110 3541.390 ;
+        RECT 940.930 3541.810 942.110 3542.990 ;
+        RECT 940.930 3540.210 942.110 3541.390 ;
+        RECT 1120.930 3541.810 1122.110 3542.990 ;
+        RECT 1120.930 3540.210 1122.110 3541.390 ;
+        RECT 1300.930 3541.810 1302.110 3542.990 ;
+        RECT 1300.930 3540.210 1302.110 3541.390 ;
+        RECT 1480.930 3541.810 1482.110 3542.990 ;
+        RECT 1480.930 3540.210 1482.110 3541.390 ;
+        RECT 1660.930 3541.810 1662.110 3542.990 ;
+        RECT 1660.930 3540.210 1662.110 3541.390 ;
+        RECT 1840.930 3541.810 1842.110 3542.990 ;
+        RECT 1840.930 3540.210 1842.110 3541.390 ;
+        RECT 2020.930 3541.810 2022.110 3542.990 ;
+        RECT 2020.930 3540.210 2022.110 3541.390 ;
+        RECT 2200.930 3541.810 2202.110 3542.990 ;
+        RECT 2200.930 3540.210 2202.110 3541.390 ;
+        RECT 2380.930 3541.810 2382.110 3542.990 ;
+        RECT 2380.930 3540.210 2382.110 3541.390 ;
+        RECT 2560.930 3541.810 2562.110 3542.990 ;
+        RECT 2560.930 3540.210 2562.110 3541.390 ;
+        RECT 2740.930 3541.810 2742.110 3542.990 ;
+        RECT 2740.930 3540.210 2742.110 3541.390 ;
+        RECT 2946.310 3541.810 2947.490 3542.990 ;
+        RECT 2946.310 3540.210 2947.490 3541.390 ;
+        RECT -27.870 3467.090 -26.690 3468.270 ;
+        RECT -27.870 3465.490 -26.690 3466.670 ;
+        RECT -27.870 3287.090 -26.690 3288.270 ;
+        RECT -27.870 3285.490 -26.690 3286.670 ;
+        RECT -27.870 3107.090 -26.690 3108.270 ;
+        RECT -27.870 3105.490 -26.690 3106.670 ;
+        RECT -27.870 2927.090 -26.690 2928.270 ;
+        RECT -27.870 2925.490 -26.690 2926.670 ;
+        RECT -27.870 2747.090 -26.690 2748.270 ;
+        RECT -27.870 2745.490 -26.690 2746.670 ;
+        RECT -27.870 2567.090 -26.690 2568.270 ;
+        RECT -27.870 2565.490 -26.690 2566.670 ;
+        RECT -27.870 2387.090 -26.690 2388.270 ;
+        RECT -27.870 2385.490 -26.690 2386.670 ;
+        RECT -27.870 2207.090 -26.690 2208.270 ;
+        RECT -27.870 2205.490 -26.690 2206.670 ;
+        RECT -27.870 2027.090 -26.690 2028.270 ;
+        RECT -27.870 2025.490 -26.690 2026.670 ;
+        RECT -27.870 1847.090 -26.690 1848.270 ;
+        RECT -27.870 1845.490 -26.690 1846.670 ;
+        RECT -27.870 1667.090 -26.690 1668.270 ;
+        RECT -27.870 1665.490 -26.690 1666.670 ;
+        RECT -27.870 1487.090 -26.690 1488.270 ;
+        RECT -27.870 1485.490 -26.690 1486.670 ;
+        RECT -27.870 1307.090 -26.690 1308.270 ;
+        RECT -27.870 1305.490 -26.690 1306.670 ;
+        RECT -27.870 1127.090 -26.690 1128.270 ;
+        RECT -27.870 1125.490 -26.690 1126.670 ;
+        RECT -27.870 947.090 -26.690 948.270 ;
+        RECT -27.870 945.490 -26.690 946.670 ;
+        RECT -27.870 767.090 -26.690 768.270 ;
+        RECT -27.870 765.490 -26.690 766.670 ;
+        RECT -27.870 587.090 -26.690 588.270 ;
+        RECT -27.870 585.490 -26.690 586.670 ;
+        RECT -27.870 407.090 -26.690 408.270 ;
+        RECT -27.870 405.490 -26.690 406.670 ;
+        RECT -27.870 227.090 -26.690 228.270 ;
+        RECT -27.870 225.490 -26.690 226.670 ;
+        RECT -27.870 47.090 -26.690 48.270 ;
+        RECT -27.870 45.490 -26.690 46.670 ;
+        RECT 2946.310 3467.090 2947.490 3468.270 ;
+        RECT 2946.310 3465.490 2947.490 3466.670 ;
+        RECT 2946.310 3287.090 2947.490 3288.270 ;
+        RECT 2946.310 3285.490 2947.490 3286.670 ;
+        RECT 2946.310 3107.090 2947.490 3108.270 ;
+        RECT 2946.310 3105.490 2947.490 3106.670 ;
+        RECT 2946.310 2927.090 2947.490 2928.270 ;
+        RECT 2946.310 2925.490 2947.490 2926.670 ;
+        RECT 2946.310 2747.090 2947.490 2748.270 ;
+        RECT 2946.310 2745.490 2947.490 2746.670 ;
+        RECT 2946.310 2567.090 2947.490 2568.270 ;
+        RECT 2946.310 2565.490 2947.490 2566.670 ;
+        RECT 2946.310 2387.090 2947.490 2388.270 ;
+        RECT 2946.310 2385.490 2947.490 2386.670 ;
+        RECT 2946.310 2207.090 2947.490 2208.270 ;
+        RECT 2946.310 2205.490 2947.490 2206.670 ;
+        RECT 2946.310 2027.090 2947.490 2028.270 ;
+        RECT 2946.310 2025.490 2947.490 2026.670 ;
+        RECT 2946.310 1847.090 2947.490 1848.270 ;
+        RECT 2946.310 1845.490 2947.490 1846.670 ;
+        RECT 2946.310 1667.090 2947.490 1668.270 ;
+        RECT 2946.310 1665.490 2947.490 1666.670 ;
+        RECT 2946.310 1487.090 2947.490 1488.270 ;
+        RECT 2946.310 1485.490 2947.490 1486.670 ;
+        RECT 2946.310 1307.090 2947.490 1308.270 ;
+        RECT 2946.310 1305.490 2947.490 1306.670 ;
+        RECT 2946.310 1127.090 2947.490 1128.270 ;
+        RECT 2946.310 1125.490 2947.490 1126.670 ;
+        RECT 2946.310 947.090 2947.490 948.270 ;
+        RECT 2946.310 945.490 2947.490 946.670 ;
+        RECT 2946.310 767.090 2947.490 768.270 ;
+        RECT 2946.310 765.490 2947.490 766.670 ;
+        RECT 2946.310 587.090 2947.490 588.270 ;
+        RECT 2946.310 585.490 2947.490 586.670 ;
+        RECT 2946.310 407.090 2947.490 408.270 ;
+        RECT 2946.310 405.490 2947.490 406.670 ;
+        RECT 2946.310 227.090 2947.490 228.270 ;
+        RECT 2946.310 225.490 2947.490 226.670 ;
+        RECT 2946.310 47.090 2947.490 48.270 ;
+        RECT 2946.310 45.490 2947.490 46.670 ;
+        RECT -27.870 -21.710 -26.690 -20.530 ;
+        RECT -27.870 -23.310 -26.690 -22.130 ;
+        RECT 40.930 -21.710 42.110 -20.530 ;
+        RECT 40.930 -23.310 42.110 -22.130 ;
+        RECT 220.930 -21.710 222.110 -20.530 ;
+        RECT 220.930 -23.310 222.110 -22.130 ;
+        RECT 400.930 -21.710 402.110 -20.530 ;
+        RECT 400.930 -23.310 402.110 -22.130 ;
+        RECT 580.930 -21.710 582.110 -20.530 ;
+        RECT 580.930 -23.310 582.110 -22.130 ;
+        RECT 760.930 -21.710 762.110 -20.530 ;
+        RECT 760.930 -23.310 762.110 -22.130 ;
+        RECT 940.930 -21.710 942.110 -20.530 ;
+        RECT 940.930 -23.310 942.110 -22.130 ;
+        RECT 1120.930 -21.710 1122.110 -20.530 ;
+        RECT 1120.930 -23.310 1122.110 -22.130 ;
+        RECT 1300.930 -21.710 1302.110 -20.530 ;
+        RECT 1300.930 -23.310 1302.110 -22.130 ;
+        RECT 1480.930 -21.710 1482.110 -20.530 ;
+        RECT 1480.930 -23.310 1482.110 -22.130 ;
+        RECT 1660.930 -21.710 1662.110 -20.530 ;
+        RECT 1660.930 -23.310 1662.110 -22.130 ;
+        RECT 1840.930 -21.710 1842.110 -20.530 ;
+        RECT 1840.930 -23.310 1842.110 -22.130 ;
+        RECT 2020.930 -21.710 2022.110 -20.530 ;
+        RECT 2020.930 -23.310 2022.110 -22.130 ;
+        RECT 2200.930 -21.710 2202.110 -20.530 ;
+        RECT 2200.930 -23.310 2202.110 -22.130 ;
+        RECT 2380.930 -21.710 2382.110 -20.530 ;
+        RECT 2380.930 -23.310 2382.110 -22.130 ;
+        RECT 2560.930 -21.710 2562.110 -20.530 ;
+        RECT 2560.930 -23.310 2562.110 -22.130 ;
+        RECT 2740.930 -21.710 2742.110 -20.530 ;
+        RECT 2740.930 -23.310 2742.110 -22.130 ;
+        RECT 2946.310 -21.710 2947.490 -20.530 ;
+        RECT 2946.310 -23.310 2947.490 -22.130 ;
       LAYER met5 ;
-        RECT -28.380 3542.700 -25.380 3542.710 ;
-        RECT 40.020 3542.700 43.020 3542.710 ;
-        RECT 220.020 3542.700 223.020 3542.710 ;
-        RECT 400.020 3542.700 403.020 3542.710 ;
-        RECT 580.020 3542.700 583.020 3542.710 ;
-        RECT 760.020 3542.700 763.020 3542.710 ;
-        RECT 940.020 3542.700 943.020 3542.710 ;
-        RECT 1120.020 3542.700 1123.020 3542.710 ;
-        RECT 1300.020 3542.700 1303.020 3542.710 ;
-        RECT 1480.020 3542.700 1483.020 3542.710 ;
-        RECT 1660.020 3542.700 1663.020 3542.710 ;
-        RECT 1840.020 3542.700 1843.020 3542.710 ;
-        RECT 2020.020 3542.700 2023.020 3542.710 ;
-        RECT 2200.020 3542.700 2203.020 3542.710 ;
-        RECT 2380.020 3542.700 2383.020 3542.710 ;
-        RECT 2560.020 3542.700 2563.020 3542.710 ;
-        RECT 2740.020 3542.700 2743.020 3542.710 ;
-        RECT 2945.000 3542.700 2948.000 3542.710 ;
-        RECT -28.380 3539.700 2948.000 3542.700 ;
-        RECT -28.380 3539.690 -25.380 3539.700 ;
-        RECT 40.020 3539.690 43.020 3539.700 ;
-        RECT 220.020 3539.690 223.020 3539.700 ;
-        RECT 400.020 3539.690 403.020 3539.700 ;
-        RECT 580.020 3539.690 583.020 3539.700 ;
-        RECT 760.020 3539.690 763.020 3539.700 ;
-        RECT 940.020 3539.690 943.020 3539.700 ;
-        RECT 1120.020 3539.690 1123.020 3539.700 ;
-        RECT 1300.020 3539.690 1303.020 3539.700 ;
-        RECT 1480.020 3539.690 1483.020 3539.700 ;
-        RECT 1660.020 3539.690 1663.020 3539.700 ;
-        RECT 1840.020 3539.690 1843.020 3539.700 ;
-        RECT 2020.020 3539.690 2023.020 3539.700 ;
-        RECT 2200.020 3539.690 2203.020 3539.700 ;
-        RECT 2380.020 3539.690 2383.020 3539.700 ;
-        RECT 2560.020 3539.690 2563.020 3539.700 ;
-        RECT 2740.020 3539.690 2743.020 3539.700 ;
-        RECT 2945.000 3539.690 2948.000 3539.700 ;
-        RECT -28.380 3468.380 -25.380 3468.390 ;
-        RECT 2945.000 3468.380 2948.000 3468.390 ;
-        RECT -32.980 3465.380 2.400 3468.380 ;
-        RECT 2917.600 3465.380 2952.600 3468.380 ;
-        RECT -28.380 3465.370 -25.380 3465.380 ;
-        RECT 2945.000 3465.370 2948.000 3465.380 ;
-        RECT -28.380 3288.380 -25.380 3288.390 ;
-        RECT 2945.000 3288.380 2948.000 3288.390 ;
-        RECT -32.980 3285.380 2.400 3288.380 ;
-        RECT 2917.600 3285.380 2952.600 3288.380 ;
-        RECT -28.380 3285.370 -25.380 3285.380 ;
-        RECT 2945.000 3285.370 2948.000 3285.380 ;
-        RECT -28.380 3108.380 -25.380 3108.390 ;
-        RECT 2945.000 3108.380 2948.000 3108.390 ;
-        RECT -32.980 3105.380 2.400 3108.380 ;
-        RECT 2917.600 3105.380 2952.600 3108.380 ;
-        RECT -28.380 3105.370 -25.380 3105.380 ;
-        RECT 2945.000 3105.370 2948.000 3105.380 ;
-        RECT -28.380 2928.380 -25.380 2928.390 ;
-        RECT 2945.000 2928.380 2948.000 2928.390 ;
-        RECT -32.980 2925.380 2.400 2928.380 ;
-        RECT 2917.600 2925.380 2952.600 2928.380 ;
-        RECT -28.380 2925.370 -25.380 2925.380 ;
-        RECT 2945.000 2925.370 2948.000 2925.380 ;
-        RECT -28.380 2748.380 -25.380 2748.390 ;
-        RECT 2945.000 2748.380 2948.000 2748.390 ;
-        RECT -32.980 2745.380 2.400 2748.380 ;
-        RECT 2917.600 2745.380 2952.600 2748.380 ;
-        RECT -28.380 2745.370 -25.380 2745.380 ;
-        RECT 2945.000 2745.370 2948.000 2745.380 ;
-        RECT -28.380 2568.380 -25.380 2568.390 ;
-        RECT 2945.000 2568.380 2948.000 2568.390 ;
-        RECT -32.980 2565.380 2.400 2568.380 ;
-        RECT 2917.600 2565.380 2952.600 2568.380 ;
-        RECT -28.380 2565.370 -25.380 2565.380 ;
-        RECT 2945.000 2565.370 2948.000 2565.380 ;
-        RECT -28.380 2388.380 -25.380 2388.390 ;
-        RECT 2945.000 2388.380 2948.000 2388.390 ;
-        RECT -32.980 2385.380 2.400 2388.380 ;
-        RECT 2917.600 2385.380 2952.600 2388.380 ;
-        RECT -28.380 2385.370 -25.380 2385.380 ;
-        RECT 2945.000 2385.370 2948.000 2385.380 ;
-        RECT -28.380 2208.380 -25.380 2208.390 ;
-        RECT 2945.000 2208.380 2948.000 2208.390 ;
-        RECT -32.980 2205.380 2.400 2208.380 ;
-        RECT 2917.600 2205.380 2952.600 2208.380 ;
-        RECT -28.380 2205.370 -25.380 2205.380 ;
-        RECT 2945.000 2205.370 2948.000 2205.380 ;
-        RECT -28.380 2028.380 -25.380 2028.390 ;
-        RECT 2945.000 2028.380 2948.000 2028.390 ;
-        RECT -32.980 2025.380 2.400 2028.380 ;
-        RECT 2917.600 2025.380 2952.600 2028.380 ;
-        RECT -28.380 2025.370 -25.380 2025.380 ;
-        RECT 2945.000 2025.370 2948.000 2025.380 ;
-        RECT -28.380 1848.380 -25.380 1848.390 ;
-        RECT 2945.000 1848.380 2948.000 1848.390 ;
-        RECT -32.980 1845.380 2.400 1848.380 ;
-        RECT 2917.600 1845.380 2952.600 1848.380 ;
-        RECT -28.380 1845.370 -25.380 1845.380 ;
-        RECT 2945.000 1845.370 2948.000 1845.380 ;
-        RECT -28.380 1668.380 -25.380 1668.390 ;
-        RECT 2945.000 1668.380 2948.000 1668.390 ;
-        RECT -32.980 1665.380 2.400 1668.380 ;
-        RECT 2917.600 1665.380 2952.600 1668.380 ;
-        RECT -28.380 1665.370 -25.380 1665.380 ;
-        RECT 2945.000 1665.370 2948.000 1665.380 ;
-        RECT -28.380 1488.380 -25.380 1488.390 ;
-        RECT 2945.000 1488.380 2948.000 1488.390 ;
-        RECT -32.980 1485.380 2.400 1488.380 ;
-        RECT 2917.600 1485.380 2952.600 1488.380 ;
-        RECT -28.380 1485.370 -25.380 1485.380 ;
-        RECT 2945.000 1485.370 2948.000 1485.380 ;
-        RECT -28.380 1308.380 -25.380 1308.390 ;
-        RECT 2945.000 1308.380 2948.000 1308.390 ;
-        RECT -32.980 1305.380 2.400 1308.380 ;
-        RECT 2917.600 1305.380 2952.600 1308.380 ;
-        RECT -28.380 1305.370 -25.380 1305.380 ;
-        RECT 2945.000 1305.370 2948.000 1305.380 ;
-        RECT -28.380 1128.380 -25.380 1128.390 ;
-        RECT 2945.000 1128.380 2948.000 1128.390 ;
-        RECT -32.980 1125.380 2.400 1128.380 ;
-        RECT 2917.600 1125.380 2952.600 1128.380 ;
-        RECT -28.380 1125.370 -25.380 1125.380 ;
-        RECT 2945.000 1125.370 2948.000 1125.380 ;
-        RECT -28.380 948.380 -25.380 948.390 ;
-        RECT 2945.000 948.380 2948.000 948.390 ;
-        RECT -32.980 945.380 2.400 948.380 ;
-        RECT 2917.600 945.380 2952.600 948.380 ;
-        RECT -28.380 945.370 -25.380 945.380 ;
-        RECT 2945.000 945.370 2948.000 945.380 ;
-        RECT -28.380 768.380 -25.380 768.390 ;
-        RECT 2945.000 768.380 2948.000 768.390 ;
-        RECT -32.980 765.380 2.400 768.380 ;
-        RECT 2917.600 765.380 2952.600 768.380 ;
-        RECT -28.380 765.370 -25.380 765.380 ;
-        RECT 2945.000 765.370 2948.000 765.380 ;
-        RECT -28.380 588.380 -25.380 588.390 ;
-        RECT 2945.000 588.380 2948.000 588.390 ;
-        RECT -32.980 585.380 2.400 588.380 ;
-        RECT 2917.600 585.380 2952.600 588.380 ;
-        RECT -28.380 585.370 -25.380 585.380 ;
-        RECT 2945.000 585.370 2948.000 585.380 ;
-        RECT -28.380 408.380 -25.380 408.390 ;
-        RECT 2945.000 408.380 2948.000 408.390 ;
-        RECT -32.980 405.380 2.400 408.380 ;
-        RECT 2917.600 405.380 2952.600 408.380 ;
-        RECT -28.380 405.370 -25.380 405.380 ;
-        RECT 2945.000 405.370 2948.000 405.380 ;
-        RECT -28.380 228.380 -25.380 228.390 ;
-        RECT 2945.000 228.380 2948.000 228.390 ;
-        RECT -32.980 225.380 2.400 228.380 ;
-        RECT 2917.600 225.380 2952.600 228.380 ;
-        RECT -28.380 225.370 -25.380 225.380 ;
-        RECT 2945.000 225.370 2948.000 225.380 ;
-        RECT -28.380 48.380 -25.380 48.390 ;
-        RECT 2945.000 48.380 2948.000 48.390 ;
-        RECT -32.980 45.380 2.400 48.380 ;
-        RECT 2917.600 45.380 2952.600 48.380 ;
-        RECT -28.380 45.370 -25.380 45.380 ;
-        RECT 2945.000 45.370 2948.000 45.380 ;
-        RECT -28.380 -20.020 -25.380 -20.010 ;
-        RECT 40.020 -20.020 43.020 -20.010 ;
-        RECT 220.020 -20.020 223.020 -20.010 ;
-        RECT 400.020 -20.020 403.020 -20.010 ;
-        RECT 580.020 -20.020 583.020 -20.010 ;
-        RECT 760.020 -20.020 763.020 -20.010 ;
-        RECT 940.020 -20.020 943.020 -20.010 ;
-        RECT 1120.020 -20.020 1123.020 -20.010 ;
-        RECT 1300.020 -20.020 1303.020 -20.010 ;
-        RECT 1480.020 -20.020 1483.020 -20.010 ;
-        RECT 1660.020 -20.020 1663.020 -20.010 ;
-        RECT 1840.020 -20.020 1843.020 -20.010 ;
-        RECT 2020.020 -20.020 2023.020 -20.010 ;
-        RECT 2200.020 -20.020 2203.020 -20.010 ;
-        RECT 2380.020 -20.020 2383.020 -20.010 ;
-        RECT 2560.020 -20.020 2563.020 -20.010 ;
-        RECT 2740.020 -20.020 2743.020 -20.010 ;
-        RECT 2945.000 -20.020 2948.000 -20.010 ;
-        RECT -28.380 -23.020 2948.000 -20.020 ;
-        RECT -28.380 -23.030 -25.380 -23.020 ;
-        RECT 40.020 -23.030 43.020 -23.020 ;
-        RECT 220.020 -23.030 223.020 -23.020 ;
-        RECT 400.020 -23.030 403.020 -23.020 ;
-        RECT 580.020 -23.030 583.020 -23.020 ;
-        RECT 760.020 -23.030 763.020 -23.020 ;
-        RECT 940.020 -23.030 943.020 -23.020 ;
-        RECT 1120.020 -23.030 1123.020 -23.020 ;
-        RECT 1300.020 -23.030 1303.020 -23.020 ;
-        RECT 1480.020 -23.030 1483.020 -23.020 ;
-        RECT 1660.020 -23.030 1663.020 -23.020 ;
-        RECT 1840.020 -23.030 1843.020 -23.020 ;
-        RECT 2020.020 -23.030 2023.020 -23.020 ;
-        RECT 2200.020 -23.030 2203.020 -23.020 ;
-        RECT 2380.020 -23.030 2383.020 -23.020 ;
-        RECT 2560.020 -23.030 2563.020 -23.020 ;
-        RECT 2740.020 -23.030 2743.020 -23.020 ;
-        RECT 2945.000 -23.030 2948.000 -23.020 ;
+        RECT -28.780 3543.100 -25.780 3543.110 ;
+        RECT 40.020 3543.100 43.020 3543.110 ;
+        RECT 220.020 3543.100 223.020 3543.110 ;
+        RECT 400.020 3543.100 403.020 3543.110 ;
+        RECT 580.020 3543.100 583.020 3543.110 ;
+        RECT 760.020 3543.100 763.020 3543.110 ;
+        RECT 940.020 3543.100 943.020 3543.110 ;
+        RECT 1120.020 3543.100 1123.020 3543.110 ;
+        RECT 1300.020 3543.100 1303.020 3543.110 ;
+        RECT 1480.020 3543.100 1483.020 3543.110 ;
+        RECT 1660.020 3543.100 1663.020 3543.110 ;
+        RECT 1840.020 3543.100 1843.020 3543.110 ;
+        RECT 2020.020 3543.100 2023.020 3543.110 ;
+        RECT 2200.020 3543.100 2203.020 3543.110 ;
+        RECT 2380.020 3543.100 2383.020 3543.110 ;
+        RECT 2560.020 3543.100 2563.020 3543.110 ;
+        RECT 2740.020 3543.100 2743.020 3543.110 ;
+        RECT 2945.400 3543.100 2948.400 3543.110 ;
+        RECT -28.780 3540.100 2948.400 3543.100 ;
+        RECT -28.780 3540.090 -25.780 3540.100 ;
+        RECT 40.020 3540.090 43.020 3540.100 ;
+        RECT 220.020 3540.090 223.020 3540.100 ;
+        RECT 400.020 3540.090 403.020 3540.100 ;
+        RECT 580.020 3540.090 583.020 3540.100 ;
+        RECT 760.020 3540.090 763.020 3540.100 ;
+        RECT 940.020 3540.090 943.020 3540.100 ;
+        RECT 1120.020 3540.090 1123.020 3540.100 ;
+        RECT 1300.020 3540.090 1303.020 3540.100 ;
+        RECT 1480.020 3540.090 1483.020 3540.100 ;
+        RECT 1660.020 3540.090 1663.020 3540.100 ;
+        RECT 1840.020 3540.090 1843.020 3540.100 ;
+        RECT 2020.020 3540.090 2023.020 3540.100 ;
+        RECT 2200.020 3540.090 2203.020 3540.100 ;
+        RECT 2380.020 3540.090 2383.020 3540.100 ;
+        RECT 2560.020 3540.090 2563.020 3540.100 ;
+        RECT 2740.020 3540.090 2743.020 3540.100 ;
+        RECT 2945.400 3540.090 2948.400 3540.100 ;
+        RECT -28.780 3468.380 -25.780 3468.390 ;
+        RECT 2945.400 3468.380 2948.400 3468.390 ;
+        RECT -33.480 3465.380 2.400 3468.380 ;
+        RECT 2917.600 3465.380 2953.100 3468.380 ;
+        RECT -28.780 3465.370 -25.780 3465.380 ;
+        RECT 2945.400 3465.370 2948.400 3465.380 ;
+        RECT -28.780 3288.380 -25.780 3288.390 ;
+        RECT 2945.400 3288.380 2948.400 3288.390 ;
+        RECT -33.480 3285.380 2.400 3288.380 ;
+        RECT 2917.600 3285.380 2953.100 3288.380 ;
+        RECT -28.780 3285.370 -25.780 3285.380 ;
+        RECT 2945.400 3285.370 2948.400 3285.380 ;
+        RECT -28.780 3108.380 -25.780 3108.390 ;
+        RECT 2945.400 3108.380 2948.400 3108.390 ;
+        RECT -33.480 3105.380 2.400 3108.380 ;
+        RECT 2917.600 3105.380 2953.100 3108.380 ;
+        RECT -28.780 3105.370 -25.780 3105.380 ;
+        RECT 2945.400 3105.370 2948.400 3105.380 ;
+        RECT -28.780 2928.380 -25.780 2928.390 ;
+        RECT 2945.400 2928.380 2948.400 2928.390 ;
+        RECT -33.480 2925.380 2.400 2928.380 ;
+        RECT 2917.600 2925.380 2953.100 2928.380 ;
+        RECT -28.780 2925.370 -25.780 2925.380 ;
+        RECT 2945.400 2925.370 2948.400 2925.380 ;
+        RECT -28.780 2748.380 -25.780 2748.390 ;
+        RECT 2945.400 2748.380 2948.400 2748.390 ;
+        RECT -33.480 2745.380 2.400 2748.380 ;
+        RECT 2917.600 2745.380 2953.100 2748.380 ;
+        RECT -28.780 2745.370 -25.780 2745.380 ;
+        RECT 2945.400 2745.370 2948.400 2745.380 ;
+        RECT -28.780 2568.380 -25.780 2568.390 ;
+        RECT 2945.400 2568.380 2948.400 2568.390 ;
+        RECT -33.480 2565.380 2.400 2568.380 ;
+        RECT 2917.600 2565.380 2953.100 2568.380 ;
+        RECT -28.780 2565.370 -25.780 2565.380 ;
+        RECT 2945.400 2565.370 2948.400 2565.380 ;
+        RECT -28.780 2388.380 -25.780 2388.390 ;
+        RECT 2945.400 2388.380 2948.400 2388.390 ;
+        RECT -33.480 2385.380 2.400 2388.380 ;
+        RECT 2917.600 2385.380 2953.100 2388.380 ;
+        RECT -28.780 2385.370 -25.780 2385.380 ;
+        RECT 2945.400 2385.370 2948.400 2385.380 ;
+        RECT -28.780 2208.380 -25.780 2208.390 ;
+        RECT 2945.400 2208.380 2948.400 2208.390 ;
+        RECT -33.480 2205.380 2.400 2208.380 ;
+        RECT 2917.600 2205.380 2953.100 2208.380 ;
+        RECT -28.780 2205.370 -25.780 2205.380 ;
+        RECT 2945.400 2205.370 2948.400 2205.380 ;
+        RECT -28.780 2028.380 -25.780 2028.390 ;
+        RECT 2945.400 2028.380 2948.400 2028.390 ;
+        RECT -33.480 2025.380 2.400 2028.380 ;
+        RECT 2917.600 2025.380 2953.100 2028.380 ;
+        RECT -28.780 2025.370 -25.780 2025.380 ;
+        RECT 2945.400 2025.370 2948.400 2025.380 ;
+        RECT -28.780 1848.380 -25.780 1848.390 ;
+        RECT 2945.400 1848.380 2948.400 1848.390 ;
+        RECT -33.480 1845.380 2.400 1848.380 ;
+        RECT 2917.600 1845.380 2953.100 1848.380 ;
+        RECT -28.780 1845.370 -25.780 1845.380 ;
+        RECT 2945.400 1845.370 2948.400 1845.380 ;
+        RECT -28.780 1668.380 -25.780 1668.390 ;
+        RECT 2945.400 1668.380 2948.400 1668.390 ;
+        RECT -33.480 1665.380 2.400 1668.380 ;
+        RECT 2917.600 1665.380 2953.100 1668.380 ;
+        RECT -28.780 1665.370 -25.780 1665.380 ;
+        RECT 2945.400 1665.370 2948.400 1665.380 ;
+        RECT -28.780 1488.380 -25.780 1488.390 ;
+        RECT 2945.400 1488.380 2948.400 1488.390 ;
+        RECT -33.480 1485.380 2.400 1488.380 ;
+        RECT 2917.600 1485.380 2953.100 1488.380 ;
+        RECT -28.780 1485.370 -25.780 1485.380 ;
+        RECT 2945.400 1485.370 2948.400 1485.380 ;
+        RECT -28.780 1308.380 -25.780 1308.390 ;
+        RECT 2945.400 1308.380 2948.400 1308.390 ;
+        RECT -33.480 1305.380 2.400 1308.380 ;
+        RECT 2917.600 1305.380 2953.100 1308.380 ;
+        RECT -28.780 1305.370 -25.780 1305.380 ;
+        RECT 2945.400 1305.370 2948.400 1305.380 ;
+        RECT -28.780 1128.380 -25.780 1128.390 ;
+        RECT 2945.400 1128.380 2948.400 1128.390 ;
+        RECT -33.480 1125.380 2.400 1128.380 ;
+        RECT 2917.600 1125.380 2953.100 1128.380 ;
+        RECT -28.780 1125.370 -25.780 1125.380 ;
+        RECT 2945.400 1125.370 2948.400 1125.380 ;
+        RECT -28.780 948.380 -25.780 948.390 ;
+        RECT 2945.400 948.380 2948.400 948.390 ;
+        RECT -33.480 945.380 2.400 948.380 ;
+        RECT 2917.600 945.380 2953.100 948.380 ;
+        RECT -28.780 945.370 -25.780 945.380 ;
+        RECT 2945.400 945.370 2948.400 945.380 ;
+        RECT -28.780 768.380 -25.780 768.390 ;
+        RECT 2945.400 768.380 2948.400 768.390 ;
+        RECT -33.480 765.380 2.400 768.380 ;
+        RECT 2917.600 765.380 2953.100 768.380 ;
+        RECT -28.780 765.370 -25.780 765.380 ;
+        RECT 2945.400 765.370 2948.400 765.380 ;
+        RECT -28.780 588.380 -25.780 588.390 ;
+        RECT 2945.400 588.380 2948.400 588.390 ;
+        RECT -33.480 585.380 2.400 588.380 ;
+        RECT 2917.600 585.380 2953.100 588.380 ;
+        RECT -28.780 585.370 -25.780 585.380 ;
+        RECT 2945.400 585.370 2948.400 585.380 ;
+        RECT -28.780 408.380 -25.780 408.390 ;
+        RECT 2945.400 408.380 2948.400 408.390 ;
+        RECT -33.480 405.380 2.400 408.380 ;
+        RECT 2917.600 405.380 2953.100 408.380 ;
+        RECT -28.780 405.370 -25.780 405.380 ;
+        RECT 2945.400 405.370 2948.400 405.380 ;
+        RECT -28.780 228.380 -25.780 228.390 ;
+        RECT 2945.400 228.380 2948.400 228.390 ;
+        RECT -33.480 225.380 2.400 228.380 ;
+        RECT 2917.600 225.380 2953.100 228.380 ;
+        RECT -28.780 225.370 -25.780 225.380 ;
+        RECT 2945.400 225.370 2948.400 225.380 ;
+        RECT -28.780 48.380 -25.780 48.390 ;
+        RECT 2945.400 48.380 2948.400 48.390 ;
+        RECT -33.480 45.380 2.400 48.380 ;
+        RECT 2917.600 45.380 2953.100 48.380 ;
+        RECT -28.780 45.370 -25.780 45.380 ;
+        RECT 2945.400 45.370 2948.400 45.380 ;
+        RECT -28.780 -20.420 -25.780 -20.410 ;
+        RECT 40.020 -20.420 43.020 -20.410 ;
+        RECT 220.020 -20.420 223.020 -20.410 ;
+        RECT 400.020 -20.420 403.020 -20.410 ;
+        RECT 580.020 -20.420 583.020 -20.410 ;
+        RECT 760.020 -20.420 763.020 -20.410 ;
+        RECT 940.020 -20.420 943.020 -20.410 ;
+        RECT 1120.020 -20.420 1123.020 -20.410 ;
+        RECT 1300.020 -20.420 1303.020 -20.410 ;
+        RECT 1480.020 -20.420 1483.020 -20.410 ;
+        RECT 1660.020 -20.420 1663.020 -20.410 ;
+        RECT 1840.020 -20.420 1843.020 -20.410 ;
+        RECT 2020.020 -20.420 2023.020 -20.410 ;
+        RECT 2200.020 -20.420 2203.020 -20.410 ;
+        RECT 2380.020 -20.420 2383.020 -20.410 ;
+        RECT 2560.020 -20.420 2563.020 -20.410 ;
+        RECT 2740.020 -20.420 2743.020 -20.410 ;
+        RECT 2945.400 -20.420 2948.400 -20.410 ;
+        RECT -28.780 -23.420 2948.400 -20.420 ;
+        RECT -28.780 -23.430 -25.780 -23.420 ;
+        RECT 40.020 -23.430 43.020 -23.420 ;
+        RECT 220.020 -23.430 223.020 -23.420 ;
+        RECT 400.020 -23.430 403.020 -23.420 ;
+        RECT 580.020 -23.430 583.020 -23.420 ;
+        RECT 760.020 -23.430 763.020 -23.420 ;
+        RECT 940.020 -23.430 943.020 -23.420 ;
+        RECT 1120.020 -23.430 1123.020 -23.420 ;
+        RECT 1300.020 -23.430 1303.020 -23.420 ;
+        RECT 1480.020 -23.430 1483.020 -23.420 ;
+        RECT 1660.020 -23.430 1663.020 -23.420 ;
+        RECT 1840.020 -23.430 1843.020 -23.420 ;
+        RECT 2020.020 -23.430 2023.020 -23.420 ;
+        RECT 2200.020 -23.430 2203.020 -23.420 ;
+        RECT 2380.020 -23.430 2383.020 -23.420 ;
+        RECT 2560.020 -23.430 2563.020 -23.420 ;
+        RECT 2740.020 -23.430 2743.020 -23.420 ;
+        RECT 2945.400 -23.430 2948.400 -23.420 ;
     END
   END vdda1
   PIN vssa1
     DIRECTION INPUT ;
     PORT
       LAYER met4 ;
-        RECT -32.980 -27.620 -29.980 3547.300 ;
-        RECT 130.020 3517.600 133.020 3547.300 ;
-        RECT 310.020 3517.600 313.020 3547.300 ;
-        RECT 490.020 3517.600 493.020 3547.300 ;
-        RECT 670.020 3517.600 673.020 3547.300 ;
-        RECT 850.020 3517.600 853.020 3547.300 ;
-        RECT 1030.020 3517.600 1033.020 3547.300 ;
-        RECT 1210.020 3517.600 1213.020 3547.300 ;
-        RECT 1390.020 3517.600 1393.020 3547.300 ;
-        RECT 1570.020 3517.600 1573.020 3547.300 ;
-        RECT 1750.020 3517.600 1753.020 3547.300 ;
-        RECT 1930.020 3517.600 1933.020 3547.300 ;
-        RECT 2110.020 3517.600 2113.020 3547.300 ;
-        RECT 2290.020 3517.600 2293.020 3547.300 ;
-        RECT 2470.020 3517.600 2473.020 3547.300 ;
-        RECT 2650.020 3517.600 2653.020 3547.300 ;
-        RECT 2830.020 3517.600 2833.020 3547.300 ;
-        RECT 130.020 -27.620 133.020 2.400 ;
-        RECT 310.020 -27.620 313.020 2.400 ;
-        RECT 490.020 -27.620 493.020 2.400 ;
-        RECT 670.020 -27.620 673.020 2.400 ;
-        RECT 850.020 -27.620 853.020 2.400 ;
-        RECT 1030.020 -27.620 1033.020 2.400 ;
-        RECT 1210.020 -27.620 1213.020 2.400 ;
-        RECT 1390.020 -27.620 1393.020 2.400 ;
-        RECT 1570.020 -27.620 1573.020 2.400 ;
-        RECT 1750.020 -27.620 1753.020 2.400 ;
-        RECT 1930.020 -27.620 1933.020 2.400 ;
-        RECT 2110.020 -27.620 2113.020 2.400 ;
-        RECT 2290.020 -27.620 2293.020 2.400 ;
-        RECT 2470.020 -27.620 2473.020 2.400 ;
-        RECT 2650.020 -27.620 2653.020 2.400 ;
-        RECT 2830.020 -27.620 2833.020 2.400 ;
-        RECT 2949.600 -27.620 2952.600 3547.300 ;
+        RECT -33.480 -28.120 -30.480 3547.800 ;
+        RECT 130.020 3517.600 133.020 3547.800 ;
+        RECT 310.020 3517.600 313.020 3547.800 ;
+        RECT 490.020 3517.600 493.020 3547.800 ;
+        RECT 670.020 3517.600 673.020 3547.800 ;
+        RECT 850.020 3517.600 853.020 3547.800 ;
+        RECT 1030.020 3517.600 1033.020 3547.800 ;
+        RECT 1210.020 3517.600 1213.020 3547.800 ;
+        RECT 1390.020 3517.600 1393.020 3547.800 ;
+        RECT 1570.020 3517.600 1573.020 3547.800 ;
+        RECT 1750.020 3517.600 1753.020 3547.800 ;
+        RECT 1930.020 3517.600 1933.020 3547.800 ;
+        RECT 2110.020 3517.600 2113.020 3547.800 ;
+        RECT 2290.020 3517.600 2293.020 3547.800 ;
+        RECT 2470.020 3517.600 2473.020 3547.800 ;
+        RECT 2650.020 3517.600 2653.020 3547.800 ;
+        RECT 2830.020 3517.600 2833.020 3547.800 ;
+        RECT 130.020 -28.120 133.020 2.400 ;
+        RECT 310.020 -28.120 313.020 2.400 ;
+        RECT 490.020 -28.120 493.020 2.400 ;
+        RECT 670.020 -28.120 673.020 2.400 ;
+        RECT 850.020 -28.120 853.020 2.400 ;
+        RECT 1030.020 -28.120 1033.020 2.400 ;
+        RECT 1210.020 -28.120 1213.020 2.400 ;
+        RECT 1390.020 -28.120 1393.020 2.400 ;
+        RECT 1570.020 -28.120 1573.020 2.400 ;
+        RECT 1750.020 -28.120 1753.020 2.400 ;
+        RECT 1930.020 -28.120 1933.020 2.400 ;
+        RECT 2110.020 -28.120 2113.020 2.400 ;
+        RECT 2290.020 -28.120 2293.020 2.400 ;
+        RECT 2470.020 -28.120 2473.020 2.400 ;
+        RECT 2650.020 -28.120 2653.020 2.400 ;
+        RECT 2830.020 -28.120 2833.020 2.400 ;
+        RECT 2950.100 -28.120 2953.100 3547.800 ;
       LAYER M4M5_PR_C ;
-        RECT -32.070 3546.010 -30.890 3547.190 ;
-        RECT -32.070 3544.410 -30.890 3545.590 ;
-        RECT 130.930 3546.010 132.110 3547.190 ;
-        RECT 130.930 3544.410 132.110 3545.590 ;
-        RECT 310.930 3546.010 312.110 3547.190 ;
-        RECT 310.930 3544.410 312.110 3545.590 ;
-        RECT 490.930 3546.010 492.110 3547.190 ;
-        RECT 490.930 3544.410 492.110 3545.590 ;
-        RECT 670.930 3546.010 672.110 3547.190 ;
-        RECT 670.930 3544.410 672.110 3545.590 ;
-        RECT 850.930 3546.010 852.110 3547.190 ;
-        RECT 850.930 3544.410 852.110 3545.590 ;
-        RECT 1030.930 3546.010 1032.110 3547.190 ;
-        RECT 1030.930 3544.410 1032.110 3545.590 ;
-        RECT 1210.930 3546.010 1212.110 3547.190 ;
-        RECT 1210.930 3544.410 1212.110 3545.590 ;
-        RECT 1390.930 3546.010 1392.110 3547.190 ;
-        RECT 1390.930 3544.410 1392.110 3545.590 ;
-        RECT 1570.930 3546.010 1572.110 3547.190 ;
-        RECT 1570.930 3544.410 1572.110 3545.590 ;
-        RECT 1750.930 3546.010 1752.110 3547.190 ;
-        RECT 1750.930 3544.410 1752.110 3545.590 ;
-        RECT 1930.930 3546.010 1932.110 3547.190 ;
-        RECT 1930.930 3544.410 1932.110 3545.590 ;
-        RECT 2110.930 3546.010 2112.110 3547.190 ;
-        RECT 2110.930 3544.410 2112.110 3545.590 ;
-        RECT 2290.930 3546.010 2292.110 3547.190 ;
-        RECT 2290.930 3544.410 2292.110 3545.590 ;
-        RECT 2470.930 3546.010 2472.110 3547.190 ;
-        RECT 2470.930 3544.410 2472.110 3545.590 ;
-        RECT 2650.930 3546.010 2652.110 3547.190 ;
-        RECT 2650.930 3544.410 2652.110 3545.590 ;
-        RECT 2830.930 3546.010 2832.110 3547.190 ;
-        RECT 2830.930 3544.410 2832.110 3545.590 ;
-        RECT 2950.510 3546.010 2951.690 3547.190 ;
-        RECT 2950.510 3544.410 2951.690 3545.590 ;
-        RECT -32.070 3377.090 -30.890 3378.270 ;
-        RECT -32.070 3375.490 -30.890 3376.670 ;
-        RECT -32.070 3197.090 -30.890 3198.270 ;
-        RECT -32.070 3195.490 -30.890 3196.670 ;
-        RECT -32.070 3017.090 -30.890 3018.270 ;
-        RECT -32.070 3015.490 -30.890 3016.670 ;
-        RECT -32.070 2837.090 -30.890 2838.270 ;
-        RECT -32.070 2835.490 -30.890 2836.670 ;
-        RECT -32.070 2657.090 -30.890 2658.270 ;
-        RECT -32.070 2655.490 -30.890 2656.670 ;
-        RECT -32.070 2477.090 -30.890 2478.270 ;
-        RECT -32.070 2475.490 -30.890 2476.670 ;
-        RECT -32.070 2297.090 -30.890 2298.270 ;
-        RECT -32.070 2295.490 -30.890 2296.670 ;
-        RECT -32.070 2117.090 -30.890 2118.270 ;
-        RECT -32.070 2115.490 -30.890 2116.670 ;
-        RECT -32.070 1937.090 -30.890 1938.270 ;
-        RECT -32.070 1935.490 -30.890 1936.670 ;
-        RECT -32.070 1757.090 -30.890 1758.270 ;
-        RECT -32.070 1755.490 -30.890 1756.670 ;
-        RECT -32.070 1577.090 -30.890 1578.270 ;
-        RECT -32.070 1575.490 -30.890 1576.670 ;
-        RECT -32.070 1397.090 -30.890 1398.270 ;
-        RECT -32.070 1395.490 -30.890 1396.670 ;
-        RECT -32.070 1217.090 -30.890 1218.270 ;
-        RECT -32.070 1215.490 -30.890 1216.670 ;
-        RECT -32.070 1037.090 -30.890 1038.270 ;
-        RECT -32.070 1035.490 -30.890 1036.670 ;
-        RECT -32.070 857.090 -30.890 858.270 ;
-        RECT -32.070 855.490 -30.890 856.670 ;
-        RECT -32.070 677.090 -30.890 678.270 ;
-        RECT -32.070 675.490 -30.890 676.670 ;
-        RECT -32.070 497.090 -30.890 498.270 ;
-        RECT -32.070 495.490 -30.890 496.670 ;
-        RECT -32.070 317.090 -30.890 318.270 ;
-        RECT -32.070 315.490 -30.890 316.670 ;
-        RECT -32.070 137.090 -30.890 138.270 ;
-        RECT -32.070 135.490 -30.890 136.670 ;
-        RECT 2950.510 3377.090 2951.690 3378.270 ;
-        RECT 2950.510 3375.490 2951.690 3376.670 ;
-        RECT 2950.510 3197.090 2951.690 3198.270 ;
-        RECT 2950.510 3195.490 2951.690 3196.670 ;
-        RECT 2950.510 3017.090 2951.690 3018.270 ;
-        RECT 2950.510 3015.490 2951.690 3016.670 ;
-        RECT 2950.510 2837.090 2951.690 2838.270 ;
-        RECT 2950.510 2835.490 2951.690 2836.670 ;
-        RECT 2950.510 2657.090 2951.690 2658.270 ;
-        RECT 2950.510 2655.490 2951.690 2656.670 ;
-        RECT 2950.510 2477.090 2951.690 2478.270 ;
-        RECT 2950.510 2475.490 2951.690 2476.670 ;
-        RECT 2950.510 2297.090 2951.690 2298.270 ;
-        RECT 2950.510 2295.490 2951.690 2296.670 ;
-        RECT 2950.510 2117.090 2951.690 2118.270 ;
-        RECT 2950.510 2115.490 2951.690 2116.670 ;
-        RECT 2950.510 1937.090 2951.690 1938.270 ;
-        RECT 2950.510 1935.490 2951.690 1936.670 ;
-        RECT 2950.510 1757.090 2951.690 1758.270 ;
-        RECT 2950.510 1755.490 2951.690 1756.670 ;
-        RECT 2950.510 1577.090 2951.690 1578.270 ;
-        RECT 2950.510 1575.490 2951.690 1576.670 ;
-        RECT 2950.510 1397.090 2951.690 1398.270 ;
-        RECT 2950.510 1395.490 2951.690 1396.670 ;
-        RECT 2950.510 1217.090 2951.690 1218.270 ;
-        RECT 2950.510 1215.490 2951.690 1216.670 ;
-        RECT 2950.510 1037.090 2951.690 1038.270 ;
-        RECT 2950.510 1035.490 2951.690 1036.670 ;
-        RECT 2950.510 857.090 2951.690 858.270 ;
-        RECT 2950.510 855.490 2951.690 856.670 ;
-        RECT 2950.510 677.090 2951.690 678.270 ;
-        RECT 2950.510 675.490 2951.690 676.670 ;
-        RECT 2950.510 497.090 2951.690 498.270 ;
-        RECT 2950.510 495.490 2951.690 496.670 ;
-        RECT 2950.510 317.090 2951.690 318.270 ;
-        RECT 2950.510 315.490 2951.690 316.670 ;
-        RECT 2950.510 137.090 2951.690 138.270 ;
-        RECT 2950.510 135.490 2951.690 136.670 ;
-        RECT -32.070 -25.910 -30.890 -24.730 ;
-        RECT -32.070 -27.510 -30.890 -26.330 ;
-        RECT 130.930 -25.910 132.110 -24.730 ;
-        RECT 130.930 -27.510 132.110 -26.330 ;
-        RECT 310.930 -25.910 312.110 -24.730 ;
-        RECT 310.930 -27.510 312.110 -26.330 ;
-        RECT 490.930 -25.910 492.110 -24.730 ;
-        RECT 490.930 -27.510 492.110 -26.330 ;
-        RECT 670.930 -25.910 672.110 -24.730 ;
-        RECT 670.930 -27.510 672.110 -26.330 ;
-        RECT 850.930 -25.910 852.110 -24.730 ;
-        RECT 850.930 -27.510 852.110 -26.330 ;
-        RECT 1030.930 -25.910 1032.110 -24.730 ;
-        RECT 1030.930 -27.510 1032.110 -26.330 ;
-        RECT 1210.930 -25.910 1212.110 -24.730 ;
-        RECT 1210.930 -27.510 1212.110 -26.330 ;
-        RECT 1390.930 -25.910 1392.110 -24.730 ;
-        RECT 1390.930 -27.510 1392.110 -26.330 ;
-        RECT 1570.930 -25.910 1572.110 -24.730 ;
-        RECT 1570.930 -27.510 1572.110 -26.330 ;
-        RECT 1750.930 -25.910 1752.110 -24.730 ;
-        RECT 1750.930 -27.510 1752.110 -26.330 ;
-        RECT 1930.930 -25.910 1932.110 -24.730 ;
-        RECT 1930.930 -27.510 1932.110 -26.330 ;
-        RECT 2110.930 -25.910 2112.110 -24.730 ;
-        RECT 2110.930 -27.510 2112.110 -26.330 ;
-        RECT 2290.930 -25.910 2292.110 -24.730 ;
-        RECT 2290.930 -27.510 2292.110 -26.330 ;
-        RECT 2470.930 -25.910 2472.110 -24.730 ;
-        RECT 2470.930 -27.510 2472.110 -26.330 ;
-        RECT 2650.930 -25.910 2652.110 -24.730 ;
-        RECT 2650.930 -27.510 2652.110 -26.330 ;
-        RECT 2830.930 -25.910 2832.110 -24.730 ;
-        RECT 2830.930 -27.510 2832.110 -26.330 ;
-        RECT 2950.510 -25.910 2951.690 -24.730 ;
-        RECT 2950.510 -27.510 2951.690 -26.330 ;
+        RECT -32.570 3546.510 -31.390 3547.690 ;
+        RECT -32.570 3544.910 -31.390 3546.090 ;
+        RECT 130.930 3546.510 132.110 3547.690 ;
+        RECT 130.930 3544.910 132.110 3546.090 ;
+        RECT 310.930 3546.510 312.110 3547.690 ;
+        RECT 310.930 3544.910 312.110 3546.090 ;
+        RECT 490.930 3546.510 492.110 3547.690 ;
+        RECT 490.930 3544.910 492.110 3546.090 ;
+        RECT 670.930 3546.510 672.110 3547.690 ;
+        RECT 670.930 3544.910 672.110 3546.090 ;
+        RECT 850.930 3546.510 852.110 3547.690 ;
+        RECT 850.930 3544.910 852.110 3546.090 ;
+        RECT 1030.930 3546.510 1032.110 3547.690 ;
+        RECT 1030.930 3544.910 1032.110 3546.090 ;
+        RECT 1210.930 3546.510 1212.110 3547.690 ;
+        RECT 1210.930 3544.910 1212.110 3546.090 ;
+        RECT 1390.930 3546.510 1392.110 3547.690 ;
+        RECT 1390.930 3544.910 1392.110 3546.090 ;
+        RECT 1570.930 3546.510 1572.110 3547.690 ;
+        RECT 1570.930 3544.910 1572.110 3546.090 ;
+        RECT 1750.930 3546.510 1752.110 3547.690 ;
+        RECT 1750.930 3544.910 1752.110 3546.090 ;
+        RECT 1930.930 3546.510 1932.110 3547.690 ;
+        RECT 1930.930 3544.910 1932.110 3546.090 ;
+        RECT 2110.930 3546.510 2112.110 3547.690 ;
+        RECT 2110.930 3544.910 2112.110 3546.090 ;
+        RECT 2290.930 3546.510 2292.110 3547.690 ;
+        RECT 2290.930 3544.910 2292.110 3546.090 ;
+        RECT 2470.930 3546.510 2472.110 3547.690 ;
+        RECT 2470.930 3544.910 2472.110 3546.090 ;
+        RECT 2650.930 3546.510 2652.110 3547.690 ;
+        RECT 2650.930 3544.910 2652.110 3546.090 ;
+        RECT 2830.930 3546.510 2832.110 3547.690 ;
+        RECT 2830.930 3544.910 2832.110 3546.090 ;
+        RECT 2951.010 3546.510 2952.190 3547.690 ;
+        RECT 2951.010 3544.910 2952.190 3546.090 ;
+        RECT -32.570 3377.090 -31.390 3378.270 ;
+        RECT -32.570 3375.490 -31.390 3376.670 ;
+        RECT -32.570 3197.090 -31.390 3198.270 ;
+        RECT -32.570 3195.490 -31.390 3196.670 ;
+        RECT -32.570 3017.090 -31.390 3018.270 ;
+        RECT -32.570 3015.490 -31.390 3016.670 ;
+        RECT -32.570 2837.090 -31.390 2838.270 ;
+        RECT -32.570 2835.490 -31.390 2836.670 ;
+        RECT -32.570 2657.090 -31.390 2658.270 ;
+        RECT -32.570 2655.490 -31.390 2656.670 ;
+        RECT -32.570 2477.090 -31.390 2478.270 ;
+        RECT -32.570 2475.490 -31.390 2476.670 ;
+        RECT -32.570 2297.090 -31.390 2298.270 ;
+        RECT -32.570 2295.490 -31.390 2296.670 ;
+        RECT -32.570 2117.090 -31.390 2118.270 ;
+        RECT -32.570 2115.490 -31.390 2116.670 ;
+        RECT -32.570 1937.090 -31.390 1938.270 ;
+        RECT -32.570 1935.490 -31.390 1936.670 ;
+        RECT -32.570 1757.090 -31.390 1758.270 ;
+        RECT -32.570 1755.490 -31.390 1756.670 ;
+        RECT -32.570 1577.090 -31.390 1578.270 ;
+        RECT -32.570 1575.490 -31.390 1576.670 ;
+        RECT -32.570 1397.090 -31.390 1398.270 ;
+        RECT -32.570 1395.490 -31.390 1396.670 ;
+        RECT -32.570 1217.090 -31.390 1218.270 ;
+        RECT -32.570 1215.490 -31.390 1216.670 ;
+        RECT -32.570 1037.090 -31.390 1038.270 ;
+        RECT -32.570 1035.490 -31.390 1036.670 ;
+        RECT -32.570 857.090 -31.390 858.270 ;
+        RECT -32.570 855.490 -31.390 856.670 ;
+        RECT -32.570 677.090 -31.390 678.270 ;
+        RECT -32.570 675.490 -31.390 676.670 ;
+        RECT -32.570 497.090 -31.390 498.270 ;
+        RECT -32.570 495.490 -31.390 496.670 ;
+        RECT -32.570 317.090 -31.390 318.270 ;
+        RECT -32.570 315.490 -31.390 316.670 ;
+        RECT -32.570 137.090 -31.390 138.270 ;
+        RECT -32.570 135.490 -31.390 136.670 ;
+        RECT 2951.010 3377.090 2952.190 3378.270 ;
+        RECT 2951.010 3375.490 2952.190 3376.670 ;
+        RECT 2951.010 3197.090 2952.190 3198.270 ;
+        RECT 2951.010 3195.490 2952.190 3196.670 ;
+        RECT 2951.010 3017.090 2952.190 3018.270 ;
+        RECT 2951.010 3015.490 2952.190 3016.670 ;
+        RECT 2951.010 2837.090 2952.190 2838.270 ;
+        RECT 2951.010 2835.490 2952.190 2836.670 ;
+        RECT 2951.010 2657.090 2952.190 2658.270 ;
+        RECT 2951.010 2655.490 2952.190 2656.670 ;
+        RECT 2951.010 2477.090 2952.190 2478.270 ;
+        RECT 2951.010 2475.490 2952.190 2476.670 ;
+        RECT 2951.010 2297.090 2952.190 2298.270 ;
+        RECT 2951.010 2295.490 2952.190 2296.670 ;
+        RECT 2951.010 2117.090 2952.190 2118.270 ;
+        RECT 2951.010 2115.490 2952.190 2116.670 ;
+        RECT 2951.010 1937.090 2952.190 1938.270 ;
+        RECT 2951.010 1935.490 2952.190 1936.670 ;
+        RECT 2951.010 1757.090 2952.190 1758.270 ;
+        RECT 2951.010 1755.490 2952.190 1756.670 ;
+        RECT 2951.010 1577.090 2952.190 1578.270 ;
+        RECT 2951.010 1575.490 2952.190 1576.670 ;
+        RECT 2951.010 1397.090 2952.190 1398.270 ;
+        RECT 2951.010 1395.490 2952.190 1396.670 ;
+        RECT 2951.010 1217.090 2952.190 1218.270 ;
+        RECT 2951.010 1215.490 2952.190 1216.670 ;
+        RECT 2951.010 1037.090 2952.190 1038.270 ;
+        RECT 2951.010 1035.490 2952.190 1036.670 ;
+        RECT 2951.010 857.090 2952.190 858.270 ;
+        RECT 2951.010 855.490 2952.190 856.670 ;
+        RECT 2951.010 677.090 2952.190 678.270 ;
+        RECT 2951.010 675.490 2952.190 676.670 ;
+        RECT 2951.010 497.090 2952.190 498.270 ;
+        RECT 2951.010 495.490 2952.190 496.670 ;
+        RECT 2951.010 317.090 2952.190 318.270 ;
+        RECT 2951.010 315.490 2952.190 316.670 ;
+        RECT 2951.010 137.090 2952.190 138.270 ;
+        RECT 2951.010 135.490 2952.190 136.670 ;
+        RECT -32.570 -26.410 -31.390 -25.230 ;
+        RECT -32.570 -28.010 -31.390 -26.830 ;
+        RECT 130.930 -26.410 132.110 -25.230 ;
+        RECT 130.930 -28.010 132.110 -26.830 ;
+        RECT 310.930 -26.410 312.110 -25.230 ;
+        RECT 310.930 -28.010 312.110 -26.830 ;
+        RECT 490.930 -26.410 492.110 -25.230 ;
+        RECT 490.930 -28.010 492.110 -26.830 ;
+        RECT 670.930 -26.410 672.110 -25.230 ;
+        RECT 670.930 -28.010 672.110 -26.830 ;
+        RECT 850.930 -26.410 852.110 -25.230 ;
+        RECT 850.930 -28.010 852.110 -26.830 ;
+        RECT 1030.930 -26.410 1032.110 -25.230 ;
+        RECT 1030.930 -28.010 1032.110 -26.830 ;
+        RECT 1210.930 -26.410 1212.110 -25.230 ;
+        RECT 1210.930 -28.010 1212.110 -26.830 ;
+        RECT 1390.930 -26.410 1392.110 -25.230 ;
+        RECT 1390.930 -28.010 1392.110 -26.830 ;
+        RECT 1570.930 -26.410 1572.110 -25.230 ;
+        RECT 1570.930 -28.010 1572.110 -26.830 ;
+        RECT 1750.930 -26.410 1752.110 -25.230 ;
+        RECT 1750.930 -28.010 1752.110 -26.830 ;
+        RECT 1930.930 -26.410 1932.110 -25.230 ;
+        RECT 1930.930 -28.010 1932.110 -26.830 ;
+        RECT 2110.930 -26.410 2112.110 -25.230 ;
+        RECT 2110.930 -28.010 2112.110 -26.830 ;
+        RECT 2290.930 -26.410 2292.110 -25.230 ;
+        RECT 2290.930 -28.010 2292.110 -26.830 ;
+        RECT 2470.930 -26.410 2472.110 -25.230 ;
+        RECT 2470.930 -28.010 2472.110 -26.830 ;
+        RECT 2650.930 -26.410 2652.110 -25.230 ;
+        RECT 2650.930 -28.010 2652.110 -26.830 ;
+        RECT 2830.930 -26.410 2832.110 -25.230 ;
+        RECT 2830.930 -28.010 2832.110 -26.830 ;
+        RECT 2951.010 -26.410 2952.190 -25.230 ;
+        RECT 2951.010 -28.010 2952.190 -26.830 ;
       LAYER met5 ;
-        RECT -32.980 3547.300 -29.980 3547.310 ;
-        RECT 130.020 3547.300 133.020 3547.310 ;
-        RECT 310.020 3547.300 313.020 3547.310 ;
-        RECT 490.020 3547.300 493.020 3547.310 ;
-        RECT 670.020 3547.300 673.020 3547.310 ;
-        RECT 850.020 3547.300 853.020 3547.310 ;
-        RECT 1030.020 3547.300 1033.020 3547.310 ;
-        RECT 1210.020 3547.300 1213.020 3547.310 ;
-        RECT 1390.020 3547.300 1393.020 3547.310 ;
-        RECT 1570.020 3547.300 1573.020 3547.310 ;
-        RECT 1750.020 3547.300 1753.020 3547.310 ;
-        RECT 1930.020 3547.300 1933.020 3547.310 ;
-        RECT 2110.020 3547.300 2113.020 3547.310 ;
-        RECT 2290.020 3547.300 2293.020 3547.310 ;
-        RECT 2470.020 3547.300 2473.020 3547.310 ;
-        RECT 2650.020 3547.300 2653.020 3547.310 ;
-        RECT 2830.020 3547.300 2833.020 3547.310 ;
-        RECT 2949.600 3547.300 2952.600 3547.310 ;
-        RECT -32.980 3544.300 2952.600 3547.300 ;
-        RECT -32.980 3544.290 -29.980 3544.300 ;
-        RECT 130.020 3544.290 133.020 3544.300 ;
-        RECT 310.020 3544.290 313.020 3544.300 ;
-        RECT 490.020 3544.290 493.020 3544.300 ;
-        RECT 670.020 3544.290 673.020 3544.300 ;
-        RECT 850.020 3544.290 853.020 3544.300 ;
-        RECT 1030.020 3544.290 1033.020 3544.300 ;
-        RECT 1210.020 3544.290 1213.020 3544.300 ;
-        RECT 1390.020 3544.290 1393.020 3544.300 ;
-        RECT 1570.020 3544.290 1573.020 3544.300 ;
-        RECT 1750.020 3544.290 1753.020 3544.300 ;
-        RECT 1930.020 3544.290 1933.020 3544.300 ;
-        RECT 2110.020 3544.290 2113.020 3544.300 ;
-        RECT 2290.020 3544.290 2293.020 3544.300 ;
-        RECT 2470.020 3544.290 2473.020 3544.300 ;
-        RECT 2650.020 3544.290 2653.020 3544.300 ;
-        RECT 2830.020 3544.290 2833.020 3544.300 ;
-        RECT 2949.600 3544.290 2952.600 3544.300 ;
-        RECT -32.980 3378.380 -29.980 3378.390 ;
-        RECT 2949.600 3378.380 2952.600 3378.390 ;
-        RECT -32.980 3375.380 2.400 3378.380 ;
-        RECT 2917.600 3375.380 2952.600 3378.380 ;
-        RECT -32.980 3375.370 -29.980 3375.380 ;
-        RECT 2949.600 3375.370 2952.600 3375.380 ;
-        RECT -32.980 3198.380 -29.980 3198.390 ;
-        RECT 2949.600 3198.380 2952.600 3198.390 ;
-        RECT -32.980 3195.380 2.400 3198.380 ;
-        RECT 2917.600 3195.380 2952.600 3198.380 ;
-        RECT -32.980 3195.370 -29.980 3195.380 ;
-        RECT 2949.600 3195.370 2952.600 3195.380 ;
-        RECT -32.980 3018.380 -29.980 3018.390 ;
-        RECT 2949.600 3018.380 2952.600 3018.390 ;
-        RECT -32.980 3015.380 2.400 3018.380 ;
-        RECT 2917.600 3015.380 2952.600 3018.380 ;
-        RECT -32.980 3015.370 -29.980 3015.380 ;
-        RECT 2949.600 3015.370 2952.600 3015.380 ;
-        RECT -32.980 2838.380 -29.980 2838.390 ;
-        RECT 2949.600 2838.380 2952.600 2838.390 ;
-        RECT -32.980 2835.380 2.400 2838.380 ;
-        RECT 2917.600 2835.380 2952.600 2838.380 ;
-        RECT -32.980 2835.370 -29.980 2835.380 ;
-        RECT 2949.600 2835.370 2952.600 2835.380 ;
-        RECT -32.980 2658.380 -29.980 2658.390 ;
-        RECT 2949.600 2658.380 2952.600 2658.390 ;
-        RECT -32.980 2655.380 2.400 2658.380 ;
-        RECT 2917.600 2655.380 2952.600 2658.380 ;
-        RECT -32.980 2655.370 -29.980 2655.380 ;
-        RECT 2949.600 2655.370 2952.600 2655.380 ;
-        RECT -32.980 2478.380 -29.980 2478.390 ;
-        RECT 2949.600 2478.380 2952.600 2478.390 ;
-        RECT -32.980 2475.380 2.400 2478.380 ;
-        RECT 2917.600 2475.380 2952.600 2478.380 ;
-        RECT -32.980 2475.370 -29.980 2475.380 ;
-        RECT 2949.600 2475.370 2952.600 2475.380 ;
-        RECT -32.980 2298.380 -29.980 2298.390 ;
-        RECT 2949.600 2298.380 2952.600 2298.390 ;
-        RECT -32.980 2295.380 2.400 2298.380 ;
-        RECT 2917.600 2295.380 2952.600 2298.380 ;
-        RECT -32.980 2295.370 -29.980 2295.380 ;
-        RECT 2949.600 2295.370 2952.600 2295.380 ;
-        RECT -32.980 2118.380 -29.980 2118.390 ;
-        RECT 2949.600 2118.380 2952.600 2118.390 ;
-        RECT -32.980 2115.380 2.400 2118.380 ;
-        RECT 2917.600 2115.380 2952.600 2118.380 ;
-        RECT -32.980 2115.370 -29.980 2115.380 ;
-        RECT 2949.600 2115.370 2952.600 2115.380 ;
-        RECT -32.980 1938.380 -29.980 1938.390 ;
-        RECT 2949.600 1938.380 2952.600 1938.390 ;
-        RECT -32.980 1935.380 2.400 1938.380 ;
-        RECT 2917.600 1935.380 2952.600 1938.380 ;
-        RECT -32.980 1935.370 -29.980 1935.380 ;
-        RECT 2949.600 1935.370 2952.600 1935.380 ;
-        RECT -32.980 1758.380 -29.980 1758.390 ;
-        RECT 2949.600 1758.380 2952.600 1758.390 ;
-        RECT -32.980 1755.380 2.400 1758.380 ;
-        RECT 2917.600 1755.380 2952.600 1758.380 ;
-        RECT -32.980 1755.370 -29.980 1755.380 ;
-        RECT 2949.600 1755.370 2952.600 1755.380 ;
-        RECT -32.980 1578.380 -29.980 1578.390 ;
-        RECT 2949.600 1578.380 2952.600 1578.390 ;
-        RECT -32.980 1575.380 2.400 1578.380 ;
-        RECT 2917.600 1575.380 2952.600 1578.380 ;
-        RECT -32.980 1575.370 -29.980 1575.380 ;
-        RECT 2949.600 1575.370 2952.600 1575.380 ;
-        RECT -32.980 1398.380 -29.980 1398.390 ;
-        RECT 2949.600 1398.380 2952.600 1398.390 ;
-        RECT -32.980 1395.380 2.400 1398.380 ;
-        RECT 2917.600 1395.380 2952.600 1398.380 ;
-        RECT -32.980 1395.370 -29.980 1395.380 ;
-        RECT 2949.600 1395.370 2952.600 1395.380 ;
-        RECT -32.980 1218.380 -29.980 1218.390 ;
-        RECT 2949.600 1218.380 2952.600 1218.390 ;
-        RECT -32.980 1215.380 2.400 1218.380 ;
-        RECT 2917.600 1215.380 2952.600 1218.380 ;
-        RECT -32.980 1215.370 -29.980 1215.380 ;
-        RECT 2949.600 1215.370 2952.600 1215.380 ;
-        RECT -32.980 1038.380 -29.980 1038.390 ;
-        RECT 2949.600 1038.380 2952.600 1038.390 ;
-        RECT -32.980 1035.380 2.400 1038.380 ;
-        RECT 2917.600 1035.380 2952.600 1038.380 ;
-        RECT -32.980 1035.370 -29.980 1035.380 ;
-        RECT 2949.600 1035.370 2952.600 1035.380 ;
-        RECT -32.980 858.380 -29.980 858.390 ;
-        RECT 2949.600 858.380 2952.600 858.390 ;
-        RECT -32.980 855.380 2.400 858.380 ;
-        RECT 2917.600 855.380 2952.600 858.380 ;
-        RECT -32.980 855.370 -29.980 855.380 ;
-        RECT 2949.600 855.370 2952.600 855.380 ;
-        RECT -32.980 678.380 -29.980 678.390 ;
-        RECT 2949.600 678.380 2952.600 678.390 ;
-        RECT -32.980 675.380 2.400 678.380 ;
-        RECT 2917.600 675.380 2952.600 678.380 ;
-        RECT -32.980 675.370 -29.980 675.380 ;
-        RECT 2949.600 675.370 2952.600 675.380 ;
-        RECT -32.980 498.380 -29.980 498.390 ;
-        RECT 2949.600 498.380 2952.600 498.390 ;
-        RECT -32.980 495.380 2.400 498.380 ;
-        RECT 2917.600 495.380 2952.600 498.380 ;
-        RECT -32.980 495.370 -29.980 495.380 ;
-        RECT 2949.600 495.370 2952.600 495.380 ;
-        RECT -32.980 318.380 -29.980 318.390 ;
-        RECT 2949.600 318.380 2952.600 318.390 ;
-        RECT -32.980 315.380 2.400 318.380 ;
-        RECT 2917.600 315.380 2952.600 318.380 ;
-        RECT -32.980 315.370 -29.980 315.380 ;
-        RECT 2949.600 315.370 2952.600 315.380 ;
-        RECT -32.980 138.380 -29.980 138.390 ;
-        RECT 2949.600 138.380 2952.600 138.390 ;
-        RECT -32.980 135.380 2.400 138.380 ;
-        RECT 2917.600 135.380 2952.600 138.380 ;
-        RECT -32.980 135.370 -29.980 135.380 ;
-        RECT 2949.600 135.370 2952.600 135.380 ;
-        RECT -32.980 -24.620 -29.980 -24.610 ;
-        RECT 130.020 -24.620 133.020 -24.610 ;
-        RECT 310.020 -24.620 313.020 -24.610 ;
-        RECT 490.020 -24.620 493.020 -24.610 ;
-        RECT 670.020 -24.620 673.020 -24.610 ;
-        RECT 850.020 -24.620 853.020 -24.610 ;
-        RECT 1030.020 -24.620 1033.020 -24.610 ;
-        RECT 1210.020 -24.620 1213.020 -24.610 ;
-        RECT 1390.020 -24.620 1393.020 -24.610 ;
-        RECT 1570.020 -24.620 1573.020 -24.610 ;
-        RECT 1750.020 -24.620 1753.020 -24.610 ;
-        RECT 1930.020 -24.620 1933.020 -24.610 ;
-        RECT 2110.020 -24.620 2113.020 -24.610 ;
-        RECT 2290.020 -24.620 2293.020 -24.610 ;
-        RECT 2470.020 -24.620 2473.020 -24.610 ;
-        RECT 2650.020 -24.620 2653.020 -24.610 ;
-        RECT 2830.020 -24.620 2833.020 -24.610 ;
-        RECT 2949.600 -24.620 2952.600 -24.610 ;
-        RECT -32.980 -27.620 2952.600 -24.620 ;
-        RECT -32.980 -27.630 -29.980 -27.620 ;
-        RECT 130.020 -27.630 133.020 -27.620 ;
-        RECT 310.020 -27.630 313.020 -27.620 ;
-        RECT 490.020 -27.630 493.020 -27.620 ;
-        RECT 670.020 -27.630 673.020 -27.620 ;
-        RECT 850.020 -27.630 853.020 -27.620 ;
-        RECT 1030.020 -27.630 1033.020 -27.620 ;
-        RECT 1210.020 -27.630 1213.020 -27.620 ;
-        RECT 1390.020 -27.630 1393.020 -27.620 ;
-        RECT 1570.020 -27.630 1573.020 -27.620 ;
-        RECT 1750.020 -27.630 1753.020 -27.620 ;
-        RECT 1930.020 -27.630 1933.020 -27.620 ;
-        RECT 2110.020 -27.630 2113.020 -27.620 ;
-        RECT 2290.020 -27.630 2293.020 -27.620 ;
-        RECT 2470.020 -27.630 2473.020 -27.620 ;
-        RECT 2650.020 -27.630 2653.020 -27.620 ;
-        RECT 2830.020 -27.630 2833.020 -27.620 ;
-        RECT 2949.600 -27.630 2952.600 -27.620 ;
+        RECT -33.480 3547.800 -30.480 3547.810 ;
+        RECT 130.020 3547.800 133.020 3547.810 ;
+        RECT 310.020 3547.800 313.020 3547.810 ;
+        RECT 490.020 3547.800 493.020 3547.810 ;
+        RECT 670.020 3547.800 673.020 3547.810 ;
+        RECT 850.020 3547.800 853.020 3547.810 ;
+        RECT 1030.020 3547.800 1033.020 3547.810 ;
+        RECT 1210.020 3547.800 1213.020 3547.810 ;
+        RECT 1390.020 3547.800 1393.020 3547.810 ;
+        RECT 1570.020 3547.800 1573.020 3547.810 ;
+        RECT 1750.020 3547.800 1753.020 3547.810 ;
+        RECT 1930.020 3547.800 1933.020 3547.810 ;
+        RECT 2110.020 3547.800 2113.020 3547.810 ;
+        RECT 2290.020 3547.800 2293.020 3547.810 ;
+        RECT 2470.020 3547.800 2473.020 3547.810 ;
+        RECT 2650.020 3547.800 2653.020 3547.810 ;
+        RECT 2830.020 3547.800 2833.020 3547.810 ;
+        RECT 2950.100 3547.800 2953.100 3547.810 ;
+        RECT -33.480 3544.800 2953.100 3547.800 ;
+        RECT -33.480 3544.790 -30.480 3544.800 ;
+        RECT 130.020 3544.790 133.020 3544.800 ;
+        RECT 310.020 3544.790 313.020 3544.800 ;
+        RECT 490.020 3544.790 493.020 3544.800 ;
+        RECT 670.020 3544.790 673.020 3544.800 ;
+        RECT 850.020 3544.790 853.020 3544.800 ;
+        RECT 1030.020 3544.790 1033.020 3544.800 ;
+        RECT 1210.020 3544.790 1213.020 3544.800 ;
+        RECT 1390.020 3544.790 1393.020 3544.800 ;
+        RECT 1570.020 3544.790 1573.020 3544.800 ;
+        RECT 1750.020 3544.790 1753.020 3544.800 ;
+        RECT 1930.020 3544.790 1933.020 3544.800 ;
+        RECT 2110.020 3544.790 2113.020 3544.800 ;
+        RECT 2290.020 3544.790 2293.020 3544.800 ;
+        RECT 2470.020 3544.790 2473.020 3544.800 ;
+        RECT 2650.020 3544.790 2653.020 3544.800 ;
+        RECT 2830.020 3544.790 2833.020 3544.800 ;
+        RECT 2950.100 3544.790 2953.100 3544.800 ;
+        RECT -33.480 3378.380 -30.480 3378.390 ;
+        RECT 2950.100 3378.380 2953.100 3378.390 ;
+        RECT -33.480 3375.380 2.400 3378.380 ;
+        RECT 2917.600 3375.380 2953.100 3378.380 ;
+        RECT -33.480 3375.370 -30.480 3375.380 ;
+        RECT 2950.100 3375.370 2953.100 3375.380 ;
+        RECT -33.480 3198.380 -30.480 3198.390 ;
+        RECT 2950.100 3198.380 2953.100 3198.390 ;
+        RECT -33.480 3195.380 2.400 3198.380 ;
+        RECT 2917.600 3195.380 2953.100 3198.380 ;
+        RECT -33.480 3195.370 -30.480 3195.380 ;
+        RECT 2950.100 3195.370 2953.100 3195.380 ;
+        RECT -33.480 3018.380 -30.480 3018.390 ;
+        RECT 2950.100 3018.380 2953.100 3018.390 ;
+        RECT -33.480 3015.380 2.400 3018.380 ;
+        RECT 2917.600 3015.380 2953.100 3018.380 ;
+        RECT -33.480 3015.370 -30.480 3015.380 ;
+        RECT 2950.100 3015.370 2953.100 3015.380 ;
+        RECT -33.480 2838.380 -30.480 2838.390 ;
+        RECT 2950.100 2838.380 2953.100 2838.390 ;
+        RECT -33.480 2835.380 2.400 2838.380 ;
+        RECT 2917.600 2835.380 2953.100 2838.380 ;
+        RECT -33.480 2835.370 -30.480 2835.380 ;
+        RECT 2950.100 2835.370 2953.100 2835.380 ;
+        RECT -33.480 2658.380 -30.480 2658.390 ;
+        RECT 2950.100 2658.380 2953.100 2658.390 ;
+        RECT -33.480 2655.380 2.400 2658.380 ;
+        RECT 2917.600 2655.380 2953.100 2658.380 ;
+        RECT -33.480 2655.370 -30.480 2655.380 ;
+        RECT 2950.100 2655.370 2953.100 2655.380 ;
+        RECT -33.480 2478.380 -30.480 2478.390 ;
+        RECT 2950.100 2478.380 2953.100 2478.390 ;
+        RECT -33.480 2475.380 2.400 2478.380 ;
+        RECT 2917.600 2475.380 2953.100 2478.380 ;
+        RECT -33.480 2475.370 -30.480 2475.380 ;
+        RECT 2950.100 2475.370 2953.100 2475.380 ;
+        RECT -33.480 2298.380 -30.480 2298.390 ;
+        RECT 2950.100 2298.380 2953.100 2298.390 ;
+        RECT -33.480 2295.380 2.400 2298.380 ;
+        RECT 2917.600 2295.380 2953.100 2298.380 ;
+        RECT -33.480 2295.370 -30.480 2295.380 ;
+        RECT 2950.100 2295.370 2953.100 2295.380 ;
+        RECT -33.480 2118.380 -30.480 2118.390 ;
+        RECT 2950.100 2118.380 2953.100 2118.390 ;
+        RECT -33.480 2115.380 2.400 2118.380 ;
+        RECT 2917.600 2115.380 2953.100 2118.380 ;
+        RECT -33.480 2115.370 -30.480 2115.380 ;
+        RECT 2950.100 2115.370 2953.100 2115.380 ;
+        RECT -33.480 1938.380 -30.480 1938.390 ;
+        RECT 2950.100 1938.380 2953.100 1938.390 ;
+        RECT -33.480 1935.380 2.400 1938.380 ;
+        RECT 2917.600 1935.380 2953.100 1938.380 ;
+        RECT -33.480 1935.370 -30.480 1935.380 ;
+        RECT 2950.100 1935.370 2953.100 1935.380 ;
+        RECT -33.480 1758.380 -30.480 1758.390 ;
+        RECT 2950.100 1758.380 2953.100 1758.390 ;
+        RECT -33.480 1755.380 2.400 1758.380 ;
+        RECT 2917.600 1755.380 2953.100 1758.380 ;
+        RECT -33.480 1755.370 -30.480 1755.380 ;
+        RECT 2950.100 1755.370 2953.100 1755.380 ;
+        RECT -33.480 1578.380 -30.480 1578.390 ;
+        RECT 2950.100 1578.380 2953.100 1578.390 ;
+        RECT -33.480 1575.380 2.400 1578.380 ;
+        RECT 2917.600 1575.380 2953.100 1578.380 ;
+        RECT -33.480 1575.370 -30.480 1575.380 ;
+        RECT 2950.100 1575.370 2953.100 1575.380 ;
+        RECT -33.480 1398.380 -30.480 1398.390 ;
+        RECT 2950.100 1398.380 2953.100 1398.390 ;
+        RECT -33.480 1395.380 2.400 1398.380 ;
+        RECT 2917.600 1395.380 2953.100 1398.380 ;
+        RECT -33.480 1395.370 -30.480 1395.380 ;
+        RECT 2950.100 1395.370 2953.100 1395.380 ;
+        RECT -33.480 1218.380 -30.480 1218.390 ;
+        RECT 2950.100 1218.380 2953.100 1218.390 ;
+        RECT -33.480 1215.380 2.400 1218.380 ;
+        RECT 2917.600 1215.380 2953.100 1218.380 ;
+        RECT -33.480 1215.370 -30.480 1215.380 ;
+        RECT 2950.100 1215.370 2953.100 1215.380 ;
+        RECT -33.480 1038.380 -30.480 1038.390 ;
+        RECT 2950.100 1038.380 2953.100 1038.390 ;
+        RECT -33.480 1035.380 2.400 1038.380 ;
+        RECT 2917.600 1035.380 2953.100 1038.380 ;
+        RECT -33.480 1035.370 -30.480 1035.380 ;
+        RECT 2950.100 1035.370 2953.100 1035.380 ;
+        RECT -33.480 858.380 -30.480 858.390 ;
+        RECT 2950.100 858.380 2953.100 858.390 ;
+        RECT -33.480 855.380 2.400 858.380 ;
+        RECT 2917.600 855.380 2953.100 858.380 ;
+        RECT -33.480 855.370 -30.480 855.380 ;
+        RECT 2950.100 855.370 2953.100 855.380 ;
+        RECT -33.480 678.380 -30.480 678.390 ;
+        RECT 2950.100 678.380 2953.100 678.390 ;
+        RECT -33.480 675.380 2.400 678.380 ;
+        RECT 2917.600 675.380 2953.100 678.380 ;
+        RECT -33.480 675.370 -30.480 675.380 ;
+        RECT 2950.100 675.370 2953.100 675.380 ;
+        RECT -33.480 498.380 -30.480 498.390 ;
+        RECT 2950.100 498.380 2953.100 498.390 ;
+        RECT -33.480 495.380 2.400 498.380 ;
+        RECT 2917.600 495.380 2953.100 498.380 ;
+        RECT -33.480 495.370 -30.480 495.380 ;
+        RECT 2950.100 495.370 2953.100 495.380 ;
+        RECT -33.480 318.380 -30.480 318.390 ;
+        RECT 2950.100 318.380 2953.100 318.390 ;
+        RECT -33.480 315.380 2.400 318.380 ;
+        RECT 2917.600 315.380 2953.100 318.380 ;
+        RECT -33.480 315.370 -30.480 315.380 ;
+        RECT 2950.100 315.370 2953.100 315.380 ;
+        RECT -33.480 138.380 -30.480 138.390 ;
+        RECT 2950.100 138.380 2953.100 138.390 ;
+        RECT -33.480 135.380 2.400 138.380 ;
+        RECT 2917.600 135.380 2953.100 138.380 ;
+        RECT -33.480 135.370 -30.480 135.380 ;
+        RECT 2950.100 135.370 2953.100 135.380 ;
+        RECT -33.480 -25.120 -30.480 -25.110 ;
+        RECT 130.020 -25.120 133.020 -25.110 ;
+        RECT 310.020 -25.120 313.020 -25.110 ;
+        RECT 490.020 -25.120 493.020 -25.110 ;
+        RECT 670.020 -25.120 673.020 -25.110 ;
+        RECT 850.020 -25.120 853.020 -25.110 ;
+        RECT 1030.020 -25.120 1033.020 -25.110 ;
+        RECT 1210.020 -25.120 1213.020 -25.110 ;
+        RECT 1390.020 -25.120 1393.020 -25.110 ;
+        RECT 1570.020 -25.120 1573.020 -25.110 ;
+        RECT 1750.020 -25.120 1753.020 -25.110 ;
+        RECT 1930.020 -25.120 1933.020 -25.110 ;
+        RECT 2110.020 -25.120 2113.020 -25.110 ;
+        RECT 2290.020 -25.120 2293.020 -25.110 ;
+        RECT 2470.020 -25.120 2473.020 -25.110 ;
+        RECT 2650.020 -25.120 2653.020 -25.110 ;
+        RECT 2830.020 -25.120 2833.020 -25.110 ;
+        RECT 2950.100 -25.120 2953.100 -25.110 ;
+        RECT -33.480 -28.120 2953.100 -25.120 ;
+        RECT -33.480 -28.130 -30.480 -28.120 ;
+        RECT 130.020 -28.130 133.020 -28.120 ;
+        RECT 310.020 -28.130 313.020 -28.120 ;
+        RECT 490.020 -28.130 493.020 -28.120 ;
+        RECT 670.020 -28.130 673.020 -28.120 ;
+        RECT 850.020 -28.130 853.020 -28.120 ;
+        RECT 1030.020 -28.130 1033.020 -28.120 ;
+        RECT 1210.020 -28.130 1213.020 -28.120 ;
+        RECT 1390.020 -28.130 1393.020 -28.120 ;
+        RECT 1570.020 -28.130 1573.020 -28.120 ;
+        RECT 1750.020 -28.130 1753.020 -28.120 ;
+        RECT 1930.020 -28.130 1933.020 -28.120 ;
+        RECT 2110.020 -28.130 2113.020 -28.120 ;
+        RECT 2290.020 -28.130 2293.020 -28.120 ;
+        RECT 2470.020 -28.130 2473.020 -28.120 ;
+        RECT 2650.020 -28.130 2653.020 -28.120 ;
+        RECT 2830.020 -28.130 2833.020 -28.120 ;
+        RECT 2950.100 -28.130 2953.100 -28.120 ;
     END
   END vssa1
   PIN vdda2
     DIRECTION INPUT ;
     PORT
       LAYER met4 ;
-        RECT -37.580 -32.220 -34.580 3551.900 ;
-        RECT 58.020 3517.600 61.020 3556.500 ;
-        RECT 238.020 3517.600 241.020 3556.500 ;
-        RECT 418.020 3517.600 421.020 3556.500 ;
-        RECT 598.020 3517.600 601.020 3556.500 ;
-        RECT 778.020 3517.600 781.020 3556.500 ;
-        RECT 958.020 3517.600 961.020 3556.500 ;
-        RECT 1138.020 3517.600 1141.020 3556.500 ;
-        RECT 1318.020 3517.600 1321.020 3556.500 ;
-        RECT 1498.020 3517.600 1501.020 3556.500 ;
-        RECT 1678.020 3517.600 1681.020 3556.500 ;
-        RECT 1858.020 3517.600 1861.020 3556.500 ;
-        RECT 2038.020 3517.600 2041.020 3556.500 ;
-        RECT 2218.020 3517.600 2221.020 3556.500 ;
-        RECT 2398.020 3517.600 2401.020 3556.500 ;
-        RECT 2578.020 3517.600 2581.020 3556.500 ;
-        RECT 2758.020 3517.600 2761.020 3556.500 ;
-        RECT 58.020 -36.820 61.020 2.400 ;
-        RECT 238.020 -36.820 241.020 2.400 ;
-        RECT 418.020 -36.820 421.020 2.400 ;
-        RECT 598.020 -36.820 601.020 2.400 ;
-        RECT 778.020 -36.820 781.020 2.400 ;
-        RECT 958.020 -36.820 961.020 2.400 ;
-        RECT 1138.020 -36.820 1141.020 2.400 ;
-        RECT 1318.020 -36.820 1321.020 2.400 ;
-        RECT 1498.020 -36.820 1501.020 2.400 ;
-        RECT 1678.020 -36.820 1681.020 2.400 ;
-        RECT 1858.020 -36.820 1861.020 2.400 ;
-        RECT 2038.020 -36.820 2041.020 2.400 ;
-        RECT 2218.020 -36.820 2221.020 2.400 ;
-        RECT 2398.020 -36.820 2401.020 2.400 ;
-        RECT 2578.020 -36.820 2581.020 2.400 ;
-        RECT 2758.020 -36.820 2761.020 2.400 ;
-        RECT 2954.200 -32.220 2957.200 3551.900 ;
+        RECT -38.180 -32.820 -35.180 3552.500 ;
+        RECT 58.020 3517.600 61.020 3557.200 ;
+        RECT 238.020 3517.600 241.020 3557.200 ;
+        RECT 418.020 3517.600 421.020 3557.200 ;
+        RECT 598.020 3517.600 601.020 3557.200 ;
+        RECT 778.020 3517.600 781.020 3557.200 ;
+        RECT 958.020 3517.600 961.020 3557.200 ;
+        RECT 1138.020 3517.600 1141.020 3557.200 ;
+        RECT 1318.020 3517.600 1321.020 3557.200 ;
+        RECT 1498.020 3517.600 1501.020 3557.200 ;
+        RECT 1678.020 3517.600 1681.020 3557.200 ;
+        RECT 1858.020 3517.600 1861.020 3557.200 ;
+        RECT 2038.020 3517.600 2041.020 3557.200 ;
+        RECT 2218.020 3517.600 2221.020 3557.200 ;
+        RECT 2398.020 3517.600 2401.020 3557.200 ;
+        RECT 2578.020 3517.600 2581.020 3557.200 ;
+        RECT 2758.020 3517.600 2761.020 3557.200 ;
+        RECT 58.020 -37.520 61.020 2.400 ;
+        RECT 238.020 -37.520 241.020 2.400 ;
+        RECT 418.020 -37.520 421.020 2.400 ;
+        RECT 598.020 -37.520 601.020 2.400 ;
+        RECT 778.020 -37.520 781.020 2.400 ;
+        RECT 958.020 -37.520 961.020 2.400 ;
+        RECT 1138.020 -37.520 1141.020 2.400 ;
+        RECT 1318.020 -37.520 1321.020 2.400 ;
+        RECT 1498.020 -37.520 1501.020 2.400 ;
+        RECT 1678.020 -37.520 1681.020 2.400 ;
+        RECT 1858.020 -37.520 1861.020 2.400 ;
+        RECT 2038.020 -37.520 2041.020 2.400 ;
+        RECT 2218.020 -37.520 2221.020 2.400 ;
+        RECT 2398.020 -37.520 2401.020 2.400 ;
+        RECT 2578.020 -37.520 2581.020 2.400 ;
+        RECT 2758.020 -37.520 2761.020 2.400 ;
+        RECT 2954.800 -32.820 2957.800 3552.500 ;
       LAYER M4M5_PR_C ;
-        RECT -36.670 3550.610 -35.490 3551.790 ;
-        RECT -36.670 3549.010 -35.490 3550.190 ;
-        RECT 58.930 3550.610 60.110 3551.790 ;
-        RECT 58.930 3549.010 60.110 3550.190 ;
-        RECT 238.930 3550.610 240.110 3551.790 ;
-        RECT 238.930 3549.010 240.110 3550.190 ;
-        RECT 418.930 3550.610 420.110 3551.790 ;
-        RECT 418.930 3549.010 420.110 3550.190 ;
-        RECT 598.930 3550.610 600.110 3551.790 ;
-        RECT 598.930 3549.010 600.110 3550.190 ;
-        RECT 778.930 3550.610 780.110 3551.790 ;
-        RECT 778.930 3549.010 780.110 3550.190 ;
-        RECT 958.930 3550.610 960.110 3551.790 ;
-        RECT 958.930 3549.010 960.110 3550.190 ;
-        RECT 1138.930 3550.610 1140.110 3551.790 ;
-        RECT 1138.930 3549.010 1140.110 3550.190 ;
-        RECT 1318.930 3550.610 1320.110 3551.790 ;
-        RECT 1318.930 3549.010 1320.110 3550.190 ;
-        RECT 1498.930 3550.610 1500.110 3551.790 ;
-        RECT 1498.930 3549.010 1500.110 3550.190 ;
-        RECT 1678.930 3550.610 1680.110 3551.790 ;
-        RECT 1678.930 3549.010 1680.110 3550.190 ;
-        RECT 1858.930 3550.610 1860.110 3551.790 ;
-        RECT 1858.930 3549.010 1860.110 3550.190 ;
-        RECT 2038.930 3550.610 2040.110 3551.790 ;
-        RECT 2038.930 3549.010 2040.110 3550.190 ;
-        RECT 2218.930 3550.610 2220.110 3551.790 ;
-        RECT 2218.930 3549.010 2220.110 3550.190 ;
-        RECT 2398.930 3550.610 2400.110 3551.790 ;
-        RECT 2398.930 3549.010 2400.110 3550.190 ;
-        RECT 2578.930 3550.610 2580.110 3551.790 ;
-        RECT 2578.930 3549.010 2580.110 3550.190 ;
-        RECT 2758.930 3550.610 2760.110 3551.790 ;
-        RECT 2758.930 3549.010 2760.110 3550.190 ;
-        RECT 2955.110 3550.610 2956.290 3551.790 ;
-        RECT 2955.110 3549.010 2956.290 3550.190 ;
-        RECT -36.670 3485.090 -35.490 3486.270 ;
-        RECT -36.670 3483.490 -35.490 3484.670 ;
-        RECT -36.670 3305.090 -35.490 3306.270 ;
-        RECT -36.670 3303.490 -35.490 3304.670 ;
-        RECT -36.670 3125.090 -35.490 3126.270 ;
-        RECT -36.670 3123.490 -35.490 3124.670 ;
-        RECT -36.670 2945.090 -35.490 2946.270 ;
-        RECT -36.670 2943.490 -35.490 2944.670 ;
-        RECT -36.670 2765.090 -35.490 2766.270 ;
-        RECT -36.670 2763.490 -35.490 2764.670 ;
-        RECT -36.670 2585.090 -35.490 2586.270 ;
-        RECT -36.670 2583.490 -35.490 2584.670 ;
-        RECT -36.670 2405.090 -35.490 2406.270 ;
-        RECT -36.670 2403.490 -35.490 2404.670 ;
-        RECT -36.670 2225.090 -35.490 2226.270 ;
-        RECT -36.670 2223.490 -35.490 2224.670 ;
-        RECT -36.670 2045.090 -35.490 2046.270 ;
-        RECT -36.670 2043.490 -35.490 2044.670 ;
-        RECT -36.670 1865.090 -35.490 1866.270 ;
-        RECT -36.670 1863.490 -35.490 1864.670 ;
-        RECT -36.670 1685.090 -35.490 1686.270 ;
-        RECT -36.670 1683.490 -35.490 1684.670 ;
-        RECT -36.670 1505.090 -35.490 1506.270 ;
-        RECT -36.670 1503.490 -35.490 1504.670 ;
-        RECT -36.670 1325.090 -35.490 1326.270 ;
-        RECT -36.670 1323.490 -35.490 1324.670 ;
-        RECT -36.670 1145.090 -35.490 1146.270 ;
-        RECT -36.670 1143.490 -35.490 1144.670 ;
-        RECT -36.670 965.090 -35.490 966.270 ;
-        RECT -36.670 963.490 -35.490 964.670 ;
-        RECT -36.670 785.090 -35.490 786.270 ;
-        RECT -36.670 783.490 -35.490 784.670 ;
-        RECT -36.670 605.090 -35.490 606.270 ;
-        RECT -36.670 603.490 -35.490 604.670 ;
-        RECT -36.670 425.090 -35.490 426.270 ;
-        RECT -36.670 423.490 -35.490 424.670 ;
-        RECT -36.670 245.090 -35.490 246.270 ;
-        RECT -36.670 243.490 -35.490 244.670 ;
-        RECT -36.670 65.090 -35.490 66.270 ;
-        RECT -36.670 63.490 -35.490 64.670 ;
-        RECT 2955.110 3485.090 2956.290 3486.270 ;
-        RECT 2955.110 3483.490 2956.290 3484.670 ;
-        RECT 2955.110 3305.090 2956.290 3306.270 ;
-        RECT 2955.110 3303.490 2956.290 3304.670 ;
-        RECT 2955.110 3125.090 2956.290 3126.270 ;
-        RECT 2955.110 3123.490 2956.290 3124.670 ;
-        RECT 2955.110 2945.090 2956.290 2946.270 ;
-        RECT 2955.110 2943.490 2956.290 2944.670 ;
-        RECT 2955.110 2765.090 2956.290 2766.270 ;
-        RECT 2955.110 2763.490 2956.290 2764.670 ;
-        RECT 2955.110 2585.090 2956.290 2586.270 ;
-        RECT 2955.110 2583.490 2956.290 2584.670 ;
-        RECT 2955.110 2405.090 2956.290 2406.270 ;
-        RECT 2955.110 2403.490 2956.290 2404.670 ;
-        RECT 2955.110 2225.090 2956.290 2226.270 ;
-        RECT 2955.110 2223.490 2956.290 2224.670 ;
-        RECT 2955.110 2045.090 2956.290 2046.270 ;
-        RECT 2955.110 2043.490 2956.290 2044.670 ;
-        RECT 2955.110 1865.090 2956.290 1866.270 ;
-        RECT 2955.110 1863.490 2956.290 1864.670 ;
-        RECT 2955.110 1685.090 2956.290 1686.270 ;
-        RECT 2955.110 1683.490 2956.290 1684.670 ;
-        RECT 2955.110 1505.090 2956.290 1506.270 ;
-        RECT 2955.110 1503.490 2956.290 1504.670 ;
-        RECT 2955.110 1325.090 2956.290 1326.270 ;
-        RECT 2955.110 1323.490 2956.290 1324.670 ;
-        RECT 2955.110 1145.090 2956.290 1146.270 ;
-        RECT 2955.110 1143.490 2956.290 1144.670 ;
-        RECT 2955.110 965.090 2956.290 966.270 ;
-        RECT 2955.110 963.490 2956.290 964.670 ;
-        RECT 2955.110 785.090 2956.290 786.270 ;
-        RECT 2955.110 783.490 2956.290 784.670 ;
-        RECT 2955.110 605.090 2956.290 606.270 ;
-        RECT 2955.110 603.490 2956.290 604.670 ;
-        RECT 2955.110 425.090 2956.290 426.270 ;
-        RECT 2955.110 423.490 2956.290 424.670 ;
-        RECT 2955.110 245.090 2956.290 246.270 ;
-        RECT 2955.110 243.490 2956.290 244.670 ;
-        RECT 2955.110 65.090 2956.290 66.270 ;
-        RECT 2955.110 63.490 2956.290 64.670 ;
-        RECT -36.670 -30.510 -35.490 -29.330 ;
-        RECT -36.670 -32.110 -35.490 -30.930 ;
-        RECT 58.930 -30.510 60.110 -29.330 ;
-        RECT 58.930 -32.110 60.110 -30.930 ;
-        RECT 238.930 -30.510 240.110 -29.330 ;
-        RECT 238.930 -32.110 240.110 -30.930 ;
-        RECT 418.930 -30.510 420.110 -29.330 ;
-        RECT 418.930 -32.110 420.110 -30.930 ;
-        RECT 598.930 -30.510 600.110 -29.330 ;
-        RECT 598.930 -32.110 600.110 -30.930 ;
-        RECT 778.930 -30.510 780.110 -29.330 ;
-        RECT 778.930 -32.110 780.110 -30.930 ;
-        RECT 958.930 -30.510 960.110 -29.330 ;
-        RECT 958.930 -32.110 960.110 -30.930 ;
-        RECT 1138.930 -30.510 1140.110 -29.330 ;
-        RECT 1138.930 -32.110 1140.110 -30.930 ;
-        RECT 1318.930 -30.510 1320.110 -29.330 ;
-        RECT 1318.930 -32.110 1320.110 -30.930 ;
-        RECT 1498.930 -30.510 1500.110 -29.330 ;
-        RECT 1498.930 -32.110 1500.110 -30.930 ;
-        RECT 1678.930 -30.510 1680.110 -29.330 ;
-        RECT 1678.930 -32.110 1680.110 -30.930 ;
-        RECT 1858.930 -30.510 1860.110 -29.330 ;
-        RECT 1858.930 -32.110 1860.110 -30.930 ;
-        RECT 2038.930 -30.510 2040.110 -29.330 ;
-        RECT 2038.930 -32.110 2040.110 -30.930 ;
-        RECT 2218.930 -30.510 2220.110 -29.330 ;
-        RECT 2218.930 -32.110 2220.110 -30.930 ;
-        RECT 2398.930 -30.510 2400.110 -29.330 ;
-        RECT 2398.930 -32.110 2400.110 -30.930 ;
-        RECT 2578.930 -30.510 2580.110 -29.330 ;
-        RECT 2578.930 -32.110 2580.110 -30.930 ;
-        RECT 2758.930 -30.510 2760.110 -29.330 ;
-        RECT 2758.930 -32.110 2760.110 -30.930 ;
-        RECT 2955.110 -30.510 2956.290 -29.330 ;
-        RECT 2955.110 -32.110 2956.290 -30.930 ;
+        RECT -37.270 3551.210 -36.090 3552.390 ;
+        RECT -37.270 3549.610 -36.090 3550.790 ;
+        RECT 58.930 3551.210 60.110 3552.390 ;
+        RECT 58.930 3549.610 60.110 3550.790 ;
+        RECT 238.930 3551.210 240.110 3552.390 ;
+        RECT 238.930 3549.610 240.110 3550.790 ;
+        RECT 418.930 3551.210 420.110 3552.390 ;
+        RECT 418.930 3549.610 420.110 3550.790 ;
+        RECT 598.930 3551.210 600.110 3552.390 ;
+        RECT 598.930 3549.610 600.110 3550.790 ;
+        RECT 778.930 3551.210 780.110 3552.390 ;
+        RECT 778.930 3549.610 780.110 3550.790 ;
+        RECT 958.930 3551.210 960.110 3552.390 ;
+        RECT 958.930 3549.610 960.110 3550.790 ;
+        RECT 1138.930 3551.210 1140.110 3552.390 ;
+        RECT 1138.930 3549.610 1140.110 3550.790 ;
+        RECT 1318.930 3551.210 1320.110 3552.390 ;
+        RECT 1318.930 3549.610 1320.110 3550.790 ;
+        RECT 1498.930 3551.210 1500.110 3552.390 ;
+        RECT 1498.930 3549.610 1500.110 3550.790 ;
+        RECT 1678.930 3551.210 1680.110 3552.390 ;
+        RECT 1678.930 3549.610 1680.110 3550.790 ;
+        RECT 1858.930 3551.210 1860.110 3552.390 ;
+        RECT 1858.930 3549.610 1860.110 3550.790 ;
+        RECT 2038.930 3551.210 2040.110 3552.390 ;
+        RECT 2038.930 3549.610 2040.110 3550.790 ;
+        RECT 2218.930 3551.210 2220.110 3552.390 ;
+        RECT 2218.930 3549.610 2220.110 3550.790 ;
+        RECT 2398.930 3551.210 2400.110 3552.390 ;
+        RECT 2398.930 3549.610 2400.110 3550.790 ;
+        RECT 2578.930 3551.210 2580.110 3552.390 ;
+        RECT 2578.930 3549.610 2580.110 3550.790 ;
+        RECT 2758.930 3551.210 2760.110 3552.390 ;
+        RECT 2758.930 3549.610 2760.110 3550.790 ;
+        RECT 2955.710 3551.210 2956.890 3552.390 ;
+        RECT 2955.710 3549.610 2956.890 3550.790 ;
+        RECT -37.270 3485.090 -36.090 3486.270 ;
+        RECT -37.270 3483.490 -36.090 3484.670 ;
+        RECT -37.270 3305.090 -36.090 3306.270 ;
+        RECT -37.270 3303.490 -36.090 3304.670 ;
+        RECT -37.270 3125.090 -36.090 3126.270 ;
+        RECT -37.270 3123.490 -36.090 3124.670 ;
+        RECT -37.270 2945.090 -36.090 2946.270 ;
+        RECT -37.270 2943.490 -36.090 2944.670 ;
+        RECT -37.270 2765.090 -36.090 2766.270 ;
+        RECT -37.270 2763.490 -36.090 2764.670 ;
+        RECT -37.270 2585.090 -36.090 2586.270 ;
+        RECT -37.270 2583.490 -36.090 2584.670 ;
+        RECT -37.270 2405.090 -36.090 2406.270 ;
+        RECT -37.270 2403.490 -36.090 2404.670 ;
+        RECT -37.270 2225.090 -36.090 2226.270 ;
+        RECT -37.270 2223.490 -36.090 2224.670 ;
+        RECT -37.270 2045.090 -36.090 2046.270 ;
+        RECT -37.270 2043.490 -36.090 2044.670 ;
+        RECT -37.270 1865.090 -36.090 1866.270 ;
+        RECT -37.270 1863.490 -36.090 1864.670 ;
+        RECT -37.270 1685.090 -36.090 1686.270 ;
+        RECT -37.270 1683.490 -36.090 1684.670 ;
+        RECT -37.270 1505.090 -36.090 1506.270 ;
+        RECT -37.270 1503.490 -36.090 1504.670 ;
+        RECT -37.270 1325.090 -36.090 1326.270 ;
+        RECT -37.270 1323.490 -36.090 1324.670 ;
+        RECT -37.270 1145.090 -36.090 1146.270 ;
+        RECT -37.270 1143.490 -36.090 1144.670 ;
+        RECT -37.270 965.090 -36.090 966.270 ;
+        RECT -37.270 963.490 -36.090 964.670 ;
+        RECT -37.270 785.090 -36.090 786.270 ;
+        RECT -37.270 783.490 -36.090 784.670 ;
+        RECT -37.270 605.090 -36.090 606.270 ;
+        RECT -37.270 603.490 -36.090 604.670 ;
+        RECT -37.270 425.090 -36.090 426.270 ;
+        RECT -37.270 423.490 -36.090 424.670 ;
+        RECT -37.270 245.090 -36.090 246.270 ;
+        RECT -37.270 243.490 -36.090 244.670 ;
+        RECT -37.270 65.090 -36.090 66.270 ;
+        RECT -37.270 63.490 -36.090 64.670 ;
+        RECT 2955.710 3485.090 2956.890 3486.270 ;
+        RECT 2955.710 3483.490 2956.890 3484.670 ;
+        RECT 2955.710 3305.090 2956.890 3306.270 ;
+        RECT 2955.710 3303.490 2956.890 3304.670 ;
+        RECT 2955.710 3125.090 2956.890 3126.270 ;
+        RECT 2955.710 3123.490 2956.890 3124.670 ;
+        RECT 2955.710 2945.090 2956.890 2946.270 ;
+        RECT 2955.710 2943.490 2956.890 2944.670 ;
+        RECT 2955.710 2765.090 2956.890 2766.270 ;
+        RECT 2955.710 2763.490 2956.890 2764.670 ;
+        RECT 2955.710 2585.090 2956.890 2586.270 ;
+        RECT 2955.710 2583.490 2956.890 2584.670 ;
+        RECT 2955.710 2405.090 2956.890 2406.270 ;
+        RECT 2955.710 2403.490 2956.890 2404.670 ;
+        RECT 2955.710 2225.090 2956.890 2226.270 ;
+        RECT 2955.710 2223.490 2956.890 2224.670 ;
+        RECT 2955.710 2045.090 2956.890 2046.270 ;
+        RECT 2955.710 2043.490 2956.890 2044.670 ;
+        RECT 2955.710 1865.090 2956.890 1866.270 ;
+        RECT 2955.710 1863.490 2956.890 1864.670 ;
+        RECT 2955.710 1685.090 2956.890 1686.270 ;
+        RECT 2955.710 1683.490 2956.890 1684.670 ;
+        RECT 2955.710 1505.090 2956.890 1506.270 ;
+        RECT 2955.710 1503.490 2956.890 1504.670 ;
+        RECT 2955.710 1325.090 2956.890 1326.270 ;
+        RECT 2955.710 1323.490 2956.890 1324.670 ;
+        RECT 2955.710 1145.090 2956.890 1146.270 ;
+        RECT 2955.710 1143.490 2956.890 1144.670 ;
+        RECT 2955.710 965.090 2956.890 966.270 ;
+        RECT 2955.710 963.490 2956.890 964.670 ;
+        RECT 2955.710 785.090 2956.890 786.270 ;
+        RECT 2955.710 783.490 2956.890 784.670 ;
+        RECT 2955.710 605.090 2956.890 606.270 ;
+        RECT 2955.710 603.490 2956.890 604.670 ;
+        RECT 2955.710 425.090 2956.890 426.270 ;
+        RECT 2955.710 423.490 2956.890 424.670 ;
+        RECT 2955.710 245.090 2956.890 246.270 ;
+        RECT 2955.710 243.490 2956.890 244.670 ;
+        RECT 2955.710 65.090 2956.890 66.270 ;
+        RECT 2955.710 63.490 2956.890 64.670 ;
+        RECT -37.270 -31.110 -36.090 -29.930 ;
+        RECT -37.270 -32.710 -36.090 -31.530 ;
+        RECT 58.930 -31.110 60.110 -29.930 ;
+        RECT 58.930 -32.710 60.110 -31.530 ;
+        RECT 238.930 -31.110 240.110 -29.930 ;
+        RECT 238.930 -32.710 240.110 -31.530 ;
+        RECT 418.930 -31.110 420.110 -29.930 ;
+        RECT 418.930 -32.710 420.110 -31.530 ;
+        RECT 598.930 -31.110 600.110 -29.930 ;
+        RECT 598.930 -32.710 600.110 -31.530 ;
+        RECT 778.930 -31.110 780.110 -29.930 ;
+        RECT 778.930 -32.710 780.110 -31.530 ;
+        RECT 958.930 -31.110 960.110 -29.930 ;
+        RECT 958.930 -32.710 960.110 -31.530 ;
+        RECT 1138.930 -31.110 1140.110 -29.930 ;
+        RECT 1138.930 -32.710 1140.110 -31.530 ;
+        RECT 1318.930 -31.110 1320.110 -29.930 ;
+        RECT 1318.930 -32.710 1320.110 -31.530 ;
+        RECT 1498.930 -31.110 1500.110 -29.930 ;
+        RECT 1498.930 -32.710 1500.110 -31.530 ;
+        RECT 1678.930 -31.110 1680.110 -29.930 ;
+        RECT 1678.930 -32.710 1680.110 -31.530 ;
+        RECT 1858.930 -31.110 1860.110 -29.930 ;
+        RECT 1858.930 -32.710 1860.110 -31.530 ;
+        RECT 2038.930 -31.110 2040.110 -29.930 ;
+        RECT 2038.930 -32.710 2040.110 -31.530 ;
+        RECT 2218.930 -31.110 2220.110 -29.930 ;
+        RECT 2218.930 -32.710 2220.110 -31.530 ;
+        RECT 2398.930 -31.110 2400.110 -29.930 ;
+        RECT 2398.930 -32.710 2400.110 -31.530 ;
+        RECT 2578.930 -31.110 2580.110 -29.930 ;
+        RECT 2578.930 -32.710 2580.110 -31.530 ;
+        RECT 2758.930 -31.110 2760.110 -29.930 ;
+        RECT 2758.930 -32.710 2760.110 -31.530 ;
+        RECT 2955.710 -31.110 2956.890 -29.930 ;
+        RECT 2955.710 -32.710 2956.890 -31.530 ;
       LAYER met5 ;
-        RECT -37.580 3551.900 -34.580 3551.910 ;
-        RECT 58.020 3551.900 61.020 3551.910 ;
-        RECT 238.020 3551.900 241.020 3551.910 ;
-        RECT 418.020 3551.900 421.020 3551.910 ;
-        RECT 598.020 3551.900 601.020 3551.910 ;
-        RECT 778.020 3551.900 781.020 3551.910 ;
-        RECT 958.020 3551.900 961.020 3551.910 ;
-        RECT 1138.020 3551.900 1141.020 3551.910 ;
-        RECT 1318.020 3551.900 1321.020 3551.910 ;
-        RECT 1498.020 3551.900 1501.020 3551.910 ;
-        RECT 1678.020 3551.900 1681.020 3551.910 ;
-        RECT 1858.020 3551.900 1861.020 3551.910 ;
-        RECT 2038.020 3551.900 2041.020 3551.910 ;
-        RECT 2218.020 3551.900 2221.020 3551.910 ;
-        RECT 2398.020 3551.900 2401.020 3551.910 ;
-        RECT 2578.020 3551.900 2581.020 3551.910 ;
-        RECT 2758.020 3551.900 2761.020 3551.910 ;
-        RECT 2954.200 3551.900 2957.200 3551.910 ;
-        RECT -37.580 3548.900 2957.200 3551.900 ;
-        RECT -37.580 3548.890 -34.580 3548.900 ;
-        RECT 58.020 3548.890 61.020 3548.900 ;
-        RECT 238.020 3548.890 241.020 3548.900 ;
-        RECT 418.020 3548.890 421.020 3548.900 ;
-        RECT 598.020 3548.890 601.020 3548.900 ;
-        RECT 778.020 3548.890 781.020 3548.900 ;
-        RECT 958.020 3548.890 961.020 3548.900 ;
-        RECT 1138.020 3548.890 1141.020 3548.900 ;
-        RECT 1318.020 3548.890 1321.020 3548.900 ;
-        RECT 1498.020 3548.890 1501.020 3548.900 ;
-        RECT 1678.020 3548.890 1681.020 3548.900 ;
-        RECT 1858.020 3548.890 1861.020 3548.900 ;
-        RECT 2038.020 3548.890 2041.020 3548.900 ;
-        RECT 2218.020 3548.890 2221.020 3548.900 ;
-        RECT 2398.020 3548.890 2401.020 3548.900 ;
-        RECT 2578.020 3548.890 2581.020 3548.900 ;
-        RECT 2758.020 3548.890 2761.020 3548.900 ;
-        RECT 2954.200 3548.890 2957.200 3548.900 ;
-        RECT -37.580 3486.380 -34.580 3486.390 ;
-        RECT 2954.200 3486.380 2957.200 3486.390 ;
-        RECT -42.180 3483.380 2.400 3486.380 ;
-        RECT 2917.600 3483.380 2961.800 3486.380 ;
-        RECT -37.580 3483.370 -34.580 3483.380 ;
-        RECT 2954.200 3483.370 2957.200 3483.380 ;
-        RECT -37.580 3306.380 -34.580 3306.390 ;
-        RECT 2954.200 3306.380 2957.200 3306.390 ;
-        RECT -42.180 3303.380 2.400 3306.380 ;
-        RECT 2917.600 3303.380 2961.800 3306.380 ;
-        RECT -37.580 3303.370 -34.580 3303.380 ;
-        RECT 2954.200 3303.370 2957.200 3303.380 ;
-        RECT -37.580 3126.380 -34.580 3126.390 ;
-        RECT 2954.200 3126.380 2957.200 3126.390 ;
-        RECT -42.180 3123.380 2.400 3126.380 ;
-        RECT 2917.600 3123.380 2961.800 3126.380 ;
-        RECT -37.580 3123.370 -34.580 3123.380 ;
-        RECT 2954.200 3123.370 2957.200 3123.380 ;
-        RECT -37.580 2946.380 -34.580 2946.390 ;
-        RECT 2954.200 2946.380 2957.200 2946.390 ;
-        RECT -42.180 2943.380 2.400 2946.380 ;
-        RECT 2917.600 2943.380 2961.800 2946.380 ;
-        RECT -37.580 2943.370 -34.580 2943.380 ;
-        RECT 2954.200 2943.370 2957.200 2943.380 ;
-        RECT -37.580 2766.380 -34.580 2766.390 ;
-        RECT 2954.200 2766.380 2957.200 2766.390 ;
-        RECT -42.180 2763.380 2.400 2766.380 ;
-        RECT 2917.600 2763.380 2961.800 2766.380 ;
-        RECT -37.580 2763.370 -34.580 2763.380 ;
-        RECT 2954.200 2763.370 2957.200 2763.380 ;
-        RECT -37.580 2586.380 -34.580 2586.390 ;
-        RECT 2954.200 2586.380 2957.200 2586.390 ;
-        RECT -42.180 2583.380 2.400 2586.380 ;
-        RECT 2917.600 2583.380 2961.800 2586.380 ;
-        RECT -37.580 2583.370 -34.580 2583.380 ;
-        RECT 2954.200 2583.370 2957.200 2583.380 ;
-        RECT -37.580 2406.380 -34.580 2406.390 ;
-        RECT 2954.200 2406.380 2957.200 2406.390 ;
-        RECT -42.180 2403.380 2.400 2406.380 ;
-        RECT 2917.600 2403.380 2961.800 2406.380 ;
-        RECT -37.580 2403.370 -34.580 2403.380 ;
-        RECT 2954.200 2403.370 2957.200 2403.380 ;
-        RECT -37.580 2226.380 -34.580 2226.390 ;
-        RECT 2954.200 2226.380 2957.200 2226.390 ;
-        RECT -42.180 2223.380 2.400 2226.380 ;
-        RECT 2917.600 2223.380 2961.800 2226.380 ;
-        RECT -37.580 2223.370 -34.580 2223.380 ;
-        RECT 2954.200 2223.370 2957.200 2223.380 ;
-        RECT -37.580 2046.380 -34.580 2046.390 ;
-        RECT 2954.200 2046.380 2957.200 2046.390 ;
-        RECT -42.180 2043.380 2.400 2046.380 ;
-        RECT 2917.600 2043.380 2961.800 2046.380 ;
-        RECT -37.580 2043.370 -34.580 2043.380 ;
-        RECT 2954.200 2043.370 2957.200 2043.380 ;
-        RECT -37.580 1866.380 -34.580 1866.390 ;
-        RECT 2954.200 1866.380 2957.200 1866.390 ;
-        RECT -42.180 1863.380 2.400 1866.380 ;
-        RECT 2917.600 1863.380 2961.800 1866.380 ;
-        RECT -37.580 1863.370 -34.580 1863.380 ;
-        RECT 2954.200 1863.370 2957.200 1863.380 ;
-        RECT -37.580 1686.380 -34.580 1686.390 ;
-        RECT 2954.200 1686.380 2957.200 1686.390 ;
-        RECT -42.180 1683.380 2.400 1686.380 ;
-        RECT 2917.600 1683.380 2961.800 1686.380 ;
-        RECT -37.580 1683.370 -34.580 1683.380 ;
-        RECT 2954.200 1683.370 2957.200 1683.380 ;
-        RECT -37.580 1506.380 -34.580 1506.390 ;
-        RECT 2954.200 1506.380 2957.200 1506.390 ;
-        RECT -42.180 1503.380 2.400 1506.380 ;
-        RECT 2917.600 1503.380 2961.800 1506.380 ;
-        RECT -37.580 1503.370 -34.580 1503.380 ;
-        RECT 2954.200 1503.370 2957.200 1503.380 ;
-        RECT -37.580 1326.380 -34.580 1326.390 ;
-        RECT 2954.200 1326.380 2957.200 1326.390 ;
-        RECT -42.180 1323.380 2.400 1326.380 ;
-        RECT 2917.600 1323.380 2961.800 1326.380 ;
-        RECT -37.580 1323.370 -34.580 1323.380 ;
-        RECT 2954.200 1323.370 2957.200 1323.380 ;
-        RECT -37.580 1146.380 -34.580 1146.390 ;
-        RECT 2954.200 1146.380 2957.200 1146.390 ;
-        RECT -42.180 1143.380 2.400 1146.380 ;
-        RECT 2917.600 1143.380 2961.800 1146.380 ;
-        RECT -37.580 1143.370 -34.580 1143.380 ;
-        RECT 2954.200 1143.370 2957.200 1143.380 ;
-        RECT -37.580 966.380 -34.580 966.390 ;
-        RECT 2954.200 966.380 2957.200 966.390 ;
-        RECT -42.180 963.380 2.400 966.380 ;
-        RECT 2917.600 963.380 2961.800 966.380 ;
-        RECT -37.580 963.370 -34.580 963.380 ;
-        RECT 2954.200 963.370 2957.200 963.380 ;
-        RECT -37.580 786.380 -34.580 786.390 ;
-        RECT 2954.200 786.380 2957.200 786.390 ;
-        RECT -42.180 783.380 2.400 786.380 ;
-        RECT 2917.600 783.380 2961.800 786.380 ;
-        RECT -37.580 783.370 -34.580 783.380 ;
-        RECT 2954.200 783.370 2957.200 783.380 ;
-        RECT -37.580 606.380 -34.580 606.390 ;
-        RECT 2954.200 606.380 2957.200 606.390 ;
-        RECT -42.180 603.380 2.400 606.380 ;
-        RECT 2917.600 603.380 2961.800 606.380 ;
-        RECT -37.580 603.370 -34.580 603.380 ;
-        RECT 2954.200 603.370 2957.200 603.380 ;
-        RECT -37.580 426.380 -34.580 426.390 ;
-        RECT 2954.200 426.380 2957.200 426.390 ;
-        RECT -42.180 423.380 2.400 426.380 ;
-        RECT 2917.600 423.380 2961.800 426.380 ;
-        RECT -37.580 423.370 -34.580 423.380 ;
-        RECT 2954.200 423.370 2957.200 423.380 ;
-        RECT -37.580 246.380 -34.580 246.390 ;
-        RECT 2954.200 246.380 2957.200 246.390 ;
-        RECT -42.180 243.380 2.400 246.380 ;
-        RECT 2917.600 243.380 2961.800 246.380 ;
-        RECT -37.580 243.370 -34.580 243.380 ;
-        RECT 2954.200 243.370 2957.200 243.380 ;
-        RECT -37.580 66.380 -34.580 66.390 ;
-        RECT 2954.200 66.380 2957.200 66.390 ;
-        RECT -42.180 63.380 2.400 66.380 ;
-        RECT 2917.600 63.380 2961.800 66.380 ;
-        RECT -37.580 63.370 -34.580 63.380 ;
-        RECT 2954.200 63.370 2957.200 63.380 ;
-        RECT -37.580 -29.220 -34.580 -29.210 ;
-        RECT 58.020 -29.220 61.020 -29.210 ;
-        RECT 238.020 -29.220 241.020 -29.210 ;
-        RECT 418.020 -29.220 421.020 -29.210 ;
-        RECT 598.020 -29.220 601.020 -29.210 ;
-        RECT 778.020 -29.220 781.020 -29.210 ;
-        RECT 958.020 -29.220 961.020 -29.210 ;
-        RECT 1138.020 -29.220 1141.020 -29.210 ;
-        RECT 1318.020 -29.220 1321.020 -29.210 ;
-        RECT 1498.020 -29.220 1501.020 -29.210 ;
-        RECT 1678.020 -29.220 1681.020 -29.210 ;
-        RECT 1858.020 -29.220 1861.020 -29.210 ;
-        RECT 2038.020 -29.220 2041.020 -29.210 ;
-        RECT 2218.020 -29.220 2221.020 -29.210 ;
-        RECT 2398.020 -29.220 2401.020 -29.210 ;
-        RECT 2578.020 -29.220 2581.020 -29.210 ;
-        RECT 2758.020 -29.220 2761.020 -29.210 ;
-        RECT 2954.200 -29.220 2957.200 -29.210 ;
-        RECT -37.580 -32.220 2957.200 -29.220 ;
-        RECT -37.580 -32.230 -34.580 -32.220 ;
-        RECT 58.020 -32.230 61.020 -32.220 ;
-        RECT 238.020 -32.230 241.020 -32.220 ;
-        RECT 418.020 -32.230 421.020 -32.220 ;
-        RECT 598.020 -32.230 601.020 -32.220 ;
-        RECT 778.020 -32.230 781.020 -32.220 ;
-        RECT 958.020 -32.230 961.020 -32.220 ;
-        RECT 1138.020 -32.230 1141.020 -32.220 ;
-        RECT 1318.020 -32.230 1321.020 -32.220 ;
-        RECT 1498.020 -32.230 1501.020 -32.220 ;
-        RECT 1678.020 -32.230 1681.020 -32.220 ;
-        RECT 1858.020 -32.230 1861.020 -32.220 ;
-        RECT 2038.020 -32.230 2041.020 -32.220 ;
-        RECT 2218.020 -32.230 2221.020 -32.220 ;
-        RECT 2398.020 -32.230 2401.020 -32.220 ;
-        RECT 2578.020 -32.230 2581.020 -32.220 ;
-        RECT 2758.020 -32.230 2761.020 -32.220 ;
-        RECT 2954.200 -32.230 2957.200 -32.220 ;
+        RECT -38.180 3552.500 -35.180 3552.510 ;
+        RECT 58.020 3552.500 61.020 3552.510 ;
+        RECT 238.020 3552.500 241.020 3552.510 ;
+        RECT 418.020 3552.500 421.020 3552.510 ;
+        RECT 598.020 3552.500 601.020 3552.510 ;
+        RECT 778.020 3552.500 781.020 3552.510 ;
+        RECT 958.020 3552.500 961.020 3552.510 ;
+        RECT 1138.020 3552.500 1141.020 3552.510 ;
+        RECT 1318.020 3552.500 1321.020 3552.510 ;
+        RECT 1498.020 3552.500 1501.020 3552.510 ;
+        RECT 1678.020 3552.500 1681.020 3552.510 ;
+        RECT 1858.020 3552.500 1861.020 3552.510 ;
+        RECT 2038.020 3552.500 2041.020 3552.510 ;
+        RECT 2218.020 3552.500 2221.020 3552.510 ;
+        RECT 2398.020 3552.500 2401.020 3552.510 ;
+        RECT 2578.020 3552.500 2581.020 3552.510 ;
+        RECT 2758.020 3552.500 2761.020 3552.510 ;
+        RECT 2954.800 3552.500 2957.800 3552.510 ;
+        RECT -38.180 3549.500 2957.800 3552.500 ;
+        RECT -38.180 3549.490 -35.180 3549.500 ;
+        RECT 58.020 3549.490 61.020 3549.500 ;
+        RECT 238.020 3549.490 241.020 3549.500 ;
+        RECT 418.020 3549.490 421.020 3549.500 ;
+        RECT 598.020 3549.490 601.020 3549.500 ;
+        RECT 778.020 3549.490 781.020 3549.500 ;
+        RECT 958.020 3549.490 961.020 3549.500 ;
+        RECT 1138.020 3549.490 1141.020 3549.500 ;
+        RECT 1318.020 3549.490 1321.020 3549.500 ;
+        RECT 1498.020 3549.490 1501.020 3549.500 ;
+        RECT 1678.020 3549.490 1681.020 3549.500 ;
+        RECT 1858.020 3549.490 1861.020 3549.500 ;
+        RECT 2038.020 3549.490 2041.020 3549.500 ;
+        RECT 2218.020 3549.490 2221.020 3549.500 ;
+        RECT 2398.020 3549.490 2401.020 3549.500 ;
+        RECT 2578.020 3549.490 2581.020 3549.500 ;
+        RECT 2758.020 3549.490 2761.020 3549.500 ;
+        RECT 2954.800 3549.490 2957.800 3549.500 ;
+        RECT -38.180 3486.380 -35.180 3486.390 ;
+        RECT 2954.800 3486.380 2957.800 3486.390 ;
+        RECT -42.880 3483.380 2.400 3486.380 ;
+        RECT 2917.600 3483.380 2962.500 3486.380 ;
+        RECT -38.180 3483.370 -35.180 3483.380 ;
+        RECT 2954.800 3483.370 2957.800 3483.380 ;
+        RECT -38.180 3306.380 -35.180 3306.390 ;
+        RECT 2954.800 3306.380 2957.800 3306.390 ;
+        RECT -42.880 3303.380 2.400 3306.380 ;
+        RECT 2917.600 3303.380 2962.500 3306.380 ;
+        RECT -38.180 3303.370 -35.180 3303.380 ;
+        RECT 2954.800 3303.370 2957.800 3303.380 ;
+        RECT -38.180 3126.380 -35.180 3126.390 ;
+        RECT 2954.800 3126.380 2957.800 3126.390 ;
+        RECT -42.880 3123.380 2.400 3126.380 ;
+        RECT 2917.600 3123.380 2962.500 3126.380 ;
+        RECT -38.180 3123.370 -35.180 3123.380 ;
+        RECT 2954.800 3123.370 2957.800 3123.380 ;
+        RECT -38.180 2946.380 -35.180 2946.390 ;
+        RECT 2954.800 2946.380 2957.800 2946.390 ;
+        RECT -42.880 2943.380 2.400 2946.380 ;
+        RECT 2917.600 2943.380 2962.500 2946.380 ;
+        RECT -38.180 2943.370 -35.180 2943.380 ;
+        RECT 2954.800 2943.370 2957.800 2943.380 ;
+        RECT -38.180 2766.380 -35.180 2766.390 ;
+        RECT 2954.800 2766.380 2957.800 2766.390 ;
+        RECT -42.880 2763.380 2.400 2766.380 ;
+        RECT 2917.600 2763.380 2962.500 2766.380 ;
+        RECT -38.180 2763.370 -35.180 2763.380 ;
+        RECT 2954.800 2763.370 2957.800 2763.380 ;
+        RECT -38.180 2586.380 -35.180 2586.390 ;
+        RECT 2954.800 2586.380 2957.800 2586.390 ;
+        RECT -42.880 2583.380 2.400 2586.380 ;
+        RECT 2917.600 2583.380 2962.500 2586.380 ;
+        RECT -38.180 2583.370 -35.180 2583.380 ;
+        RECT 2954.800 2583.370 2957.800 2583.380 ;
+        RECT -38.180 2406.380 -35.180 2406.390 ;
+        RECT 2954.800 2406.380 2957.800 2406.390 ;
+        RECT -42.880 2403.380 2.400 2406.380 ;
+        RECT 2917.600 2403.380 2962.500 2406.380 ;
+        RECT -38.180 2403.370 -35.180 2403.380 ;
+        RECT 2954.800 2403.370 2957.800 2403.380 ;
+        RECT -38.180 2226.380 -35.180 2226.390 ;
+        RECT 2954.800 2226.380 2957.800 2226.390 ;
+        RECT -42.880 2223.380 2.400 2226.380 ;
+        RECT 2917.600 2223.380 2962.500 2226.380 ;
+        RECT -38.180 2223.370 -35.180 2223.380 ;
+        RECT 2954.800 2223.370 2957.800 2223.380 ;
+        RECT -38.180 2046.380 -35.180 2046.390 ;
+        RECT 2954.800 2046.380 2957.800 2046.390 ;
+        RECT -42.880 2043.380 2.400 2046.380 ;
+        RECT 2917.600 2043.380 2962.500 2046.380 ;
+        RECT -38.180 2043.370 -35.180 2043.380 ;
+        RECT 2954.800 2043.370 2957.800 2043.380 ;
+        RECT -38.180 1866.380 -35.180 1866.390 ;
+        RECT 2954.800 1866.380 2957.800 1866.390 ;
+        RECT -42.880 1863.380 2.400 1866.380 ;
+        RECT 2917.600 1863.380 2962.500 1866.380 ;
+        RECT -38.180 1863.370 -35.180 1863.380 ;
+        RECT 2954.800 1863.370 2957.800 1863.380 ;
+        RECT -38.180 1686.380 -35.180 1686.390 ;
+        RECT 2954.800 1686.380 2957.800 1686.390 ;
+        RECT -42.880 1683.380 2.400 1686.380 ;
+        RECT 2917.600 1683.380 2962.500 1686.380 ;
+        RECT -38.180 1683.370 -35.180 1683.380 ;
+        RECT 2954.800 1683.370 2957.800 1683.380 ;
+        RECT -38.180 1506.380 -35.180 1506.390 ;
+        RECT 2954.800 1506.380 2957.800 1506.390 ;
+        RECT -42.880 1503.380 2.400 1506.380 ;
+        RECT 2917.600 1503.380 2962.500 1506.380 ;
+        RECT -38.180 1503.370 -35.180 1503.380 ;
+        RECT 2954.800 1503.370 2957.800 1503.380 ;
+        RECT -38.180 1326.380 -35.180 1326.390 ;
+        RECT 2954.800 1326.380 2957.800 1326.390 ;
+        RECT -42.880 1323.380 2.400 1326.380 ;
+        RECT 2917.600 1323.380 2962.500 1326.380 ;
+        RECT -38.180 1323.370 -35.180 1323.380 ;
+        RECT 2954.800 1323.370 2957.800 1323.380 ;
+        RECT -38.180 1146.380 -35.180 1146.390 ;
+        RECT 2954.800 1146.380 2957.800 1146.390 ;
+        RECT -42.880 1143.380 2.400 1146.380 ;
+        RECT 2917.600 1143.380 2962.500 1146.380 ;
+        RECT -38.180 1143.370 -35.180 1143.380 ;
+        RECT 2954.800 1143.370 2957.800 1143.380 ;
+        RECT -38.180 966.380 -35.180 966.390 ;
+        RECT 2954.800 966.380 2957.800 966.390 ;
+        RECT -42.880 963.380 2.400 966.380 ;
+        RECT 2917.600 963.380 2962.500 966.380 ;
+        RECT -38.180 963.370 -35.180 963.380 ;
+        RECT 2954.800 963.370 2957.800 963.380 ;
+        RECT -38.180 786.380 -35.180 786.390 ;
+        RECT 2954.800 786.380 2957.800 786.390 ;
+        RECT -42.880 783.380 2.400 786.380 ;
+        RECT 2917.600 783.380 2962.500 786.380 ;
+        RECT -38.180 783.370 -35.180 783.380 ;
+        RECT 2954.800 783.370 2957.800 783.380 ;
+        RECT -38.180 606.380 -35.180 606.390 ;
+        RECT 2954.800 606.380 2957.800 606.390 ;
+        RECT -42.880 603.380 2.400 606.380 ;
+        RECT 2917.600 603.380 2962.500 606.380 ;
+        RECT -38.180 603.370 -35.180 603.380 ;
+        RECT 2954.800 603.370 2957.800 603.380 ;
+        RECT -38.180 426.380 -35.180 426.390 ;
+        RECT 2954.800 426.380 2957.800 426.390 ;
+        RECT -42.880 423.380 2.400 426.380 ;
+        RECT 2917.600 423.380 2962.500 426.380 ;
+        RECT -38.180 423.370 -35.180 423.380 ;
+        RECT 2954.800 423.370 2957.800 423.380 ;
+        RECT -38.180 246.380 -35.180 246.390 ;
+        RECT 2954.800 246.380 2957.800 246.390 ;
+        RECT -42.880 243.380 2.400 246.380 ;
+        RECT 2917.600 243.380 2962.500 246.380 ;
+        RECT -38.180 243.370 -35.180 243.380 ;
+        RECT 2954.800 243.370 2957.800 243.380 ;
+        RECT -38.180 66.380 -35.180 66.390 ;
+        RECT 2954.800 66.380 2957.800 66.390 ;
+        RECT -42.880 63.380 2.400 66.380 ;
+        RECT 2917.600 63.380 2962.500 66.380 ;
+        RECT -38.180 63.370 -35.180 63.380 ;
+        RECT 2954.800 63.370 2957.800 63.380 ;
+        RECT -38.180 -29.820 -35.180 -29.810 ;
+        RECT 58.020 -29.820 61.020 -29.810 ;
+        RECT 238.020 -29.820 241.020 -29.810 ;
+        RECT 418.020 -29.820 421.020 -29.810 ;
+        RECT 598.020 -29.820 601.020 -29.810 ;
+        RECT 778.020 -29.820 781.020 -29.810 ;
+        RECT 958.020 -29.820 961.020 -29.810 ;
+        RECT 1138.020 -29.820 1141.020 -29.810 ;
+        RECT 1318.020 -29.820 1321.020 -29.810 ;
+        RECT 1498.020 -29.820 1501.020 -29.810 ;
+        RECT 1678.020 -29.820 1681.020 -29.810 ;
+        RECT 1858.020 -29.820 1861.020 -29.810 ;
+        RECT 2038.020 -29.820 2041.020 -29.810 ;
+        RECT 2218.020 -29.820 2221.020 -29.810 ;
+        RECT 2398.020 -29.820 2401.020 -29.810 ;
+        RECT 2578.020 -29.820 2581.020 -29.810 ;
+        RECT 2758.020 -29.820 2761.020 -29.810 ;
+        RECT 2954.800 -29.820 2957.800 -29.810 ;
+        RECT -38.180 -32.820 2957.800 -29.820 ;
+        RECT -38.180 -32.830 -35.180 -32.820 ;
+        RECT 58.020 -32.830 61.020 -32.820 ;
+        RECT 238.020 -32.830 241.020 -32.820 ;
+        RECT 418.020 -32.830 421.020 -32.820 ;
+        RECT 598.020 -32.830 601.020 -32.820 ;
+        RECT 778.020 -32.830 781.020 -32.820 ;
+        RECT 958.020 -32.830 961.020 -32.820 ;
+        RECT 1138.020 -32.830 1141.020 -32.820 ;
+        RECT 1318.020 -32.830 1321.020 -32.820 ;
+        RECT 1498.020 -32.830 1501.020 -32.820 ;
+        RECT 1678.020 -32.830 1681.020 -32.820 ;
+        RECT 1858.020 -32.830 1861.020 -32.820 ;
+        RECT 2038.020 -32.830 2041.020 -32.820 ;
+        RECT 2218.020 -32.830 2221.020 -32.820 ;
+        RECT 2398.020 -32.830 2401.020 -32.820 ;
+        RECT 2578.020 -32.830 2581.020 -32.820 ;
+        RECT 2758.020 -32.830 2761.020 -32.820 ;
+        RECT 2954.800 -32.830 2957.800 -32.820 ;
     END
   END vdda2
   PIN vssa2
     DIRECTION INPUT ;
     PORT
       LAYER met4 ;
-        RECT -42.180 -36.820 -39.180 3556.500 ;
-        RECT 148.020 3517.600 151.020 3556.500 ;
-        RECT 328.020 3517.600 331.020 3556.500 ;
-        RECT 508.020 3517.600 511.020 3556.500 ;
-        RECT 688.020 3517.600 691.020 3556.500 ;
-        RECT 868.020 3517.600 871.020 3556.500 ;
-        RECT 1048.020 3517.600 1051.020 3556.500 ;
-        RECT 1228.020 3517.600 1231.020 3556.500 ;
-        RECT 1408.020 3517.600 1411.020 3556.500 ;
-        RECT 1588.020 3517.600 1591.020 3556.500 ;
-        RECT 1768.020 3517.600 1771.020 3556.500 ;
-        RECT 1948.020 3517.600 1951.020 3556.500 ;
-        RECT 2128.020 3517.600 2131.020 3556.500 ;
-        RECT 2308.020 3517.600 2311.020 3556.500 ;
-        RECT 2488.020 3517.600 2491.020 3556.500 ;
-        RECT 2668.020 3517.600 2671.020 3556.500 ;
-        RECT 2848.020 3517.600 2851.020 3556.500 ;
-        RECT 148.020 -36.820 151.020 2.400 ;
-        RECT 328.020 -36.820 331.020 2.400 ;
-        RECT 508.020 -36.820 511.020 2.400 ;
-        RECT 688.020 -36.820 691.020 2.400 ;
-        RECT 868.020 -36.820 871.020 2.400 ;
-        RECT 1048.020 -36.820 1051.020 2.400 ;
-        RECT 1228.020 -36.820 1231.020 2.400 ;
-        RECT 1408.020 -36.820 1411.020 2.400 ;
-        RECT 1588.020 -36.820 1591.020 2.400 ;
-        RECT 1768.020 -36.820 1771.020 2.400 ;
-        RECT 1948.020 -36.820 1951.020 2.400 ;
-        RECT 2128.020 -36.820 2131.020 2.400 ;
-        RECT 2308.020 -36.820 2311.020 2.400 ;
-        RECT 2488.020 -36.820 2491.020 2.400 ;
-        RECT 2668.020 -36.820 2671.020 2.400 ;
-        RECT 2848.020 -36.820 2851.020 2.400 ;
-        RECT 2958.800 -36.820 2961.800 3556.500 ;
+        RECT -42.880 -37.520 -39.880 3557.200 ;
+        RECT 148.020 3517.600 151.020 3557.200 ;
+        RECT 328.020 3517.600 331.020 3557.200 ;
+        RECT 508.020 3517.600 511.020 3557.200 ;
+        RECT 688.020 3517.600 691.020 3557.200 ;
+        RECT 868.020 3517.600 871.020 3557.200 ;
+        RECT 1048.020 3517.600 1051.020 3557.200 ;
+        RECT 1228.020 3517.600 1231.020 3557.200 ;
+        RECT 1408.020 3517.600 1411.020 3557.200 ;
+        RECT 1588.020 3517.600 1591.020 3557.200 ;
+        RECT 1768.020 3517.600 1771.020 3557.200 ;
+        RECT 1948.020 3517.600 1951.020 3557.200 ;
+        RECT 2128.020 3517.600 2131.020 3557.200 ;
+        RECT 2308.020 3517.600 2311.020 3557.200 ;
+        RECT 2488.020 3517.600 2491.020 3557.200 ;
+        RECT 2668.020 3517.600 2671.020 3557.200 ;
+        RECT 2848.020 3517.600 2851.020 3557.200 ;
+        RECT 148.020 -37.520 151.020 2.400 ;
+        RECT 328.020 -37.520 331.020 2.400 ;
+        RECT 508.020 -37.520 511.020 2.400 ;
+        RECT 688.020 -37.520 691.020 2.400 ;
+        RECT 868.020 -37.520 871.020 2.400 ;
+        RECT 1048.020 -37.520 1051.020 2.400 ;
+        RECT 1228.020 -37.520 1231.020 2.400 ;
+        RECT 1408.020 -37.520 1411.020 2.400 ;
+        RECT 1588.020 -37.520 1591.020 2.400 ;
+        RECT 1768.020 -37.520 1771.020 2.400 ;
+        RECT 1948.020 -37.520 1951.020 2.400 ;
+        RECT 2128.020 -37.520 2131.020 2.400 ;
+        RECT 2308.020 -37.520 2311.020 2.400 ;
+        RECT 2488.020 -37.520 2491.020 2.400 ;
+        RECT 2668.020 -37.520 2671.020 2.400 ;
+        RECT 2848.020 -37.520 2851.020 2.400 ;
+        RECT 2959.500 -37.520 2962.500 3557.200 ;
       LAYER M4M5_PR_C ;
-        RECT -41.270 3555.210 -40.090 3556.390 ;
-        RECT -41.270 3553.610 -40.090 3554.790 ;
-        RECT 148.930 3555.210 150.110 3556.390 ;
-        RECT 148.930 3553.610 150.110 3554.790 ;
-        RECT 328.930 3555.210 330.110 3556.390 ;
-        RECT 328.930 3553.610 330.110 3554.790 ;
-        RECT 508.930 3555.210 510.110 3556.390 ;
-        RECT 508.930 3553.610 510.110 3554.790 ;
-        RECT 688.930 3555.210 690.110 3556.390 ;
-        RECT 688.930 3553.610 690.110 3554.790 ;
-        RECT 868.930 3555.210 870.110 3556.390 ;
-        RECT 868.930 3553.610 870.110 3554.790 ;
-        RECT 1048.930 3555.210 1050.110 3556.390 ;
-        RECT 1048.930 3553.610 1050.110 3554.790 ;
-        RECT 1228.930 3555.210 1230.110 3556.390 ;
-        RECT 1228.930 3553.610 1230.110 3554.790 ;
-        RECT 1408.930 3555.210 1410.110 3556.390 ;
-        RECT 1408.930 3553.610 1410.110 3554.790 ;
-        RECT 1588.930 3555.210 1590.110 3556.390 ;
-        RECT 1588.930 3553.610 1590.110 3554.790 ;
-        RECT 1768.930 3555.210 1770.110 3556.390 ;
-        RECT 1768.930 3553.610 1770.110 3554.790 ;
-        RECT 1948.930 3555.210 1950.110 3556.390 ;
-        RECT 1948.930 3553.610 1950.110 3554.790 ;
-        RECT 2128.930 3555.210 2130.110 3556.390 ;
-        RECT 2128.930 3553.610 2130.110 3554.790 ;
-        RECT 2308.930 3555.210 2310.110 3556.390 ;
-        RECT 2308.930 3553.610 2310.110 3554.790 ;
-        RECT 2488.930 3555.210 2490.110 3556.390 ;
-        RECT 2488.930 3553.610 2490.110 3554.790 ;
-        RECT 2668.930 3555.210 2670.110 3556.390 ;
-        RECT 2668.930 3553.610 2670.110 3554.790 ;
-        RECT 2848.930 3555.210 2850.110 3556.390 ;
-        RECT 2848.930 3553.610 2850.110 3554.790 ;
-        RECT 2959.710 3555.210 2960.890 3556.390 ;
-        RECT 2959.710 3553.610 2960.890 3554.790 ;
-        RECT -41.270 3395.090 -40.090 3396.270 ;
-        RECT -41.270 3393.490 -40.090 3394.670 ;
-        RECT -41.270 3215.090 -40.090 3216.270 ;
-        RECT -41.270 3213.490 -40.090 3214.670 ;
-        RECT -41.270 3035.090 -40.090 3036.270 ;
-        RECT -41.270 3033.490 -40.090 3034.670 ;
-        RECT -41.270 2855.090 -40.090 2856.270 ;
-        RECT -41.270 2853.490 -40.090 2854.670 ;
-        RECT -41.270 2675.090 -40.090 2676.270 ;
-        RECT -41.270 2673.490 -40.090 2674.670 ;
-        RECT -41.270 2495.090 -40.090 2496.270 ;
-        RECT -41.270 2493.490 -40.090 2494.670 ;
-        RECT -41.270 2315.090 -40.090 2316.270 ;
-        RECT -41.270 2313.490 -40.090 2314.670 ;
-        RECT -41.270 2135.090 -40.090 2136.270 ;
-        RECT -41.270 2133.490 -40.090 2134.670 ;
-        RECT -41.270 1955.090 -40.090 1956.270 ;
-        RECT -41.270 1953.490 -40.090 1954.670 ;
-        RECT -41.270 1775.090 -40.090 1776.270 ;
-        RECT -41.270 1773.490 -40.090 1774.670 ;
-        RECT -41.270 1595.090 -40.090 1596.270 ;
-        RECT -41.270 1593.490 -40.090 1594.670 ;
-        RECT -41.270 1415.090 -40.090 1416.270 ;
-        RECT -41.270 1413.490 -40.090 1414.670 ;
-        RECT -41.270 1235.090 -40.090 1236.270 ;
-        RECT -41.270 1233.490 -40.090 1234.670 ;
-        RECT -41.270 1055.090 -40.090 1056.270 ;
-        RECT -41.270 1053.490 -40.090 1054.670 ;
-        RECT -41.270 875.090 -40.090 876.270 ;
-        RECT -41.270 873.490 -40.090 874.670 ;
-        RECT -41.270 695.090 -40.090 696.270 ;
-        RECT -41.270 693.490 -40.090 694.670 ;
-        RECT -41.270 515.090 -40.090 516.270 ;
-        RECT -41.270 513.490 -40.090 514.670 ;
-        RECT -41.270 335.090 -40.090 336.270 ;
-        RECT -41.270 333.490 -40.090 334.670 ;
-        RECT -41.270 155.090 -40.090 156.270 ;
-        RECT -41.270 153.490 -40.090 154.670 ;
-        RECT 2959.710 3395.090 2960.890 3396.270 ;
-        RECT 2959.710 3393.490 2960.890 3394.670 ;
-        RECT 2959.710 3215.090 2960.890 3216.270 ;
-        RECT 2959.710 3213.490 2960.890 3214.670 ;
-        RECT 2959.710 3035.090 2960.890 3036.270 ;
-        RECT 2959.710 3033.490 2960.890 3034.670 ;
-        RECT 2959.710 2855.090 2960.890 2856.270 ;
-        RECT 2959.710 2853.490 2960.890 2854.670 ;
-        RECT 2959.710 2675.090 2960.890 2676.270 ;
-        RECT 2959.710 2673.490 2960.890 2674.670 ;
-        RECT 2959.710 2495.090 2960.890 2496.270 ;
-        RECT 2959.710 2493.490 2960.890 2494.670 ;
-        RECT 2959.710 2315.090 2960.890 2316.270 ;
-        RECT 2959.710 2313.490 2960.890 2314.670 ;
-        RECT 2959.710 2135.090 2960.890 2136.270 ;
-        RECT 2959.710 2133.490 2960.890 2134.670 ;
-        RECT 2959.710 1955.090 2960.890 1956.270 ;
-        RECT 2959.710 1953.490 2960.890 1954.670 ;
-        RECT 2959.710 1775.090 2960.890 1776.270 ;
-        RECT 2959.710 1773.490 2960.890 1774.670 ;
-        RECT 2959.710 1595.090 2960.890 1596.270 ;
-        RECT 2959.710 1593.490 2960.890 1594.670 ;
-        RECT 2959.710 1415.090 2960.890 1416.270 ;
-        RECT 2959.710 1413.490 2960.890 1414.670 ;
-        RECT 2959.710 1235.090 2960.890 1236.270 ;
-        RECT 2959.710 1233.490 2960.890 1234.670 ;
-        RECT 2959.710 1055.090 2960.890 1056.270 ;
-        RECT 2959.710 1053.490 2960.890 1054.670 ;
-        RECT 2959.710 875.090 2960.890 876.270 ;
-        RECT 2959.710 873.490 2960.890 874.670 ;
-        RECT 2959.710 695.090 2960.890 696.270 ;
-        RECT 2959.710 693.490 2960.890 694.670 ;
-        RECT 2959.710 515.090 2960.890 516.270 ;
-        RECT 2959.710 513.490 2960.890 514.670 ;
-        RECT 2959.710 335.090 2960.890 336.270 ;
-        RECT 2959.710 333.490 2960.890 334.670 ;
-        RECT 2959.710 155.090 2960.890 156.270 ;
-        RECT 2959.710 153.490 2960.890 154.670 ;
-        RECT -41.270 -35.110 -40.090 -33.930 ;
-        RECT -41.270 -36.710 -40.090 -35.530 ;
-        RECT 148.930 -35.110 150.110 -33.930 ;
-        RECT 148.930 -36.710 150.110 -35.530 ;
-        RECT 328.930 -35.110 330.110 -33.930 ;
-        RECT 328.930 -36.710 330.110 -35.530 ;
-        RECT 508.930 -35.110 510.110 -33.930 ;
-        RECT 508.930 -36.710 510.110 -35.530 ;
-        RECT 688.930 -35.110 690.110 -33.930 ;
-        RECT 688.930 -36.710 690.110 -35.530 ;
-        RECT 868.930 -35.110 870.110 -33.930 ;
-        RECT 868.930 -36.710 870.110 -35.530 ;
-        RECT 1048.930 -35.110 1050.110 -33.930 ;
-        RECT 1048.930 -36.710 1050.110 -35.530 ;
-        RECT 1228.930 -35.110 1230.110 -33.930 ;
-        RECT 1228.930 -36.710 1230.110 -35.530 ;
-        RECT 1408.930 -35.110 1410.110 -33.930 ;
-        RECT 1408.930 -36.710 1410.110 -35.530 ;
-        RECT 1588.930 -35.110 1590.110 -33.930 ;
-        RECT 1588.930 -36.710 1590.110 -35.530 ;
-        RECT 1768.930 -35.110 1770.110 -33.930 ;
-        RECT 1768.930 -36.710 1770.110 -35.530 ;
-        RECT 1948.930 -35.110 1950.110 -33.930 ;
-        RECT 1948.930 -36.710 1950.110 -35.530 ;
-        RECT 2128.930 -35.110 2130.110 -33.930 ;
-        RECT 2128.930 -36.710 2130.110 -35.530 ;
-        RECT 2308.930 -35.110 2310.110 -33.930 ;
-        RECT 2308.930 -36.710 2310.110 -35.530 ;
-        RECT 2488.930 -35.110 2490.110 -33.930 ;
-        RECT 2488.930 -36.710 2490.110 -35.530 ;
-        RECT 2668.930 -35.110 2670.110 -33.930 ;
-        RECT 2668.930 -36.710 2670.110 -35.530 ;
-        RECT 2848.930 -35.110 2850.110 -33.930 ;
-        RECT 2848.930 -36.710 2850.110 -35.530 ;
-        RECT 2959.710 -35.110 2960.890 -33.930 ;
-        RECT 2959.710 -36.710 2960.890 -35.530 ;
+        RECT -41.970 3555.910 -40.790 3557.090 ;
+        RECT -41.970 3554.310 -40.790 3555.490 ;
+        RECT 148.930 3555.910 150.110 3557.090 ;
+        RECT 148.930 3554.310 150.110 3555.490 ;
+        RECT 328.930 3555.910 330.110 3557.090 ;
+        RECT 328.930 3554.310 330.110 3555.490 ;
+        RECT 508.930 3555.910 510.110 3557.090 ;
+        RECT 508.930 3554.310 510.110 3555.490 ;
+        RECT 688.930 3555.910 690.110 3557.090 ;
+        RECT 688.930 3554.310 690.110 3555.490 ;
+        RECT 868.930 3555.910 870.110 3557.090 ;
+        RECT 868.930 3554.310 870.110 3555.490 ;
+        RECT 1048.930 3555.910 1050.110 3557.090 ;
+        RECT 1048.930 3554.310 1050.110 3555.490 ;
+        RECT 1228.930 3555.910 1230.110 3557.090 ;
+        RECT 1228.930 3554.310 1230.110 3555.490 ;
+        RECT 1408.930 3555.910 1410.110 3557.090 ;
+        RECT 1408.930 3554.310 1410.110 3555.490 ;
+        RECT 1588.930 3555.910 1590.110 3557.090 ;
+        RECT 1588.930 3554.310 1590.110 3555.490 ;
+        RECT 1768.930 3555.910 1770.110 3557.090 ;
+        RECT 1768.930 3554.310 1770.110 3555.490 ;
+        RECT 1948.930 3555.910 1950.110 3557.090 ;
+        RECT 1948.930 3554.310 1950.110 3555.490 ;
+        RECT 2128.930 3555.910 2130.110 3557.090 ;
+        RECT 2128.930 3554.310 2130.110 3555.490 ;
+        RECT 2308.930 3555.910 2310.110 3557.090 ;
+        RECT 2308.930 3554.310 2310.110 3555.490 ;
+        RECT 2488.930 3555.910 2490.110 3557.090 ;
+        RECT 2488.930 3554.310 2490.110 3555.490 ;
+        RECT 2668.930 3555.910 2670.110 3557.090 ;
+        RECT 2668.930 3554.310 2670.110 3555.490 ;
+        RECT 2848.930 3555.910 2850.110 3557.090 ;
+        RECT 2848.930 3554.310 2850.110 3555.490 ;
+        RECT 2960.410 3555.910 2961.590 3557.090 ;
+        RECT 2960.410 3554.310 2961.590 3555.490 ;
+        RECT -41.970 3395.090 -40.790 3396.270 ;
+        RECT -41.970 3393.490 -40.790 3394.670 ;
+        RECT -41.970 3215.090 -40.790 3216.270 ;
+        RECT -41.970 3213.490 -40.790 3214.670 ;
+        RECT -41.970 3035.090 -40.790 3036.270 ;
+        RECT -41.970 3033.490 -40.790 3034.670 ;
+        RECT -41.970 2855.090 -40.790 2856.270 ;
+        RECT -41.970 2853.490 -40.790 2854.670 ;
+        RECT -41.970 2675.090 -40.790 2676.270 ;
+        RECT -41.970 2673.490 -40.790 2674.670 ;
+        RECT -41.970 2495.090 -40.790 2496.270 ;
+        RECT -41.970 2493.490 -40.790 2494.670 ;
+        RECT -41.970 2315.090 -40.790 2316.270 ;
+        RECT -41.970 2313.490 -40.790 2314.670 ;
+        RECT -41.970 2135.090 -40.790 2136.270 ;
+        RECT -41.970 2133.490 -40.790 2134.670 ;
+        RECT -41.970 1955.090 -40.790 1956.270 ;
+        RECT -41.970 1953.490 -40.790 1954.670 ;
+        RECT -41.970 1775.090 -40.790 1776.270 ;
+        RECT -41.970 1773.490 -40.790 1774.670 ;
+        RECT -41.970 1595.090 -40.790 1596.270 ;
+        RECT -41.970 1593.490 -40.790 1594.670 ;
+        RECT -41.970 1415.090 -40.790 1416.270 ;
+        RECT -41.970 1413.490 -40.790 1414.670 ;
+        RECT -41.970 1235.090 -40.790 1236.270 ;
+        RECT -41.970 1233.490 -40.790 1234.670 ;
+        RECT -41.970 1055.090 -40.790 1056.270 ;
+        RECT -41.970 1053.490 -40.790 1054.670 ;
+        RECT -41.970 875.090 -40.790 876.270 ;
+        RECT -41.970 873.490 -40.790 874.670 ;
+        RECT -41.970 695.090 -40.790 696.270 ;
+        RECT -41.970 693.490 -40.790 694.670 ;
+        RECT -41.970 515.090 -40.790 516.270 ;
+        RECT -41.970 513.490 -40.790 514.670 ;
+        RECT -41.970 335.090 -40.790 336.270 ;
+        RECT -41.970 333.490 -40.790 334.670 ;
+        RECT -41.970 155.090 -40.790 156.270 ;
+        RECT -41.970 153.490 -40.790 154.670 ;
+        RECT 2960.410 3395.090 2961.590 3396.270 ;
+        RECT 2960.410 3393.490 2961.590 3394.670 ;
+        RECT 2960.410 3215.090 2961.590 3216.270 ;
+        RECT 2960.410 3213.490 2961.590 3214.670 ;
+        RECT 2960.410 3035.090 2961.590 3036.270 ;
+        RECT 2960.410 3033.490 2961.590 3034.670 ;
+        RECT 2960.410 2855.090 2961.590 2856.270 ;
+        RECT 2960.410 2853.490 2961.590 2854.670 ;
+        RECT 2960.410 2675.090 2961.590 2676.270 ;
+        RECT 2960.410 2673.490 2961.590 2674.670 ;
+        RECT 2960.410 2495.090 2961.590 2496.270 ;
+        RECT 2960.410 2493.490 2961.590 2494.670 ;
+        RECT 2960.410 2315.090 2961.590 2316.270 ;
+        RECT 2960.410 2313.490 2961.590 2314.670 ;
+        RECT 2960.410 2135.090 2961.590 2136.270 ;
+        RECT 2960.410 2133.490 2961.590 2134.670 ;
+        RECT 2960.410 1955.090 2961.590 1956.270 ;
+        RECT 2960.410 1953.490 2961.590 1954.670 ;
+        RECT 2960.410 1775.090 2961.590 1776.270 ;
+        RECT 2960.410 1773.490 2961.590 1774.670 ;
+        RECT 2960.410 1595.090 2961.590 1596.270 ;
+        RECT 2960.410 1593.490 2961.590 1594.670 ;
+        RECT 2960.410 1415.090 2961.590 1416.270 ;
+        RECT 2960.410 1413.490 2961.590 1414.670 ;
+        RECT 2960.410 1235.090 2961.590 1236.270 ;
+        RECT 2960.410 1233.490 2961.590 1234.670 ;
+        RECT 2960.410 1055.090 2961.590 1056.270 ;
+        RECT 2960.410 1053.490 2961.590 1054.670 ;
+        RECT 2960.410 875.090 2961.590 876.270 ;
+        RECT 2960.410 873.490 2961.590 874.670 ;
+        RECT 2960.410 695.090 2961.590 696.270 ;
+        RECT 2960.410 693.490 2961.590 694.670 ;
+        RECT 2960.410 515.090 2961.590 516.270 ;
+        RECT 2960.410 513.490 2961.590 514.670 ;
+        RECT 2960.410 335.090 2961.590 336.270 ;
+        RECT 2960.410 333.490 2961.590 334.670 ;
+        RECT 2960.410 155.090 2961.590 156.270 ;
+        RECT 2960.410 153.490 2961.590 154.670 ;
+        RECT -41.970 -35.810 -40.790 -34.630 ;
+        RECT -41.970 -37.410 -40.790 -36.230 ;
+        RECT 148.930 -35.810 150.110 -34.630 ;
+        RECT 148.930 -37.410 150.110 -36.230 ;
+        RECT 328.930 -35.810 330.110 -34.630 ;
+        RECT 328.930 -37.410 330.110 -36.230 ;
+        RECT 508.930 -35.810 510.110 -34.630 ;
+        RECT 508.930 -37.410 510.110 -36.230 ;
+        RECT 688.930 -35.810 690.110 -34.630 ;
+        RECT 688.930 -37.410 690.110 -36.230 ;
+        RECT 868.930 -35.810 870.110 -34.630 ;
+        RECT 868.930 -37.410 870.110 -36.230 ;
+        RECT 1048.930 -35.810 1050.110 -34.630 ;
+        RECT 1048.930 -37.410 1050.110 -36.230 ;
+        RECT 1228.930 -35.810 1230.110 -34.630 ;
+        RECT 1228.930 -37.410 1230.110 -36.230 ;
+        RECT 1408.930 -35.810 1410.110 -34.630 ;
+        RECT 1408.930 -37.410 1410.110 -36.230 ;
+        RECT 1588.930 -35.810 1590.110 -34.630 ;
+        RECT 1588.930 -37.410 1590.110 -36.230 ;
+        RECT 1768.930 -35.810 1770.110 -34.630 ;
+        RECT 1768.930 -37.410 1770.110 -36.230 ;
+        RECT 1948.930 -35.810 1950.110 -34.630 ;
+        RECT 1948.930 -37.410 1950.110 -36.230 ;
+        RECT 2128.930 -35.810 2130.110 -34.630 ;
+        RECT 2128.930 -37.410 2130.110 -36.230 ;
+        RECT 2308.930 -35.810 2310.110 -34.630 ;
+        RECT 2308.930 -37.410 2310.110 -36.230 ;
+        RECT 2488.930 -35.810 2490.110 -34.630 ;
+        RECT 2488.930 -37.410 2490.110 -36.230 ;
+        RECT 2668.930 -35.810 2670.110 -34.630 ;
+        RECT 2668.930 -37.410 2670.110 -36.230 ;
+        RECT 2848.930 -35.810 2850.110 -34.630 ;
+        RECT 2848.930 -37.410 2850.110 -36.230 ;
+        RECT 2960.410 -35.810 2961.590 -34.630 ;
+        RECT 2960.410 -37.410 2961.590 -36.230 ;
       LAYER met5 ;
-        RECT -42.180 3556.500 -39.180 3556.510 ;
-        RECT 148.020 3556.500 151.020 3556.510 ;
-        RECT 328.020 3556.500 331.020 3556.510 ;
-        RECT 508.020 3556.500 511.020 3556.510 ;
-        RECT 688.020 3556.500 691.020 3556.510 ;
-        RECT 868.020 3556.500 871.020 3556.510 ;
-        RECT 1048.020 3556.500 1051.020 3556.510 ;
-        RECT 1228.020 3556.500 1231.020 3556.510 ;
-        RECT 1408.020 3556.500 1411.020 3556.510 ;
-        RECT 1588.020 3556.500 1591.020 3556.510 ;
-        RECT 1768.020 3556.500 1771.020 3556.510 ;
-        RECT 1948.020 3556.500 1951.020 3556.510 ;
-        RECT 2128.020 3556.500 2131.020 3556.510 ;
-        RECT 2308.020 3556.500 2311.020 3556.510 ;
-        RECT 2488.020 3556.500 2491.020 3556.510 ;
-        RECT 2668.020 3556.500 2671.020 3556.510 ;
-        RECT 2848.020 3556.500 2851.020 3556.510 ;
-        RECT 2958.800 3556.500 2961.800 3556.510 ;
-        RECT -42.180 3553.500 2961.800 3556.500 ;
-        RECT -42.180 3553.490 -39.180 3553.500 ;
-        RECT 148.020 3553.490 151.020 3553.500 ;
-        RECT 328.020 3553.490 331.020 3553.500 ;
-        RECT 508.020 3553.490 511.020 3553.500 ;
-        RECT 688.020 3553.490 691.020 3553.500 ;
-        RECT 868.020 3553.490 871.020 3553.500 ;
-        RECT 1048.020 3553.490 1051.020 3553.500 ;
-        RECT 1228.020 3553.490 1231.020 3553.500 ;
-        RECT 1408.020 3553.490 1411.020 3553.500 ;
-        RECT 1588.020 3553.490 1591.020 3553.500 ;
-        RECT 1768.020 3553.490 1771.020 3553.500 ;
-        RECT 1948.020 3553.490 1951.020 3553.500 ;
-        RECT 2128.020 3553.490 2131.020 3553.500 ;
-        RECT 2308.020 3553.490 2311.020 3553.500 ;
-        RECT 2488.020 3553.490 2491.020 3553.500 ;
-        RECT 2668.020 3553.490 2671.020 3553.500 ;
-        RECT 2848.020 3553.490 2851.020 3553.500 ;
-        RECT 2958.800 3553.490 2961.800 3553.500 ;
-        RECT -42.180 3396.380 -39.180 3396.390 ;
-        RECT 2958.800 3396.380 2961.800 3396.390 ;
-        RECT -42.180 3393.380 2.400 3396.380 ;
-        RECT 2917.600 3393.380 2961.800 3396.380 ;
-        RECT -42.180 3393.370 -39.180 3393.380 ;
-        RECT 2958.800 3393.370 2961.800 3393.380 ;
-        RECT -42.180 3216.380 -39.180 3216.390 ;
-        RECT 2958.800 3216.380 2961.800 3216.390 ;
-        RECT -42.180 3213.380 2.400 3216.380 ;
-        RECT 2917.600 3213.380 2961.800 3216.380 ;
-        RECT -42.180 3213.370 -39.180 3213.380 ;
-        RECT 2958.800 3213.370 2961.800 3213.380 ;
-        RECT -42.180 3036.380 -39.180 3036.390 ;
-        RECT 2958.800 3036.380 2961.800 3036.390 ;
-        RECT -42.180 3033.380 2.400 3036.380 ;
-        RECT 2917.600 3033.380 2961.800 3036.380 ;
-        RECT -42.180 3033.370 -39.180 3033.380 ;
-        RECT 2958.800 3033.370 2961.800 3033.380 ;
-        RECT -42.180 2856.380 -39.180 2856.390 ;
-        RECT 2958.800 2856.380 2961.800 2856.390 ;
-        RECT -42.180 2853.380 2.400 2856.380 ;
-        RECT 2917.600 2853.380 2961.800 2856.380 ;
-        RECT -42.180 2853.370 -39.180 2853.380 ;
-        RECT 2958.800 2853.370 2961.800 2853.380 ;
-        RECT -42.180 2676.380 -39.180 2676.390 ;
-        RECT 2958.800 2676.380 2961.800 2676.390 ;
-        RECT -42.180 2673.380 2.400 2676.380 ;
-        RECT 2917.600 2673.380 2961.800 2676.380 ;
-        RECT -42.180 2673.370 -39.180 2673.380 ;
-        RECT 2958.800 2673.370 2961.800 2673.380 ;
-        RECT -42.180 2496.380 -39.180 2496.390 ;
-        RECT 2958.800 2496.380 2961.800 2496.390 ;
-        RECT -42.180 2493.380 2.400 2496.380 ;
-        RECT 2917.600 2493.380 2961.800 2496.380 ;
-        RECT -42.180 2493.370 -39.180 2493.380 ;
-        RECT 2958.800 2493.370 2961.800 2493.380 ;
-        RECT -42.180 2316.380 -39.180 2316.390 ;
-        RECT 2958.800 2316.380 2961.800 2316.390 ;
-        RECT -42.180 2313.380 2.400 2316.380 ;
-        RECT 2917.600 2313.380 2961.800 2316.380 ;
-        RECT -42.180 2313.370 -39.180 2313.380 ;
-        RECT 2958.800 2313.370 2961.800 2313.380 ;
-        RECT -42.180 2136.380 -39.180 2136.390 ;
-        RECT 2958.800 2136.380 2961.800 2136.390 ;
-        RECT -42.180 2133.380 2.400 2136.380 ;
-        RECT 2917.600 2133.380 2961.800 2136.380 ;
-        RECT -42.180 2133.370 -39.180 2133.380 ;
-        RECT 2958.800 2133.370 2961.800 2133.380 ;
-        RECT -42.180 1956.380 -39.180 1956.390 ;
-        RECT 2958.800 1956.380 2961.800 1956.390 ;
-        RECT -42.180 1953.380 2.400 1956.380 ;
-        RECT 2917.600 1953.380 2961.800 1956.380 ;
-        RECT -42.180 1953.370 -39.180 1953.380 ;
-        RECT 2958.800 1953.370 2961.800 1953.380 ;
-        RECT -42.180 1776.380 -39.180 1776.390 ;
-        RECT 2958.800 1776.380 2961.800 1776.390 ;
-        RECT -42.180 1773.380 2.400 1776.380 ;
-        RECT 2917.600 1773.380 2961.800 1776.380 ;
-        RECT -42.180 1773.370 -39.180 1773.380 ;
-        RECT 2958.800 1773.370 2961.800 1773.380 ;
-        RECT -42.180 1596.380 -39.180 1596.390 ;
-        RECT 2958.800 1596.380 2961.800 1596.390 ;
-        RECT -42.180 1593.380 2.400 1596.380 ;
-        RECT 2917.600 1593.380 2961.800 1596.380 ;
-        RECT -42.180 1593.370 -39.180 1593.380 ;
-        RECT 2958.800 1593.370 2961.800 1593.380 ;
-        RECT -42.180 1416.380 -39.180 1416.390 ;
-        RECT 2958.800 1416.380 2961.800 1416.390 ;
-        RECT -42.180 1413.380 2.400 1416.380 ;
-        RECT 2917.600 1413.380 2961.800 1416.380 ;
-        RECT -42.180 1413.370 -39.180 1413.380 ;
-        RECT 2958.800 1413.370 2961.800 1413.380 ;
-        RECT -42.180 1236.380 -39.180 1236.390 ;
-        RECT 2958.800 1236.380 2961.800 1236.390 ;
-        RECT -42.180 1233.380 2.400 1236.380 ;
-        RECT 2917.600 1233.380 2961.800 1236.380 ;
-        RECT -42.180 1233.370 -39.180 1233.380 ;
-        RECT 2958.800 1233.370 2961.800 1233.380 ;
-        RECT -42.180 1056.380 -39.180 1056.390 ;
-        RECT 2958.800 1056.380 2961.800 1056.390 ;
-        RECT -42.180 1053.380 2.400 1056.380 ;
-        RECT 2917.600 1053.380 2961.800 1056.380 ;
-        RECT -42.180 1053.370 -39.180 1053.380 ;
-        RECT 2958.800 1053.370 2961.800 1053.380 ;
-        RECT -42.180 876.380 -39.180 876.390 ;
-        RECT 2958.800 876.380 2961.800 876.390 ;
-        RECT -42.180 873.380 2.400 876.380 ;
-        RECT 2917.600 873.380 2961.800 876.380 ;
-        RECT -42.180 873.370 -39.180 873.380 ;
-        RECT 2958.800 873.370 2961.800 873.380 ;
-        RECT -42.180 696.380 -39.180 696.390 ;
-        RECT 2958.800 696.380 2961.800 696.390 ;
-        RECT -42.180 693.380 2.400 696.380 ;
-        RECT 2917.600 693.380 2961.800 696.380 ;
-        RECT -42.180 693.370 -39.180 693.380 ;
-        RECT 2958.800 693.370 2961.800 693.380 ;
-        RECT -42.180 516.380 -39.180 516.390 ;
-        RECT 2958.800 516.380 2961.800 516.390 ;
-        RECT -42.180 513.380 2.400 516.380 ;
-        RECT 2917.600 513.380 2961.800 516.380 ;
-        RECT -42.180 513.370 -39.180 513.380 ;
-        RECT 2958.800 513.370 2961.800 513.380 ;
-        RECT -42.180 336.380 -39.180 336.390 ;
-        RECT 2958.800 336.380 2961.800 336.390 ;
-        RECT -42.180 333.380 2.400 336.380 ;
-        RECT 2917.600 333.380 2961.800 336.380 ;
-        RECT -42.180 333.370 -39.180 333.380 ;
-        RECT 2958.800 333.370 2961.800 333.380 ;
-        RECT -42.180 156.380 -39.180 156.390 ;
-        RECT 2958.800 156.380 2961.800 156.390 ;
-        RECT -42.180 153.380 2.400 156.380 ;
-        RECT 2917.600 153.380 2961.800 156.380 ;
-        RECT -42.180 153.370 -39.180 153.380 ;
-        RECT 2958.800 153.370 2961.800 153.380 ;
-        RECT -42.180 -33.820 -39.180 -33.810 ;
-        RECT 148.020 -33.820 151.020 -33.810 ;
-        RECT 328.020 -33.820 331.020 -33.810 ;
-        RECT 508.020 -33.820 511.020 -33.810 ;
-        RECT 688.020 -33.820 691.020 -33.810 ;
-        RECT 868.020 -33.820 871.020 -33.810 ;
-        RECT 1048.020 -33.820 1051.020 -33.810 ;
-        RECT 1228.020 -33.820 1231.020 -33.810 ;
-        RECT 1408.020 -33.820 1411.020 -33.810 ;
-        RECT 1588.020 -33.820 1591.020 -33.810 ;
-        RECT 1768.020 -33.820 1771.020 -33.810 ;
-        RECT 1948.020 -33.820 1951.020 -33.810 ;
-        RECT 2128.020 -33.820 2131.020 -33.810 ;
-        RECT 2308.020 -33.820 2311.020 -33.810 ;
-        RECT 2488.020 -33.820 2491.020 -33.810 ;
-        RECT 2668.020 -33.820 2671.020 -33.810 ;
-        RECT 2848.020 -33.820 2851.020 -33.810 ;
-        RECT 2958.800 -33.820 2961.800 -33.810 ;
-        RECT -42.180 -36.820 2961.800 -33.820 ;
-        RECT -42.180 -36.830 -39.180 -36.820 ;
-        RECT 148.020 -36.830 151.020 -36.820 ;
-        RECT 328.020 -36.830 331.020 -36.820 ;
-        RECT 508.020 -36.830 511.020 -36.820 ;
-        RECT 688.020 -36.830 691.020 -36.820 ;
-        RECT 868.020 -36.830 871.020 -36.820 ;
-        RECT 1048.020 -36.830 1051.020 -36.820 ;
-        RECT 1228.020 -36.830 1231.020 -36.820 ;
-        RECT 1408.020 -36.830 1411.020 -36.820 ;
-        RECT 1588.020 -36.830 1591.020 -36.820 ;
-        RECT 1768.020 -36.830 1771.020 -36.820 ;
-        RECT 1948.020 -36.830 1951.020 -36.820 ;
-        RECT 2128.020 -36.830 2131.020 -36.820 ;
-        RECT 2308.020 -36.830 2311.020 -36.820 ;
-        RECT 2488.020 -36.830 2491.020 -36.820 ;
-        RECT 2668.020 -36.830 2671.020 -36.820 ;
-        RECT 2848.020 -36.830 2851.020 -36.820 ;
-        RECT 2958.800 -36.830 2961.800 -36.820 ;
+        RECT -42.880 3557.200 -39.880 3557.210 ;
+        RECT 148.020 3557.200 151.020 3557.210 ;
+        RECT 328.020 3557.200 331.020 3557.210 ;
+        RECT 508.020 3557.200 511.020 3557.210 ;
+        RECT 688.020 3557.200 691.020 3557.210 ;
+        RECT 868.020 3557.200 871.020 3557.210 ;
+        RECT 1048.020 3557.200 1051.020 3557.210 ;
+        RECT 1228.020 3557.200 1231.020 3557.210 ;
+        RECT 1408.020 3557.200 1411.020 3557.210 ;
+        RECT 1588.020 3557.200 1591.020 3557.210 ;
+        RECT 1768.020 3557.200 1771.020 3557.210 ;
+        RECT 1948.020 3557.200 1951.020 3557.210 ;
+        RECT 2128.020 3557.200 2131.020 3557.210 ;
+        RECT 2308.020 3557.200 2311.020 3557.210 ;
+        RECT 2488.020 3557.200 2491.020 3557.210 ;
+        RECT 2668.020 3557.200 2671.020 3557.210 ;
+        RECT 2848.020 3557.200 2851.020 3557.210 ;
+        RECT 2959.500 3557.200 2962.500 3557.210 ;
+        RECT -42.880 3554.200 2962.500 3557.200 ;
+        RECT -42.880 3554.190 -39.880 3554.200 ;
+        RECT 148.020 3554.190 151.020 3554.200 ;
+        RECT 328.020 3554.190 331.020 3554.200 ;
+        RECT 508.020 3554.190 511.020 3554.200 ;
+        RECT 688.020 3554.190 691.020 3554.200 ;
+        RECT 868.020 3554.190 871.020 3554.200 ;
+        RECT 1048.020 3554.190 1051.020 3554.200 ;
+        RECT 1228.020 3554.190 1231.020 3554.200 ;
+        RECT 1408.020 3554.190 1411.020 3554.200 ;
+        RECT 1588.020 3554.190 1591.020 3554.200 ;
+        RECT 1768.020 3554.190 1771.020 3554.200 ;
+        RECT 1948.020 3554.190 1951.020 3554.200 ;
+        RECT 2128.020 3554.190 2131.020 3554.200 ;
+        RECT 2308.020 3554.190 2311.020 3554.200 ;
+        RECT 2488.020 3554.190 2491.020 3554.200 ;
+        RECT 2668.020 3554.190 2671.020 3554.200 ;
+        RECT 2848.020 3554.190 2851.020 3554.200 ;
+        RECT 2959.500 3554.190 2962.500 3554.200 ;
+        RECT -42.880 3396.380 -39.880 3396.390 ;
+        RECT 2959.500 3396.380 2962.500 3396.390 ;
+        RECT -42.880 3393.380 2.400 3396.380 ;
+        RECT 2917.600 3393.380 2962.500 3396.380 ;
+        RECT -42.880 3393.370 -39.880 3393.380 ;
+        RECT 2959.500 3393.370 2962.500 3393.380 ;
+        RECT -42.880 3216.380 -39.880 3216.390 ;
+        RECT 2959.500 3216.380 2962.500 3216.390 ;
+        RECT -42.880 3213.380 2.400 3216.380 ;
+        RECT 2917.600 3213.380 2962.500 3216.380 ;
+        RECT -42.880 3213.370 -39.880 3213.380 ;
+        RECT 2959.500 3213.370 2962.500 3213.380 ;
+        RECT -42.880 3036.380 -39.880 3036.390 ;
+        RECT 2959.500 3036.380 2962.500 3036.390 ;
+        RECT -42.880 3033.380 2.400 3036.380 ;
+        RECT 2917.600 3033.380 2962.500 3036.380 ;
+        RECT -42.880 3033.370 -39.880 3033.380 ;
+        RECT 2959.500 3033.370 2962.500 3033.380 ;
+        RECT -42.880 2856.380 -39.880 2856.390 ;
+        RECT 2959.500 2856.380 2962.500 2856.390 ;
+        RECT -42.880 2853.380 2.400 2856.380 ;
+        RECT 2917.600 2853.380 2962.500 2856.380 ;
+        RECT -42.880 2853.370 -39.880 2853.380 ;
+        RECT 2959.500 2853.370 2962.500 2853.380 ;
+        RECT -42.880 2676.380 -39.880 2676.390 ;
+        RECT 2959.500 2676.380 2962.500 2676.390 ;
+        RECT -42.880 2673.380 2.400 2676.380 ;
+        RECT 2917.600 2673.380 2962.500 2676.380 ;
+        RECT -42.880 2673.370 -39.880 2673.380 ;
+        RECT 2959.500 2673.370 2962.500 2673.380 ;
+        RECT -42.880 2496.380 -39.880 2496.390 ;
+        RECT 2959.500 2496.380 2962.500 2496.390 ;
+        RECT -42.880 2493.380 2.400 2496.380 ;
+        RECT 2917.600 2493.380 2962.500 2496.380 ;
+        RECT -42.880 2493.370 -39.880 2493.380 ;
+        RECT 2959.500 2493.370 2962.500 2493.380 ;
+        RECT -42.880 2316.380 -39.880 2316.390 ;
+        RECT 2959.500 2316.380 2962.500 2316.390 ;
+        RECT -42.880 2313.380 2.400 2316.380 ;
+        RECT 2917.600 2313.380 2962.500 2316.380 ;
+        RECT -42.880 2313.370 -39.880 2313.380 ;
+        RECT 2959.500 2313.370 2962.500 2313.380 ;
+        RECT -42.880 2136.380 -39.880 2136.390 ;
+        RECT 2959.500 2136.380 2962.500 2136.390 ;
+        RECT -42.880 2133.380 2.400 2136.380 ;
+        RECT 2917.600 2133.380 2962.500 2136.380 ;
+        RECT -42.880 2133.370 -39.880 2133.380 ;
+        RECT 2959.500 2133.370 2962.500 2133.380 ;
+        RECT -42.880 1956.380 -39.880 1956.390 ;
+        RECT 2959.500 1956.380 2962.500 1956.390 ;
+        RECT -42.880 1953.380 2.400 1956.380 ;
+        RECT 2917.600 1953.380 2962.500 1956.380 ;
+        RECT -42.880 1953.370 -39.880 1953.380 ;
+        RECT 2959.500 1953.370 2962.500 1953.380 ;
+        RECT -42.880 1776.380 -39.880 1776.390 ;
+        RECT 2959.500 1776.380 2962.500 1776.390 ;
+        RECT -42.880 1773.380 2.400 1776.380 ;
+        RECT 2917.600 1773.380 2962.500 1776.380 ;
+        RECT -42.880 1773.370 -39.880 1773.380 ;
+        RECT 2959.500 1773.370 2962.500 1773.380 ;
+        RECT -42.880 1596.380 -39.880 1596.390 ;
+        RECT 2959.500 1596.380 2962.500 1596.390 ;
+        RECT -42.880 1593.380 2.400 1596.380 ;
+        RECT 2917.600 1593.380 2962.500 1596.380 ;
+        RECT -42.880 1593.370 -39.880 1593.380 ;
+        RECT 2959.500 1593.370 2962.500 1593.380 ;
+        RECT -42.880 1416.380 -39.880 1416.390 ;
+        RECT 2959.500 1416.380 2962.500 1416.390 ;
+        RECT -42.880 1413.380 2.400 1416.380 ;
+        RECT 2917.600 1413.380 2962.500 1416.380 ;
+        RECT -42.880 1413.370 -39.880 1413.380 ;
+        RECT 2959.500 1413.370 2962.500 1413.380 ;
+        RECT -42.880 1236.380 -39.880 1236.390 ;
+        RECT 2959.500 1236.380 2962.500 1236.390 ;
+        RECT -42.880 1233.380 2.400 1236.380 ;
+        RECT 2917.600 1233.380 2962.500 1236.380 ;
+        RECT -42.880 1233.370 -39.880 1233.380 ;
+        RECT 2959.500 1233.370 2962.500 1233.380 ;
+        RECT -42.880 1056.380 -39.880 1056.390 ;
+        RECT 2959.500 1056.380 2962.500 1056.390 ;
+        RECT -42.880 1053.380 2.400 1056.380 ;
+        RECT 2917.600 1053.380 2962.500 1056.380 ;
+        RECT -42.880 1053.370 -39.880 1053.380 ;
+        RECT 2959.500 1053.370 2962.500 1053.380 ;
+        RECT -42.880 876.380 -39.880 876.390 ;
+        RECT 2959.500 876.380 2962.500 876.390 ;
+        RECT -42.880 873.380 2.400 876.380 ;
+        RECT 2917.600 873.380 2962.500 876.380 ;
+        RECT -42.880 873.370 -39.880 873.380 ;
+        RECT 2959.500 873.370 2962.500 873.380 ;
+        RECT -42.880 696.380 -39.880 696.390 ;
+        RECT 2959.500 696.380 2962.500 696.390 ;
+        RECT -42.880 693.380 2.400 696.380 ;
+        RECT 2917.600 693.380 2962.500 696.380 ;
+        RECT -42.880 693.370 -39.880 693.380 ;
+        RECT 2959.500 693.370 2962.500 693.380 ;
+        RECT -42.880 516.380 -39.880 516.390 ;
+        RECT 2959.500 516.380 2962.500 516.390 ;
+        RECT -42.880 513.380 2.400 516.380 ;
+        RECT 2917.600 513.380 2962.500 516.380 ;
+        RECT -42.880 513.370 -39.880 513.380 ;
+        RECT 2959.500 513.370 2962.500 513.380 ;
+        RECT -42.880 336.380 -39.880 336.390 ;
+        RECT 2959.500 336.380 2962.500 336.390 ;
+        RECT -42.880 333.380 2.400 336.380 ;
+        RECT 2917.600 333.380 2962.500 336.380 ;
+        RECT -42.880 333.370 -39.880 333.380 ;
+        RECT 2959.500 333.370 2962.500 333.380 ;
+        RECT -42.880 156.380 -39.880 156.390 ;
+        RECT 2959.500 156.380 2962.500 156.390 ;
+        RECT -42.880 153.380 2.400 156.380 ;
+        RECT 2917.600 153.380 2962.500 156.380 ;
+        RECT -42.880 153.370 -39.880 153.380 ;
+        RECT 2959.500 153.370 2962.500 153.380 ;
+        RECT -42.880 -34.520 -39.880 -34.510 ;
+        RECT 148.020 -34.520 151.020 -34.510 ;
+        RECT 328.020 -34.520 331.020 -34.510 ;
+        RECT 508.020 -34.520 511.020 -34.510 ;
+        RECT 688.020 -34.520 691.020 -34.510 ;
+        RECT 868.020 -34.520 871.020 -34.510 ;
+        RECT 1048.020 -34.520 1051.020 -34.510 ;
+        RECT 1228.020 -34.520 1231.020 -34.510 ;
+        RECT 1408.020 -34.520 1411.020 -34.510 ;
+        RECT 1588.020 -34.520 1591.020 -34.510 ;
+        RECT 1768.020 -34.520 1771.020 -34.510 ;
+        RECT 1948.020 -34.520 1951.020 -34.510 ;
+        RECT 2128.020 -34.520 2131.020 -34.510 ;
+        RECT 2308.020 -34.520 2311.020 -34.510 ;
+        RECT 2488.020 -34.520 2491.020 -34.510 ;
+        RECT 2668.020 -34.520 2671.020 -34.510 ;
+        RECT 2848.020 -34.520 2851.020 -34.510 ;
+        RECT 2959.500 -34.520 2962.500 -34.510 ;
+        RECT -42.880 -37.520 2962.500 -34.520 ;
+        RECT -42.880 -37.530 -39.880 -37.520 ;
+        RECT 148.020 -37.530 151.020 -37.520 ;
+        RECT 328.020 -37.530 331.020 -37.520 ;
+        RECT 508.020 -37.530 511.020 -37.520 ;
+        RECT 688.020 -37.530 691.020 -37.520 ;
+        RECT 868.020 -37.530 871.020 -37.520 ;
+        RECT 1048.020 -37.530 1051.020 -37.520 ;
+        RECT 1228.020 -37.530 1231.020 -37.520 ;
+        RECT 1408.020 -37.530 1411.020 -37.520 ;
+        RECT 1588.020 -37.530 1591.020 -37.520 ;
+        RECT 1768.020 -37.530 1771.020 -37.520 ;
+        RECT 1948.020 -37.530 1951.020 -37.520 ;
+        RECT 2128.020 -37.530 2131.020 -37.520 ;
+        RECT 2308.020 -37.530 2311.020 -37.520 ;
+        RECT 2488.020 -37.530 2491.020 -37.520 ;
+        RECT 2668.020 -37.530 2671.020 -37.520 ;
+        RECT 2848.020 -37.530 2851.020 -37.520 ;
+        RECT 2959.500 -37.530 2962.500 -37.520 ;
     END
   END vssa2
 END user_project_wrapper
diff --git a/mag/user_project_wrapper_empty.mag b/mag/user_project_wrapper_empty.mag
index ef8ed9d..1b2ef09 100644
--- a/mag/user_project_wrapper_empty.mag
+++ b/mag/user_project_wrapper_empty.mag
@@ -1,8 +1,8 @@
 magic
 tech sky130A
-timestamp 1606416959
+timestamp 1606678958
 << checkpaint >>
-rect -4848 -4313 296810 356281
+rect -4918 -4383 296880 356351
 << metal2 >>
 rect 4043 351760 4099 352480
 rect 12139 351760 12195 352480
@@ -642,889 +642,889 @@
 rect -480 3510 240 3630
 rect 291760 2898 292480 3018
 << metal4 >>
-rect -4218 355639 -3918 355650
-rect -4218 355521 -4127 355639
-rect -4009 355521 -3918 355639
-rect -4218 355479 -3918 355521
-rect -4218 355361 -4127 355479
-rect -4009 355361 -3918 355479
-rect -4218 339627 -3918 355361
-rect -4218 339509 -4127 339627
-rect -4009 339509 -3918 339627
-rect -4218 339467 -3918 339509
-rect -4218 339349 -4127 339467
-rect -4009 339349 -3918 339467
-rect -4218 321627 -3918 339349
-rect -4218 321509 -4127 321627
-rect -4009 321509 -3918 321627
-rect -4218 321467 -3918 321509
-rect -4218 321349 -4127 321467
-rect -4009 321349 -3918 321467
-rect -4218 303627 -3918 321349
-rect -4218 303509 -4127 303627
-rect -4009 303509 -3918 303627
-rect -4218 303467 -3918 303509
-rect -4218 303349 -4127 303467
-rect -4009 303349 -3918 303467
-rect -4218 285627 -3918 303349
-rect -4218 285509 -4127 285627
-rect -4009 285509 -3918 285627
-rect -4218 285467 -3918 285509
-rect -4218 285349 -4127 285467
-rect -4009 285349 -3918 285467
-rect -4218 267627 -3918 285349
-rect -4218 267509 -4127 267627
-rect -4009 267509 -3918 267627
-rect -4218 267467 -3918 267509
-rect -4218 267349 -4127 267467
-rect -4009 267349 -3918 267467
-rect -4218 249627 -3918 267349
-rect -4218 249509 -4127 249627
-rect -4009 249509 -3918 249627
-rect -4218 249467 -3918 249509
-rect -4218 249349 -4127 249467
-rect -4009 249349 -3918 249467
-rect -4218 231627 -3918 249349
-rect -4218 231509 -4127 231627
-rect -4009 231509 -3918 231627
-rect -4218 231467 -3918 231509
-rect -4218 231349 -4127 231467
-rect -4009 231349 -3918 231467
-rect -4218 213627 -3918 231349
-rect -4218 213509 -4127 213627
-rect -4009 213509 -3918 213627
-rect -4218 213467 -3918 213509
-rect -4218 213349 -4127 213467
-rect -4009 213349 -3918 213467
-rect -4218 195627 -3918 213349
-rect -4218 195509 -4127 195627
-rect -4009 195509 -3918 195627
-rect -4218 195467 -3918 195509
-rect -4218 195349 -4127 195467
-rect -4009 195349 -3918 195467
-rect -4218 177627 -3918 195349
-rect -4218 177509 -4127 177627
-rect -4009 177509 -3918 177627
-rect -4218 177467 -3918 177509
-rect -4218 177349 -4127 177467
-rect -4009 177349 -3918 177467
-rect -4218 159627 -3918 177349
-rect -4218 159509 -4127 159627
-rect -4009 159509 -3918 159627
-rect -4218 159467 -3918 159509
-rect -4218 159349 -4127 159467
-rect -4009 159349 -3918 159467
-rect -4218 141627 -3918 159349
-rect -4218 141509 -4127 141627
-rect -4009 141509 -3918 141627
-rect -4218 141467 -3918 141509
-rect -4218 141349 -4127 141467
-rect -4009 141349 -3918 141467
-rect -4218 123627 -3918 141349
-rect -4218 123509 -4127 123627
-rect -4009 123509 -3918 123627
-rect -4218 123467 -3918 123509
-rect -4218 123349 -4127 123467
-rect -4009 123349 -3918 123467
-rect -4218 105627 -3918 123349
-rect -4218 105509 -4127 105627
-rect -4009 105509 -3918 105627
-rect -4218 105467 -3918 105509
-rect -4218 105349 -4127 105467
-rect -4009 105349 -3918 105467
-rect -4218 87627 -3918 105349
-rect -4218 87509 -4127 87627
-rect -4009 87509 -3918 87627
-rect -4218 87467 -3918 87509
-rect -4218 87349 -4127 87467
-rect -4009 87349 -3918 87467
-rect -4218 69627 -3918 87349
-rect -4218 69509 -4127 69627
-rect -4009 69509 -3918 69627
-rect -4218 69467 -3918 69509
-rect -4218 69349 -4127 69467
-rect -4009 69349 -3918 69467
-rect -4218 51627 -3918 69349
-rect -4218 51509 -4127 51627
-rect -4009 51509 -3918 51627
-rect -4218 51467 -3918 51509
-rect -4218 51349 -4127 51467
-rect -4009 51349 -3918 51467
-rect -4218 33627 -3918 51349
-rect -4218 33509 -4127 33627
-rect -4009 33509 -3918 33627
-rect -4218 33467 -3918 33509
-rect -4218 33349 -4127 33467
-rect -4009 33349 -3918 33467
-rect -4218 15627 -3918 33349
-rect -4218 15509 -4127 15627
-rect -4009 15509 -3918 15627
-rect -4218 15467 -3918 15509
-rect -4218 15349 -4127 15467
-rect -4009 15349 -3918 15467
-rect -4218 -3393 -3918 15349
-rect -3758 355179 -3458 355190
-rect -3758 355061 -3667 355179
-rect -3549 355061 -3458 355179
-rect -3758 355019 -3458 355061
-rect -3758 354901 -3667 355019
-rect -3549 354901 -3458 355019
-rect -3758 348627 -3458 354901
-rect 5802 355179 6102 355650
-rect 5802 355061 5893 355179
-rect 6011 355061 6102 355179
-rect 5802 355019 6102 355061
-rect 5802 354901 5893 355019
-rect 6011 354901 6102 355019
-rect -3758 348509 -3667 348627
-rect -3549 348509 -3458 348627
-rect -3758 348467 -3458 348509
-rect -3758 348349 -3667 348467
-rect -3549 348349 -3458 348467
-rect -3758 330627 -3458 348349
-rect -3758 330509 -3667 330627
-rect -3549 330509 -3458 330627
-rect -3758 330467 -3458 330509
-rect -3758 330349 -3667 330467
-rect -3549 330349 -3458 330467
-rect -3758 312627 -3458 330349
-rect -3758 312509 -3667 312627
-rect -3549 312509 -3458 312627
-rect -3758 312467 -3458 312509
-rect -3758 312349 -3667 312467
-rect -3549 312349 -3458 312467
-rect -3758 294627 -3458 312349
-rect -3758 294509 -3667 294627
-rect -3549 294509 -3458 294627
-rect -3758 294467 -3458 294509
-rect -3758 294349 -3667 294467
-rect -3549 294349 -3458 294467
-rect -3758 276627 -3458 294349
-rect -3758 276509 -3667 276627
-rect -3549 276509 -3458 276627
-rect -3758 276467 -3458 276509
-rect -3758 276349 -3667 276467
-rect -3549 276349 -3458 276467
-rect -3758 258627 -3458 276349
-rect -3758 258509 -3667 258627
-rect -3549 258509 -3458 258627
-rect -3758 258467 -3458 258509
-rect -3758 258349 -3667 258467
-rect -3549 258349 -3458 258467
-rect -3758 240627 -3458 258349
-rect -3758 240509 -3667 240627
-rect -3549 240509 -3458 240627
-rect -3758 240467 -3458 240509
-rect -3758 240349 -3667 240467
-rect -3549 240349 -3458 240467
-rect -3758 222627 -3458 240349
-rect -3758 222509 -3667 222627
-rect -3549 222509 -3458 222627
-rect -3758 222467 -3458 222509
-rect -3758 222349 -3667 222467
-rect -3549 222349 -3458 222467
-rect -3758 204627 -3458 222349
-rect -3758 204509 -3667 204627
-rect -3549 204509 -3458 204627
-rect -3758 204467 -3458 204509
-rect -3758 204349 -3667 204467
-rect -3549 204349 -3458 204467
-rect -3758 186627 -3458 204349
-rect -3758 186509 -3667 186627
-rect -3549 186509 -3458 186627
-rect -3758 186467 -3458 186509
-rect -3758 186349 -3667 186467
-rect -3549 186349 -3458 186467
-rect -3758 168627 -3458 186349
-rect -3758 168509 -3667 168627
-rect -3549 168509 -3458 168627
-rect -3758 168467 -3458 168509
-rect -3758 168349 -3667 168467
-rect -3549 168349 -3458 168467
-rect -3758 150627 -3458 168349
-rect -3758 150509 -3667 150627
-rect -3549 150509 -3458 150627
-rect -3758 150467 -3458 150509
-rect -3758 150349 -3667 150467
-rect -3549 150349 -3458 150467
-rect -3758 132627 -3458 150349
-rect -3758 132509 -3667 132627
-rect -3549 132509 -3458 132627
-rect -3758 132467 -3458 132509
-rect -3758 132349 -3667 132467
-rect -3549 132349 -3458 132467
-rect -3758 114627 -3458 132349
-rect -3758 114509 -3667 114627
-rect -3549 114509 -3458 114627
-rect -3758 114467 -3458 114509
-rect -3758 114349 -3667 114467
-rect -3549 114349 -3458 114467
-rect -3758 96627 -3458 114349
-rect -3758 96509 -3667 96627
-rect -3549 96509 -3458 96627
-rect -3758 96467 -3458 96509
-rect -3758 96349 -3667 96467
-rect -3549 96349 -3458 96467
-rect -3758 78627 -3458 96349
-rect -3758 78509 -3667 78627
-rect -3549 78509 -3458 78627
-rect -3758 78467 -3458 78509
-rect -3758 78349 -3667 78467
-rect -3549 78349 -3458 78467
-rect -3758 60627 -3458 78349
-rect -3758 60509 -3667 60627
-rect -3549 60509 -3458 60627
-rect -3758 60467 -3458 60509
-rect -3758 60349 -3667 60467
-rect -3549 60349 -3458 60467
-rect -3758 42627 -3458 60349
-rect -3758 42509 -3667 42627
-rect -3549 42509 -3458 42627
-rect -3758 42467 -3458 42509
-rect -3758 42349 -3667 42467
-rect -3549 42349 -3458 42467
-rect -3758 24627 -3458 42349
-rect -3758 24509 -3667 24627
-rect -3549 24509 -3458 24627
-rect -3758 24467 -3458 24509
-rect -3758 24349 -3667 24467
-rect -3549 24349 -3458 24467
-rect -3758 6627 -3458 24349
-rect -3758 6509 -3667 6627
-rect -3549 6509 -3458 6627
-rect -3758 6467 -3458 6509
-rect -3758 6349 -3667 6467
-rect -3549 6349 -3458 6467
-rect -3758 -2933 -3458 6349
-rect -3298 354719 -2998 354730
-rect -3298 354601 -3207 354719
-rect -3089 354601 -2998 354719
-rect -3298 354559 -2998 354601
-rect -3298 354441 -3207 354559
-rect -3089 354441 -2998 354559
-rect -3298 337827 -2998 354441
-rect -3298 337709 -3207 337827
-rect -3089 337709 -2998 337827
-rect -3298 337667 -2998 337709
-rect -3298 337549 -3207 337667
-rect -3089 337549 -2998 337667
-rect -3298 319827 -2998 337549
-rect -3298 319709 -3207 319827
-rect -3089 319709 -2998 319827
-rect -3298 319667 -2998 319709
-rect -3298 319549 -3207 319667
-rect -3089 319549 -2998 319667
-rect -3298 301827 -2998 319549
-rect -3298 301709 -3207 301827
-rect -3089 301709 -2998 301827
-rect -3298 301667 -2998 301709
-rect -3298 301549 -3207 301667
-rect -3089 301549 -2998 301667
-rect -3298 283827 -2998 301549
-rect -3298 283709 -3207 283827
-rect -3089 283709 -2998 283827
-rect -3298 283667 -2998 283709
-rect -3298 283549 -3207 283667
-rect -3089 283549 -2998 283667
-rect -3298 265827 -2998 283549
-rect -3298 265709 -3207 265827
-rect -3089 265709 -2998 265827
-rect -3298 265667 -2998 265709
-rect -3298 265549 -3207 265667
-rect -3089 265549 -2998 265667
-rect -3298 247827 -2998 265549
-rect -3298 247709 -3207 247827
-rect -3089 247709 -2998 247827
-rect -3298 247667 -2998 247709
-rect -3298 247549 -3207 247667
-rect -3089 247549 -2998 247667
-rect -3298 229827 -2998 247549
-rect -3298 229709 -3207 229827
-rect -3089 229709 -2998 229827
-rect -3298 229667 -2998 229709
-rect -3298 229549 -3207 229667
-rect -3089 229549 -2998 229667
-rect -3298 211827 -2998 229549
-rect -3298 211709 -3207 211827
-rect -3089 211709 -2998 211827
-rect -3298 211667 -2998 211709
-rect -3298 211549 -3207 211667
-rect -3089 211549 -2998 211667
-rect -3298 193827 -2998 211549
-rect -3298 193709 -3207 193827
-rect -3089 193709 -2998 193827
-rect -3298 193667 -2998 193709
-rect -3298 193549 -3207 193667
-rect -3089 193549 -2998 193667
-rect -3298 175827 -2998 193549
-rect -3298 175709 -3207 175827
-rect -3089 175709 -2998 175827
-rect -3298 175667 -2998 175709
-rect -3298 175549 -3207 175667
-rect -3089 175549 -2998 175667
-rect -3298 157827 -2998 175549
-rect -3298 157709 -3207 157827
-rect -3089 157709 -2998 157827
-rect -3298 157667 -2998 157709
-rect -3298 157549 -3207 157667
-rect -3089 157549 -2998 157667
-rect -3298 139827 -2998 157549
-rect -3298 139709 -3207 139827
-rect -3089 139709 -2998 139827
-rect -3298 139667 -2998 139709
-rect -3298 139549 -3207 139667
-rect -3089 139549 -2998 139667
-rect -3298 121827 -2998 139549
-rect -3298 121709 -3207 121827
-rect -3089 121709 -2998 121827
-rect -3298 121667 -2998 121709
-rect -3298 121549 -3207 121667
-rect -3089 121549 -2998 121667
-rect -3298 103827 -2998 121549
-rect -3298 103709 -3207 103827
-rect -3089 103709 -2998 103827
-rect -3298 103667 -2998 103709
-rect -3298 103549 -3207 103667
-rect -3089 103549 -2998 103667
-rect -3298 85827 -2998 103549
-rect -3298 85709 -3207 85827
-rect -3089 85709 -2998 85827
-rect -3298 85667 -2998 85709
-rect -3298 85549 -3207 85667
-rect -3089 85549 -2998 85667
-rect -3298 67827 -2998 85549
-rect -3298 67709 -3207 67827
-rect -3089 67709 -2998 67827
-rect -3298 67667 -2998 67709
-rect -3298 67549 -3207 67667
-rect -3089 67549 -2998 67667
-rect -3298 49827 -2998 67549
-rect -3298 49709 -3207 49827
-rect -3089 49709 -2998 49827
-rect -3298 49667 -2998 49709
-rect -3298 49549 -3207 49667
-rect -3089 49549 -2998 49667
-rect -3298 31827 -2998 49549
-rect -3298 31709 -3207 31827
-rect -3089 31709 -2998 31827
-rect -3298 31667 -2998 31709
-rect -3298 31549 -3207 31667
-rect -3089 31549 -2998 31667
-rect -3298 13827 -2998 31549
-rect -3298 13709 -3207 13827
-rect -3089 13709 -2998 13827
-rect -3298 13667 -2998 13709
-rect -3298 13549 -3207 13667
-rect -3089 13549 -2998 13667
-rect -3298 -2473 -2998 13549
-rect -2838 354259 -2538 354270
-rect -2838 354141 -2747 354259
-rect -2629 354141 -2538 354259
-rect -2838 354099 -2538 354141
-rect -2838 353981 -2747 354099
-rect -2629 353981 -2538 354099
-rect -2838 346827 -2538 353981
-rect 4002 354259 4302 354730
-rect 4002 354141 4093 354259
-rect 4211 354141 4302 354259
-rect 4002 354099 4302 354141
-rect 4002 353981 4093 354099
-rect 4211 353981 4302 354099
-rect -2838 346709 -2747 346827
-rect -2629 346709 -2538 346827
-rect -2838 346667 -2538 346709
-rect -2838 346549 -2747 346667
-rect -2629 346549 -2538 346667
-rect -2838 328827 -2538 346549
-rect -2838 328709 -2747 328827
-rect -2629 328709 -2538 328827
-rect -2838 328667 -2538 328709
-rect -2838 328549 -2747 328667
-rect -2629 328549 -2538 328667
-rect -2838 310827 -2538 328549
-rect -2838 310709 -2747 310827
-rect -2629 310709 -2538 310827
-rect -2838 310667 -2538 310709
-rect -2838 310549 -2747 310667
-rect -2629 310549 -2538 310667
-rect -2838 292827 -2538 310549
-rect -2838 292709 -2747 292827
-rect -2629 292709 -2538 292827
-rect -2838 292667 -2538 292709
-rect -2838 292549 -2747 292667
-rect -2629 292549 -2538 292667
-rect -2838 274827 -2538 292549
-rect -2838 274709 -2747 274827
-rect -2629 274709 -2538 274827
-rect -2838 274667 -2538 274709
-rect -2838 274549 -2747 274667
-rect -2629 274549 -2538 274667
-rect -2838 256827 -2538 274549
-rect -2838 256709 -2747 256827
-rect -2629 256709 -2538 256827
-rect -2838 256667 -2538 256709
-rect -2838 256549 -2747 256667
-rect -2629 256549 -2538 256667
-rect -2838 238827 -2538 256549
-rect -2838 238709 -2747 238827
-rect -2629 238709 -2538 238827
-rect -2838 238667 -2538 238709
-rect -2838 238549 -2747 238667
-rect -2629 238549 -2538 238667
-rect -2838 220827 -2538 238549
-rect -2838 220709 -2747 220827
-rect -2629 220709 -2538 220827
-rect -2838 220667 -2538 220709
-rect -2838 220549 -2747 220667
-rect -2629 220549 -2538 220667
-rect -2838 202827 -2538 220549
-rect -2838 202709 -2747 202827
-rect -2629 202709 -2538 202827
-rect -2838 202667 -2538 202709
-rect -2838 202549 -2747 202667
-rect -2629 202549 -2538 202667
-rect -2838 184827 -2538 202549
-rect -2838 184709 -2747 184827
-rect -2629 184709 -2538 184827
-rect -2838 184667 -2538 184709
-rect -2838 184549 -2747 184667
-rect -2629 184549 -2538 184667
-rect -2838 166827 -2538 184549
-rect -2838 166709 -2747 166827
-rect -2629 166709 -2538 166827
-rect -2838 166667 -2538 166709
-rect -2838 166549 -2747 166667
-rect -2629 166549 -2538 166667
-rect -2838 148827 -2538 166549
-rect -2838 148709 -2747 148827
-rect -2629 148709 -2538 148827
-rect -2838 148667 -2538 148709
-rect -2838 148549 -2747 148667
-rect -2629 148549 -2538 148667
-rect -2838 130827 -2538 148549
-rect -2838 130709 -2747 130827
-rect -2629 130709 -2538 130827
-rect -2838 130667 -2538 130709
-rect -2838 130549 -2747 130667
-rect -2629 130549 -2538 130667
-rect -2838 112827 -2538 130549
-rect -2838 112709 -2747 112827
-rect -2629 112709 -2538 112827
-rect -2838 112667 -2538 112709
-rect -2838 112549 -2747 112667
-rect -2629 112549 -2538 112667
-rect -2838 94827 -2538 112549
-rect -2838 94709 -2747 94827
-rect -2629 94709 -2538 94827
-rect -2838 94667 -2538 94709
-rect -2838 94549 -2747 94667
-rect -2629 94549 -2538 94667
-rect -2838 76827 -2538 94549
-rect -2838 76709 -2747 76827
-rect -2629 76709 -2538 76827
-rect -2838 76667 -2538 76709
-rect -2838 76549 -2747 76667
-rect -2629 76549 -2538 76667
-rect -2838 58827 -2538 76549
-rect -2838 58709 -2747 58827
-rect -2629 58709 -2538 58827
-rect -2838 58667 -2538 58709
-rect -2838 58549 -2747 58667
-rect -2629 58549 -2538 58667
-rect -2838 40827 -2538 58549
-rect -2838 40709 -2747 40827
-rect -2629 40709 -2538 40827
-rect -2838 40667 -2538 40709
-rect -2838 40549 -2747 40667
-rect -2629 40549 -2538 40667
-rect -2838 22827 -2538 40549
-rect -2838 22709 -2747 22827
-rect -2629 22709 -2538 22827
-rect -2838 22667 -2538 22709
-rect -2838 22549 -2747 22667
-rect -2629 22549 -2538 22667
-rect -2838 4827 -2538 22549
-rect -2838 4709 -2747 4827
-rect -2629 4709 -2538 4827
-rect -2838 4667 -2538 4709
-rect -2838 4549 -2747 4667
-rect -2629 4549 -2538 4667
-rect -2838 -2013 -2538 4549
-rect -2378 353799 -2078 353810
-rect -2378 353681 -2287 353799
-rect -2169 353681 -2078 353799
-rect -2378 353639 -2078 353681
-rect -2378 353521 -2287 353639
-rect -2169 353521 -2078 353639
-rect -2378 336027 -2078 353521
-rect -2378 335909 -2287 336027
-rect -2169 335909 -2078 336027
-rect -2378 335867 -2078 335909
-rect -2378 335749 -2287 335867
-rect -2169 335749 -2078 335867
-rect -2378 318027 -2078 335749
-rect -2378 317909 -2287 318027
-rect -2169 317909 -2078 318027
-rect -2378 317867 -2078 317909
-rect -2378 317749 -2287 317867
-rect -2169 317749 -2078 317867
-rect -2378 300027 -2078 317749
-rect -2378 299909 -2287 300027
-rect -2169 299909 -2078 300027
-rect -2378 299867 -2078 299909
-rect -2378 299749 -2287 299867
-rect -2169 299749 -2078 299867
-rect -2378 282027 -2078 299749
-rect -2378 281909 -2287 282027
-rect -2169 281909 -2078 282027
-rect -2378 281867 -2078 281909
-rect -2378 281749 -2287 281867
-rect -2169 281749 -2078 281867
-rect -2378 264027 -2078 281749
-rect -2378 263909 -2287 264027
-rect -2169 263909 -2078 264027
-rect -2378 263867 -2078 263909
-rect -2378 263749 -2287 263867
-rect -2169 263749 -2078 263867
-rect -2378 246027 -2078 263749
-rect -2378 245909 -2287 246027
-rect -2169 245909 -2078 246027
-rect -2378 245867 -2078 245909
-rect -2378 245749 -2287 245867
-rect -2169 245749 -2078 245867
-rect -2378 228027 -2078 245749
-rect -2378 227909 -2287 228027
-rect -2169 227909 -2078 228027
-rect -2378 227867 -2078 227909
-rect -2378 227749 -2287 227867
-rect -2169 227749 -2078 227867
-rect -2378 210027 -2078 227749
-rect -2378 209909 -2287 210027
-rect -2169 209909 -2078 210027
-rect -2378 209867 -2078 209909
-rect -2378 209749 -2287 209867
-rect -2169 209749 -2078 209867
-rect -2378 192027 -2078 209749
-rect -2378 191909 -2287 192027
-rect -2169 191909 -2078 192027
-rect -2378 191867 -2078 191909
-rect -2378 191749 -2287 191867
-rect -2169 191749 -2078 191867
-rect -2378 174027 -2078 191749
-rect -2378 173909 -2287 174027
-rect -2169 173909 -2078 174027
-rect -2378 173867 -2078 173909
-rect -2378 173749 -2287 173867
-rect -2169 173749 -2078 173867
-rect -2378 156027 -2078 173749
-rect -2378 155909 -2287 156027
-rect -2169 155909 -2078 156027
-rect -2378 155867 -2078 155909
-rect -2378 155749 -2287 155867
-rect -2169 155749 -2078 155867
-rect -2378 138027 -2078 155749
-rect -2378 137909 -2287 138027
-rect -2169 137909 -2078 138027
-rect -2378 137867 -2078 137909
-rect -2378 137749 -2287 137867
-rect -2169 137749 -2078 137867
-rect -2378 120027 -2078 137749
-rect -2378 119909 -2287 120027
-rect -2169 119909 -2078 120027
-rect -2378 119867 -2078 119909
-rect -2378 119749 -2287 119867
-rect -2169 119749 -2078 119867
-rect -2378 102027 -2078 119749
-rect -2378 101909 -2287 102027
-rect -2169 101909 -2078 102027
-rect -2378 101867 -2078 101909
-rect -2378 101749 -2287 101867
-rect -2169 101749 -2078 101867
-rect -2378 84027 -2078 101749
-rect -2378 83909 -2287 84027
-rect -2169 83909 -2078 84027
-rect -2378 83867 -2078 83909
-rect -2378 83749 -2287 83867
-rect -2169 83749 -2078 83867
-rect -2378 66027 -2078 83749
-rect -2378 65909 -2287 66027
-rect -2169 65909 -2078 66027
-rect -2378 65867 -2078 65909
-rect -2378 65749 -2287 65867
-rect -2169 65749 -2078 65867
-rect -2378 48027 -2078 65749
-rect -2378 47909 -2287 48027
-rect -2169 47909 -2078 48027
-rect -2378 47867 -2078 47909
-rect -2378 47749 -2287 47867
-rect -2169 47749 -2078 47867
-rect -2378 30027 -2078 47749
-rect -2378 29909 -2287 30027
-rect -2169 29909 -2078 30027
-rect -2378 29867 -2078 29909
-rect -2378 29749 -2287 29867
-rect -2169 29749 -2078 29867
-rect -2378 12027 -2078 29749
-rect -2378 11909 -2287 12027
-rect -2169 11909 -2078 12027
-rect -2378 11867 -2078 11909
-rect -2378 11749 -2287 11867
-rect -2169 11749 -2078 11867
-rect -2378 -1553 -2078 11749
-rect -1918 353339 -1618 353350
-rect -1918 353221 -1827 353339
-rect -1709 353221 -1618 353339
-rect -1918 353179 -1618 353221
-rect -1918 353061 -1827 353179
-rect -1709 353061 -1618 353179
-rect -1918 345027 -1618 353061
-rect 2202 353339 2502 353810
-rect 2202 353221 2293 353339
-rect 2411 353221 2502 353339
-rect 2202 353179 2502 353221
-rect 2202 353061 2293 353179
-rect 2411 353061 2502 353179
-rect -1918 344909 -1827 345027
-rect -1709 344909 -1618 345027
-rect -1918 344867 -1618 344909
-rect -1918 344749 -1827 344867
-rect -1709 344749 -1618 344867
-rect -1918 327027 -1618 344749
-rect -1918 326909 -1827 327027
-rect -1709 326909 -1618 327027
-rect -1918 326867 -1618 326909
-rect -1918 326749 -1827 326867
-rect -1709 326749 -1618 326867
-rect -1918 309027 -1618 326749
-rect -1918 308909 -1827 309027
-rect -1709 308909 -1618 309027
-rect -1918 308867 -1618 308909
-rect -1918 308749 -1827 308867
-rect -1709 308749 -1618 308867
-rect -1918 291027 -1618 308749
-rect -1918 290909 -1827 291027
-rect -1709 290909 -1618 291027
-rect -1918 290867 -1618 290909
-rect -1918 290749 -1827 290867
-rect -1709 290749 -1618 290867
-rect -1918 273027 -1618 290749
-rect -1918 272909 -1827 273027
-rect -1709 272909 -1618 273027
-rect -1918 272867 -1618 272909
-rect -1918 272749 -1827 272867
-rect -1709 272749 -1618 272867
-rect -1918 255027 -1618 272749
-rect -1918 254909 -1827 255027
-rect -1709 254909 -1618 255027
-rect -1918 254867 -1618 254909
-rect -1918 254749 -1827 254867
-rect -1709 254749 -1618 254867
-rect -1918 237027 -1618 254749
-rect -1918 236909 -1827 237027
-rect -1709 236909 -1618 237027
-rect -1918 236867 -1618 236909
-rect -1918 236749 -1827 236867
-rect -1709 236749 -1618 236867
-rect -1918 219027 -1618 236749
-rect -1918 218909 -1827 219027
-rect -1709 218909 -1618 219027
-rect -1918 218867 -1618 218909
-rect -1918 218749 -1827 218867
-rect -1709 218749 -1618 218867
-rect -1918 201027 -1618 218749
-rect -1918 200909 -1827 201027
-rect -1709 200909 -1618 201027
-rect -1918 200867 -1618 200909
-rect -1918 200749 -1827 200867
-rect -1709 200749 -1618 200867
-rect -1918 183027 -1618 200749
-rect -1918 182909 -1827 183027
-rect -1709 182909 -1618 183027
-rect -1918 182867 -1618 182909
-rect -1918 182749 -1827 182867
-rect -1709 182749 -1618 182867
-rect -1918 165027 -1618 182749
-rect -1918 164909 -1827 165027
-rect -1709 164909 -1618 165027
-rect -1918 164867 -1618 164909
-rect -1918 164749 -1827 164867
-rect -1709 164749 -1618 164867
-rect -1918 147027 -1618 164749
-rect -1918 146909 -1827 147027
-rect -1709 146909 -1618 147027
-rect -1918 146867 -1618 146909
-rect -1918 146749 -1827 146867
-rect -1709 146749 -1618 146867
-rect -1918 129027 -1618 146749
-rect -1918 128909 -1827 129027
-rect -1709 128909 -1618 129027
-rect -1918 128867 -1618 128909
-rect -1918 128749 -1827 128867
-rect -1709 128749 -1618 128867
-rect -1918 111027 -1618 128749
-rect -1918 110909 -1827 111027
-rect -1709 110909 -1618 111027
-rect -1918 110867 -1618 110909
-rect -1918 110749 -1827 110867
-rect -1709 110749 -1618 110867
-rect -1918 93027 -1618 110749
-rect -1918 92909 -1827 93027
-rect -1709 92909 -1618 93027
-rect -1918 92867 -1618 92909
-rect -1918 92749 -1827 92867
-rect -1709 92749 -1618 92867
-rect -1918 75027 -1618 92749
-rect -1918 74909 -1827 75027
-rect -1709 74909 -1618 75027
-rect -1918 74867 -1618 74909
-rect -1918 74749 -1827 74867
-rect -1709 74749 -1618 74867
-rect -1918 57027 -1618 74749
-rect -1918 56909 -1827 57027
-rect -1709 56909 -1618 57027
-rect -1918 56867 -1618 56909
-rect -1918 56749 -1827 56867
-rect -1709 56749 -1618 56867
-rect -1918 39027 -1618 56749
-rect -1918 38909 -1827 39027
-rect -1709 38909 -1618 39027
-rect -1918 38867 -1618 38909
-rect -1918 38749 -1827 38867
-rect -1709 38749 -1618 38867
-rect -1918 21027 -1618 38749
-rect -1918 20909 -1827 21027
-rect -1709 20909 -1618 21027
-rect -1918 20867 -1618 20909
-rect -1918 20749 -1827 20867
-rect -1709 20749 -1618 20867
-rect -1918 3027 -1618 20749
-rect -1918 2909 -1827 3027
-rect -1709 2909 -1618 3027
-rect -1918 2867 -1618 2909
-rect -1918 2749 -1827 2867
-rect -1709 2749 -1618 2867
-rect -1918 -1093 -1618 2749
-rect -1458 352879 -1158 352890
-rect -1458 352761 -1367 352879
-rect -1249 352761 -1158 352879
-rect -1458 352719 -1158 352761
-rect -1458 352601 -1367 352719
-rect -1249 352601 -1158 352719
-rect -1458 334227 -1158 352601
-rect -1458 334109 -1367 334227
-rect -1249 334109 -1158 334227
-rect -1458 334067 -1158 334109
-rect -1458 333949 -1367 334067
-rect -1249 333949 -1158 334067
-rect -1458 316227 -1158 333949
-rect -1458 316109 -1367 316227
-rect -1249 316109 -1158 316227
-rect -1458 316067 -1158 316109
-rect -1458 315949 -1367 316067
-rect -1249 315949 -1158 316067
-rect -1458 298227 -1158 315949
-rect -1458 298109 -1367 298227
-rect -1249 298109 -1158 298227
-rect -1458 298067 -1158 298109
-rect -1458 297949 -1367 298067
-rect -1249 297949 -1158 298067
-rect -1458 280227 -1158 297949
-rect -1458 280109 -1367 280227
-rect -1249 280109 -1158 280227
-rect -1458 280067 -1158 280109
-rect -1458 279949 -1367 280067
-rect -1249 279949 -1158 280067
-rect -1458 262227 -1158 279949
-rect -1458 262109 -1367 262227
-rect -1249 262109 -1158 262227
-rect -1458 262067 -1158 262109
-rect -1458 261949 -1367 262067
-rect -1249 261949 -1158 262067
-rect -1458 244227 -1158 261949
-rect -1458 244109 -1367 244227
-rect -1249 244109 -1158 244227
-rect -1458 244067 -1158 244109
-rect -1458 243949 -1367 244067
-rect -1249 243949 -1158 244067
-rect -1458 226227 -1158 243949
-rect -1458 226109 -1367 226227
-rect -1249 226109 -1158 226227
-rect -1458 226067 -1158 226109
-rect -1458 225949 -1367 226067
-rect -1249 225949 -1158 226067
-rect -1458 208227 -1158 225949
-rect -1458 208109 -1367 208227
-rect -1249 208109 -1158 208227
-rect -1458 208067 -1158 208109
-rect -1458 207949 -1367 208067
-rect -1249 207949 -1158 208067
-rect -1458 190227 -1158 207949
-rect -1458 190109 -1367 190227
-rect -1249 190109 -1158 190227
-rect -1458 190067 -1158 190109
-rect -1458 189949 -1367 190067
-rect -1249 189949 -1158 190067
-rect -1458 172227 -1158 189949
-rect -1458 172109 -1367 172227
-rect -1249 172109 -1158 172227
-rect -1458 172067 -1158 172109
-rect -1458 171949 -1367 172067
-rect -1249 171949 -1158 172067
-rect -1458 154227 -1158 171949
-rect -1458 154109 -1367 154227
-rect -1249 154109 -1158 154227
-rect -1458 154067 -1158 154109
-rect -1458 153949 -1367 154067
-rect -1249 153949 -1158 154067
-rect -1458 136227 -1158 153949
-rect -1458 136109 -1367 136227
-rect -1249 136109 -1158 136227
-rect -1458 136067 -1158 136109
-rect -1458 135949 -1367 136067
-rect -1249 135949 -1158 136067
-rect -1458 118227 -1158 135949
-rect -1458 118109 -1367 118227
-rect -1249 118109 -1158 118227
-rect -1458 118067 -1158 118109
-rect -1458 117949 -1367 118067
-rect -1249 117949 -1158 118067
-rect -1458 100227 -1158 117949
-rect -1458 100109 -1367 100227
-rect -1249 100109 -1158 100227
-rect -1458 100067 -1158 100109
-rect -1458 99949 -1367 100067
-rect -1249 99949 -1158 100067
-rect -1458 82227 -1158 99949
-rect -1458 82109 -1367 82227
-rect -1249 82109 -1158 82227
-rect -1458 82067 -1158 82109
-rect -1458 81949 -1367 82067
-rect -1249 81949 -1158 82067
-rect -1458 64227 -1158 81949
-rect -1458 64109 -1367 64227
-rect -1249 64109 -1158 64227
-rect -1458 64067 -1158 64109
-rect -1458 63949 -1367 64067
-rect -1249 63949 -1158 64067
-rect -1458 46227 -1158 63949
-rect -1458 46109 -1367 46227
-rect -1249 46109 -1158 46227
-rect -1458 46067 -1158 46109
-rect -1458 45949 -1367 46067
-rect -1249 45949 -1158 46067
-rect -1458 28227 -1158 45949
-rect -1458 28109 -1367 28227
-rect -1249 28109 -1158 28227
-rect -1458 28067 -1158 28109
-rect -1458 27949 -1367 28067
-rect -1249 27949 -1158 28067
-rect -1458 10227 -1158 27949
-rect -1458 10109 -1367 10227
-rect -1249 10109 -1158 10227
-rect -1458 10067 -1158 10109
-rect -1458 9949 -1367 10067
-rect -1249 9949 -1158 10067
-rect -1458 -633 -1158 9949
+rect -4288 355709 -3988 355720
+rect -4288 355591 -4197 355709
+rect -4079 355591 -3988 355709
+rect -4288 355549 -3988 355591
+rect -4288 355431 -4197 355549
+rect -4079 355431 -3988 355549
+rect -4288 339627 -3988 355431
+rect -4288 339509 -4197 339627
+rect -4079 339509 -3988 339627
+rect -4288 339467 -3988 339509
+rect -4288 339349 -4197 339467
+rect -4079 339349 -3988 339467
+rect -4288 321627 -3988 339349
+rect -4288 321509 -4197 321627
+rect -4079 321509 -3988 321627
+rect -4288 321467 -3988 321509
+rect -4288 321349 -4197 321467
+rect -4079 321349 -3988 321467
+rect -4288 303627 -3988 321349
+rect -4288 303509 -4197 303627
+rect -4079 303509 -3988 303627
+rect -4288 303467 -3988 303509
+rect -4288 303349 -4197 303467
+rect -4079 303349 -3988 303467
+rect -4288 285627 -3988 303349
+rect -4288 285509 -4197 285627
+rect -4079 285509 -3988 285627
+rect -4288 285467 -3988 285509
+rect -4288 285349 -4197 285467
+rect -4079 285349 -3988 285467
+rect -4288 267627 -3988 285349
+rect -4288 267509 -4197 267627
+rect -4079 267509 -3988 267627
+rect -4288 267467 -3988 267509
+rect -4288 267349 -4197 267467
+rect -4079 267349 -3988 267467
+rect -4288 249627 -3988 267349
+rect -4288 249509 -4197 249627
+rect -4079 249509 -3988 249627
+rect -4288 249467 -3988 249509
+rect -4288 249349 -4197 249467
+rect -4079 249349 -3988 249467
+rect -4288 231627 -3988 249349
+rect -4288 231509 -4197 231627
+rect -4079 231509 -3988 231627
+rect -4288 231467 -3988 231509
+rect -4288 231349 -4197 231467
+rect -4079 231349 -3988 231467
+rect -4288 213627 -3988 231349
+rect -4288 213509 -4197 213627
+rect -4079 213509 -3988 213627
+rect -4288 213467 -3988 213509
+rect -4288 213349 -4197 213467
+rect -4079 213349 -3988 213467
+rect -4288 195627 -3988 213349
+rect -4288 195509 -4197 195627
+rect -4079 195509 -3988 195627
+rect -4288 195467 -3988 195509
+rect -4288 195349 -4197 195467
+rect -4079 195349 -3988 195467
+rect -4288 177627 -3988 195349
+rect -4288 177509 -4197 177627
+rect -4079 177509 -3988 177627
+rect -4288 177467 -3988 177509
+rect -4288 177349 -4197 177467
+rect -4079 177349 -3988 177467
+rect -4288 159627 -3988 177349
+rect -4288 159509 -4197 159627
+rect -4079 159509 -3988 159627
+rect -4288 159467 -3988 159509
+rect -4288 159349 -4197 159467
+rect -4079 159349 -3988 159467
+rect -4288 141627 -3988 159349
+rect -4288 141509 -4197 141627
+rect -4079 141509 -3988 141627
+rect -4288 141467 -3988 141509
+rect -4288 141349 -4197 141467
+rect -4079 141349 -3988 141467
+rect -4288 123627 -3988 141349
+rect -4288 123509 -4197 123627
+rect -4079 123509 -3988 123627
+rect -4288 123467 -3988 123509
+rect -4288 123349 -4197 123467
+rect -4079 123349 -3988 123467
+rect -4288 105627 -3988 123349
+rect -4288 105509 -4197 105627
+rect -4079 105509 -3988 105627
+rect -4288 105467 -3988 105509
+rect -4288 105349 -4197 105467
+rect -4079 105349 -3988 105467
+rect -4288 87627 -3988 105349
+rect -4288 87509 -4197 87627
+rect -4079 87509 -3988 87627
+rect -4288 87467 -3988 87509
+rect -4288 87349 -4197 87467
+rect -4079 87349 -3988 87467
+rect -4288 69627 -3988 87349
+rect -4288 69509 -4197 69627
+rect -4079 69509 -3988 69627
+rect -4288 69467 -3988 69509
+rect -4288 69349 -4197 69467
+rect -4079 69349 -3988 69467
+rect -4288 51627 -3988 69349
+rect -4288 51509 -4197 51627
+rect -4079 51509 -3988 51627
+rect -4288 51467 -3988 51509
+rect -4288 51349 -4197 51467
+rect -4079 51349 -3988 51467
+rect -4288 33627 -3988 51349
+rect -4288 33509 -4197 33627
+rect -4079 33509 -3988 33627
+rect -4288 33467 -3988 33509
+rect -4288 33349 -4197 33467
+rect -4079 33349 -3988 33467
+rect -4288 15627 -3988 33349
+rect -4288 15509 -4197 15627
+rect -4079 15509 -3988 15627
+rect -4288 15467 -3988 15509
+rect -4288 15349 -4197 15467
+rect -4079 15349 -3988 15467
+rect -4288 -3463 -3988 15349
+rect -3818 355239 -3518 355250
+rect -3818 355121 -3727 355239
+rect -3609 355121 -3518 355239
+rect -3818 355079 -3518 355121
+rect -3818 354961 -3727 355079
+rect -3609 354961 -3518 355079
+rect -3818 348627 -3518 354961
+rect 5802 355239 6102 355720
+rect 5802 355121 5893 355239
+rect 6011 355121 6102 355239
+rect 5802 355079 6102 355121
+rect 5802 354961 5893 355079
+rect 6011 354961 6102 355079
+rect -3818 348509 -3727 348627
+rect -3609 348509 -3518 348627
+rect -3818 348467 -3518 348509
+rect -3818 348349 -3727 348467
+rect -3609 348349 -3518 348467
+rect -3818 330627 -3518 348349
+rect -3818 330509 -3727 330627
+rect -3609 330509 -3518 330627
+rect -3818 330467 -3518 330509
+rect -3818 330349 -3727 330467
+rect -3609 330349 -3518 330467
+rect -3818 312627 -3518 330349
+rect -3818 312509 -3727 312627
+rect -3609 312509 -3518 312627
+rect -3818 312467 -3518 312509
+rect -3818 312349 -3727 312467
+rect -3609 312349 -3518 312467
+rect -3818 294627 -3518 312349
+rect -3818 294509 -3727 294627
+rect -3609 294509 -3518 294627
+rect -3818 294467 -3518 294509
+rect -3818 294349 -3727 294467
+rect -3609 294349 -3518 294467
+rect -3818 276627 -3518 294349
+rect -3818 276509 -3727 276627
+rect -3609 276509 -3518 276627
+rect -3818 276467 -3518 276509
+rect -3818 276349 -3727 276467
+rect -3609 276349 -3518 276467
+rect -3818 258627 -3518 276349
+rect -3818 258509 -3727 258627
+rect -3609 258509 -3518 258627
+rect -3818 258467 -3518 258509
+rect -3818 258349 -3727 258467
+rect -3609 258349 -3518 258467
+rect -3818 240627 -3518 258349
+rect -3818 240509 -3727 240627
+rect -3609 240509 -3518 240627
+rect -3818 240467 -3518 240509
+rect -3818 240349 -3727 240467
+rect -3609 240349 -3518 240467
+rect -3818 222627 -3518 240349
+rect -3818 222509 -3727 222627
+rect -3609 222509 -3518 222627
+rect -3818 222467 -3518 222509
+rect -3818 222349 -3727 222467
+rect -3609 222349 -3518 222467
+rect -3818 204627 -3518 222349
+rect -3818 204509 -3727 204627
+rect -3609 204509 -3518 204627
+rect -3818 204467 -3518 204509
+rect -3818 204349 -3727 204467
+rect -3609 204349 -3518 204467
+rect -3818 186627 -3518 204349
+rect -3818 186509 -3727 186627
+rect -3609 186509 -3518 186627
+rect -3818 186467 -3518 186509
+rect -3818 186349 -3727 186467
+rect -3609 186349 -3518 186467
+rect -3818 168627 -3518 186349
+rect -3818 168509 -3727 168627
+rect -3609 168509 -3518 168627
+rect -3818 168467 -3518 168509
+rect -3818 168349 -3727 168467
+rect -3609 168349 -3518 168467
+rect -3818 150627 -3518 168349
+rect -3818 150509 -3727 150627
+rect -3609 150509 -3518 150627
+rect -3818 150467 -3518 150509
+rect -3818 150349 -3727 150467
+rect -3609 150349 -3518 150467
+rect -3818 132627 -3518 150349
+rect -3818 132509 -3727 132627
+rect -3609 132509 -3518 132627
+rect -3818 132467 -3518 132509
+rect -3818 132349 -3727 132467
+rect -3609 132349 -3518 132467
+rect -3818 114627 -3518 132349
+rect -3818 114509 -3727 114627
+rect -3609 114509 -3518 114627
+rect -3818 114467 -3518 114509
+rect -3818 114349 -3727 114467
+rect -3609 114349 -3518 114467
+rect -3818 96627 -3518 114349
+rect -3818 96509 -3727 96627
+rect -3609 96509 -3518 96627
+rect -3818 96467 -3518 96509
+rect -3818 96349 -3727 96467
+rect -3609 96349 -3518 96467
+rect -3818 78627 -3518 96349
+rect -3818 78509 -3727 78627
+rect -3609 78509 -3518 78627
+rect -3818 78467 -3518 78509
+rect -3818 78349 -3727 78467
+rect -3609 78349 -3518 78467
+rect -3818 60627 -3518 78349
+rect -3818 60509 -3727 60627
+rect -3609 60509 -3518 60627
+rect -3818 60467 -3518 60509
+rect -3818 60349 -3727 60467
+rect -3609 60349 -3518 60467
+rect -3818 42627 -3518 60349
+rect -3818 42509 -3727 42627
+rect -3609 42509 -3518 42627
+rect -3818 42467 -3518 42509
+rect -3818 42349 -3727 42467
+rect -3609 42349 -3518 42467
+rect -3818 24627 -3518 42349
+rect -3818 24509 -3727 24627
+rect -3609 24509 -3518 24627
+rect -3818 24467 -3518 24509
+rect -3818 24349 -3727 24467
+rect -3609 24349 -3518 24467
+rect -3818 6627 -3518 24349
+rect -3818 6509 -3727 6627
+rect -3609 6509 -3518 6627
+rect -3818 6467 -3518 6509
+rect -3818 6349 -3727 6467
+rect -3609 6349 -3518 6467
+rect -3818 -2993 -3518 6349
+rect -3348 354769 -3048 354780
+rect -3348 354651 -3257 354769
+rect -3139 354651 -3048 354769
+rect -3348 354609 -3048 354651
+rect -3348 354491 -3257 354609
+rect -3139 354491 -3048 354609
+rect -3348 337827 -3048 354491
+rect -3348 337709 -3257 337827
+rect -3139 337709 -3048 337827
+rect -3348 337667 -3048 337709
+rect -3348 337549 -3257 337667
+rect -3139 337549 -3048 337667
+rect -3348 319827 -3048 337549
+rect -3348 319709 -3257 319827
+rect -3139 319709 -3048 319827
+rect -3348 319667 -3048 319709
+rect -3348 319549 -3257 319667
+rect -3139 319549 -3048 319667
+rect -3348 301827 -3048 319549
+rect -3348 301709 -3257 301827
+rect -3139 301709 -3048 301827
+rect -3348 301667 -3048 301709
+rect -3348 301549 -3257 301667
+rect -3139 301549 -3048 301667
+rect -3348 283827 -3048 301549
+rect -3348 283709 -3257 283827
+rect -3139 283709 -3048 283827
+rect -3348 283667 -3048 283709
+rect -3348 283549 -3257 283667
+rect -3139 283549 -3048 283667
+rect -3348 265827 -3048 283549
+rect -3348 265709 -3257 265827
+rect -3139 265709 -3048 265827
+rect -3348 265667 -3048 265709
+rect -3348 265549 -3257 265667
+rect -3139 265549 -3048 265667
+rect -3348 247827 -3048 265549
+rect -3348 247709 -3257 247827
+rect -3139 247709 -3048 247827
+rect -3348 247667 -3048 247709
+rect -3348 247549 -3257 247667
+rect -3139 247549 -3048 247667
+rect -3348 229827 -3048 247549
+rect -3348 229709 -3257 229827
+rect -3139 229709 -3048 229827
+rect -3348 229667 -3048 229709
+rect -3348 229549 -3257 229667
+rect -3139 229549 -3048 229667
+rect -3348 211827 -3048 229549
+rect -3348 211709 -3257 211827
+rect -3139 211709 -3048 211827
+rect -3348 211667 -3048 211709
+rect -3348 211549 -3257 211667
+rect -3139 211549 -3048 211667
+rect -3348 193827 -3048 211549
+rect -3348 193709 -3257 193827
+rect -3139 193709 -3048 193827
+rect -3348 193667 -3048 193709
+rect -3348 193549 -3257 193667
+rect -3139 193549 -3048 193667
+rect -3348 175827 -3048 193549
+rect -3348 175709 -3257 175827
+rect -3139 175709 -3048 175827
+rect -3348 175667 -3048 175709
+rect -3348 175549 -3257 175667
+rect -3139 175549 -3048 175667
+rect -3348 157827 -3048 175549
+rect -3348 157709 -3257 157827
+rect -3139 157709 -3048 157827
+rect -3348 157667 -3048 157709
+rect -3348 157549 -3257 157667
+rect -3139 157549 -3048 157667
+rect -3348 139827 -3048 157549
+rect -3348 139709 -3257 139827
+rect -3139 139709 -3048 139827
+rect -3348 139667 -3048 139709
+rect -3348 139549 -3257 139667
+rect -3139 139549 -3048 139667
+rect -3348 121827 -3048 139549
+rect -3348 121709 -3257 121827
+rect -3139 121709 -3048 121827
+rect -3348 121667 -3048 121709
+rect -3348 121549 -3257 121667
+rect -3139 121549 -3048 121667
+rect -3348 103827 -3048 121549
+rect -3348 103709 -3257 103827
+rect -3139 103709 -3048 103827
+rect -3348 103667 -3048 103709
+rect -3348 103549 -3257 103667
+rect -3139 103549 -3048 103667
+rect -3348 85827 -3048 103549
+rect -3348 85709 -3257 85827
+rect -3139 85709 -3048 85827
+rect -3348 85667 -3048 85709
+rect -3348 85549 -3257 85667
+rect -3139 85549 -3048 85667
+rect -3348 67827 -3048 85549
+rect -3348 67709 -3257 67827
+rect -3139 67709 -3048 67827
+rect -3348 67667 -3048 67709
+rect -3348 67549 -3257 67667
+rect -3139 67549 -3048 67667
+rect -3348 49827 -3048 67549
+rect -3348 49709 -3257 49827
+rect -3139 49709 -3048 49827
+rect -3348 49667 -3048 49709
+rect -3348 49549 -3257 49667
+rect -3139 49549 -3048 49667
+rect -3348 31827 -3048 49549
+rect -3348 31709 -3257 31827
+rect -3139 31709 -3048 31827
+rect -3348 31667 -3048 31709
+rect -3348 31549 -3257 31667
+rect -3139 31549 -3048 31667
+rect -3348 13827 -3048 31549
+rect -3348 13709 -3257 13827
+rect -3139 13709 -3048 13827
+rect -3348 13667 -3048 13709
+rect -3348 13549 -3257 13667
+rect -3139 13549 -3048 13667
+rect -3348 -2523 -3048 13549
+rect -2878 354299 -2578 354310
+rect -2878 354181 -2787 354299
+rect -2669 354181 -2578 354299
+rect -2878 354139 -2578 354181
+rect -2878 354021 -2787 354139
+rect -2669 354021 -2578 354139
+rect -2878 346827 -2578 354021
+rect 4002 354299 4302 354780
+rect 4002 354181 4093 354299
+rect 4211 354181 4302 354299
+rect 4002 354139 4302 354181
+rect 4002 354021 4093 354139
+rect 4211 354021 4302 354139
+rect -2878 346709 -2787 346827
+rect -2669 346709 -2578 346827
+rect -2878 346667 -2578 346709
+rect -2878 346549 -2787 346667
+rect -2669 346549 -2578 346667
+rect -2878 328827 -2578 346549
+rect -2878 328709 -2787 328827
+rect -2669 328709 -2578 328827
+rect -2878 328667 -2578 328709
+rect -2878 328549 -2787 328667
+rect -2669 328549 -2578 328667
+rect -2878 310827 -2578 328549
+rect -2878 310709 -2787 310827
+rect -2669 310709 -2578 310827
+rect -2878 310667 -2578 310709
+rect -2878 310549 -2787 310667
+rect -2669 310549 -2578 310667
+rect -2878 292827 -2578 310549
+rect -2878 292709 -2787 292827
+rect -2669 292709 -2578 292827
+rect -2878 292667 -2578 292709
+rect -2878 292549 -2787 292667
+rect -2669 292549 -2578 292667
+rect -2878 274827 -2578 292549
+rect -2878 274709 -2787 274827
+rect -2669 274709 -2578 274827
+rect -2878 274667 -2578 274709
+rect -2878 274549 -2787 274667
+rect -2669 274549 -2578 274667
+rect -2878 256827 -2578 274549
+rect -2878 256709 -2787 256827
+rect -2669 256709 -2578 256827
+rect -2878 256667 -2578 256709
+rect -2878 256549 -2787 256667
+rect -2669 256549 -2578 256667
+rect -2878 238827 -2578 256549
+rect -2878 238709 -2787 238827
+rect -2669 238709 -2578 238827
+rect -2878 238667 -2578 238709
+rect -2878 238549 -2787 238667
+rect -2669 238549 -2578 238667
+rect -2878 220827 -2578 238549
+rect -2878 220709 -2787 220827
+rect -2669 220709 -2578 220827
+rect -2878 220667 -2578 220709
+rect -2878 220549 -2787 220667
+rect -2669 220549 -2578 220667
+rect -2878 202827 -2578 220549
+rect -2878 202709 -2787 202827
+rect -2669 202709 -2578 202827
+rect -2878 202667 -2578 202709
+rect -2878 202549 -2787 202667
+rect -2669 202549 -2578 202667
+rect -2878 184827 -2578 202549
+rect -2878 184709 -2787 184827
+rect -2669 184709 -2578 184827
+rect -2878 184667 -2578 184709
+rect -2878 184549 -2787 184667
+rect -2669 184549 -2578 184667
+rect -2878 166827 -2578 184549
+rect -2878 166709 -2787 166827
+rect -2669 166709 -2578 166827
+rect -2878 166667 -2578 166709
+rect -2878 166549 -2787 166667
+rect -2669 166549 -2578 166667
+rect -2878 148827 -2578 166549
+rect -2878 148709 -2787 148827
+rect -2669 148709 -2578 148827
+rect -2878 148667 -2578 148709
+rect -2878 148549 -2787 148667
+rect -2669 148549 -2578 148667
+rect -2878 130827 -2578 148549
+rect -2878 130709 -2787 130827
+rect -2669 130709 -2578 130827
+rect -2878 130667 -2578 130709
+rect -2878 130549 -2787 130667
+rect -2669 130549 -2578 130667
+rect -2878 112827 -2578 130549
+rect -2878 112709 -2787 112827
+rect -2669 112709 -2578 112827
+rect -2878 112667 -2578 112709
+rect -2878 112549 -2787 112667
+rect -2669 112549 -2578 112667
+rect -2878 94827 -2578 112549
+rect -2878 94709 -2787 94827
+rect -2669 94709 -2578 94827
+rect -2878 94667 -2578 94709
+rect -2878 94549 -2787 94667
+rect -2669 94549 -2578 94667
+rect -2878 76827 -2578 94549
+rect -2878 76709 -2787 76827
+rect -2669 76709 -2578 76827
+rect -2878 76667 -2578 76709
+rect -2878 76549 -2787 76667
+rect -2669 76549 -2578 76667
+rect -2878 58827 -2578 76549
+rect -2878 58709 -2787 58827
+rect -2669 58709 -2578 58827
+rect -2878 58667 -2578 58709
+rect -2878 58549 -2787 58667
+rect -2669 58549 -2578 58667
+rect -2878 40827 -2578 58549
+rect -2878 40709 -2787 40827
+rect -2669 40709 -2578 40827
+rect -2878 40667 -2578 40709
+rect -2878 40549 -2787 40667
+rect -2669 40549 -2578 40667
+rect -2878 22827 -2578 40549
+rect -2878 22709 -2787 22827
+rect -2669 22709 -2578 22827
+rect -2878 22667 -2578 22709
+rect -2878 22549 -2787 22667
+rect -2669 22549 -2578 22667
+rect -2878 4827 -2578 22549
+rect -2878 4709 -2787 4827
+rect -2669 4709 -2578 4827
+rect -2878 4667 -2578 4709
+rect -2878 4549 -2787 4667
+rect -2669 4549 -2578 4667
+rect -2878 -2053 -2578 4549
+rect -2408 353829 -2108 353840
+rect -2408 353711 -2317 353829
+rect -2199 353711 -2108 353829
+rect -2408 353669 -2108 353711
+rect -2408 353551 -2317 353669
+rect -2199 353551 -2108 353669
+rect -2408 336027 -2108 353551
+rect -2408 335909 -2317 336027
+rect -2199 335909 -2108 336027
+rect -2408 335867 -2108 335909
+rect -2408 335749 -2317 335867
+rect -2199 335749 -2108 335867
+rect -2408 318027 -2108 335749
+rect -2408 317909 -2317 318027
+rect -2199 317909 -2108 318027
+rect -2408 317867 -2108 317909
+rect -2408 317749 -2317 317867
+rect -2199 317749 -2108 317867
+rect -2408 300027 -2108 317749
+rect -2408 299909 -2317 300027
+rect -2199 299909 -2108 300027
+rect -2408 299867 -2108 299909
+rect -2408 299749 -2317 299867
+rect -2199 299749 -2108 299867
+rect -2408 282027 -2108 299749
+rect -2408 281909 -2317 282027
+rect -2199 281909 -2108 282027
+rect -2408 281867 -2108 281909
+rect -2408 281749 -2317 281867
+rect -2199 281749 -2108 281867
+rect -2408 264027 -2108 281749
+rect -2408 263909 -2317 264027
+rect -2199 263909 -2108 264027
+rect -2408 263867 -2108 263909
+rect -2408 263749 -2317 263867
+rect -2199 263749 -2108 263867
+rect -2408 246027 -2108 263749
+rect -2408 245909 -2317 246027
+rect -2199 245909 -2108 246027
+rect -2408 245867 -2108 245909
+rect -2408 245749 -2317 245867
+rect -2199 245749 -2108 245867
+rect -2408 228027 -2108 245749
+rect -2408 227909 -2317 228027
+rect -2199 227909 -2108 228027
+rect -2408 227867 -2108 227909
+rect -2408 227749 -2317 227867
+rect -2199 227749 -2108 227867
+rect -2408 210027 -2108 227749
+rect -2408 209909 -2317 210027
+rect -2199 209909 -2108 210027
+rect -2408 209867 -2108 209909
+rect -2408 209749 -2317 209867
+rect -2199 209749 -2108 209867
+rect -2408 192027 -2108 209749
+rect -2408 191909 -2317 192027
+rect -2199 191909 -2108 192027
+rect -2408 191867 -2108 191909
+rect -2408 191749 -2317 191867
+rect -2199 191749 -2108 191867
+rect -2408 174027 -2108 191749
+rect -2408 173909 -2317 174027
+rect -2199 173909 -2108 174027
+rect -2408 173867 -2108 173909
+rect -2408 173749 -2317 173867
+rect -2199 173749 -2108 173867
+rect -2408 156027 -2108 173749
+rect -2408 155909 -2317 156027
+rect -2199 155909 -2108 156027
+rect -2408 155867 -2108 155909
+rect -2408 155749 -2317 155867
+rect -2199 155749 -2108 155867
+rect -2408 138027 -2108 155749
+rect -2408 137909 -2317 138027
+rect -2199 137909 -2108 138027
+rect -2408 137867 -2108 137909
+rect -2408 137749 -2317 137867
+rect -2199 137749 -2108 137867
+rect -2408 120027 -2108 137749
+rect -2408 119909 -2317 120027
+rect -2199 119909 -2108 120027
+rect -2408 119867 -2108 119909
+rect -2408 119749 -2317 119867
+rect -2199 119749 -2108 119867
+rect -2408 102027 -2108 119749
+rect -2408 101909 -2317 102027
+rect -2199 101909 -2108 102027
+rect -2408 101867 -2108 101909
+rect -2408 101749 -2317 101867
+rect -2199 101749 -2108 101867
+rect -2408 84027 -2108 101749
+rect -2408 83909 -2317 84027
+rect -2199 83909 -2108 84027
+rect -2408 83867 -2108 83909
+rect -2408 83749 -2317 83867
+rect -2199 83749 -2108 83867
+rect -2408 66027 -2108 83749
+rect -2408 65909 -2317 66027
+rect -2199 65909 -2108 66027
+rect -2408 65867 -2108 65909
+rect -2408 65749 -2317 65867
+rect -2199 65749 -2108 65867
+rect -2408 48027 -2108 65749
+rect -2408 47909 -2317 48027
+rect -2199 47909 -2108 48027
+rect -2408 47867 -2108 47909
+rect -2408 47749 -2317 47867
+rect -2199 47749 -2108 47867
+rect -2408 30027 -2108 47749
+rect -2408 29909 -2317 30027
+rect -2199 29909 -2108 30027
+rect -2408 29867 -2108 29909
+rect -2408 29749 -2317 29867
+rect -2199 29749 -2108 29867
+rect -2408 12027 -2108 29749
+rect -2408 11909 -2317 12027
+rect -2199 11909 -2108 12027
+rect -2408 11867 -2108 11909
+rect -2408 11749 -2317 11867
+rect -2199 11749 -2108 11867
+rect -2408 -1583 -2108 11749
+rect -1938 353359 -1638 353370
+rect -1938 353241 -1847 353359
+rect -1729 353241 -1638 353359
+rect -1938 353199 -1638 353241
+rect -1938 353081 -1847 353199
+rect -1729 353081 -1638 353199
+rect -1938 345027 -1638 353081
+rect 2202 353359 2502 353840
+rect 2202 353241 2293 353359
+rect 2411 353241 2502 353359
+rect 2202 353199 2502 353241
+rect 2202 353081 2293 353199
+rect 2411 353081 2502 353199
+rect -1938 344909 -1847 345027
+rect -1729 344909 -1638 345027
+rect -1938 344867 -1638 344909
+rect -1938 344749 -1847 344867
+rect -1729 344749 -1638 344867
+rect -1938 327027 -1638 344749
+rect -1938 326909 -1847 327027
+rect -1729 326909 -1638 327027
+rect -1938 326867 -1638 326909
+rect -1938 326749 -1847 326867
+rect -1729 326749 -1638 326867
+rect -1938 309027 -1638 326749
+rect -1938 308909 -1847 309027
+rect -1729 308909 -1638 309027
+rect -1938 308867 -1638 308909
+rect -1938 308749 -1847 308867
+rect -1729 308749 -1638 308867
+rect -1938 291027 -1638 308749
+rect -1938 290909 -1847 291027
+rect -1729 290909 -1638 291027
+rect -1938 290867 -1638 290909
+rect -1938 290749 -1847 290867
+rect -1729 290749 -1638 290867
+rect -1938 273027 -1638 290749
+rect -1938 272909 -1847 273027
+rect -1729 272909 -1638 273027
+rect -1938 272867 -1638 272909
+rect -1938 272749 -1847 272867
+rect -1729 272749 -1638 272867
+rect -1938 255027 -1638 272749
+rect -1938 254909 -1847 255027
+rect -1729 254909 -1638 255027
+rect -1938 254867 -1638 254909
+rect -1938 254749 -1847 254867
+rect -1729 254749 -1638 254867
+rect -1938 237027 -1638 254749
+rect -1938 236909 -1847 237027
+rect -1729 236909 -1638 237027
+rect -1938 236867 -1638 236909
+rect -1938 236749 -1847 236867
+rect -1729 236749 -1638 236867
+rect -1938 219027 -1638 236749
+rect -1938 218909 -1847 219027
+rect -1729 218909 -1638 219027
+rect -1938 218867 -1638 218909
+rect -1938 218749 -1847 218867
+rect -1729 218749 -1638 218867
+rect -1938 201027 -1638 218749
+rect -1938 200909 -1847 201027
+rect -1729 200909 -1638 201027
+rect -1938 200867 -1638 200909
+rect -1938 200749 -1847 200867
+rect -1729 200749 -1638 200867
+rect -1938 183027 -1638 200749
+rect -1938 182909 -1847 183027
+rect -1729 182909 -1638 183027
+rect -1938 182867 -1638 182909
+rect -1938 182749 -1847 182867
+rect -1729 182749 -1638 182867
+rect -1938 165027 -1638 182749
+rect -1938 164909 -1847 165027
+rect -1729 164909 -1638 165027
+rect -1938 164867 -1638 164909
+rect -1938 164749 -1847 164867
+rect -1729 164749 -1638 164867
+rect -1938 147027 -1638 164749
+rect -1938 146909 -1847 147027
+rect -1729 146909 -1638 147027
+rect -1938 146867 -1638 146909
+rect -1938 146749 -1847 146867
+rect -1729 146749 -1638 146867
+rect -1938 129027 -1638 146749
+rect -1938 128909 -1847 129027
+rect -1729 128909 -1638 129027
+rect -1938 128867 -1638 128909
+rect -1938 128749 -1847 128867
+rect -1729 128749 -1638 128867
+rect -1938 111027 -1638 128749
+rect -1938 110909 -1847 111027
+rect -1729 110909 -1638 111027
+rect -1938 110867 -1638 110909
+rect -1938 110749 -1847 110867
+rect -1729 110749 -1638 110867
+rect -1938 93027 -1638 110749
+rect -1938 92909 -1847 93027
+rect -1729 92909 -1638 93027
+rect -1938 92867 -1638 92909
+rect -1938 92749 -1847 92867
+rect -1729 92749 -1638 92867
+rect -1938 75027 -1638 92749
+rect -1938 74909 -1847 75027
+rect -1729 74909 -1638 75027
+rect -1938 74867 -1638 74909
+rect -1938 74749 -1847 74867
+rect -1729 74749 -1638 74867
+rect -1938 57027 -1638 74749
+rect -1938 56909 -1847 57027
+rect -1729 56909 -1638 57027
+rect -1938 56867 -1638 56909
+rect -1938 56749 -1847 56867
+rect -1729 56749 -1638 56867
+rect -1938 39027 -1638 56749
+rect -1938 38909 -1847 39027
+rect -1729 38909 -1638 39027
+rect -1938 38867 -1638 38909
+rect -1938 38749 -1847 38867
+rect -1729 38749 -1638 38867
+rect -1938 21027 -1638 38749
+rect -1938 20909 -1847 21027
+rect -1729 20909 -1638 21027
+rect -1938 20867 -1638 20909
+rect -1938 20749 -1847 20867
+rect -1729 20749 -1638 20867
+rect -1938 3027 -1638 20749
+rect -1938 2909 -1847 3027
+rect -1729 2909 -1638 3027
+rect -1938 2867 -1638 2909
+rect -1938 2749 -1847 2867
+rect -1729 2749 -1638 2867
+rect -1938 -1113 -1638 2749
+rect -1468 352889 -1168 352900
+rect -1468 352771 -1377 352889
+rect -1259 352771 -1168 352889
+rect -1468 352729 -1168 352771
+rect -1468 352611 -1377 352729
+rect -1259 352611 -1168 352729
+rect -1468 334227 -1168 352611
+rect -1468 334109 -1377 334227
+rect -1259 334109 -1168 334227
+rect -1468 334067 -1168 334109
+rect -1468 333949 -1377 334067
+rect -1259 333949 -1168 334067
+rect -1468 316227 -1168 333949
+rect -1468 316109 -1377 316227
+rect -1259 316109 -1168 316227
+rect -1468 316067 -1168 316109
+rect -1468 315949 -1377 316067
+rect -1259 315949 -1168 316067
+rect -1468 298227 -1168 315949
+rect -1468 298109 -1377 298227
+rect -1259 298109 -1168 298227
+rect -1468 298067 -1168 298109
+rect -1468 297949 -1377 298067
+rect -1259 297949 -1168 298067
+rect -1468 280227 -1168 297949
+rect -1468 280109 -1377 280227
+rect -1259 280109 -1168 280227
+rect -1468 280067 -1168 280109
+rect -1468 279949 -1377 280067
+rect -1259 279949 -1168 280067
+rect -1468 262227 -1168 279949
+rect -1468 262109 -1377 262227
+rect -1259 262109 -1168 262227
+rect -1468 262067 -1168 262109
+rect -1468 261949 -1377 262067
+rect -1259 261949 -1168 262067
+rect -1468 244227 -1168 261949
+rect -1468 244109 -1377 244227
+rect -1259 244109 -1168 244227
+rect -1468 244067 -1168 244109
+rect -1468 243949 -1377 244067
+rect -1259 243949 -1168 244067
+rect -1468 226227 -1168 243949
+rect -1468 226109 -1377 226227
+rect -1259 226109 -1168 226227
+rect -1468 226067 -1168 226109
+rect -1468 225949 -1377 226067
+rect -1259 225949 -1168 226067
+rect -1468 208227 -1168 225949
+rect -1468 208109 -1377 208227
+rect -1259 208109 -1168 208227
+rect -1468 208067 -1168 208109
+rect -1468 207949 -1377 208067
+rect -1259 207949 -1168 208067
+rect -1468 190227 -1168 207949
+rect -1468 190109 -1377 190227
+rect -1259 190109 -1168 190227
+rect -1468 190067 -1168 190109
+rect -1468 189949 -1377 190067
+rect -1259 189949 -1168 190067
+rect -1468 172227 -1168 189949
+rect -1468 172109 -1377 172227
+rect -1259 172109 -1168 172227
+rect -1468 172067 -1168 172109
+rect -1468 171949 -1377 172067
+rect -1259 171949 -1168 172067
+rect -1468 154227 -1168 171949
+rect -1468 154109 -1377 154227
+rect -1259 154109 -1168 154227
+rect -1468 154067 -1168 154109
+rect -1468 153949 -1377 154067
+rect -1259 153949 -1168 154067
+rect -1468 136227 -1168 153949
+rect -1468 136109 -1377 136227
+rect -1259 136109 -1168 136227
+rect -1468 136067 -1168 136109
+rect -1468 135949 -1377 136067
+rect -1259 135949 -1168 136067
+rect -1468 118227 -1168 135949
+rect -1468 118109 -1377 118227
+rect -1259 118109 -1168 118227
+rect -1468 118067 -1168 118109
+rect -1468 117949 -1377 118067
+rect -1259 117949 -1168 118067
+rect -1468 100227 -1168 117949
+rect -1468 100109 -1377 100227
+rect -1259 100109 -1168 100227
+rect -1468 100067 -1168 100109
+rect -1468 99949 -1377 100067
+rect -1259 99949 -1168 100067
+rect -1468 82227 -1168 99949
+rect -1468 82109 -1377 82227
+rect -1259 82109 -1168 82227
+rect -1468 82067 -1168 82109
+rect -1468 81949 -1377 82067
+rect -1259 81949 -1168 82067
+rect -1468 64227 -1168 81949
+rect -1468 64109 -1377 64227
+rect -1259 64109 -1168 64227
+rect -1468 64067 -1168 64109
+rect -1468 63949 -1377 64067
+rect -1259 63949 -1168 64067
+rect -1468 46227 -1168 63949
+rect -1468 46109 -1377 46227
+rect -1259 46109 -1168 46227
+rect -1468 46067 -1168 46109
+rect -1468 45949 -1377 46067
+rect -1259 45949 -1168 46067
+rect -1468 28227 -1168 45949
+rect -1468 28109 -1377 28227
+rect -1259 28109 -1168 28227
+rect -1468 28067 -1168 28109
+rect -1468 27949 -1377 28067
+rect -1259 27949 -1168 28067
+rect -1468 10227 -1168 27949
+rect -1468 10109 -1377 10227
+rect -1259 10109 -1168 10227
+rect -1468 10067 -1168 10109
+rect -1468 9949 -1377 10067
+rect -1259 9949 -1168 10067
+rect -1468 -643 -1168 9949
 rect -998 352419 -698 352430
 rect -998 352301 -907 352419
 rect -789 352301 -698 352419
@@ -1532,940 +1532,940 @@
 rect -998 352141 -907 352259
 rect -789 352141 -698 352259
 rect -998 343227 -698 352141
-rect 402 352419 702 352890
+rect 402 352419 702 352900
 rect 402 352301 493 352419
 rect 611 352301 702 352419
 rect 402 352259 702 352301
 rect 402 352141 493 352259
 rect 611 352141 702 352259
 rect 402 351760 702 352141
-rect 2202 351760 2502 353061
-rect 4002 351760 4302 353981
-rect 5802 351760 6102 354901
-rect 14802 355639 15102 355650
-rect 14802 355521 14893 355639
-rect 15011 355521 15102 355639
-rect 14802 355479 15102 355521
-rect 14802 355361 14893 355479
-rect 15011 355361 15102 355479
-rect 13002 354719 13302 354730
-rect 13002 354601 13093 354719
-rect 13211 354601 13302 354719
-rect 13002 354559 13302 354601
-rect 13002 354441 13093 354559
-rect 13211 354441 13302 354559
-rect 11202 353799 11502 353810
-rect 11202 353681 11293 353799
-rect 11411 353681 11502 353799
-rect 11202 353639 11502 353681
-rect 11202 353521 11293 353639
-rect 11411 353521 11502 353639
-rect 9402 352879 9702 352890
-rect 9402 352761 9493 352879
-rect 9611 352761 9702 352879
-rect 9402 352719 9702 352761
-rect 9402 352601 9493 352719
-rect 9611 352601 9702 352719
-rect 9402 351760 9702 352601
-rect 11202 351760 11502 353521
-rect 13002 351760 13302 354441
-rect 14802 351760 15102 355361
-rect 23802 355179 24102 355650
-rect 23802 355061 23893 355179
-rect 24011 355061 24102 355179
-rect 23802 355019 24102 355061
-rect 23802 354901 23893 355019
-rect 24011 354901 24102 355019
-rect 22002 354259 22302 354730
-rect 22002 354141 22093 354259
-rect 22211 354141 22302 354259
-rect 22002 354099 22302 354141
-rect 22002 353981 22093 354099
-rect 22211 353981 22302 354099
-rect 20202 353339 20502 353810
-rect 20202 353221 20293 353339
-rect 20411 353221 20502 353339
-rect 20202 353179 20502 353221
-rect 20202 353061 20293 353179
-rect 20411 353061 20502 353179
-rect 18402 352419 18702 352890
+rect 2202 351760 2502 353081
+rect 4002 351760 4302 354021
+rect 5802 351760 6102 354961
+rect 14802 355709 15102 355720
+rect 14802 355591 14893 355709
+rect 15011 355591 15102 355709
+rect 14802 355549 15102 355591
+rect 14802 355431 14893 355549
+rect 15011 355431 15102 355549
+rect 13002 354769 13302 354780
+rect 13002 354651 13093 354769
+rect 13211 354651 13302 354769
+rect 13002 354609 13302 354651
+rect 13002 354491 13093 354609
+rect 13211 354491 13302 354609
+rect 11202 353829 11502 353840
+rect 11202 353711 11293 353829
+rect 11411 353711 11502 353829
+rect 11202 353669 11502 353711
+rect 11202 353551 11293 353669
+rect 11411 353551 11502 353669
+rect 9402 352889 9702 352900
+rect 9402 352771 9493 352889
+rect 9611 352771 9702 352889
+rect 9402 352729 9702 352771
+rect 9402 352611 9493 352729
+rect 9611 352611 9702 352729
+rect 9402 351760 9702 352611
+rect 11202 351760 11502 353551
+rect 13002 351760 13302 354491
+rect 14802 351760 15102 355431
+rect 23802 355239 24102 355720
+rect 23802 355121 23893 355239
+rect 24011 355121 24102 355239
+rect 23802 355079 24102 355121
+rect 23802 354961 23893 355079
+rect 24011 354961 24102 355079
+rect 22002 354299 22302 354780
+rect 22002 354181 22093 354299
+rect 22211 354181 22302 354299
+rect 22002 354139 22302 354181
+rect 22002 354021 22093 354139
+rect 22211 354021 22302 354139
+rect 20202 353359 20502 353840
+rect 20202 353241 20293 353359
+rect 20411 353241 20502 353359
+rect 20202 353199 20502 353241
+rect 20202 353081 20293 353199
+rect 20411 353081 20502 353199
+rect 18402 352419 18702 352900
 rect 18402 352301 18493 352419
 rect 18611 352301 18702 352419
 rect 18402 352259 18702 352301
 rect 18402 352141 18493 352259
 rect 18611 352141 18702 352259
 rect 18402 351760 18702 352141
-rect 20202 351760 20502 353061
-rect 22002 351760 22302 353981
-rect 23802 351760 24102 354901
-rect 32802 355639 33102 355650
-rect 32802 355521 32893 355639
-rect 33011 355521 33102 355639
-rect 32802 355479 33102 355521
-rect 32802 355361 32893 355479
-rect 33011 355361 33102 355479
-rect 31002 354719 31302 354730
-rect 31002 354601 31093 354719
-rect 31211 354601 31302 354719
-rect 31002 354559 31302 354601
-rect 31002 354441 31093 354559
-rect 31211 354441 31302 354559
-rect 29202 353799 29502 353810
-rect 29202 353681 29293 353799
-rect 29411 353681 29502 353799
-rect 29202 353639 29502 353681
-rect 29202 353521 29293 353639
-rect 29411 353521 29502 353639
-rect 27402 352879 27702 352890
-rect 27402 352761 27493 352879
-rect 27611 352761 27702 352879
-rect 27402 352719 27702 352761
-rect 27402 352601 27493 352719
-rect 27611 352601 27702 352719
-rect 27402 351760 27702 352601
-rect 29202 351760 29502 353521
-rect 31002 351760 31302 354441
-rect 32802 351760 33102 355361
-rect 41802 355179 42102 355650
-rect 41802 355061 41893 355179
-rect 42011 355061 42102 355179
-rect 41802 355019 42102 355061
-rect 41802 354901 41893 355019
-rect 42011 354901 42102 355019
-rect 40002 354259 40302 354730
-rect 40002 354141 40093 354259
-rect 40211 354141 40302 354259
-rect 40002 354099 40302 354141
-rect 40002 353981 40093 354099
-rect 40211 353981 40302 354099
-rect 38202 353339 38502 353810
-rect 38202 353221 38293 353339
-rect 38411 353221 38502 353339
-rect 38202 353179 38502 353221
-rect 38202 353061 38293 353179
-rect 38411 353061 38502 353179
-rect 36402 352419 36702 352890
+rect 20202 351760 20502 353081
+rect 22002 351760 22302 354021
+rect 23802 351760 24102 354961
+rect 32802 355709 33102 355720
+rect 32802 355591 32893 355709
+rect 33011 355591 33102 355709
+rect 32802 355549 33102 355591
+rect 32802 355431 32893 355549
+rect 33011 355431 33102 355549
+rect 31002 354769 31302 354780
+rect 31002 354651 31093 354769
+rect 31211 354651 31302 354769
+rect 31002 354609 31302 354651
+rect 31002 354491 31093 354609
+rect 31211 354491 31302 354609
+rect 29202 353829 29502 353840
+rect 29202 353711 29293 353829
+rect 29411 353711 29502 353829
+rect 29202 353669 29502 353711
+rect 29202 353551 29293 353669
+rect 29411 353551 29502 353669
+rect 27402 352889 27702 352900
+rect 27402 352771 27493 352889
+rect 27611 352771 27702 352889
+rect 27402 352729 27702 352771
+rect 27402 352611 27493 352729
+rect 27611 352611 27702 352729
+rect 27402 351760 27702 352611
+rect 29202 351760 29502 353551
+rect 31002 351760 31302 354491
+rect 32802 351760 33102 355431
+rect 41802 355239 42102 355720
+rect 41802 355121 41893 355239
+rect 42011 355121 42102 355239
+rect 41802 355079 42102 355121
+rect 41802 354961 41893 355079
+rect 42011 354961 42102 355079
+rect 40002 354299 40302 354780
+rect 40002 354181 40093 354299
+rect 40211 354181 40302 354299
+rect 40002 354139 40302 354181
+rect 40002 354021 40093 354139
+rect 40211 354021 40302 354139
+rect 38202 353359 38502 353840
+rect 38202 353241 38293 353359
+rect 38411 353241 38502 353359
+rect 38202 353199 38502 353241
+rect 38202 353081 38293 353199
+rect 38411 353081 38502 353199
+rect 36402 352419 36702 352900
 rect 36402 352301 36493 352419
 rect 36611 352301 36702 352419
 rect 36402 352259 36702 352301
 rect 36402 352141 36493 352259
 rect 36611 352141 36702 352259
 rect 36402 351760 36702 352141
-rect 38202 351760 38502 353061
-rect 40002 351760 40302 353981
-rect 41802 351760 42102 354901
-rect 50802 355639 51102 355650
-rect 50802 355521 50893 355639
-rect 51011 355521 51102 355639
-rect 50802 355479 51102 355521
-rect 50802 355361 50893 355479
-rect 51011 355361 51102 355479
-rect 49002 354719 49302 354730
-rect 49002 354601 49093 354719
-rect 49211 354601 49302 354719
-rect 49002 354559 49302 354601
-rect 49002 354441 49093 354559
-rect 49211 354441 49302 354559
-rect 47202 353799 47502 353810
-rect 47202 353681 47293 353799
-rect 47411 353681 47502 353799
-rect 47202 353639 47502 353681
-rect 47202 353521 47293 353639
-rect 47411 353521 47502 353639
-rect 45402 352879 45702 352890
-rect 45402 352761 45493 352879
-rect 45611 352761 45702 352879
-rect 45402 352719 45702 352761
-rect 45402 352601 45493 352719
-rect 45611 352601 45702 352719
-rect 45402 351760 45702 352601
-rect 47202 351760 47502 353521
-rect 49002 351760 49302 354441
-rect 50802 351760 51102 355361
-rect 59802 355179 60102 355650
-rect 59802 355061 59893 355179
-rect 60011 355061 60102 355179
-rect 59802 355019 60102 355061
-rect 59802 354901 59893 355019
-rect 60011 354901 60102 355019
-rect 58002 354259 58302 354730
-rect 58002 354141 58093 354259
-rect 58211 354141 58302 354259
-rect 58002 354099 58302 354141
-rect 58002 353981 58093 354099
-rect 58211 353981 58302 354099
-rect 56202 353339 56502 353810
-rect 56202 353221 56293 353339
-rect 56411 353221 56502 353339
-rect 56202 353179 56502 353221
-rect 56202 353061 56293 353179
-rect 56411 353061 56502 353179
-rect 54402 352419 54702 352890
+rect 38202 351760 38502 353081
+rect 40002 351760 40302 354021
+rect 41802 351760 42102 354961
+rect 50802 355709 51102 355720
+rect 50802 355591 50893 355709
+rect 51011 355591 51102 355709
+rect 50802 355549 51102 355591
+rect 50802 355431 50893 355549
+rect 51011 355431 51102 355549
+rect 49002 354769 49302 354780
+rect 49002 354651 49093 354769
+rect 49211 354651 49302 354769
+rect 49002 354609 49302 354651
+rect 49002 354491 49093 354609
+rect 49211 354491 49302 354609
+rect 47202 353829 47502 353840
+rect 47202 353711 47293 353829
+rect 47411 353711 47502 353829
+rect 47202 353669 47502 353711
+rect 47202 353551 47293 353669
+rect 47411 353551 47502 353669
+rect 45402 352889 45702 352900
+rect 45402 352771 45493 352889
+rect 45611 352771 45702 352889
+rect 45402 352729 45702 352771
+rect 45402 352611 45493 352729
+rect 45611 352611 45702 352729
+rect 45402 351760 45702 352611
+rect 47202 351760 47502 353551
+rect 49002 351760 49302 354491
+rect 50802 351760 51102 355431
+rect 59802 355239 60102 355720
+rect 59802 355121 59893 355239
+rect 60011 355121 60102 355239
+rect 59802 355079 60102 355121
+rect 59802 354961 59893 355079
+rect 60011 354961 60102 355079
+rect 58002 354299 58302 354780
+rect 58002 354181 58093 354299
+rect 58211 354181 58302 354299
+rect 58002 354139 58302 354181
+rect 58002 354021 58093 354139
+rect 58211 354021 58302 354139
+rect 56202 353359 56502 353840
+rect 56202 353241 56293 353359
+rect 56411 353241 56502 353359
+rect 56202 353199 56502 353241
+rect 56202 353081 56293 353199
+rect 56411 353081 56502 353199
+rect 54402 352419 54702 352900
 rect 54402 352301 54493 352419
 rect 54611 352301 54702 352419
 rect 54402 352259 54702 352301
 rect 54402 352141 54493 352259
 rect 54611 352141 54702 352259
 rect 54402 351760 54702 352141
-rect 56202 351760 56502 353061
-rect 58002 351760 58302 353981
-rect 59802 351760 60102 354901
-rect 68802 355639 69102 355650
-rect 68802 355521 68893 355639
-rect 69011 355521 69102 355639
-rect 68802 355479 69102 355521
-rect 68802 355361 68893 355479
-rect 69011 355361 69102 355479
-rect 67002 354719 67302 354730
-rect 67002 354601 67093 354719
-rect 67211 354601 67302 354719
-rect 67002 354559 67302 354601
-rect 67002 354441 67093 354559
-rect 67211 354441 67302 354559
-rect 65202 353799 65502 353810
-rect 65202 353681 65293 353799
-rect 65411 353681 65502 353799
-rect 65202 353639 65502 353681
-rect 65202 353521 65293 353639
-rect 65411 353521 65502 353639
-rect 63402 352879 63702 352890
-rect 63402 352761 63493 352879
-rect 63611 352761 63702 352879
-rect 63402 352719 63702 352761
-rect 63402 352601 63493 352719
-rect 63611 352601 63702 352719
-rect 63402 351760 63702 352601
-rect 65202 351760 65502 353521
-rect 67002 351760 67302 354441
-rect 68802 351760 69102 355361
-rect 77802 355179 78102 355650
-rect 77802 355061 77893 355179
-rect 78011 355061 78102 355179
-rect 77802 355019 78102 355061
-rect 77802 354901 77893 355019
-rect 78011 354901 78102 355019
-rect 76002 354259 76302 354730
-rect 76002 354141 76093 354259
-rect 76211 354141 76302 354259
-rect 76002 354099 76302 354141
-rect 76002 353981 76093 354099
-rect 76211 353981 76302 354099
-rect 74202 353339 74502 353810
-rect 74202 353221 74293 353339
-rect 74411 353221 74502 353339
-rect 74202 353179 74502 353221
-rect 74202 353061 74293 353179
-rect 74411 353061 74502 353179
-rect 72402 352419 72702 352890
+rect 56202 351760 56502 353081
+rect 58002 351760 58302 354021
+rect 59802 351760 60102 354961
+rect 68802 355709 69102 355720
+rect 68802 355591 68893 355709
+rect 69011 355591 69102 355709
+rect 68802 355549 69102 355591
+rect 68802 355431 68893 355549
+rect 69011 355431 69102 355549
+rect 67002 354769 67302 354780
+rect 67002 354651 67093 354769
+rect 67211 354651 67302 354769
+rect 67002 354609 67302 354651
+rect 67002 354491 67093 354609
+rect 67211 354491 67302 354609
+rect 65202 353829 65502 353840
+rect 65202 353711 65293 353829
+rect 65411 353711 65502 353829
+rect 65202 353669 65502 353711
+rect 65202 353551 65293 353669
+rect 65411 353551 65502 353669
+rect 63402 352889 63702 352900
+rect 63402 352771 63493 352889
+rect 63611 352771 63702 352889
+rect 63402 352729 63702 352771
+rect 63402 352611 63493 352729
+rect 63611 352611 63702 352729
+rect 63402 351760 63702 352611
+rect 65202 351760 65502 353551
+rect 67002 351760 67302 354491
+rect 68802 351760 69102 355431
+rect 77802 355239 78102 355720
+rect 77802 355121 77893 355239
+rect 78011 355121 78102 355239
+rect 77802 355079 78102 355121
+rect 77802 354961 77893 355079
+rect 78011 354961 78102 355079
+rect 76002 354299 76302 354780
+rect 76002 354181 76093 354299
+rect 76211 354181 76302 354299
+rect 76002 354139 76302 354181
+rect 76002 354021 76093 354139
+rect 76211 354021 76302 354139
+rect 74202 353359 74502 353840
+rect 74202 353241 74293 353359
+rect 74411 353241 74502 353359
+rect 74202 353199 74502 353241
+rect 74202 353081 74293 353199
+rect 74411 353081 74502 353199
+rect 72402 352419 72702 352900
 rect 72402 352301 72493 352419
 rect 72611 352301 72702 352419
 rect 72402 352259 72702 352301
 rect 72402 352141 72493 352259
 rect 72611 352141 72702 352259
 rect 72402 351760 72702 352141
-rect 74202 351760 74502 353061
-rect 76002 351760 76302 353981
-rect 77802 351760 78102 354901
-rect 86802 355639 87102 355650
-rect 86802 355521 86893 355639
-rect 87011 355521 87102 355639
-rect 86802 355479 87102 355521
-rect 86802 355361 86893 355479
-rect 87011 355361 87102 355479
-rect 85002 354719 85302 354730
-rect 85002 354601 85093 354719
-rect 85211 354601 85302 354719
-rect 85002 354559 85302 354601
-rect 85002 354441 85093 354559
-rect 85211 354441 85302 354559
-rect 83202 353799 83502 353810
-rect 83202 353681 83293 353799
-rect 83411 353681 83502 353799
-rect 83202 353639 83502 353681
-rect 83202 353521 83293 353639
-rect 83411 353521 83502 353639
-rect 81402 352879 81702 352890
-rect 81402 352761 81493 352879
-rect 81611 352761 81702 352879
-rect 81402 352719 81702 352761
-rect 81402 352601 81493 352719
-rect 81611 352601 81702 352719
-rect 81402 351760 81702 352601
-rect 83202 351760 83502 353521
-rect 85002 351760 85302 354441
-rect 86802 351760 87102 355361
-rect 95802 355179 96102 355650
-rect 95802 355061 95893 355179
-rect 96011 355061 96102 355179
-rect 95802 355019 96102 355061
-rect 95802 354901 95893 355019
-rect 96011 354901 96102 355019
-rect 94002 354259 94302 354730
-rect 94002 354141 94093 354259
-rect 94211 354141 94302 354259
-rect 94002 354099 94302 354141
-rect 94002 353981 94093 354099
-rect 94211 353981 94302 354099
-rect 92202 353339 92502 353810
-rect 92202 353221 92293 353339
-rect 92411 353221 92502 353339
-rect 92202 353179 92502 353221
-rect 92202 353061 92293 353179
-rect 92411 353061 92502 353179
-rect 90402 352419 90702 352890
+rect 74202 351760 74502 353081
+rect 76002 351760 76302 354021
+rect 77802 351760 78102 354961
+rect 86802 355709 87102 355720
+rect 86802 355591 86893 355709
+rect 87011 355591 87102 355709
+rect 86802 355549 87102 355591
+rect 86802 355431 86893 355549
+rect 87011 355431 87102 355549
+rect 85002 354769 85302 354780
+rect 85002 354651 85093 354769
+rect 85211 354651 85302 354769
+rect 85002 354609 85302 354651
+rect 85002 354491 85093 354609
+rect 85211 354491 85302 354609
+rect 83202 353829 83502 353840
+rect 83202 353711 83293 353829
+rect 83411 353711 83502 353829
+rect 83202 353669 83502 353711
+rect 83202 353551 83293 353669
+rect 83411 353551 83502 353669
+rect 81402 352889 81702 352900
+rect 81402 352771 81493 352889
+rect 81611 352771 81702 352889
+rect 81402 352729 81702 352771
+rect 81402 352611 81493 352729
+rect 81611 352611 81702 352729
+rect 81402 351760 81702 352611
+rect 83202 351760 83502 353551
+rect 85002 351760 85302 354491
+rect 86802 351760 87102 355431
+rect 95802 355239 96102 355720
+rect 95802 355121 95893 355239
+rect 96011 355121 96102 355239
+rect 95802 355079 96102 355121
+rect 95802 354961 95893 355079
+rect 96011 354961 96102 355079
+rect 94002 354299 94302 354780
+rect 94002 354181 94093 354299
+rect 94211 354181 94302 354299
+rect 94002 354139 94302 354181
+rect 94002 354021 94093 354139
+rect 94211 354021 94302 354139
+rect 92202 353359 92502 353840
+rect 92202 353241 92293 353359
+rect 92411 353241 92502 353359
+rect 92202 353199 92502 353241
+rect 92202 353081 92293 353199
+rect 92411 353081 92502 353199
+rect 90402 352419 90702 352900
 rect 90402 352301 90493 352419
 rect 90611 352301 90702 352419
 rect 90402 352259 90702 352301
 rect 90402 352141 90493 352259
 rect 90611 352141 90702 352259
 rect 90402 351760 90702 352141
-rect 92202 351760 92502 353061
-rect 94002 351760 94302 353981
-rect 95802 351760 96102 354901
-rect 104802 355639 105102 355650
-rect 104802 355521 104893 355639
-rect 105011 355521 105102 355639
-rect 104802 355479 105102 355521
-rect 104802 355361 104893 355479
-rect 105011 355361 105102 355479
-rect 103002 354719 103302 354730
-rect 103002 354601 103093 354719
-rect 103211 354601 103302 354719
-rect 103002 354559 103302 354601
-rect 103002 354441 103093 354559
-rect 103211 354441 103302 354559
-rect 101202 353799 101502 353810
-rect 101202 353681 101293 353799
-rect 101411 353681 101502 353799
-rect 101202 353639 101502 353681
-rect 101202 353521 101293 353639
-rect 101411 353521 101502 353639
-rect 99402 352879 99702 352890
-rect 99402 352761 99493 352879
-rect 99611 352761 99702 352879
-rect 99402 352719 99702 352761
-rect 99402 352601 99493 352719
-rect 99611 352601 99702 352719
-rect 99402 351760 99702 352601
-rect 101202 351760 101502 353521
-rect 103002 351760 103302 354441
-rect 104802 351760 105102 355361
-rect 113802 355179 114102 355650
-rect 113802 355061 113893 355179
-rect 114011 355061 114102 355179
-rect 113802 355019 114102 355061
-rect 113802 354901 113893 355019
-rect 114011 354901 114102 355019
-rect 112002 354259 112302 354730
-rect 112002 354141 112093 354259
-rect 112211 354141 112302 354259
-rect 112002 354099 112302 354141
-rect 112002 353981 112093 354099
-rect 112211 353981 112302 354099
-rect 110202 353339 110502 353810
-rect 110202 353221 110293 353339
-rect 110411 353221 110502 353339
-rect 110202 353179 110502 353221
-rect 110202 353061 110293 353179
-rect 110411 353061 110502 353179
-rect 108402 352419 108702 352890
+rect 92202 351760 92502 353081
+rect 94002 351760 94302 354021
+rect 95802 351760 96102 354961
+rect 104802 355709 105102 355720
+rect 104802 355591 104893 355709
+rect 105011 355591 105102 355709
+rect 104802 355549 105102 355591
+rect 104802 355431 104893 355549
+rect 105011 355431 105102 355549
+rect 103002 354769 103302 354780
+rect 103002 354651 103093 354769
+rect 103211 354651 103302 354769
+rect 103002 354609 103302 354651
+rect 103002 354491 103093 354609
+rect 103211 354491 103302 354609
+rect 101202 353829 101502 353840
+rect 101202 353711 101293 353829
+rect 101411 353711 101502 353829
+rect 101202 353669 101502 353711
+rect 101202 353551 101293 353669
+rect 101411 353551 101502 353669
+rect 99402 352889 99702 352900
+rect 99402 352771 99493 352889
+rect 99611 352771 99702 352889
+rect 99402 352729 99702 352771
+rect 99402 352611 99493 352729
+rect 99611 352611 99702 352729
+rect 99402 351760 99702 352611
+rect 101202 351760 101502 353551
+rect 103002 351760 103302 354491
+rect 104802 351760 105102 355431
+rect 113802 355239 114102 355720
+rect 113802 355121 113893 355239
+rect 114011 355121 114102 355239
+rect 113802 355079 114102 355121
+rect 113802 354961 113893 355079
+rect 114011 354961 114102 355079
+rect 112002 354299 112302 354780
+rect 112002 354181 112093 354299
+rect 112211 354181 112302 354299
+rect 112002 354139 112302 354181
+rect 112002 354021 112093 354139
+rect 112211 354021 112302 354139
+rect 110202 353359 110502 353840
+rect 110202 353241 110293 353359
+rect 110411 353241 110502 353359
+rect 110202 353199 110502 353241
+rect 110202 353081 110293 353199
+rect 110411 353081 110502 353199
+rect 108402 352419 108702 352900
 rect 108402 352301 108493 352419
 rect 108611 352301 108702 352419
 rect 108402 352259 108702 352301
 rect 108402 352141 108493 352259
 rect 108611 352141 108702 352259
 rect 108402 351760 108702 352141
-rect 110202 351760 110502 353061
-rect 112002 351760 112302 353981
-rect 113802 351760 114102 354901
-rect 122802 355639 123102 355650
-rect 122802 355521 122893 355639
-rect 123011 355521 123102 355639
-rect 122802 355479 123102 355521
-rect 122802 355361 122893 355479
-rect 123011 355361 123102 355479
-rect 121002 354719 121302 354730
-rect 121002 354601 121093 354719
-rect 121211 354601 121302 354719
-rect 121002 354559 121302 354601
-rect 121002 354441 121093 354559
-rect 121211 354441 121302 354559
-rect 119202 353799 119502 353810
-rect 119202 353681 119293 353799
-rect 119411 353681 119502 353799
-rect 119202 353639 119502 353681
-rect 119202 353521 119293 353639
-rect 119411 353521 119502 353639
-rect 117402 352879 117702 352890
-rect 117402 352761 117493 352879
-rect 117611 352761 117702 352879
-rect 117402 352719 117702 352761
-rect 117402 352601 117493 352719
-rect 117611 352601 117702 352719
-rect 117402 351760 117702 352601
-rect 119202 351760 119502 353521
-rect 121002 351760 121302 354441
-rect 122802 351760 123102 355361
-rect 131802 355179 132102 355650
-rect 131802 355061 131893 355179
-rect 132011 355061 132102 355179
-rect 131802 355019 132102 355061
-rect 131802 354901 131893 355019
-rect 132011 354901 132102 355019
-rect 130002 354259 130302 354730
-rect 130002 354141 130093 354259
-rect 130211 354141 130302 354259
-rect 130002 354099 130302 354141
-rect 130002 353981 130093 354099
-rect 130211 353981 130302 354099
-rect 128202 353339 128502 353810
-rect 128202 353221 128293 353339
-rect 128411 353221 128502 353339
-rect 128202 353179 128502 353221
-rect 128202 353061 128293 353179
-rect 128411 353061 128502 353179
-rect 126402 352419 126702 352890
+rect 110202 351760 110502 353081
+rect 112002 351760 112302 354021
+rect 113802 351760 114102 354961
+rect 122802 355709 123102 355720
+rect 122802 355591 122893 355709
+rect 123011 355591 123102 355709
+rect 122802 355549 123102 355591
+rect 122802 355431 122893 355549
+rect 123011 355431 123102 355549
+rect 121002 354769 121302 354780
+rect 121002 354651 121093 354769
+rect 121211 354651 121302 354769
+rect 121002 354609 121302 354651
+rect 121002 354491 121093 354609
+rect 121211 354491 121302 354609
+rect 119202 353829 119502 353840
+rect 119202 353711 119293 353829
+rect 119411 353711 119502 353829
+rect 119202 353669 119502 353711
+rect 119202 353551 119293 353669
+rect 119411 353551 119502 353669
+rect 117402 352889 117702 352900
+rect 117402 352771 117493 352889
+rect 117611 352771 117702 352889
+rect 117402 352729 117702 352771
+rect 117402 352611 117493 352729
+rect 117611 352611 117702 352729
+rect 117402 351760 117702 352611
+rect 119202 351760 119502 353551
+rect 121002 351760 121302 354491
+rect 122802 351760 123102 355431
+rect 131802 355239 132102 355720
+rect 131802 355121 131893 355239
+rect 132011 355121 132102 355239
+rect 131802 355079 132102 355121
+rect 131802 354961 131893 355079
+rect 132011 354961 132102 355079
+rect 130002 354299 130302 354780
+rect 130002 354181 130093 354299
+rect 130211 354181 130302 354299
+rect 130002 354139 130302 354181
+rect 130002 354021 130093 354139
+rect 130211 354021 130302 354139
+rect 128202 353359 128502 353840
+rect 128202 353241 128293 353359
+rect 128411 353241 128502 353359
+rect 128202 353199 128502 353241
+rect 128202 353081 128293 353199
+rect 128411 353081 128502 353199
+rect 126402 352419 126702 352900
 rect 126402 352301 126493 352419
 rect 126611 352301 126702 352419
 rect 126402 352259 126702 352301
 rect 126402 352141 126493 352259
 rect 126611 352141 126702 352259
 rect 126402 351760 126702 352141
-rect 128202 351760 128502 353061
-rect 130002 351760 130302 353981
-rect 131802 351760 132102 354901
-rect 140802 355639 141102 355650
-rect 140802 355521 140893 355639
-rect 141011 355521 141102 355639
-rect 140802 355479 141102 355521
-rect 140802 355361 140893 355479
-rect 141011 355361 141102 355479
-rect 139002 354719 139302 354730
-rect 139002 354601 139093 354719
-rect 139211 354601 139302 354719
-rect 139002 354559 139302 354601
-rect 139002 354441 139093 354559
-rect 139211 354441 139302 354559
-rect 137202 353799 137502 353810
-rect 137202 353681 137293 353799
-rect 137411 353681 137502 353799
-rect 137202 353639 137502 353681
-rect 137202 353521 137293 353639
-rect 137411 353521 137502 353639
-rect 135402 352879 135702 352890
-rect 135402 352761 135493 352879
-rect 135611 352761 135702 352879
-rect 135402 352719 135702 352761
-rect 135402 352601 135493 352719
-rect 135611 352601 135702 352719
-rect 135402 351760 135702 352601
-rect 137202 351760 137502 353521
-rect 139002 351760 139302 354441
-rect 140802 351760 141102 355361
-rect 149802 355179 150102 355650
-rect 149802 355061 149893 355179
-rect 150011 355061 150102 355179
-rect 149802 355019 150102 355061
-rect 149802 354901 149893 355019
-rect 150011 354901 150102 355019
-rect 148002 354259 148302 354730
-rect 148002 354141 148093 354259
-rect 148211 354141 148302 354259
-rect 148002 354099 148302 354141
-rect 148002 353981 148093 354099
-rect 148211 353981 148302 354099
-rect 146202 353339 146502 353810
-rect 146202 353221 146293 353339
-rect 146411 353221 146502 353339
-rect 146202 353179 146502 353221
-rect 146202 353061 146293 353179
-rect 146411 353061 146502 353179
-rect 144402 352419 144702 352890
+rect 128202 351760 128502 353081
+rect 130002 351760 130302 354021
+rect 131802 351760 132102 354961
+rect 140802 355709 141102 355720
+rect 140802 355591 140893 355709
+rect 141011 355591 141102 355709
+rect 140802 355549 141102 355591
+rect 140802 355431 140893 355549
+rect 141011 355431 141102 355549
+rect 139002 354769 139302 354780
+rect 139002 354651 139093 354769
+rect 139211 354651 139302 354769
+rect 139002 354609 139302 354651
+rect 139002 354491 139093 354609
+rect 139211 354491 139302 354609
+rect 137202 353829 137502 353840
+rect 137202 353711 137293 353829
+rect 137411 353711 137502 353829
+rect 137202 353669 137502 353711
+rect 137202 353551 137293 353669
+rect 137411 353551 137502 353669
+rect 135402 352889 135702 352900
+rect 135402 352771 135493 352889
+rect 135611 352771 135702 352889
+rect 135402 352729 135702 352771
+rect 135402 352611 135493 352729
+rect 135611 352611 135702 352729
+rect 135402 351760 135702 352611
+rect 137202 351760 137502 353551
+rect 139002 351760 139302 354491
+rect 140802 351760 141102 355431
+rect 149802 355239 150102 355720
+rect 149802 355121 149893 355239
+rect 150011 355121 150102 355239
+rect 149802 355079 150102 355121
+rect 149802 354961 149893 355079
+rect 150011 354961 150102 355079
+rect 148002 354299 148302 354780
+rect 148002 354181 148093 354299
+rect 148211 354181 148302 354299
+rect 148002 354139 148302 354181
+rect 148002 354021 148093 354139
+rect 148211 354021 148302 354139
+rect 146202 353359 146502 353840
+rect 146202 353241 146293 353359
+rect 146411 353241 146502 353359
+rect 146202 353199 146502 353241
+rect 146202 353081 146293 353199
+rect 146411 353081 146502 353199
+rect 144402 352419 144702 352900
 rect 144402 352301 144493 352419
 rect 144611 352301 144702 352419
 rect 144402 352259 144702 352301
 rect 144402 352141 144493 352259
 rect 144611 352141 144702 352259
 rect 144402 351760 144702 352141
-rect 146202 351760 146502 353061
-rect 148002 351760 148302 353981
-rect 149802 351760 150102 354901
-rect 158802 355639 159102 355650
-rect 158802 355521 158893 355639
-rect 159011 355521 159102 355639
-rect 158802 355479 159102 355521
-rect 158802 355361 158893 355479
-rect 159011 355361 159102 355479
-rect 157002 354719 157302 354730
-rect 157002 354601 157093 354719
-rect 157211 354601 157302 354719
-rect 157002 354559 157302 354601
-rect 157002 354441 157093 354559
-rect 157211 354441 157302 354559
-rect 155202 353799 155502 353810
-rect 155202 353681 155293 353799
-rect 155411 353681 155502 353799
-rect 155202 353639 155502 353681
-rect 155202 353521 155293 353639
-rect 155411 353521 155502 353639
-rect 153402 352879 153702 352890
-rect 153402 352761 153493 352879
-rect 153611 352761 153702 352879
-rect 153402 352719 153702 352761
-rect 153402 352601 153493 352719
-rect 153611 352601 153702 352719
-rect 153402 351760 153702 352601
-rect 155202 351760 155502 353521
-rect 157002 351760 157302 354441
-rect 158802 351760 159102 355361
-rect 167802 355179 168102 355650
-rect 167802 355061 167893 355179
-rect 168011 355061 168102 355179
-rect 167802 355019 168102 355061
-rect 167802 354901 167893 355019
-rect 168011 354901 168102 355019
-rect 166002 354259 166302 354730
-rect 166002 354141 166093 354259
-rect 166211 354141 166302 354259
-rect 166002 354099 166302 354141
-rect 166002 353981 166093 354099
-rect 166211 353981 166302 354099
-rect 164202 353339 164502 353810
-rect 164202 353221 164293 353339
-rect 164411 353221 164502 353339
-rect 164202 353179 164502 353221
-rect 164202 353061 164293 353179
-rect 164411 353061 164502 353179
-rect 162402 352419 162702 352890
+rect 146202 351760 146502 353081
+rect 148002 351760 148302 354021
+rect 149802 351760 150102 354961
+rect 158802 355709 159102 355720
+rect 158802 355591 158893 355709
+rect 159011 355591 159102 355709
+rect 158802 355549 159102 355591
+rect 158802 355431 158893 355549
+rect 159011 355431 159102 355549
+rect 157002 354769 157302 354780
+rect 157002 354651 157093 354769
+rect 157211 354651 157302 354769
+rect 157002 354609 157302 354651
+rect 157002 354491 157093 354609
+rect 157211 354491 157302 354609
+rect 155202 353829 155502 353840
+rect 155202 353711 155293 353829
+rect 155411 353711 155502 353829
+rect 155202 353669 155502 353711
+rect 155202 353551 155293 353669
+rect 155411 353551 155502 353669
+rect 153402 352889 153702 352900
+rect 153402 352771 153493 352889
+rect 153611 352771 153702 352889
+rect 153402 352729 153702 352771
+rect 153402 352611 153493 352729
+rect 153611 352611 153702 352729
+rect 153402 351760 153702 352611
+rect 155202 351760 155502 353551
+rect 157002 351760 157302 354491
+rect 158802 351760 159102 355431
+rect 167802 355239 168102 355720
+rect 167802 355121 167893 355239
+rect 168011 355121 168102 355239
+rect 167802 355079 168102 355121
+rect 167802 354961 167893 355079
+rect 168011 354961 168102 355079
+rect 166002 354299 166302 354780
+rect 166002 354181 166093 354299
+rect 166211 354181 166302 354299
+rect 166002 354139 166302 354181
+rect 166002 354021 166093 354139
+rect 166211 354021 166302 354139
+rect 164202 353359 164502 353840
+rect 164202 353241 164293 353359
+rect 164411 353241 164502 353359
+rect 164202 353199 164502 353241
+rect 164202 353081 164293 353199
+rect 164411 353081 164502 353199
+rect 162402 352419 162702 352900
 rect 162402 352301 162493 352419
 rect 162611 352301 162702 352419
 rect 162402 352259 162702 352301
 rect 162402 352141 162493 352259
 rect 162611 352141 162702 352259
 rect 162402 351760 162702 352141
-rect 164202 351760 164502 353061
-rect 166002 351760 166302 353981
-rect 167802 351760 168102 354901
-rect 176802 355639 177102 355650
-rect 176802 355521 176893 355639
-rect 177011 355521 177102 355639
-rect 176802 355479 177102 355521
-rect 176802 355361 176893 355479
-rect 177011 355361 177102 355479
-rect 175002 354719 175302 354730
-rect 175002 354601 175093 354719
-rect 175211 354601 175302 354719
-rect 175002 354559 175302 354601
-rect 175002 354441 175093 354559
-rect 175211 354441 175302 354559
-rect 173202 353799 173502 353810
-rect 173202 353681 173293 353799
-rect 173411 353681 173502 353799
-rect 173202 353639 173502 353681
-rect 173202 353521 173293 353639
-rect 173411 353521 173502 353639
-rect 171402 352879 171702 352890
-rect 171402 352761 171493 352879
-rect 171611 352761 171702 352879
-rect 171402 352719 171702 352761
-rect 171402 352601 171493 352719
-rect 171611 352601 171702 352719
-rect 171402 351760 171702 352601
-rect 173202 351760 173502 353521
-rect 175002 351760 175302 354441
-rect 176802 351760 177102 355361
-rect 185802 355179 186102 355650
-rect 185802 355061 185893 355179
-rect 186011 355061 186102 355179
-rect 185802 355019 186102 355061
-rect 185802 354901 185893 355019
-rect 186011 354901 186102 355019
-rect 184002 354259 184302 354730
-rect 184002 354141 184093 354259
-rect 184211 354141 184302 354259
-rect 184002 354099 184302 354141
-rect 184002 353981 184093 354099
-rect 184211 353981 184302 354099
-rect 182202 353339 182502 353810
-rect 182202 353221 182293 353339
-rect 182411 353221 182502 353339
-rect 182202 353179 182502 353221
-rect 182202 353061 182293 353179
-rect 182411 353061 182502 353179
-rect 180402 352419 180702 352890
+rect 164202 351760 164502 353081
+rect 166002 351760 166302 354021
+rect 167802 351760 168102 354961
+rect 176802 355709 177102 355720
+rect 176802 355591 176893 355709
+rect 177011 355591 177102 355709
+rect 176802 355549 177102 355591
+rect 176802 355431 176893 355549
+rect 177011 355431 177102 355549
+rect 175002 354769 175302 354780
+rect 175002 354651 175093 354769
+rect 175211 354651 175302 354769
+rect 175002 354609 175302 354651
+rect 175002 354491 175093 354609
+rect 175211 354491 175302 354609
+rect 173202 353829 173502 353840
+rect 173202 353711 173293 353829
+rect 173411 353711 173502 353829
+rect 173202 353669 173502 353711
+rect 173202 353551 173293 353669
+rect 173411 353551 173502 353669
+rect 171402 352889 171702 352900
+rect 171402 352771 171493 352889
+rect 171611 352771 171702 352889
+rect 171402 352729 171702 352771
+rect 171402 352611 171493 352729
+rect 171611 352611 171702 352729
+rect 171402 351760 171702 352611
+rect 173202 351760 173502 353551
+rect 175002 351760 175302 354491
+rect 176802 351760 177102 355431
+rect 185802 355239 186102 355720
+rect 185802 355121 185893 355239
+rect 186011 355121 186102 355239
+rect 185802 355079 186102 355121
+rect 185802 354961 185893 355079
+rect 186011 354961 186102 355079
+rect 184002 354299 184302 354780
+rect 184002 354181 184093 354299
+rect 184211 354181 184302 354299
+rect 184002 354139 184302 354181
+rect 184002 354021 184093 354139
+rect 184211 354021 184302 354139
+rect 182202 353359 182502 353840
+rect 182202 353241 182293 353359
+rect 182411 353241 182502 353359
+rect 182202 353199 182502 353241
+rect 182202 353081 182293 353199
+rect 182411 353081 182502 353199
+rect 180402 352419 180702 352900
 rect 180402 352301 180493 352419
 rect 180611 352301 180702 352419
 rect 180402 352259 180702 352301
 rect 180402 352141 180493 352259
 rect 180611 352141 180702 352259
 rect 180402 351760 180702 352141
-rect 182202 351760 182502 353061
-rect 184002 351760 184302 353981
-rect 185802 351760 186102 354901
-rect 194802 355639 195102 355650
-rect 194802 355521 194893 355639
-rect 195011 355521 195102 355639
-rect 194802 355479 195102 355521
-rect 194802 355361 194893 355479
-rect 195011 355361 195102 355479
-rect 193002 354719 193302 354730
-rect 193002 354601 193093 354719
-rect 193211 354601 193302 354719
-rect 193002 354559 193302 354601
-rect 193002 354441 193093 354559
-rect 193211 354441 193302 354559
-rect 191202 353799 191502 353810
-rect 191202 353681 191293 353799
-rect 191411 353681 191502 353799
-rect 191202 353639 191502 353681
-rect 191202 353521 191293 353639
-rect 191411 353521 191502 353639
-rect 189402 352879 189702 352890
-rect 189402 352761 189493 352879
-rect 189611 352761 189702 352879
-rect 189402 352719 189702 352761
-rect 189402 352601 189493 352719
-rect 189611 352601 189702 352719
-rect 189402 351760 189702 352601
-rect 191202 351760 191502 353521
-rect 193002 351760 193302 354441
-rect 194802 351760 195102 355361
-rect 203802 355179 204102 355650
-rect 203802 355061 203893 355179
-rect 204011 355061 204102 355179
-rect 203802 355019 204102 355061
-rect 203802 354901 203893 355019
-rect 204011 354901 204102 355019
-rect 202002 354259 202302 354730
-rect 202002 354141 202093 354259
-rect 202211 354141 202302 354259
-rect 202002 354099 202302 354141
-rect 202002 353981 202093 354099
-rect 202211 353981 202302 354099
-rect 200202 353339 200502 353810
-rect 200202 353221 200293 353339
-rect 200411 353221 200502 353339
-rect 200202 353179 200502 353221
-rect 200202 353061 200293 353179
-rect 200411 353061 200502 353179
-rect 198402 352419 198702 352890
+rect 182202 351760 182502 353081
+rect 184002 351760 184302 354021
+rect 185802 351760 186102 354961
+rect 194802 355709 195102 355720
+rect 194802 355591 194893 355709
+rect 195011 355591 195102 355709
+rect 194802 355549 195102 355591
+rect 194802 355431 194893 355549
+rect 195011 355431 195102 355549
+rect 193002 354769 193302 354780
+rect 193002 354651 193093 354769
+rect 193211 354651 193302 354769
+rect 193002 354609 193302 354651
+rect 193002 354491 193093 354609
+rect 193211 354491 193302 354609
+rect 191202 353829 191502 353840
+rect 191202 353711 191293 353829
+rect 191411 353711 191502 353829
+rect 191202 353669 191502 353711
+rect 191202 353551 191293 353669
+rect 191411 353551 191502 353669
+rect 189402 352889 189702 352900
+rect 189402 352771 189493 352889
+rect 189611 352771 189702 352889
+rect 189402 352729 189702 352771
+rect 189402 352611 189493 352729
+rect 189611 352611 189702 352729
+rect 189402 351760 189702 352611
+rect 191202 351760 191502 353551
+rect 193002 351760 193302 354491
+rect 194802 351760 195102 355431
+rect 203802 355239 204102 355720
+rect 203802 355121 203893 355239
+rect 204011 355121 204102 355239
+rect 203802 355079 204102 355121
+rect 203802 354961 203893 355079
+rect 204011 354961 204102 355079
+rect 202002 354299 202302 354780
+rect 202002 354181 202093 354299
+rect 202211 354181 202302 354299
+rect 202002 354139 202302 354181
+rect 202002 354021 202093 354139
+rect 202211 354021 202302 354139
+rect 200202 353359 200502 353840
+rect 200202 353241 200293 353359
+rect 200411 353241 200502 353359
+rect 200202 353199 200502 353241
+rect 200202 353081 200293 353199
+rect 200411 353081 200502 353199
+rect 198402 352419 198702 352900
 rect 198402 352301 198493 352419
 rect 198611 352301 198702 352419
 rect 198402 352259 198702 352301
 rect 198402 352141 198493 352259
 rect 198611 352141 198702 352259
 rect 198402 351760 198702 352141
-rect 200202 351760 200502 353061
-rect 202002 351760 202302 353981
-rect 203802 351760 204102 354901
-rect 212802 355639 213102 355650
-rect 212802 355521 212893 355639
-rect 213011 355521 213102 355639
-rect 212802 355479 213102 355521
-rect 212802 355361 212893 355479
-rect 213011 355361 213102 355479
-rect 211002 354719 211302 354730
-rect 211002 354601 211093 354719
-rect 211211 354601 211302 354719
-rect 211002 354559 211302 354601
-rect 211002 354441 211093 354559
-rect 211211 354441 211302 354559
-rect 209202 353799 209502 353810
-rect 209202 353681 209293 353799
-rect 209411 353681 209502 353799
-rect 209202 353639 209502 353681
-rect 209202 353521 209293 353639
-rect 209411 353521 209502 353639
-rect 207402 352879 207702 352890
-rect 207402 352761 207493 352879
-rect 207611 352761 207702 352879
-rect 207402 352719 207702 352761
-rect 207402 352601 207493 352719
-rect 207611 352601 207702 352719
-rect 207402 351760 207702 352601
-rect 209202 351760 209502 353521
-rect 211002 351760 211302 354441
-rect 212802 351760 213102 355361
-rect 221802 355179 222102 355650
-rect 221802 355061 221893 355179
-rect 222011 355061 222102 355179
-rect 221802 355019 222102 355061
-rect 221802 354901 221893 355019
-rect 222011 354901 222102 355019
-rect 220002 354259 220302 354730
-rect 220002 354141 220093 354259
-rect 220211 354141 220302 354259
-rect 220002 354099 220302 354141
-rect 220002 353981 220093 354099
-rect 220211 353981 220302 354099
-rect 218202 353339 218502 353810
-rect 218202 353221 218293 353339
-rect 218411 353221 218502 353339
-rect 218202 353179 218502 353221
-rect 218202 353061 218293 353179
-rect 218411 353061 218502 353179
-rect 216402 352419 216702 352890
+rect 200202 351760 200502 353081
+rect 202002 351760 202302 354021
+rect 203802 351760 204102 354961
+rect 212802 355709 213102 355720
+rect 212802 355591 212893 355709
+rect 213011 355591 213102 355709
+rect 212802 355549 213102 355591
+rect 212802 355431 212893 355549
+rect 213011 355431 213102 355549
+rect 211002 354769 211302 354780
+rect 211002 354651 211093 354769
+rect 211211 354651 211302 354769
+rect 211002 354609 211302 354651
+rect 211002 354491 211093 354609
+rect 211211 354491 211302 354609
+rect 209202 353829 209502 353840
+rect 209202 353711 209293 353829
+rect 209411 353711 209502 353829
+rect 209202 353669 209502 353711
+rect 209202 353551 209293 353669
+rect 209411 353551 209502 353669
+rect 207402 352889 207702 352900
+rect 207402 352771 207493 352889
+rect 207611 352771 207702 352889
+rect 207402 352729 207702 352771
+rect 207402 352611 207493 352729
+rect 207611 352611 207702 352729
+rect 207402 351760 207702 352611
+rect 209202 351760 209502 353551
+rect 211002 351760 211302 354491
+rect 212802 351760 213102 355431
+rect 221802 355239 222102 355720
+rect 221802 355121 221893 355239
+rect 222011 355121 222102 355239
+rect 221802 355079 222102 355121
+rect 221802 354961 221893 355079
+rect 222011 354961 222102 355079
+rect 220002 354299 220302 354780
+rect 220002 354181 220093 354299
+rect 220211 354181 220302 354299
+rect 220002 354139 220302 354181
+rect 220002 354021 220093 354139
+rect 220211 354021 220302 354139
+rect 218202 353359 218502 353840
+rect 218202 353241 218293 353359
+rect 218411 353241 218502 353359
+rect 218202 353199 218502 353241
+rect 218202 353081 218293 353199
+rect 218411 353081 218502 353199
+rect 216402 352419 216702 352900
 rect 216402 352301 216493 352419
 rect 216611 352301 216702 352419
 rect 216402 352259 216702 352301
 rect 216402 352141 216493 352259
 rect 216611 352141 216702 352259
 rect 216402 351760 216702 352141
-rect 218202 351760 218502 353061
-rect 220002 351760 220302 353981
-rect 221802 351760 222102 354901
-rect 230802 355639 231102 355650
-rect 230802 355521 230893 355639
-rect 231011 355521 231102 355639
-rect 230802 355479 231102 355521
-rect 230802 355361 230893 355479
-rect 231011 355361 231102 355479
-rect 229002 354719 229302 354730
-rect 229002 354601 229093 354719
-rect 229211 354601 229302 354719
-rect 229002 354559 229302 354601
-rect 229002 354441 229093 354559
-rect 229211 354441 229302 354559
-rect 227202 353799 227502 353810
-rect 227202 353681 227293 353799
-rect 227411 353681 227502 353799
-rect 227202 353639 227502 353681
-rect 227202 353521 227293 353639
-rect 227411 353521 227502 353639
-rect 225402 352879 225702 352890
-rect 225402 352761 225493 352879
-rect 225611 352761 225702 352879
-rect 225402 352719 225702 352761
-rect 225402 352601 225493 352719
-rect 225611 352601 225702 352719
-rect 225402 351760 225702 352601
-rect 227202 351760 227502 353521
-rect 229002 351760 229302 354441
-rect 230802 351760 231102 355361
-rect 239802 355179 240102 355650
-rect 239802 355061 239893 355179
-rect 240011 355061 240102 355179
-rect 239802 355019 240102 355061
-rect 239802 354901 239893 355019
-rect 240011 354901 240102 355019
-rect 238002 354259 238302 354730
-rect 238002 354141 238093 354259
-rect 238211 354141 238302 354259
-rect 238002 354099 238302 354141
-rect 238002 353981 238093 354099
-rect 238211 353981 238302 354099
-rect 236202 353339 236502 353810
-rect 236202 353221 236293 353339
-rect 236411 353221 236502 353339
-rect 236202 353179 236502 353221
-rect 236202 353061 236293 353179
-rect 236411 353061 236502 353179
-rect 234402 352419 234702 352890
+rect 218202 351760 218502 353081
+rect 220002 351760 220302 354021
+rect 221802 351760 222102 354961
+rect 230802 355709 231102 355720
+rect 230802 355591 230893 355709
+rect 231011 355591 231102 355709
+rect 230802 355549 231102 355591
+rect 230802 355431 230893 355549
+rect 231011 355431 231102 355549
+rect 229002 354769 229302 354780
+rect 229002 354651 229093 354769
+rect 229211 354651 229302 354769
+rect 229002 354609 229302 354651
+rect 229002 354491 229093 354609
+rect 229211 354491 229302 354609
+rect 227202 353829 227502 353840
+rect 227202 353711 227293 353829
+rect 227411 353711 227502 353829
+rect 227202 353669 227502 353711
+rect 227202 353551 227293 353669
+rect 227411 353551 227502 353669
+rect 225402 352889 225702 352900
+rect 225402 352771 225493 352889
+rect 225611 352771 225702 352889
+rect 225402 352729 225702 352771
+rect 225402 352611 225493 352729
+rect 225611 352611 225702 352729
+rect 225402 351760 225702 352611
+rect 227202 351760 227502 353551
+rect 229002 351760 229302 354491
+rect 230802 351760 231102 355431
+rect 239802 355239 240102 355720
+rect 239802 355121 239893 355239
+rect 240011 355121 240102 355239
+rect 239802 355079 240102 355121
+rect 239802 354961 239893 355079
+rect 240011 354961 240102 355079
+rect 238002 354299 238302 354780
+rect 238002 354181 238093 354299
+rect 238211 354181 238302 354299
+rect 238002 354139 238302 354181
+rect 238002 354021 238093 354139
+rect 238211 354021 238302 354139
+rect 236202 353359 236502 353840
+rect 236202 353241 236293 353359
+rect 236411 353241 236502 353359
+rect 236202 353199 236502 353241
+rect 236202 353081 236293 353199
+rect 236411 353081 236502 353199
+rect 234402 352419 234702 352900
 rect 234402 352301 234493 352419
 rect 234611 352301 234702 352419
 rect 234402 352259 234702 352301
 rect 234402 352141 234493 352259
 rect 234611 352141 234702 352259
 rect 234402 351760 234702 352141
-rect 236202 351760 236502 353061
-rect 238002 351760 238302 353981
-rect 239802 351760 240102 354901
-rect 248802 355639 249102 355650
-rect 248802 355521 248893 355639
-rect 249011 355521 249102 355639
-rect 248802 355479 249102 355521
-rect 248802 355361 248893 355479
-rect 249011 355361 249102 355479
-rect 247002 354719 247302 354730
-rect 247002 354601 247093 354719
-rect 247211 354601 247302 354719
-rect 247002 354559 247302 354601
-rect 247002 354441 247093 354559
-rect 247211 354441 247302 354559
-rect 245202 353799 245502 353810
-rect 245202 353681 245293 353799
-rect 245411 353681 245502 353799
-rect 245202 353639 245502 353681
-rect 245202 353521 245293 353639
-rect 245411 353521 245502 353639
-rect 243402 352879 243702 352890
-rect 243402 352761 243493 352879
-rect 243611 352761 243702 352879
-rect 243402 352719 243702 352761
-rect 243402 352601 243493 352719
-rect 243611 352601 243702 352719
-rect 243402 351760 243702 352601
-rect 245202 351760 245502 353521
-rect 247002 351760 247302 354441
-rect 248802 351760 249102 355361
-rect 257802 355179 258102 355650
-rect 257802 355061 257893 355179
-rect 258011 355061 258102 355179
-rect 257802 355019 258102 355061
-rect 257802 354901 257893 355019
-rect 258011 354901 258102 355019
-rect 256002 354259 256302 354730
-rect 256002 354141 256093 354259
-rect 256211 354141 256302 354259
-rect 256002 354099 256302 354141
-rect 256002 353981 256093 354099
-rect 256211 353981 256302 354099
-rect 254202 353339 254502 353810
-rect 254202 353221 254293 353339
-rect 254411 353221 254502 353339
-rect 254202 353179 254502 353221
-rect 254202 353061 254293 353179
-rect 254411 353061 254502 353179
-rect 252402 352419 252702 352890
+rect 236202 351760 236502 353081
+rect 238002 351760 238302 354021
+rect 239802 351760 240102 354961
+rect 248802 355709 249102 355720
+rect 248802 355591 248893 355709
+rect 249011 355591 249102 355709
+rect 248802 355549 249102 355591
+rect 248802 355431 248893 355549
+rect 249011 355431 249102 355549
+rect 247002 354769 247302 354780
+rect 247002 354651 247093 354769
+rect 247211 354651 247302 354769
+rect 247002 354609 247302 354651
+rect 247002 354491 247093 354609
+rect 247211 354491 247302 354609
+rect 245202 353829 245502 353840
+rect 245202 353711 245293 353829
+rect 245411 353711 245502 353829
+rect 245202 353669 245502 353711
+rect 245202 353551 245293 353669
+rect 245411 353551 245502 353669
+rect 243402 352889 243702 352900
+rect 243402 352771 243493 352889
+rect 243611 352771 243702 352889
+rect 243402 352729 243702 352771
+rect 243402 352611 243493 352729
+rect 243611 352611 243702 352729
+rect 243402 351760 243702 352611
+rect 245202 351760 245502 353551
+rect 247002 351760 247302 354491
+rect 248802 351760 249102 355431
+rect 257802 355239 258102 355720
+rect 257802 355121 257893 355239
+rect 258011 355121 258102 355239
+rect 257802 355079 258102 355121
+rect 257802 354961 257893 355079
+rect 258011 354961 258102 355079
+rect 256002 354299 256302 354780
+rect 256002 354181 256093 354299
+rect 256211 354181 256302 354299
+rect 256002 354139 256302 354181
+rect 256002 354021 256093 354139
+rect 256211 354021 256302 354139
+rect 254202 353359 254502 353840
+rect 254202 353241 254293 353359
+rect 254411 353241 254502 353359
+rect 254202 353199 254502 353241
+rect 254202 353081 254293 353199
+rect 254411 353081 254502 353199
+rect 252402 352419 252702 352900
 rect 252402 352301 252493 352419
 rect 252611 352301 252702 352419
 rect 252402 352259 252702 352301
 rect 252402 352141 252493 352259
 rect 252611 352141 252702 352259
 rect 252402 351760 252702 352141
-rect 254202 351760 254502 353061
-rect 256002 351760 256302 353981
-rect 257802 351760 258102 354901
-rect 266802 355639 267102 355650
-rect 266802 355521 266893 355639
-rect 267011 355521 267102 355639
-rect 266802 355479 267102 355521
-rect 266802 355361 266893 355479
-rect 267011 355361 267102 355479
-rect 265002 354719 265302 354730
-rect 265002 354601 265093 354719
-rect 265211 354601 265302 354719
-rect 265002 354559 265302 354601
-rect 265002 354441 265093 354559
-rect 265211 354441 265302 354559
-rect 263202 353799 263502 353810
-rect 263202 353681 263293 353799
-rect 263411 353681 263502 353799
-rect 263202 353639 263502 353681
-rect 263202 353521 263293 353639
-rect 263411 353521 263502 353639
-rect 261402 352879 261702 352890
-rect 261402 352761 261493 352879
-rect 261611 352761 261702 352879
-rect 261402 352719 261702 352761
-rect 261402 352601 261493 352719
-rect 261611 352601 261702 352719
-rect 261402 351760 261702 352601
-rect 263202 351760 263502 353521
-rect 265002 351760 265302 354441
-rect 266802 351760 267102 355361
-rect 275802 355179 276102 355650
-rect 275802 355061 275893 355179
-rect 276011 355061 276102 355179
-rect 275802 355019 276102 355061
-rect 275802 354901 275893 355019
-rect 276011 354901 276102 355019
-rect 274002 354259 274302 354730
-rect 274002 354141 274093 354259
-rect 274211 354141 274302 354259
-rect 274002 354099 274302 354141
-rect 274002 353981 274093 354099
-rect 274211 353981 274302 354099
-rect 272202 353339 272502 353810
-rect 272202 353221 272293 353339
-rect 272411 353221 272502 353339
-rect 272202 353179 272502 353221
-rect 272202 353061 272293 353179
-rect 272411 353061 272502 353179
-rect 270402 352419 270702 352890
+rect 254202 351760 254502 353081
+rect 256002 351760 256302 354021
+rect 257802 351760 258102 354961
+rect 266802 355709 267102 355720
+rect 266802 355591 266893 355709
+rect 267011 355591 267102 355709
+rect 266802 355549 267102 355591
+rect 266802 355431 266893 355549
+rect 267011 355431 267102 355549
+rect 265002 354769 265302 354780
+rect 265002 354651 265093 354769
+rect 265211 354651 265302 354769
+rect 265002 354609 265302 354651
+rect 265002 354491 265093 354609
+rect 265211 354491 265302 354609
+rect 263202 353829 263502 353840
+rect 263202 353711 263293 353829
+rect 263411 353711 263502 353829
+rect 263202 353669 263502 353711
+rect 263202 353551 263293 353669
+rect 263411 353551 263502 353669
+rect 261402 352889 261702 352900
+rect 261402 352771 261493 352889
+rect 261611 352771 261702 352889
+rect 261402 352729 261702 352771
+rect 261402 352611 261493 352729
+rect 261611 352611 261702 352729
+rect 261402 351760 261702 352611
+rect 263202 351760 263502 353551
+rect 265002 351760 265302 354491
+rect 266802 351760 267102 355431
+rect 275802 355239 276102 355720
+rect 275802 355121 275893 355239
+rect 276011 355121 276102 355239
+rect 275802 355079 276102 355121
+rect 275802 354961 275893 355079
+rect 276011 354961 276102 355079
+rect 274002 354299 274302 354780
+rect 274002 354181 274093 354299
+rect 274211 354181 274302 354299
+rect 274002 354139 274302 354181
+rect 274002 354021 274093 354139
+rect 274211 354021 274302 354139
+rect 272202 353359 272502 353840
+rect 272202 353241 272293 353359
+rect 272411 353241 272502 353359
+rect 272202 353199 272502 353241
+rect 272202 353081 272293 353199
+rect 272411 353081 272502 353199
+rect 270402 352419 270702 352900
 rect 270402 352301 270493 352419
 rect 270611 352301 270702 352419
 rect 270402 352259 270702 352301
 rect 270402 352141 270493 352259
 rect 270611 352141 270702 352259
 rect 270402 351760 270702 352141
-rect 272202 351760 272502 353061
-rect 274002 351760 274302 353981
-rect 275802 351760 276102 354901
-rect 284802 355639 285102 355650
-rect 284802 355521 284893 355639
-rect 285011 355521 285102 355639
-rect 284802 355479 285102 355521
-rect 284802 355361 284893 355479
-rect 285011 355361 285102 355479
-rect 283002 354719 283302 354730
-rect 283002 354601 283093 354719
-rect 283211 354601 283302 354719
-rect 283002 354559 283302 354601
-rect 283002 354441 283093 354559
-rect 283211 354441 283302 354559
-rect 281202 353799 281502 353810
-rect 281202 353681 281293 353799
-rect 281411 353681 281502 353799
-rect 281202 353639 281502 353681
-rect 281202 353521 281293 353639
-rect 281411 353521 281502 353639
-rect 279402 352879 279702 352890
-rect 279402 352761 279493 352879
-rect 279611 352761 279702 352879
-rect 279402 352719 279702 352761
-rect 279402 352601 279493 352719
-rect 279611 352601 279702 352719
-rect 279402 351760 279702 352601
-rect 281202 351760 281502 353521
-rect 283002 351760 283302 354441
-rect 284802 351760 285102 355361
-rect 295880 355639 296180 355650
-rect 295880 355521 295971 355639
-rect 296089 355521 296180 355639
-rect 295880 355479 296180 355521
-rect 295880 355361 295971 355479
-rect 296089 355361 296180 355479
-rect 295420 355179 295720 355190
-rect 295420 355061 295511 355179
-rect 295629 355061 295720 355179
-rect 295420 355019 295720 355061
-rect 295420 354901 295511 355019
-rect 295629 354901 295720 355019
-rect 294960 354719 295260 354730
-rect 294960 354601 295051 354719
-rect 295169 354601 295260 354719
-rect 294960 354559 295260 354601
-rect 294960 354441 295051 354559
-rect 295169 354441 295260 354559
-rect 294500 354259 294800 354270
-rect 294500 354141 294591 354259
-rect 294709 354141 294800 354259
-rect 294500 354099 294800 354141
-rect 294500 353981 294591 354099
-rect 294709 353981 294800 354099
-rect 290202 353339 290502 353810
-rect 294040 353799 294340 353810
-rect 294040 353681 294131 353799
-rect 294249 353681 294340 353799
-rect 294040 353639 294340 353681
-rect 294040 353521 294131 353639
-rect 294249 353521 294340 353639
-rect 290202 353221 290293 353339
-rect 290411 353221 290502 353339
-rect 290202 353179 290502 353221
-rect 290202 353061 290293 353179
-rect 290411 353061 290502 353179
-rect 288402 352419 288702 352890
+rect 272202 351760 272502 353081
+rect 274002 351760 274302 354021
+rect 275802 351760 276102 354961
+rect 284802 355709 285102 355720
+rect 284802 355591 284893 355709
+rect 285011 355591 285102 355709
+rect 284802 355549 285102 355591
+rect 284802 355431 284893 355549
+rect 285011 355431 285102 355549
+rect 283002 354769 283302 354780
+rect 283002 354651 283093 354769
+rect 283211 354651 283302 354769
+rect 283002 354609 283302 354651
+rect 283002 354491 283093 354609
+rect 283211 354491 283302 354609
+rect 281202 353829 281502 353840
+rect 281202 353711 281293 353829
+rect 281411 353711 281502 353829
+rect 281202 353669 281502 353711
+rect 281202 353551 281293 353669
+rect 281411 353551 281502 353669
+rect 279402 352889 279702 352900
+rect 279402 352771 279493 352889
+rect 279611 352771 279702 352889
+rect 279402 352729 279702 352771
+rect 279402 352611 279493 352729
+rect 279611 352611 279702 352729
+rect 279402 351760 279702 352611
+rect 281202 351760 281502 353551
+rect 283002 351760 283302 354491
+rect 284802 351760 285102 355431
+rect 295950 355709 296250 355720
+rect 295950 355591 296041 355709
+rect 296159 355591 296250 355709
+rect 295950 355549 296250 355591
+rect 295950 355431 296041 355549
+rect 296159 355431 296250 355549
+rect 295480 355239 295780 355250
+rect 295480 355121 295571 355239
+rect 295689 355121 295780 355239
+rect 295480 355079 295780 355121
+rect 295480 354961 295571 355079
+rect 295689 354961 295780 355079
+rect 295010 354769 295310 354780
+rect 295010 354651 295101 354769
+rect 295219 354651 295310 354769
+rect 295010 354609 295310 354651
+rect 295010 354491 295101 354609
+rect 295219 354491 295310 354609
+rect 294540 354299 294840 354310
+rect 294540 354181 294631 354299
+rect 294749 354181 294840 354299
+rect 294540 354139 294840 354181
+rect 294540 354021 294631 354139
+rect 294749 354021 294840 354139
+rect 290202 353359 290502 353840
+rect 294070 353829 294370 353840
+rect 294070 353711 294161 353829
+rect 294279 353711 294370 353829
+rect 294070 353669 294370 353711
+rect 294070 353551 294161 353669
+rect 294279 353551 294370 353669
+rect 290202 353241 290293 353359
+rect 290411 353241 290502 353359
+rect 290202 353199 290502 353241
+rect 290202 353081 290293 353199
+rect 290411 353081 290502 353199
+rect 288402 352419 288702 352900
 rect 288402 352301 288493 352419
 rect 288611 352301 288702 352419
 rect 288402 352259 288702 352301
 rect 288402 352141 288493 352259
 rect 288611 352141 288702 352259
 rect 288402 351760 288702 352141
-rect 290202 351760 290502 353061
-rect 293580 353339 293880 353350
-rect 293580 353221 293671 353339
-rect 293789 353221 293880 353339
-rect 293580 353179 293880 353221
-rect 293580 353061 293671 353179
-rect 293789 353061 293880 353179
-rect 293120 352879 293420 352890
-rect 293120 352761 293211 352879
-rect 293329 352761 293420 352879
-rect 293120 352719 293420 352761
-rect 293120 352601 293211 352719
-rect 293329 352601 293420 352719
+rect 290202 351760 290502 353081
+rect 293600 353359 293900 353370
+rect 293600 353241 293691 353359
+rect 293809 353241 293900 353359
+rect 293600 353199 293900 353241
+rect 293600 353081 293691 353199
+rect 293809 353081 293900 353199
+rect 293130 352889 293430 352900
+rect 293130 352771 293221 352889
+rect 293339 352771 293430 352889
+rect 293130 352729 293430 352771
+rect 293130 352611 293221 352729
+rect 293339 352611 293430 352729
 rect 292660 352419 292960 352430
 rect 292660 352301 292751 352419
 rect 292869 352301 292960 352419
@@ -2724,940 +2724,940 @@
 rect 402 -333 702 -291
 rect 402 -451 493 -333
 rect 611 -451 702 -333
-rect -1458 -751 -1367 -633
-rect -1249 -751 -1158 -633
-rect -1458 -793 -1158 -751
-rect -1458 -911 -1367 -793
-rect -1249 -911 -1158 -793
-rect -1458 -922 -1158 -911
-rect 402 -922 702 -451
-rect -1918 -1211 -1827 -1093
-rect -1709 -1211 -1618 -1093
-rect -1918 -1253 -1618 -1211
-rect -1918 -1371 -1827 -1253
-rect -1709 -1371 -1618 -1253
-rect -1918 -1382 -1618 -1371
-rect 2202 -1093 2502 240
-rect 2202 -1211 2293 -1093
-rect 2411 -1211 2502 -1093
-rect 2202 -1253 2502 -1211
-rect 2202 -1371 2293 -1253
-rect 2411 -1371 2502 -1253
-rect -2378 -1671 -2287 -1553
-rect -2169 -1671 -2078 -1553
-rect -2378 -1713 -2078 -1671
-rect -2378 -1831 -2287 -1713
-rect -2169 -1831 -2078 -1713
-rect -2378 -1842 -2078 -1831
-rect 2202 -1842 2502 -1371
-rect -2838 -2131 -2747 -2013
-rect -2629 -2131 -2538 -2013
-rect -2838 -2173 -2538 -2131
-rect -2838 -2291 -2747 -2173
-rect -2629 -2291 -2538 -2173
-rect -2838 -2302 -2538 -2291
-rect 4002 -2013 4302 240
-rect 4002 -2131 4093 -2013
-rect 4211 -2131 4302 -2013
-rect 4002 -2173 4302 -2131
-rect 4002 -2291 4093 -2173
-rect 4211 -2291 4302 -2173
-rect -3298 -2591 -3207 -2473
-rect -3089 -2591 -2998 -2473
-rect -3298 -2633 -2998 -2591
-rect -3298 -2751 -3207 -2633
-rect -3089 -2751 -2998 -2633
-rect -3298 -2762 -2998 -2751
-rect 4002 -2762 4302 -2291
-rect -3758 -3051 -3667 -2933
-rect -3549 -3051 -3458 -2933
-rect -3758 -3093 -3458 -3051
-rect -3758 -3211 -3667 -3093
-rect -3549 -3211 -3458 -3093
-rect -3758 -3222 -3458 -3211
-rect 5802 -2933 6102 240
-rect 9402 -633 9702 240
-rect 9402 -751 9493 -633
-rect 9611 -751 9702 -633
-rect 9402 -793 9702 -751
-rect 9402 -911 9493 -793
-rect 9611 -911 9702 -793
-rect 9402 -922 9702 -911
-rect 11202 -1553 11502 240
-rect 11202 -1671 11293 -1553
-rect 11411 -1671 11502 -1553
-rect 11202 -1713 11502 -1671
-rect 11202 -1831 11293 -1713
-rect 11411 -1831 11502 -1713
-rect 11202 -1842 11502 -1831
-rect 13002 -2473 13302 240
-rect 13002 -2591 13093 -2473
-rect 13211 -2591 13302 -2473
-rect 13002 -2633 13302 -2591
-rect 13002 -2751 13093 -2633
-rect 13211 -2751 13302 -2633
-rect 13002 -2762 13302 -2751
-rect 5802 -3051 5893 -2933
-rect 6011 -3051 6102 -2933
-rect 5802 -3093 6102 -3051
-rect 5802 -3211 5893 -3093
-rect 6011 -3211 6102 -3093
-rect -4218 -3511 -4127 -3393
-rect -4009 -3511 -3918 -3393
-rect -4218 -3553 -3918 -3511
-rect -4218 -3671 -4127 -3553
-rect -4009 -3671 -3918 -3553
-rect -4218 -3682 -3918 -3671
-rect 5802 -3682 6102 -3211
-rect 14802 -3393 15102 240
+rect -1468 -761 -1377 -643
+rect -1259 -761 -1168 -643
+rect -1468 -803 -1168 -761
+rect -1468 -921 -1377 -803
+rect -1259 -921 -1168 -803
+rect -1468 -932 -1168 -921
+rect 402 -932 702 -451
+rect -1938 -1231 -1847 -1113
+rect -1729 -1231 -1638 -1113
+rect -1938 -1273 -1638 -1231
+rect -1938 -1391 -1847 -1273
+rect -1729 -1391 -1638 -1273
+rect -1938 -1402 -1638 -1391
+rect 2202 -1113 2502 240
+rect 2202 -1231 2293 -1113
+rect 2411 -1231 2502 -1113
+rect 2202 -1273 2502 -1231
+rect 2202 -1391 2293 -1273
+rect 2411 -1391 2502 -1273
+rect -2408 -1701 -2317 -1583
+rect -2199 -1701 -2108 -1583
+rect -2408 -1743 -2108 -1701
+rect -2408 -1861 -2317 -1743
+rect -2199 -1861 -2108 -1743
+rect -2408 -1872 -2108 -1861
+rect 2202 -1872 2502 -1391
+rect -2878 -2171 -2787 -2053
+rect -2669 -2171 -2578 -2053
+rect -2878 -2213 -2578 -2171
+rect -2878 -2331 -2787 -2213
+rect -2669 -2331 -2578 -2213
+rect -2878 -2342 -2578 -2331
+rect 4002 -2053 4302 240
+rect 4002 -2171 4093 -2053
+rect 4211 -2171 4302 -2053
+rect 4002 -2213 4302 -2171
+rect 4002 -2331 4093 -2213
+rect 4211 -2331 4302 -2213
+rect -3348 -2641 -3257 -2523
+rect -3139 -2641 -3048 -2523
+rect -3348 -2683 -3048 -2641
+rect -3348 -2801 -3257 -2683
+rect -3139 -2801 -3048 -2683
+rect -3348 -2812 -3048 -2801
+rect 4002 -2812 4302 -2331
+rect -3818 -3111 -3727 -2993
+rect -3609 -3111 -3518 -2993
+rect -3818 -3153 -3518 -3111
+rect -3818 -3271 -3727 -3153
+rect -3609 -3271 -3518 -3153
+rect -3818 -3282 -3518 -3271
+rect 5802 -2993 6102 240
+rect 9402 -643 9702 240
+rect 9402 -761 9493 -643
+rect 9611 -761 9702 -643
+rect 9402 -803 9702 -761
+rect 9402 -921 9493 -803
+rect 9611 -921 9702 -803
+rect 9402 -932 9702 -921
+rect 11202 -1583 11502 240
+rect 11202 -1701 11293 -1583
+rect 11411 -1701 11502 -1583
+rect 11202 -1743 11502 -1701
+rect 11202 -1861 11293 -1743
+rect 11411 -1861 11502 -1743
+rect 11202 -1872 11502 -1861
+rect 13002 -2523 13302 240
+rect 13002 -2641 13093 -2523
+rect 13211 -2641 13302 -2523
+rect 13002 -2683 13302 -2641
+rect 13002 -2801 13093 -2683
+rect 13211 -2801 13302 -2683
+rect 13002 -2812 13302 -2801
+rect 5802 -3111 5893 -2993
+rect 6011 -3111 6102 -2993
+rect 5802 -3153 6102 -3111
+rect 5802 -3271 5893 -3153
+rect 6011 -3271 6102 -3153
+rect -4288 -3581 -4197 -3463
+rect -4079 -3581 -3988 -3463
+rect -4288 -3623 -3988 -3581
+rect -4288 -3741 -4197 -3623
+rect -4079 -3741 -3988 -3623
+rect -4288 -3752 -3988 -3741
+rect 5802 -3752 6102 -3271
+rect 14802 -3463 15102 240
 rect 18402 -173 18702 240
 rect 18402 -291 18493 -173
 rect 18611 -291 18702 -173
 rect 18402 -333 18702 -291
 rect 18402 -451 18493 -333
 rect 18611 -451 18702 -333
-rect 18402 -922 18702 -451
-rect 20202 -1093 20502 240
-rect 20202 -1211 20293 -1093
-rect 20411 -1211 20502 -1093
-rect 20202 -1253 20502 -1211
-rect 20202 -1371 20293 -1253
-rect 20411 -1371 20502 -1253
-rect 20202 -1842 20502 -1371
-rect 22002 -2013 22302 240
-rect 22002 -2131 22093 -2013
-rect 22211 -2131 22302 -2013
-rect 22002 -2173 22302 -2131
-rect 22002 -2291 22093 -2173
-rect 22211 -2291 22302 -2173
-rect 22002 -2762 22302 -2291
-rect 14802 -3511 14893 -3393
-rect 15011 -3511 15102 -3393
-rect 14802 -3553 15102 -3511
-rect 14802 -3671 14893 -3553
-rect 15011 -3671 15102 -3553
-rect 14802 -3682 15102 -3671
-rect 23802 -2933 24102 240
-rect 27402 -633 27702 240
-rect 27402 -751 27493 -633
-rect 27611 -751 27702 -633
-rect 27402 -793 27702 -751
-rect 27402 -911 27493 -793
-rect 27611 -911 27702 -793
-rect 27402 -922 27702 -911
-rect 29202 -1553 29502 240
-rect 29202 -1671 29293 -1553
-rect 29411 -1671 29502 -1553
-rect 29202 -1713 29502 -1671
-rect 29202 -1831 29293 -1713
-rect 29411 -1831 29502 -1713
-rect 29202 -1842 29502 -1831
-rect 31002 -2473 31302 240
-rect 31002 -2591 31093 -2473
-rect 31211 -2591 31302 -2473
-rect 31002 -2633 31302 -2591
-rect 31002 -2751 31093 -2633
-rect 31211 -2751 31302 -2633
-rect 31002 -2762 31302 -2751
-rect 23802 -3051 23893 -2933
-rect 24011 -3051 24102 -2933
-rect 23802 -3093 24102 -3051
-rect 23802 -3211 23893 -3093
-rect 24011 -3211 24102 -3093
-rect 23802 -3682 24102 -3211
-rect 32802 -3393 33102 240
+rect 18402 -932 18702 -451
+rect 20202 -1113 20502 240
+rect 20202 -1231 20293 -1113
+rect 20411 -1231 20502 -1113
+rect 20202 -1273 20502 -1231
+rect 20202 -1391 20293 -1273
+rect 20411 -1391 20502 -1273
+rect 20202 -1872 20502 -1391
+rect 22002 -2053 22302 240
+rect 22002 -2171 22093 -2053
+rect 22211 -2171 22302 -2053
+rect 22002 -2213 22302 -2171
+rect 22002 -2331 22093 -2213
+rect 22211 -2331 22302 -2213
+rect 22002 -2812 22302 -2331
+rect 14802 -3581 14893 -3463
+rect 15011 -3581 15102 -3463
+rect 14802 -3623 15102 -3581
+rect 14802 -3741 14893 -3623
+rect 15011 -3741 15102 -3623
+rect 14802 -3752 15102 -3741
+rect 23802 -2993 24102 240
+rect 27402 -643 27702 240
+rect 27402 -761 27493 -643
+rect 27611 -761 27702 -643
+rect 27402 -803 27702 -761
+rect 27402 -921 27493 -803
+rect 27611 -921 27702 -803
+rect 27402 -932 27702 -921
+rect 29202 -1583 29502 240
+rect 29202 -1701 29293 -1583
+rect 29411 -1701 29502 -1583
+rect 29202 -1743 29502 -1701
+rect 29202 -1861 29293 -1743
+rect 29411 -1861 29502 -1743
+rect 29202 -1872 29502 -1861
+rect 31002 -2523 31302 240
+rect 31002 -2641 31093 -2523
+rect 31211 -2641 31302 -2523
+rect 31002 -2683 31302 -2641
+rect 31002 -2801 31093 -2683
+rect 31211 -2801 31302 -2683
+rect 31002 -2812 31302 -2801
+rect 23802 -3111 23893 -2993
+rect 24011 -3111 24102 -2993
+rect 23802 -3153 24102 -3111
+rect 23802 -3271 23893 -3153
+rect 24011 -3271 24102 -3153
+rect 23802 -3752 24102 -3271
+rect 32802 -3463 33102 240
 rect 36402 -173 36702 240
 rect 36402 -291 36493 -173
 rect 36611 -291 36702 -173
 rect 36402 -333 36702 -291
 rect 36402 -451 36493 -333
 rect 36611 -451 36702 -333
-rect 36402 -922 36702 -451
-rect 38202 -1093 38502 240
-rect 38202 -1211 38293 -1093
-rect 38411 -1211 38502 -1093
-rect 38202 -1253 38502 -1211
-rect 38202 -1371 38293 -1253
-rect 38411 -1371 38502 -1253
-rect 38202 -1842 38502 -1371
-rect 40002 -2013 40302 240
-rect 40002 -2131 40093 -2013
-rect 40211 -2131 40302 -2013
-rect 40002 -2173 40302 -2131
-rect 40002 -2291 40093 -2173
-rect 40211 -2291 40302 -2173
-rect 40002 -2762 40302 -2291
-rect 32802 -3511 32893 -3393
-rect 33011 -3511 33102 -3393
-rect 32802 -3553 33102 -3511
-rect 32802 -3671 32893 -3553
-rect 33011 -3671 33102 -3553
-rect 32802 -3682 33102 -3671
-rect 41802 -2933 42102 240
-rect 45402 -633 45702 240
-rect 45402 -751 45493 -633
-rect 45611 -751 45702 -633
-rect 45402 -793 45702 -751
-rect 45402 -911 45493 -793
-rect 45611 -911 45702 -793
-rect 45402 -922 45702 -911
-rect 47202 -1553 47502 240
-rect 47202 -1671 47293 -1553
-rect 47411 -1671 47502 -1553
-rect 47202 -1713 47502 -1671
-rect 47202 -1831 47293 -1713
-rect 47411 -1831 47502 -1713
-rect 47202 -1842 47502 -1831
-rect 49002 -2473 49302 240
-rect 49002 -2591 49093 -2473
-rect 49211 -2591 49302 -2473
-rect 49002 -2633 49302 -2591
-rect 49002 -2751 49093 -2633
-rect 49211 -2751 49302 -2633
-rect 49002 -2762 49302 -2751
-rect 41802 -3051 41893 -2933
-rect 42011 -3051 42102 -2933
-rect 41802 -3093 42102 -3051
-rect 41802 -3211 41893 -3093
-rect 42011 -3211 42102 -3093
-rect 41802 -3682 42102 -3211
-rect 50802 -3393 51102 240
+rect 36402 -932 36702 -451
+rect 38202 -1113 38502 240
+rect 38202 -1231 38293 -1113
+rect 38411 -1231 38502 -1113
+rect 38202 -1273 38502 -1231
+rect 38202 -1391 38293 -1273
+rect 38411 -1391 38502 -1273
+rect 38202 -1872 38502 -1391
+rect 40002 -2053 40302 240
+rect 40002 -2171 40093 -2053
+rect 40211 -2171 40302 -2053
+rect 40002 -2213 40302 -2171
+rect 40002 -2331 40093 -2213
+rect 40211 -2331 40302 -2213
+rect 40002 -2812 40302 -2331
+rect 32802 -3581 32893 -3463
+rect 33011 -3581 33102 -3463
+rect 32802 -3623 33102 -3581
+rect 32802 -3741 32893 -3623
+rect 33011 -3741 33102 -3623
+rect 32802 -3752 33102 -3741
+rect 41802 -2993 42102 240
+rect 45402 -643 45702 240
+rect 45402 -761 45493 -643
+rect 45611 -761 45702 -643
+rect 45402 -803 45702 -761
+rect 45402 -921 45493 -803
+rect 45611 -921 45702 -803
+rect 45402 -932 45702 -921
+rect 47202 -1583 47502 240
+rect 47202 -1701 47293 -1583
+rect 47411 -1701 47502 -1583
+rect 47202 -1743 47502 -1701
+rect 47202 -1861 47293 -1743
+rect 47411 -1861 47502 -1743
+rect 47202 -1872 47502 -1861
+rect 49002 -2523 49302 240
+rect 49002 -2641 49093 -2523
+rect 49211 -2641 49302 -2523
+rect 49002 -2683 49302 -2641
+rect 49002 -2801 49093 -2683
+rect 49211 -2801 49302 -2683
+rect 49002 -2812 49302 -2801
+rect 41802 -3111 41893 -2993
+rect 42011 -3111 42102 -2993
+rect 41802 -3153 42102 -3111
+rect 41802 -3271 41893 -3153
+rect 42011 -3271 42102 -3153
+rect 41802 -3752 42102 -3271
+rect 50802 -3463 51102 240
 rect 54402 -173 54702 240
 rect 54402 -291 54493 -173
 rect 54611 -291 54702 -173
 rect 54402 -333 54702 -291
 rect 54402 -451 54493 -333
 rect 54611 -451 54702 -333
-rect 54402 -922 54702 -451
-rect 56202 -1093 56502 240
-rect 56202 -1211 56293 -1093
-rect 56411 -1211 56502 -1093
-rect 56202 -1253 56502 -1211
-rect 56202 -1371 56293 -1253
-rect 56411 -1371 56502 -1253
-rect 56202 -1842 56502 -1371
-rect 58002 -2013 58302 240
-rect 58002 -2131 58093 -2013
-rect 58211 -2131 58302 -2013
-rect 58002 -2173 58302 -2131
-rect 58002 -2291 58093 -2173
-rect 58211 -2291 58302 -2173
-rect 58002 -2762 58302 -2291
-rect 50802 -3511 50893 -3393
-rect 51011 -3511 51102 -3393
-rect 50802 -3553 51102 -3511
-rect 50802 -3671 50893 -3553
-rect 51011 -3671 51102 -3553
-rect 50802 -3682 51102 -3671
-rect 59802 -2933 60102 240
-rect 63402 -633 63702 240
-rect 63402 -751 63493 -633
-rect 63611 -751 63702 -633
-rect 63402 -793 63702 -751
-rect 63402 -911 63493 -793
-rect 63611 -911 63702 -793
-rect 63402 -922 63702 -911
-rect 65202 -1553 65502 240
-rect 65202 -1671 65293 -1553
-rect 65411 -1671 65502 -1553
-rect 65202 -1713 65502 -1671
-rect 65202 -1831 65293 -1713
-rect 65411 -1831 65502 -1713
-rect 65202 -1842 65502 -1831
-rect 67002 -2473 67302 240
-rect 67002 -2591 67093 -2473
-rect 67211 -2591 67302 -2473
-rect 67002 -2633 67302 -2591
-rect 67002 -2751 67093 -2633
-rect 67211 -2751 67302 -2633
-rect 67002 -2762 67302 -2751
-rect 59802 -3051 59893 -2933
-rect 60011 -3051 60102 -2933
-rect 59802 -3093 60102 -3051
-rect 59802 -3211 59893 -3093
-rect 60011 -3211 60102 -3093
-rect 59802 -3682 60102 -3211
-rect 68802 -3393 69102 240
+rect 54402 -932 54702 -451
+rect 56202 -1113 56502 240
+rect 56202 -1231 56293 -1113
+rect 56411 -1231 56502 -1113
+rect 56202 -1273 56502 -1231
+rect 56202 -1391 56293 -1273
+rect 56411 -1391 56502 -1273
+rect 56202 -1872 56502 -1391
+rect 58002 -2053 58302 240
+rect 58002 -2171 58093 -2053
+rect 58211 -2171 58302 -2053
+rect 58002 -2213 58302 -2171
+rect 58002 -2331 58093 -2213
+rect 58211 -2331 58302 -2213
+rect 58002 -2812 58302 -2331
+rect 50802 -3581 50893 -3463
+rect 51011 -3581 51102 -3463
+rect 50802 -3623 51102 -3581
+rect 50802 -3741 50893 -3623
+rect 51011 -3741 51102 -3623
+rect 50802 -3752 51102 -3741
+rect 59802 -2993 60102 240
+rect 63402 -643 63702 240
+rect 63402 -761 63493 -643
+rect 63611 -761 63702 -643
+rect 63402 -803 63702 -761
+rect 63402 -921 63493 -803
+rect 63611 -921 63702 -803
+rect 63402 -932 63702 -921
+rect 65202 -1583 65502 240
+rect 65202 -1701 65293 -1583
+rect 65411 -1701 65502 -1583
+rect 65202 -1743 65502 -1701
+rect 65202 -1861 65293 -1743
+rect 65411 -1861 65502 -1743
+rect 65202 -1872 65502 -1861
+rect 67002 -2523 67302 240
+rect 67002 -2641 67093 -2523
+rect 67211 -2641 67302 -2523
+rect 67002 -2683 67302 -2641
+rect 67002 -2801 67093 -2683
+rect 67211 -2801 67302 -2683
+rect 67002 -2812 67302 -2801
+rect 59802 -3111 59893 -2993
+rect 60011 -3111 60102 -2993
+rect 59802 -3153 60102 -3111
+rect 59802 -3271 59893 -3153
+rect 60011 -3271 60102 -3153
+rect 59802 -3752 60102 -3271
+rect 68802 -3463 69102 240
 rect 72402 -173 72702 240
 rect 72402 -291 72493 -173
 rect 72611 -291 72702 -173
 rect 72402 -333 72702 -291
 rect 72402 -451 72493 -333
 rect 72611 -451 72702 -333
-rect 72402 -922 72702 -451
-rect 74202 -1093 74502 240
-rect 74202 -1211 74293 -1093
-rect 74411 -1211 74502 -1093
-rect 74202 -1253 74502 -1211
-rect 74202 -1371 74293 -1253
-rect 74411 -1371 74502 -1253
-rect 74202 -1842 74502 -1371
-rect 76002 -2013 76302 240
-rect 76002 -2131 76093 -2013
-rect 76211 -2131 76302 -2013
-rect 76002 -2173 76302 -2131
-rect 76002 -2291 76093 -2173
-rect 76211 -2291 76302 -2173
-rect 76002 -2762 76302 -2291
-rect 68802 -3511 68893 -3393
-rect 69011 -3511 69102 -3393
-rect 68802 -3553 69102 -3511
-rect 68802 -3671 68893 -3553
-rect 69011 -3671 69102 -3553
-rect 68802 -3682 69102 -3671
-rect 77802 -2933 78102 240
-rect 81402 -633 81702 240
-rect 81402 -751 81493 -633
-rect 81611 -751 81702 -633
-rect 81402 -793 81702 -751
-rect 81402 -911 81493 -793
-rect 81611 -911 81702 -793
-rect 81402 -922 81702 -911
-rect 83202 -1553 83502 240
-rect 83202 -1671 83293 -1553
-rect 83411 -1671 83502 -1553
-rect 83202 -1713 83502 -1671
-rect 83202 -1831 83293 -1713
-rect 83411 -1831 83502 -1713
-rect 83202 -1842 83502 -1831
-rect 85002 -2473 85302 240
-rect 85002 -2591 85093 -2473
-rect 85211 -2591 85302 -2473
-rect 85002 -2633 85302 -2591
-rect 85002 -2751 85093 -2633
-rect 85211 -2751 85302 -2633
-rect 85002 -2762 85302 -2751
-rect 77802 -3051 77893 -2933
-rect 78011 -3051 78102 -2933
-rect 77802 -3093 78102 -3051
-rect 77802 -3211 77893 -3093
-rect 78011 -3211 78102 -3093
-rect 77802 -3682 78102 -3211
-rect 86802 -3393 87102 240
+rect 72402 -932 72702 -451
+rect 74202 -1113 74502 240
+rect 74202 -1231 74293 -1113
+rect 74411 -1231 74502 -1113
+rect 74202 -1273 74502 -1231
+rect 74202 -1391 74293 -1273
+rect 74411 -1391 74502 -1273
+rect 74202 -1872 74502 -1391
+rect 76002 -2053 76302 240
+rect 76002 -2171 76093 -2053
+rect 76211 -2171 76302 -2053
+rect 76002 -2213 76302 -2171
+rect 76002 -2331 76093 -2213
+rect 76211 -2331 76302 -2213
+rect 76002 -2812 76302 -2331
+rect 68802 -3581 68893 -3463
+rect 69011 -3581 69102 -3463
+rect 68802 -3623 69102 -3581
+rect 68802 -3741 68893 -3623
+rect 69011 -3741 69102 -3623
+rect 68802 -3752 69102 -3741
+rect 77802 -2993 78102 240
+rect 81402 -643 81702 240
+rect 81402 -761 81493 -643
+rect 81611 -761 81702 -643
+rect 81402 -803 81702 -761
+rect 81402 -921 81493 -803
+rect 81611 -921 81702 -803
+rect 81402 -932 81702 -921
+rect 83202 -1583 83502 240
+rect 83202 -1701 83293 -1583
+rect 83411 -1701 83502 -1583
+rect 83202 -1743 83502 -1701
+rect 83202 -1861 83293 -1743
+rect 83411 -1861 83502 -1743
+rect 83202 -1872 83502 -1861
+rect 85002 -2523 85302 240
+rect 85002 -2641 85093 -2523
+rect 85211 -2641 85302 -2523
+rect 85002 -2683 85302 -2641
+rect 85002 -2801 85093 -2683
+rect 85211 -2801 85302 -2683
+rect 85002 -2812 85302 -2801
+rect 77802 -3111 77893 -2993
+rect 78011 -3111 78102 -2993
+rect 77802 -3153 78102 -3111
+rect 77802 -3271 77893 -3153
+rect 78011 -3271 78102 -3153
+rect 77802 -3752 78102 -3271
+rect 86802 -3463 87102 240
 rect 90402 -173 90702 240
 rect 90402 -291 90493 -173
 rect 90611 -291 90702 -173
 rect 90402 -333 90702 -291
 rect 90402 -451 90493 -333
 rect 90611 -451 90702 -333
-rect 90402 -922 90702 -451
-rect 92202 -1093 92502 240
-rect 92202 -1211 92293 -1093
-rect 92411 -1211 92502 -1093
-rect 92202 -1253 92502 -1211
-rect 92202 -1371 92293 -1253
-rect 92411 -1371 92502 -1253
-rect 92202 -1842 92502 -1371
-rect 94002 -2013 94302 240
-rect 94002 -2131 94093 -2013
-rect 94211 -2131 94302 -2013
-rect 94002 -2173 94302 -2131
-rect 94002 -2291 94093 -2173
-rect 94211 -2291 94302 -2173
-rect 94002 -2762 94302 -2291
-rect 86802 -3511 86893 -3393
-rect 87011 -3511 87102 -3393
-rect 86802 -3553 87102 -3511
-rect 86802 -3671 86893 -3553
-rect 87011 -3671 87102 -3553
-rect 86802 -3682 87102 -3671
-rect 95802 -2933 96102 240
-rect 99402 -633 99702 240
-rect 99402 -751 99493 -633
-rect 99611 -751 99702 -633
-rect 99402 -793 99702 -751
-rect 99402 -911 99493 -793
-rect 99611 -911 99702 -793
-rect 99402 -922 99702 -911
-rect 101202 -1553 101502 240
-rect 101202 -1671 101293 -1553
-rect 101411 -1671 101502 -1553
-rect 101202 -1713 101502 -1671
-rect 101202 -1831 101293 -1713
-rect 101411 -1831 101502 -1713
-rect 101202 -1842 101502 -1831
-rect 103002 -2473 103302 240
-rect 103002 -2591 103093 -2473
-rect 103211 -2591 103302 -2473
-rect 103002 -2633 103302 -2591
-rect 103002 -2751 103093 -2633
-rect 103211 -2751 103302 -2633
-rect 103002 -2762 103302 -2751
-rect 95802 -3051 95893 -2933
-rect 96011 -3051 96102 -2933
-rect 95802 -3093 96102 -3051
-rect 95802 -3211 95893 -3093
-rect 96011 -3211 96102 -3093
-rect 95802 -3682 96102 -3211
-rect 104802 -3393 105102 240
+rect 90402 -932 90702 -451
+rect 92202 -1113 92502 240
+rect 92202 -1231 92293 -1113
+rect 92411 -1231 92502 -1113
+rect 92202 -1273 92502 -1231
+rect 92202 -1391 92293 -1273
+rect 92411 -1391 92502 -1273
+rect 92202 -1872 92502 -1391
+rect 94002 -2053 94302 240
+rect 94002 -2171 94093 -2053
+rect 94211 -2171 94302 -2053
+rect 94002 -2213 94302 -2171
+rect 94002 -2331 94093 -2213
+rect 94211 -2331 94302 -2213
+rect 94002 -2812 94302 -2331
+rect 86802 -3581 86893 -3463
+rect 87011 -3581 87102 -3463
+rect 86802 -3623 87102 -3581
+rect 86802 -3741 86893 -3623
+rect 87011 -3741 87102 -3623
+rect 86802 -3752 87102 -3741
+rect 95802 -2993 96102 240
+rect 99402 -643 99702 240
+rect 99402 -761 99493 -643
+rect 99611 -761 99702 -643
+rect 99402 -803 99702 -761
+rect 99402 -921 99493 -803
+rect 99611 -921 99702 -803
+rect 99402 -932 99702 -921
+rect 101202 -1583 101502 240
+rect 101202 -1701 101293 -1583
+rect 101411 -1701 101502 -1583
+rect 101202 -1743 101502 -1701
+rect 101202 -1861 101293 -1743
+rect 101411 -1861 101502 -1743
+rect 101202 -1872 101502 -1861
+rect 103002 -2523 103302 240
+rect 103002 -2641 103093 -2523
+rect 103211 -2641 103302 -2523
+rect 103002 -2683 103302 -2641
+rect 103002 -2801 103093 -2683
+rect 103211 -2801 103302 -2683
+rect 103002 -2812 103302 -2801
+rect 95802 -3111 95893 -2993
+rect 96011 -3111 96102 -2993
+rect 95802 -3153 96102 -3111
+rect 95802 -3271 95893 -3153
+rect 96011 -3271 96102 -3153
+rect 95802 -3752 96102 -3271
+rect 104802 -3463 105102 240
 rect 108402 -173 108702 240
 rect 108402 -291 108493 -173
 rect 108611 -291 108702 -173
 rect 108402 -333 108702 -291
 rect 108402 -451 108493 -333
 rect 108611 -451 108702 -333
-rect 108402 -922 108702 -451
-rect 110202 -1093 110502 240
-rect 110202 -1211 110293 -1093
-rect 110411 -1211 110502 -1093
-rect 110202 -1253 110502 -1211
-rect 110202 -1371 110293 -1253
-rect 110411 -1371 110502 -1253
-rect 110202 -1842 110502 -1371
-rect 112002 -2013 112302 240
-rect 112002 -2131 112093 -2013
-rect 112211 -2131 112302 -2013
-rect 112002 -2173 112302 -2131
-rect 112002 -2291 112093 -2173
-rect 112211 -2291 112302 -2173
-rect 112002 -2762 112302 -2291
-rect 104802 -3511 104893 -3393
-rect 105011 -3511 105102 -3393
-rect 104802 -3553 105102 -3511
-rect 104802 -3671 104893 -3553
-rect 105011 -3671 105102 -3553
-rect 104802 -3682 105102 -3671
-rect 113802 -2933 114102 240
-rect 117402 -633 117702 240
-rect 117402 -751 117493 -633
-rect 117611 -751 117702 -633
-rect 117402 -793 117702 -751
-rect 117402 -911 117493 -793
-rect 117611 -911 117702 -793
-rect 117402 -922 117702 -911
-rect 119202 -1553 119502 240
-rect 119202 -1671 119293 -1553
-rect 119411 -1671 119502 -1553
-rect 119202 -1713 119502 -1671
-rect 119202 -1831 119293 -1713
-rect 119411 -1831 119502 -1713
-rect 119202 -1842 119502 -1831
-rect 121002 -2473 121302 240
-rect 121002 -2591 121093 -2473
-rect 121211 -2591 121302 -2473
-rect 121002 -2633 121302 -2591
-rect 121002 -2751 121093 -2633
-rect 121211 -2751 121302 -2633
-rect 121002 -2762 121302 -2751
-rect 113802 -3051 113893 -2933
-rect 114011 -3051 114102 -2933
-rect 113802 -3093 114102 -3051
-rect 113802 -3211 113893 -3093
-rect 114011 -3211 114102 -3093
-rect 113802 -3682 114102 -3211
-rect 122802 -3393 123102 240
+rect 108402 -932 108702 -451
+rect 110202 -1113 110502 240
+rect 110202 -1231 110293 -1113
+rect 110411 -1231 110502 -1113
+rect 110202 -1273 110502 -1231
+rect 110202 -1391 110293 -1273
+rect 110411 -1391 110502 -1273
+rect 110202 -1872 110502 -1391
+rect 112002 -2053 112302 240
+rect 112002 -2171 112093 -2053
+rect 112211 -2171 112302 -2053
+rect 112002 -2213 112302 -2171
+rect 112002 -2331 112093 -2213
+rect 112211 -2331 112302 -2213
+rect 112002 -2812 112302 -2331
+rect 104802 -3581 104893 -3463
+rect 105011 -3581 105102 -3463
+rect 104802 -3623 105102 -3581
+rect 104802 -3741 104893 -3623
+rect 105011 -3741 105102 -3623
+rect 104802 -3752 105102 -3741
+rect 113802 -2993 114102 240
+rect 117402 -643 117702 240
+rect 117402 -761 117493 -643
+rect 117611 -761 117702 -643
+rect 117402 -803 117702 -761
+rect 117402 -921 117493 -803
+rect 117611 -921 117702 -803
+rect 117402 -932 117702 -921
+rect 119202 -1583 119502 240
+rect 119202 -1701 119293 -1583
+rect 119411 -1701 119502 -1583
+rect 119202 -1743 119502 -1701
+rect 119202 -1861 119293 -1743
+rect 119411 -1861 119502 -1743
+rect 119202 -1872 119502 -1861
+rect 121002 -2523 121302 240
+rect 121002 -2641 121093 -2523
+rect 121211 -2641 121302 -2523
+rect 121002 -2683 121302 -2641
+rect 121002 -2801 121093 -2683
+rect 121211 -2801 121302 -2683
+rect 121002 -2812 121302 -2801
+rect 113802 -3111 113893 -2993
+rect 114011 -3111 114102 -2993
+rect 113802 -3153 114102 -3111
+rect 113802 -3271 113893 -3153
+rect 114011 -3271 114102 -3153
+rect 113802 -3752 114102 -3271
+rect 122802 -3463 123102 240
 rect 126402 -173 126702 240
 rect 126402 -291 126493 -173
 rect 126611 -291 126702 -173
 rect 126402 -333 126702 -291
 rect 126402 -451 126493 -333
 rect 126611 -451 126702 -333
-rect 126402 -922 126702 -451
-rect 128202 -1093 128502 240
-rect 128202 -1211 128293 -1093
-rect 128411 -1211 128502 -1093
-rect 128202 -1253 128502 -1211
-rect 128202 -1371 128293 -1253
-rect 128411 -1371 128502 -1253
-rect 128202 -1842 128502 -1371
-rect 130002 -2013 130302 240
-rect 130002 -2131 130093 -2013
-rect 130211 -2131 130302 -2013
-rect 130002 -2173 130302 -2131
-rect 130002 -2291 130093 -2173
-rect 130211 -2291 130302 -2173
-rect 130002 -2762 130302 -2291
-rect 122802 -3511 122893 -3393
-rect 123011 -3511 123102 -3393
-rect 122802 -3553 123102 -3511
-rect 122802 -3671 122893 -3553
-rect 123011 -3671 123102 -3553
-rect 122802 -3682 123102 -3671
-rect 131802 -2933 132102 240
-rect 135402 -633 135702 240
-rect 135402 -751 135493 -633
-rect 135611 -751 135702 -633
-rect 135402 -793 135702 -751
-rect 135402 -911 135493 -793
-rect 135611 -911 135702 -793
-rect 135402 -922 135702 -911
-rect 137202 -1553 137502 240
-rect 137202 -1671 137293 -1553
-rect 137411 -1671 137502 -1553
-rect 137202 -1713 137502 -1671
-rect 137202 -1831 137293 -1713
-rect 137411 -1831 137502 -1713
-rect 137202 -1842 137502 -1831
-rect 139002 -2473 139302 240
-rect 139002 -2591 139093 -2473
-rect 139211 -2591 139302 -2473
-rect 139002 -2633 139302 -2591
-rect 139002 -2751 139093 -2633
-rect 139211 -2751 139302 -2633
-rect 139002 -2762 139302 -2751
-rect 131802 -3051 131893 -2933
-rect 132011 -3051 132102 -2933
-rect 131802 -3093 132102 -3051
-rect 131802 -3211 131893 -3093
-rect 132011 -3211 132102 -3093
-rect 131802 -3682 132102 -3211
-rect 140802 -3393 141102 240
+rect 126402 -932 126702 -451
+rect 128202 -1113 128502 240
+rect 128202 -1231 128293 -1113
+rect 128411 -1231 128502 -1113
+rect 128202 -1273 128502 -1231
+rect 128202 -1391 128293 -1273
+rect 128411 -1391 128502 -1273
+rect 128202 -1872 128502 -1391
+rect 130002 -2053 130302 240
+rect 130002 -2171 130093 -2053
+rect 130211 -2171 130302 -2053
+rect 130002 -2213 130302 -2171
+rect 130002 -2331 130093 -2213
+rect 130211 -2331 130302 -2213
+rect 130002 -2812 130302 -2331
+rect 122802 -3581 122893 -3463
+rect 123011 -3581 123102 -3463
+rect 122802 -3623 123102 -3581
+rect 122802 -3741 122893 -3623
+rect 123011 -3741 123102 -3623
+rect 122802 -3752 123102 -3741
+rect 131802 -2993 132102 240
+rect 135402 -643 135702 240
+rect 135402 -761 135493 -643
+rect 135611 -761 135702 -643
+rect 135402 -803 135702 -761
+rect 135402 -921 135493 -803
+rect 135611 -921 135702 -803
+rect 135402 -932 135702 -921
+rect 137202 -1583 137502 240
+rect 137202 -1701 137293 -1583
+rect 137411 -1701 137502 -1583
+rect 137202 -1743 137502 -1701
+rect 137202 -1861 137293 -1743
+rect 137411 -1861 137502 -1743
+rect 137202 -1872 137502 -1861
+rect 139002 -2523 139302 240
+rect 139002 -2641 139093 -2523
+rect 139211 -2641 139302 -2523
+rect 139002 -2683 139302 -2641
+rect 139002 -2801 139093 -2683
+rect 139211 -2801 139302 -2683
+rect 139002 -2812 139302 -2801
+rect 131802 -3111 131893 -2993
+rect 132011 -3111 132102 -2993
+rect 131802 -3153 132102 -3111
+rect 131802 -3271 131893 -3153
+rect 132011 -3271 132102 -3153
+rect 131802 -3752 132102 -3271
+rect 140802 -3463 141102 240
 rect 144402 -173 144702 240
 rect 144402 -291 144493 -173
 rect 144611 -291 144702 -173
 rect 144402 -333 144702 -291
 rect 144402 -451 144493 -333
 rect 144611 -451 144702 -333
-rect 144402 -922 144702 -451
-rect 146202 -1093 146502 240
-rect 146202 -1211 146293 -1093
-rect 146411 -1211 146502 -1093
-rect 146202 -1253 146502 -1211
-rect 146202 -1371 146293 -1253
-rect 146411 -1371 146502 -1253
-rect 146202 -1842 146502 -1371
-rect 148002 -2013 148302 240
-rect 148002 -2131 148093 -2013
-rect 148211 -2131 148302 -2013
-rect 148002 -2173 148302 -2131
-rect 148002 -2291 148093 -2173
-rect 148211 -2291 148302 -2173
-rect 148002 -2762 148302 -2291
-rect 140802 -3511 140893 -3393
-rect 141011 -3511 141102 -3393
-rect 140802 -3553 141102 -3511
-rect 140802 -3671 140893 -3553
-rect 141011 -3671 141102 -3553
-rect 140802 -3682 141102 -3671
-rect 149802 -2933 150102 240
-rect 153402 -633 153702 240
-rect 153402 -751 153493 -633
-rect 153611 -751 153702 -633
-rect 153402 -793 153702 -751
-rect 153402 -911 153493 -793
-rect 153611 -911 153702 -793
-rect 153402 -922 153702 -911
-rect 155202 -1553 155502 240
-rect 155202 -1671 155293 -1553
-rect 155411 -1671 155502 -1553
-rect 155202 -1713 155502 -1671
-rect 155202 -1831 155293 -1713
-rect 155411 -1831 155502 -1713
-rect 155202 -1842 155502 -1831
-rect 157002 -2473 157302 240
-rect 157002 -2591 157093 -2473
-rect 157211 -2591 157302 -2473
-rect 157002 -2633 157302 -2591
-rect 157002 -2751 157093 -2633
-rect 157211 -2751 157302 -2633
-rect 157002 -2762 157302 -2751
-rect 149802 -3051 149893 -2933
-rect 150011 -3051 150102 -2933
-rect 149802 -3093 150102 -3051
-rect 149802 -3211 149893 -3093
-rect 150011 -3211 150102 -3093
-rect 149802 -3682 150102 -3211
-rect 158802 -3393 159102 240
+rect 144402 -932 144702 -451
+rect 146202 -1113 146502 240
+rect 146202 -1231 146293 -1113
+rect 146411 -1231 146502 -1113
+rect 146202 -1273 146502 -1231
+rect 146202 -1391 146293 -1273
+rect 146411 -1391 146502 -1273
+rect 146202 -1872 146502 -1391
+rect 148002 -2053 148302 240
+rect 148002 -2171 148093 -2053
+rect 148211 -2171 148302 -2053
+rect 148002 -2213 148302 -2171
+rect 148002 -2331 148093 -2213
+rect 148211 -2331 148302 -2213
+rect 148002 -2812 148302 -2331
+rect 140802 -3581 140893 -3463
+rect 141011 -3581 141102 -3463
+rect 140802 -3623 141102 -3581
+rect 140802 -3741 140893 -3623
+rect 141011 -3741 141102 -3623
+rect 140802 -3752 141102 -3741
+rect 149802 -2993 150102 240
+rect 153402 -643 153702 240
+rect 153402 -761 153493 -643
+rect 153611 -761 153702 -643
+rect 153402 -803 153702 -761
+rect 153402 -921 153493 -803
+rect 153611 -921 153702 -803
+rect 153402 -932 153702 -921
+rect 155202 -1583 155502 240
+rect 155202 -1701 155293 -1583
+rect 155411 -1701 155502 -1583
+rect 155202 -1743 155502 -1701
+rect 155202 -1861 155293 -1743
+rect 155411 -1861 155502 -1743
+rect 155202 -1872 155502 -1861
+rect 157002 -2523 157302 240
+rect 157002 -2641 157093 -2523
+rect 157211 -2641 157302 -2523
+rect 157002 -2683 157302 -2641
+rect 157002 -2801 157093 -2683
+rect 157211 -2801 157302 -2683
+rect 157002 -2812 157302 -2801
+rect 149802 -3111 149893 -2993
+rect 150011 -3111 150102 -2993
+rect 149802 -3153 150102 -3111
+rect 149802 -3271 149893 -3153
+rect 150011 -3271 150102 -3153
+rect 149802 -3752 150102 -3271
+rect 158802 -3463 159102 240
 rect 162402 -173 162702 240
 rect 162402 -291 162493 -173
 rect 162611 -291 162702 -173
 rect 162402 -333 162702 -291
 rect 162402 -451 162493 -333
 rect 162611 -451 162702 -333
-rect 162402 -922 162702 -451
-rect 164202 -1093 164502 240
-rect 164202 -1211 164293 -1093
-rect 164411 -1211 164502 -1093
-rect 164202 -1253 164502 -1211
-rect 164202 -1371 164293 -1253
-rect 164411 -1371 164502 -1253
-rect 164202 -1842 164502 -1371
-rect 166002 -2013 166302 240
-rect 166002 -2131 166093 -2013
-rect 166211 -2131 166302 -2013
-rect 166002 -2173 166302 -2131
-rect 166002 -2291 166093 -2173
-rect 166211 -2291 166302 -2173
-rect 166002 -2762 166302 -2291
-rect 158802 -3511 158893 -3393
-rect 159011 -3511 159102 -3393
-rect 158802 -3553 159102 -3511
-rect 158802 -3671 158893 -3553
-rect 159011 -3671 159102 -3553
-rect 158802 -3682 159102 -3671
-rect 167802 -2933 168102 240
-rect 171402 -633 171702 240
-rect 171402 -751 171493 -633
-rect 171611 -751 171702 -633
-rect 171402 -793 171702 -751
-rect 171402 -911 171493 -793
-rect 171611 -911 171702 -793
-rect 171402 -922 171702 -911
-rect 173202 -1553 173502 240
-rect 173202 -1671 173293 -1553
-rect 173411 -1671 173502 -1553
-rect 173202 -1713 173502 -1671
-rect 173202 -1831 173293 -1713
-rect 173411 -1831 173502 -1713
-rect 173202 -1842 173502 -1831
-rect 175002 -2473 175302 240
-rect 175002 -2591 175093 -2473
-rect 175211 -2591 175302 -2473
-rect 175002 -2633 175302 -2591
-rect 175002 -2751 175093 -2633
-rect 175211 -2751 175302 -2633
-rect 175002 -2762 175302 -2751
-rect 167802 -3051 167893 -2933
-rect 168011 -3051 168102 -2933
-rect 167802 -3093 168102 -3051
-rect 167802 -3211 167893 -3093
-rect 168011 -3211 168102 -3093
-rect 167802 -3682 168102 -3211
-rect 176802 -3393 177102 240
+rect 162402 -932 162702 -451
+rect 164202 -1113 164502 240
+rect 164202 -1231 164293 -1113
+rect 164411 -1231 164502 -1113
+rect 164202 -1273 164502 -1231
+rect 164202 -1391 164293 -1273
+rect 164411 -1391 164502 -1273
+rect 164202 -1872 164502 -1391
+rect 166002 -2053 166302 240
+rect 166002 -2171 166093 -2053
+rect 166211 -2171 166302 -2053
+rect 166002 -2213 166302 -2171
+rect 166002 -2331 166093 -2213
+rect 166211 -2331 166302 -2213
+rect 166002 -2812 166302 -2331
+rect 158802 -3581 158893 -3463
+rect 159011 -3581 159102 -3463
+rect 158802 -3623 159102 -3581
+rect 158802 -3741 158893 -3623
+rect 159011 -3741 159102 -3623
+rect 158802 -3752 159102 -3741
+rect 167802 -2993 168102 240
+rect 171402 -643 171702 240
+rect 171402 -761 171493 -643
+rect 171611 -761 171702 -643
+rect 171402 -803 171702 -761
+rect 171402 -921 171493 -803
+rect 171611 -921 171702 -803
+rect 171402 -932 171702 -921
+rect 173202 -1583 173502 240
+rect 173202 -1701 173293 -1583
+rect 173411 -1701 173502 -1583
+rect 173202 -1743 173502 -1701
+rect 173202 -1861 173293 -1743
+rect 173411 -1861 173502 -1743
+rect 173202 -1872 173502 -1861
+rect 175002 -2523 175302 240
+rect 175002 -2641 175093 -2523
+rect 175211 -2641 175302 -2523
+rect 175002 -2683 175302 -2641
+rect 175002 -2801 175093 -2683
+rect 175211 -2801 175302 -2683
+rect 175002 -2812 175302 -2801
+rect 167802 -3111 167893 -2993
+rect 168011 -3111 168102 -2993
+rect 167802 -3153 168102 -3111
+rect 167802 -3271 167893 -3153
+rect 168011 -3271 168102 -3153
+rect 167802 -3752 168102 -3271
+rect 176802 -3463 177102 240
 rect 180402 -173 180702 240
 rect 180402 -291 180493 -173
 rect 180611 -291 180702 -173
 rect 180402 -333 180702 -291
 rect 180402 -451 180493 -333
 rect 180611 -451 180702 -333
-rect 180402 -922 180702 -451
-rect 182202 -1093 182502 240
-rect 182202 -1211 182293 -1093
-rect 182411 -1211 182502 -1093
-rect 182202 -1253 182502 -1211
-rect 182202 -1371 182293 -1253
-rect 182411 -1371 182502 -1253
-rect 182202 -1842 182502 -1371
-rect 184002 -2013 184302 240
-rect 184002 -2131 184093 -2013
-rect 184211 -2131 184302 -2013
-rect 184002 -2173 184302 -2131
-rect 184002 -2291 184093 -2173
-rect 184211 -2291 184302 -2173
-rect 184002 -2762 184302 -2291
-rect 176802 -3511 176893 -3393
-rect 177011 -3511 177102 -3393
-rect 176802 -3553 177102 -3511
-rect 176802 -3671 176893 -3553
-rect 177011 -3671 177102 -3553
-rect 176802 -3682 177102 -3671
-rect 185802 -2933 186102 240
-rect 189402 -633 189702 240
-rect 189402 -751 189493 -633
-rect 189611 -751 189702 -633
-rect 189402 -793 189702 -751
-rect 189402 -911 189493 -793
-rect 189611 -911 189702 -793
-rect 189402 -922 189702 -911
-rect 191202 -1553 191502 240
-rect 191202 -1671 191293 -1553
-rect 191411 -1671 191502 -1553
-rect 191202 -1713 191502 -1671
-rect 191202 -1831 191293 -1713
-rect 191411 -1831 191502 -1713
-rect 191202 -1842 191502 -1831
-rect 193002 -2473 193302 240
-rect 193002 -2591 193093 -2473
-rect 193211 -2591 193302 -2473
-rect 193002 -2633 193302 -2591
-rect 193002 -2751 193093 -2633
-rect 193211 -2751 193302 -2633
-rect 193002 -2762 193302 -2751
-rect 185802 -3051 185893 -2933
-rect 186011 -3051 186102 -2933
-rect 185802 -3093 186102 -3051
-rect 185802 -3211 185893 -3093
-rect 186011 -3211 186102 -3093
-rect 185802 -3682 186102 -3211
-rect 194802 -3393 195102 240
+rect 180402 -932 180702 -451
+rect 182202 -1113 182502 240
+rect 182202 -1231 182293 -1113
+rect 182411 -1231 182502 -1113
+rect 182202 -1273 182502 -1231
+rect 182202 -1391 182293 -1273
+rect 182411 -1391 182502 -1273
+rect 182202 -1872 182502 -1391
+rect 184002 -2053 184302 240
+rect 184002 -2171 184093 -2053
+rect 184211 -2171 184302 -2053
+rect 184002 -2213 184302 -2171
+rect 184002 -2331 184093 -2213
+rect 184211 -2331 184302 -2213
+rect 184002 -2812 184302 -2331
+rect 176802 -3581 176893 -3463
+rect 177011 -3581 177102 -3463
+rect 176802 -3623 177102 -3581
+rect 176802 -3741 176893 -3623
+rect 177011 -3741 177102 -3623
+rect 176802 -3752 177102 -3741
+rect 185802 -2993 186102 240
+rect 189402 -643 189702 240
+rect 189402 -761 189493 -643
+rect 189611 -761 189702 -643
+rect 189402 -803 189702 -761
+rect 189402 -921 189493 -803
+rect 189611 -921 189702 -803
+rect 189402 -932 189702 -921
+rect 191202 -1583 191502 240
+rect 191202 -1701 191293 -1583
+rect 191411 -1701 191502 -1583
+rect 191202 -1743 191502 -1701
+rect 191202 -1861 191293 -1743
+rect 191411 -1861 191502 -1743
+rect 191202 -1872 191502 -1861
+rect 193002 -2523 193302 240
+rect 193002 -2641 193093 -2523
+rect 193211 -2641 193302 -2523
+rect 193002 -2683 193302 -2641
+rect 193002 -2801 193093 -2683
+rect 193211 -2801 193302 -2683
+rect 193002 -2812 193302 -2801
+rect 185802 -3111 185893 -2993
+rect 186011 -3111 186102 -2993
+rect 185802 -3153 186102 -3111
+rect 185802 -3271 185893 -3153
+rect 186011 -3271 186102 -3153
+rect 185802 -3752 186102 -3271
+rect 194802 -3463 195102 240
 rect 198402 -173 198702 240
 rect 198402 -291 198493 -173
 rect 198611 -291 198702 -173
 rect 198402 -333 198702 -291
 rect 198402 -451 198493 -333
 rect 198611 -451 198702 -333
-rect 198402 -922 198702 -451
-rect 200202 -1093 200502 240
-rect 200202 -1211 200293 -1093
-rect 200411 -1211 200502 -1093
-rect 200202 -1253 200502 -1211
-rect 200202 -1371 200293 -1253
-rect 200411 -1371 200502 -1253
-rect 200202 -1842 200502 -1371
-rect 202002 -2013 202302 240
-rect 202002 -2131 202093 -2013
-rect 202211 -2131 202302 -2013
-rect 202002 -2173 202302 -2131
-rect 202002 -2291 202093 -2173
-rect 202211 -2291 202302 -2173
-rect 202002 -2762 202302 -2291
-rect 194802 -3511 194893 -3393
-rect 195011 -3511 195102 -3393
-rect 194802 -3553 195102 -3511
-rect 194802 -3671 194893 -3553
-rect 195011 -3671 195102 -3553
-rect 194802 -3682 195102 -3671
-rect 203802 -2933 204102 240
-rect 207402 -633 207702 240
-rect 207402 -751 207493 -633
-rect 207611 -751 207702 -633
-rect 207402 -793 207702 -751
-rect 207402 -911 207493 -793
-rect 207611 -911 207702 -793
-rect 207402 -922 207702 -911
-rect 209202 -1553 209502 240
-rect 209202 -1671 209293 -1553
-rect 209411 -1671 209502 -1553
-rect 209202 -1713 209502 -1671
-rect 209202 -1831 209293 -1713
-rect 209411 -1831 209502 -1713
-rect 209202 -1842 209502 -1831
-rect 211002 -2473 211302 240
-rect 211002 -2591 211093 -2473
-rect 211211 -2591 211302 -2473
-rect 211002 -2633 211302 -2591
-rect 211002 -2751 211093 -2633
-rect 211211 -2751 211302 -2633
-rect 211002 -2762 211302 -2751
-rect 203802 -3051 203893 -2933
-rect 204011 -3051 204102 -2933
-rect 203802 -3093 204102 -3051
-rect 203802 -3211 203893 -3093
-rect 204011 -3211 204102 -3093
-rect 203802 -3682 204102 -3211
-rect 212802 -3393 213102 240
+rect 198402 -932 198702 -451
+rect 200202 -1113 200502 240
+rect 200202 -1231 200293 -1113
+rect 200411 -1231 200502 -1113
+rect 200202 -1273 200502 -1231
+rect 200202 -1391 200293 -1273
+rect 200411 -1391 200502 -1273
+rect 200202 -1872 200502 -1391
+rect 202002 -2053 202302 240
+rect 202002 -2171 202093 -2053
+rect 202211 -2171 202302 -2053
+rect 202002 -2213 202302 -2171
+rect 202002 -2331 202093 -2213
+rect 202211 -2331 202302 -2213
+rect 202002 -2812 202302 -2331
+rect 194802 -3581 194893 -3463
+rect 195011 -3581 195102 -3463
+rect 194802 -3623 195102 -3581
+rect 194802 -3741 194893 -3623
+rect 195011 -3741 195102 -3623
+rect 194802 -3752 195102 -3741
+rect 203802 -2993 204102 240
+rect 207402 -643 207702 240
+rect 207402 -761 207493 -643
+rect 207611 -761 207702 -643
+rect 207402 -803 207702 -761
+rect 207402 -921 207493 -803
+rect 207611 -921 207702 -803
+rect 207402 -932 207702 -921
+rect 209202 -1583 209502 240
+rect 209202 -1701 209293 -1583
+rect 209411 -1701 209502 -1583
+rect 209202 -1743 209502 -1701
+rect 209202 -1861 209293 -1743
+rect 209411 -1861 209502 -1743
+rect 209202 -1872 209502 -1861
+rect 211002 -2523 211302 240
+rect 211002 -2641 211093 -2523
+rect 211211 -2641 211302 -2523
+rect 211002 -2683 211302 -2641
+rect 211002 -2801 211093 -2683
+rect 211211 -2801 211302 -2683
+rect 211002 -2812 211302 -2801
+rect 203802 -3111 203893 -2993
+rect 204011 -3111 204102 -2993
+rect 203802 -3153 204102 -3111
+rect 203802 -3271 203893 -3153
+rect 204011 -3271 204102 -3153
+rect 203802 -3752 204102 -3271
+rect 212802 -3463 213102 240
 rect 216402 -173 216702 240
 rect 216402 -291 216493 -173
 rect 216611 -291 216702 -173
 rect 216402 -333 216702 -291
 rect 216402 -451 216493 -333
 rect 216611 -451 216702 -333
-rect 216402 -922 216702 -451
-rect 218202 -1093 218502 240
-rect 218202 -1211 218293 -1093
-rect 218411 -1211 218502 -1093
-rect 218202 -1253 218502 -1211
-rect 218202 -1371 218293 -1253
-rect 218411 -1371 218502 -1253
-rect 218202 -1842 218502 -1371
-rect 220002 -2013 220302 240
-rect 220002 -2131 220093 -2013
-rect 220211 -2131 220302 -2013
-rect 220002 -2173 220302 -2131
-rect 220002 -2291 220093 -2173
-rect 220211 -2291 220302 -2173
-rect 220002 -2762 220302 -2291
-rect 212802 -3511 212893 -3393
-rect 213011 -3511 213102 -3393
-rect 212802 -3553 213102 -3511
-rect 212802 -3671 212893 -3553
-rect 213011 -3671 213102 -3553
-rect 212802 -3682 213102 -3671
-rect 221802 -2933 222102 240
-rect 225402 -633 225702 240
-rect 225402 -751 225493 -633
-rect 225611 -751 225702 -633
-rect 225402 -793 225702 -751
-rect 225402 -911 225493 -793
-rect 225611 -911 225702 -793
-rect 225402 -922 225702 -911
-rect 227202 -1553 227502 240
-rect 227202 -1671 227293 -1553
-rect 227411 -1671 227502 -1553
-rect 227202 -1713 227502 -1671
-rect 227202 -1831 227293 -1713
-rect 227411 -1831 227502 -1713
-rect 227202 -1842 227502 -1831
-rect 229002 -2473 229302 240
-rect 229002 -2591 229093 -2473
-rect 229211 -2591 229302 -2473
-rect 229002 -2633 229302 -2591
-rect 229002 -2751 229093 -2633
-rect 229211 -2751 229302 -2633
-rect 229002 -2762 229302 -2751
-rect 221802 -3051 221893 -2933
-rect 222011 -3051 222102 -2933
-rect 221802 -3093 222102 -3051
-rect 221802 -3211 221893 -3093
-rect 222011 -3211 222102 -3093
-rect 221802 -3682 222102 -3211
-rect 230802 -3393 231102 240
+rect 216402 -932 216702 -451
+rect 218202 -1113 218502 240
+rect 218202 -1231 218293 -1113
+rect 218411 -1231 218502 -1113
+rect 218202 -1273 218502 -1231
+rect 218202 -1391 218293 -1273
+rect 218411 -1391 218502 -1273
+rect 218202 -1872 218502 -1391
+rect 220002 -2053 220302 240
+rect 220002 -2171 220093 -2053
+rect 220211 -2171 220302 -2053
+rect 220002 -2213 220302 -2171
+rect 220002 -2331 220093 -2213
+rect 220211 -2331 220302 -2213
+rect 220002 -2812 220302 -2331
+rect 212802 -3581 212893 -3463
+rect 213011 -3581 213102 -3463
+rect 212802 -3623 213102 -3581
+rect 212802 -3741 212893 -3623
+rect 213011 -3741 213102 -3623
+rect 212802 -3752 213102 -3741
+rect 221802 -2993 222102 240
+rect 225402 -643 225702 240
+rect 225402 -761 225493 -643
+rect 225611 -761 225702 -643
+rect 225402 -803 225702 -761
+rect 225402 -921 225493 -803
+rect 225611 -921 225702 -803
+rect 225402 -932 225702 -921
+rect 227202 -1583 227502 240
+rect 227202 -1701 227293 -1583
+rect 227411 -1701 227502 -1583
+rect 227202 -1743 227502 -1701
+rect 227202 -1861 227293 -1743
+rect 227411 -1861 227502 -1743
+rect 227202 -1872 227502 -1861
+rect 229002 -2523 229302 240
+rect 229002 -2641 229093 -2523
+rect 229211 -2641 229302 -2523
+rect 229002 -2683 229302 -2641
+rect 229002 -2801 229093 -2683
+rect 229211 -2801 229302 -2683
+rect 229002 -2812 229302 -2801
+rect 221802 -3111 221893 -2993
+rect 222011 -3111 222102 -2993
+rect 221802 -3153 222102 -3111
+rect 221802 -3271 221893 -3153
+rect 222011 -3271 222102 -3153
+rect 221802 -3752 222102 -3271
+rect 230802 -3463 231102 240
 rect 234402 -173 234702 240
 rect 234402 -291 234493 -173
 rect 234611 -291 234702 -173
 rect 234402 -333 234702 -291
 rect 234402 -451 234493 -333
 rect 234611 -451 234702 -333
-rect 234402 -922 234702 -451
-rect 236202 -1093 236502 240
-rect 236202 -1211 236293 -1093
-rect 236411 -1211 236502 -1093
-rect 236202 -1253 236502 -1211
-rect 236202 -1371 236293 -1253
-rect 236411 -1371 236502 -1253
-rect 236202 -1842 236502 -1371
-rect 238002 -2013 238302 240
-rect 238002 -2131 238093 -2013
-rect 238211 -2131 238302 -2013
-rect 238002 -2173 238302 -2131
-rect 238002 -2291 238093 -2173
-rect 238211 -2291 238302 -2173
-rect 238002 -2762 238302 -2291
-rect 230802 -3511 230893 -3393
-rect 231011 -3511 231102 -3393
-rect 230802 -3553 231102 -3511
-rect 230802 -3671 230893 -3553
-rect 231011 -3671 231102 -3553
-rect 230802 -3682 231102 -3671
-rect 239802 -2933 240102 240
-rect 243402 -633 243702 240
-rect 243402 -751 243493 -633
-rect 243611 -751 243702 -633
-rect 243402 -793 243702 -751
-rect 243402 -911 243493 -793
-rect 243611 -911 243702 -793
-rect 243402 -922 243702 -911
-rect 245202 -1553 245502 240
-rect 245202 -1671 245293 -1553
-rect 245411 -1671 245502 -1553
-rect 245202 -1713 245502 -1671
-rect 245202 -1831 245293 -1713
-rect 245411 -1831 245502 -1713
-rect 245202 -1842 245502 -1831
-rect 247002 -2473 247302 240
-rect 247002 -2591 247093 -2473
-rect 247211 -2591 247302 -2473
-rect 247002 -2633 247302 -2591
-rect 247002 -2751 247093 -2633
-rect 247211 -2751 247302 -2633
-rect 247002 -2762 247302 -2751
-rect 239802 -3051 239893 -2933
-rect 240011 -3051 240102 -2933
-rect 239802 -3093 240102 -3051
-rect 239802 -3211 239893 -3093
-rect 240011 -3211 240102 -3093
-rect 239802 -3682 240102 -3211
-rect 248802 -3393 249102 240
+rect 234402 -932 234702 -451
+rect 236202 -1113 236502 240
+rect 236202 -1231 236293 -1113
+rect 236411 -1231 236502 -1113
+rect 236202 -1273 236502 -1231
+rect 236202 -1391 236293 -1273
+rect 236411 -1391 236502 -1273
+rect 236202 -1872 236502 -1391
+rect 238002 -2053 238302 240
+rect 238002 -2171 238093 -2053
+rect 238211 -2171 238302 -2053
+rect 238002 -2213 238302 -2171
+rect 238002 -2331 238093 -2213
+rect 238211 -2331 238302 -2213
+rect 238002 -2812 238302 -2331
+rect 230802 -3581 230893 -3463
+rect 231011 -3581 231102 -3463
+rect 230802 -3623 231102 -3581
+rect 230802 -3741 230893 -3623
+rect 231011 -3741 231102 -3623
+rect 230802 -3752 231102 -3741
+rect 239802 -2993 240102 240
+rect 243402 -643 243702 240
+rect 243402 -761 243493 -643
+rect 243611 -761 243702 -643
+rect 243402 -803 243702 -761
+rect 243402 -921 243493 -803
+rect 243611 -921 243702 -803
+rect 243402 -932 243702 -921
+rect 245202 -1583 245502 240
+rect 245202 -1701 245293 -1583
+rect 245411 -1701 245502 -1583
+rect 245202 -1743 245502 -1701
+rect 245202 -1861 245293 -1743
+rect 245411 -1861 245502 -1743
+rect 245202 -1872 245502 -1861
+rect 247002 -2523 247302 240
+rect 247002 -2641 247093 -2523
+rect 247211 -2641 247302 -2523
+rect 247002 -2683 247302 -2641
+rect 247002 -2801 247093 -2683
+rect 247211 -2801 247302 -2683
+rect 247002 -2812 247302 -2801
+rect 239802 -3111 239893 -2993
+rect 240011 -3111 240102 -2993
+rect 239802 -3153 240102 -3111
+rect 239802 -3271 239893 -3153
+rect 240011 -3271 240102 -3153
+rect 239802 -3752 240102 -3271
+rect 248802 -3463 249102 240
 rect 252402 -173 252702 240
 rect 252402 -291 252493 -173
 rect 252611 -291 252702 -173
 rect 252402 -333 252702 -291
 rect 252402 -451 252493 -333
 rect 252611 -451 252702 -333
-rect 252402 -922 252702 -451
-rect 254202 -1093 254502 240
-rect 254202 -1211 254293 -1093
-rect 254411 -1211 254502 -1093
-rect 254202 -1253 254502 -1211
-rect 254202 -1371 254293 -1253
-rect 254411 -1371 254502 -1253
-rect 254202 -1842 254502 -1371
-rect 256002 -2013 256302 240
-rect 256002 -2131 256093 -2013
-rect 256211 -2131 256302 -2013
-rect 256002 -2173 256302 -2131
-rect 256002 -2291 256093 -2173
-rect 256211 -2291 256302 -2173
-rect 256002 -2762 256302 -2291
-rect 248802 -3511 248893 -3393
-rect 249011 -3511 249102 -3393
-rect 248802 -3553 249102 -3511
-rect 248802 -3671 248893 -3553
-rect 249011 -3671 249102 -3553
-rect 248802 -3682 249102 -3671
-rect 257802 -2933 258102 240
-rect 261402 -633 261702 240
-rect 261402 -751 261493 -633
-rect 261611 -751 261702 -633
-rect 261402 -793 261702 -751
-rect 261402 -911 261493 -793
-rect 261611 -911 261702 -793
-rect 261402 -922 261702 -911
-rect 263202 -1553 263502 240
-rect 263202 -1671 263293 -1553
-rect 263411 -1671 263502 -1553
-rect 263202 -1713 263502 -1671
-rect 263202 -1831 263293 -1713
-rect 263411 -1831 263502 -1713
-rect 263202 -1842 263502 -1831
-rect 265002 -2473 265302 240
-rect 265002 -2591 265093 -2473
-rect 265211 -2591 265302 -2473
-rect 265002 -2633 265302 -2591
-rect 265002 -2751 265093 -2633
-rect 265211 -2751 265302 -2633
-rect 265002 -2762 265302 -2751
-rect 257802 -3051 257893 -2933
-rect 258011 -3051 258102 -2933
-rect 257802 -3093 258102 -3051
-rect 257802 -3211 257893 -3093
-rect 258011 -3211 258102 -3093
-rect 257802 -3682 258102 -3211
-rect 266802 -3393 267102 240
+rect 252402 -932 252702 -451
+rect 254202 -1113 254502 240
+rect 254202 -1231 254293 -1113
+rect 254411 -1231 254502 -1113
+rect 254202 -1273 254502 -1231
+rect 254202 -1391 254293 -1273
+rect 254411 -1391 254502 -1273
+rect 254202 -1872 254502 -1391
+rect 256002 -2053 256302 240
+rect 256002 -2171 256093 -2053
+rect 256211 -2171 256302 -2053
+rect 256002 -2213 256302 -2171
+rect 256002 -2331 256093 -2213
+rect 256211 -2331 256302 -2213
+rect 256002 -2812 256302 -2331
+rect 248802 -3581 248893 -3463
+rect 249011 -3581 249102 -3463
+rect 248802 -3623 249102 -3581
+rect 248802 -3741 248893 -3623
+rect 249011 -3741 249102 -3623
+rect 248802 -3752 249102 -3741
+rect 257802 -2993 258102 240
+rect 261402 -643 261702 240
+rect 261402 -761 261493 -643
+rect 261611 -761 261702 -643
+rect 261402 -803 261702 -761
+rect 261402 -921 261493 -803
+rect 261611 -921 261702 -803
+rect 261402 -932 261702 -921
+rect 263202 -1583 263502 240
+rect 263202 -1701 263293 -1583
+rect 263411 -1701 263502 -1583
+rect 263202 -1743 263502 -1701
+rect 263202 -1861 263293 -1743
+rect 263411 -1861 263502 -1743
+rect 263202 -1872 263502 -1861
+rect 265002 -2523 265302 240
+rect 265002 -2641 265093 -2523
+rect 265211 -2641 265302 -2523
+rect 265002 -2683 265302 -2641
+rect 265002 -2801 265093 -2683
+rect 265211 -2801 265302 -2683
+rect 265002 -2812 265302 -2801
+rect 257802 -3111 257893 -2993
+rect 258011 -3111 258102 -2993
+rect 257802 -3153 258102 -3111
+rect 257802 -3271 257893 -3153
+rect 258011 -3271 258102 -3153
+rect 257802 -3752 258102 -3271
+rect 266802 -3463 267102 240
 rect 270402 -173 270702 240
 rect 270402 -291 270493 -173
 rect 270611 -291 270702 -173
 rect 270402 -333 270702 -291
 rect 270402 -451 270493 -333
 rect 270611 -451 270702 -333
-rect 270402 -922 270702 -451
-rect 272202 -1093 272502 240
-rect 272202 -1211 272293 -1093
-rect 272411 -1211 272502 -1093
-rect 272202 -1253 272502 -1211
-rect 272202 -1371 272293 -1253
-rect 272411 -1371 272502 -1253
-rect 272202 -1842 272502 -1371
-rect 274002 -2013 274302 240
-rect 274002 -2131 274093 -2013
-rect 274211 -2131 274302 -2013
-rect 274002 -2173 274302 -2131
-rect 274002 -2291 274093 -2173
-rect 274211 -2291 274302 -2173
-rect 274002 -2762 274302 -2291
-rect 266802 -3511 266893 -3393
-rect 267011 -3511 267102 -3393
-rect 266802 -3553 267102 -3511
-rect 266802 -3671 266893 -3553
-rect 267011 -3671 267102 -3553
-rect 266802 -3682 267102 -3671
-rect 275802 -2933 276102 240
-rect 279402 -633 279702 240
-rect 279402 -751 279493 -633
-rect 279611 -751 279702 -633
-rect 279402 -793 279702 -751
-rect 279402 -911 279493 -793
-rect 279611 -911 279702 -793
-rect 279402 -922 279702 -911
-rect 281202 -1553 281502 240
-rect 281202 -1671 281293 -1553
-rect 281411 -1671 281502 -1553
-rect 281202 -1713 281502 -1671
-rect 281202 -1831 281293 -1713
-rect 281411 -1831 281502 -1713
-rect 281202 -1842 281502 -1831
-rect 283002 -2473 283302 240
-rect 283002 -2591 283093 -2473
-rect 283211 -2591 283302 -2473
-rect 283002 -2633 283302 -2591
-rect 283002 -2751 283093 -2633
-rect 283211 -2751 283302 -2633
-rect 283002 -2762 283302 -2751
-rect 275802 -3051 275893 -2933
-rect 276011 -3051 276102 -2933
-rect 275802 -3093 276102 -3051
-rect 275802 -3211 275893 -3093
-rect 276011 -3211 276102 -3093
-rect 275802 -3682 276102 -3211
-rect 284802 -3393 285102 240
+rect 270402 -932 270702 -451
+rect 272202 -1113 272502 240
+rect 272202 -1231 272293 -1113
+rect 272411 -1231 272502 -1113
+rect 272202 -1273 272502 -1231
+rect 272202 -1391 272293 -1273
+rect 272411 -1391 272502 -1273
+rect 272202 -1872 272502 -1391
+rect 274002 -2053 274302 240
+rect 274002 -2171 274093 -2053
+rect 274211 -2171 274302 -2053
+rect 274002 -2213 274302 -2171
+rect 274002 -2331 274093 -2213
+rect 274211 -2331 274302 -2213
+rect 274002 -2812 274302 -2331
+rect 266802 -3581 266893 -3463
+rect 267011 -3581 267102 -3463
+rect 266802 -3623 267102 -3581
+rect 266802 -3741 266893 -3623
+rect 267011 -3741 267102 -3623
+rect 266802 -3752 267102 -3741
+rect 275802 -2993 276102 240
+rect 279402 -643 279702 240
+rect 279402 -761 279493 -643
+rect 279611 -761 279702 -643
+rect 279402 -803 279702 -761
+rect 279402 -921 279493 -803
+rect 279611 -921 279702 -803
+rect 279402 -932 279702 -921
+rect 281202 -1583 281502 240
+rect 281202 -1701 281293 -1583
+rect 281411 -1701 281502 -1583
+rect 281202 -1743 281502 -1701
+rect 281202 -1861 281293 -1743
+rect 281411 -1861 281502 -1743
+rect 281202 -1872 281502 -1861
+rect 283002 -2523 283302 240
+rect 283002 -2641 283093 -2523
+rect 283211 -2641 283302 -2523
+rect 283002 -2683 283302 -2641
+rect 283002 -2801 283093 -2683
+rect 283211 -2801 283302 -2683
+rect 283002 -2812 283302 -2801
+rect 275802 -3111 275893 -2993
+rect 276011 -3111 276102 -2993
+rect 275802 -3153 276102 -3111
+rect 275802 -3271 275893 -3153
+rect 276011 -3271 276102 -3153
+rect 275802 -3752 276102 -3271
+rect 284802 -3463 285102 240
 rect 288402 -173 288702 240
 rect 288402 -291 288493 -173
 rect 288611 -291 288702 -173
 rect 288402 -333 288702 -291
 rect 288402 -451 288493 -333
 rect 288611 -451 288702 -333
-rect 288402 -922 288702 -451
-rect 290202 -1093 290502 240
+rect 288402 -932 288702 -451
+rect 290202 -1113 290502 240
 rect 292660 -173 292960 949
 rect 292660 -291 292751 -173
 rect 292869 -291 292960 -173
@@ -3665,1446 +3665,1446 @@
 rect 292660 -451 292751 -333
 rect 292869 -451 292960 -333
 rect 292660 -462 292960 -451
-rect 293120 334227 293420 352601
-rect 293120 334109 293211 334227
-rect 293329 334109 293420 334227
-rect 293120 334067 293420 334109
-rect 293120 333949 293211 334067
-rect 293329 333949 293420 334067
-rect 293120 316227 293420 333949
-rect 293120 316109 293211 316227
-rect 293329 316109 293420 316227
-rect 293120 316067 293420 316109
-rect 293120 315949 293211 316067
-rect 293329 315949 293420 316067
-rect 293120 298227 293420 315949
-rect 293120 298109 293211 298227
-rect 293329 298109 293420 298227
-rect 293120 298067 293420 298109
-rect 293120 297949 293211 298067
-rect 293329 297949 293420 298067
-rect 293120 280227 293420 297949
-rect 293120 280109 293211 280227
-rect 293329 280109 293420 280227
-rect 293120 280067 293420 280109
-rect 293120 279949 293211 280067
-rect 293329 279949 293420 280067
-rect 293120 262227 293420 279949
-rect 293120 262109 293211 262227
-rect 293329 262109 293420 262227
-rect 293120 262067 293420 262109
-rect 293120 261949 293211 262067
-rect 293329 261949 293420 262067
-rect 293120 244227 293420 261949
-rect 293120 244109 293211 244227
-rect 293329 244109 293420 244227
-rect 293120 244067 293420 244109
-rect 293120 243949 293211 244067
-rect 293329 243949 293420 244067
-rect 293120 226227 293420 243949
-rect 293120 226109 293211 226227
-rect 293329 226109 293420 226227
-rect 293120 226067 293420 226109
-rect 293120 225949 293211 226067
-rect 293329 225949 293420 226067
-rect 293120 208227 293420 225949
-rect 293120 208109 293211 208227
-rect 293329 208109 293420 208227
-rect 293120 208067 293420 208109
-rect 293120 207949 293211 208067
-rect 293329 207949 293420 208067
-rect 293120 190227 293420 207949
-rect 293120 190109 293211 190227
-rect 293329 190109 293420 190227
-rect 293120 190067 293420 190109
-rect 293120 189949 293211 190067
-rect 293329 189949 293420 190067
-rect 293120 172227 293420 189949
-rect 293120 172109 293211 172227
-rect 293329 172109 293420 172227
-rect 293120 172067 293420 172109
-rect 293120 171949 293211 172067
-rect 293329 171949 293420 172067
-rect 293120 154227 293420 171949
-rect 293120 154109 293211 154227
-rect 293329 154109 293420 154227
-rect 293120 154067 293420 154109
-rect 293120 153949 293211 154067
-rect 293329 153949 293420 154067
-rect 293120 136227 293420 153949
-rect 293120 136109 293211 136227
-rect 293329 136109 293420 136227
-rect 293120 136067 293420 136109
-rect 293120 135949 293211 136067
-rect 293329 135949 293420 136067
-rect 293120 118227 293420 135949
-rect 293120 118109 293211 118227
-rect 293329 118109 293420 118227
-rect 293120 118067 293420 118109
-rect 293120 117949 293211 118067
-rect 293329 117949 293420 118067
-rect 293120 100227 293420 117949
-rect 293120 100109 293211 100227
-rect 293329 100109 293420 100227
-rect 293120 100067 293420 100109
-rect 293120 99949 293211 100067
-rect 293329 99949 293420 100067
-rect 293120 82227 293420 99949
-rect 293120 82109 293211 82227
-rect 293329 82109 293420 82227
-rect 293120 82067 293420 82109
-rect 293120 81949 293211 82067
-rect 293329 81949 293420 82067
-rect 293120 64227 293420 81949
-rect 293120 64109 293211 64227
-rect 293329 64109 293420 64227
-rect 293120 64067 293420 64109
-rect 293120 63949 293211 64067
-rect 293329 63949 293420 64067
-rect 293120 46227 293420 63949
-rect 293120 46109 293211 46227
-rect 293329 46109 293420 46227
-rect 293120 46067 293420 46109
-rect 293120 45949 293211 46067
-rect 293329 45949 293420 46067
-rect 293120 28227 293420 45949
-rect 293120 28109 293211 28227
-rect 293329 28109 293420 28227
-rect 293120 28067 293420 28109
-rect 293120 27949 293211 28067
-rect 293329 27949 293420 28067
-rect 293120 10227 293420 27949
-rect 293120 10109 293211 10227
-rect 293329 10109 293420 10227
-rect 293120 10067 293420 10109
-rect 293120 9949 293211 10067
-rect 293329 9949 293420 10067
-rect 293120 -633 293420 9949
-rect 293120 -751 293211 -633
-rect 293329 -751 293420 -633
-rect 293120 -793 293420 -751
-rect 293120 -911 293211 -793
-rect 293329 -911 293420 -793
-rect 293120 -922 293420 -911
-rect 293580 345027 293880 353061
-rect 293580 344909 293671 345027
-rect 293789 344909 293880 345027
-rect 293580 344867 293880 344909
-rect 293580 344749 293671 344867
-rect 293789 344749 293880 344867
-rect 293580 327027 293880 344749
-rect 293580 326909 293671 327027
-rect 293789 326909 293880 327027
-rect 293580 326867 293880 326909
-rect 293580 326749 293671 326867
-rect 293789 326749 293880 326867
-rect 293580 309027 293880 326749
-rect 293580 308909 293671 309027
-rect 293789 308909 293880 309027
-rect 293580 308867 293880 308909
-rect 293580 308749 293671 308867
-rect 293789 308749 293880 308867
-rect 293580 291027 293880 308749
-rect 293580 290909 293671 291027
-rect 293789 290909 293880 291027
-rect 293580 290867 293880 290909
-rect 293580 290749 293671 290867
-rect 293789 290749 293880 290867
-rect 293580 273027 293880 290749
-rect 293580 272909 293671 273027
-rect 293789 272909 293880 273027
-rect 293580 272867 293880 272909
-rect 293580 272749 293671 272867
-rect 293789 272749 293880 272867
-rect 293580 255027 293880 272749
-rect 293580 254909 293671 255027
-rect 293789 254909 293880 255027
-rect 293580 254867 293880 254909
-rect 293580 254749 293671 254867
-rect 293789 254749 293880 254867
-rect 293580 237027 293880 254749
-rect 293580 236909 293671 237027
-rect 293789 236909 293880 237027
-rect 293580 236867 293880 236909
-rect 293580 236749 293671 236867
-rect 293789 236749 293880 236867
-rect 293580 219027 293880 236749
-rect 293580 218909 293671 219027
-rect 293789 218909 293880 219027
-rect 293580 218867 293880 218909
-rect 293580 218749 293671 218867
-rect 293789 218749 293880 218867
-rect 293580 201027 293880 218749
-rect 293580 200909 293671 201027
-rect 293789 200909 293880 201027
-rect 293580 200867 293880 200909
-rect 293580 200749 293671 200867
-rect 293789 200749 293880 200867
-rect 293580 183027 293880 200749
-rect 293580 182909 293671 183027
-rect 293789 182909 293880 183027
-rect 293580 182867 293880 182909
-rect 293580 182749 293671 182867
-rect 293789 182749 293880 182867
-rect 293580 165027 293880 182749
-rect 293580 164909 293671 165027
-rect 293789 164909 293880 165027
-rect 293580 164867 293880 164909
-rect 293580 164749 293671 164867
-rect 293789 164749 293880 164867
-rect 293580 147027 293880 164749
-rect 293580 146909 293671 147027
-rect 293789 146909 293880 147027
-rect 293580 146867 293880 146909
-rect 293580 146749 293671 146867
-rect 293789 146749 293880 146867
-rect 293580 129027 293880 146749
-rect 293580 128909 293671 129027
-rect 293789 128909 293880 129027
-rect 293580 128867 293880 128909
-rect 293580 128749 293671 128867
-rect 293789 128749 293880 128867
-rect 293580 111027 293880 128749
-rect 293580 110909 293671 111027
-rect 293789 110909 293880 111027
-rect 293580 110867 293880 110909
-rect 293580 110749 293671 110867
-rect 293789 110749 293880 110867
-rect 293580 93027 293880 110749
-rect 293580 92909 293671 93027
-rect 293789 92909 293880 93027
-rect 293580 92867 293880 92909
-rect 293580 92749 293671 92867
-rect 293789 92749 293880 92867
-rect 293580 75027 293880 92749
-rect 293580 74909 293671 75027
-rect 293789 74909 293880 75027
-rect 293580 74867 293880 74909
-rect 293580 74749 293671 74867
-rect 293789 74749 293880 74867
-rect 293580 57027 293880 74749
-rect 293580 56909 293671 57027
-rect 293789 56909 293880 57027
-rect 293580 56867 293880 56909
-rect 293580 56749 293671 56867
-rect 293789 56749 293880 56867
-rect 293580 39027 293880 56749
-rect 293580 38909 293671 39027
-rect 293789 38909 293880 39027
-rect 293580 38867 293880 38909
-rect 293580 38749 293671 38867
-rect 293789 38749 293880 38867
-rect 293580 21027 293880 38749
-rect 293580 20909 293671 21027
-rect 293789 20909 293880 21027
-rect 293580 20867 293880 20909
-rect 293580 20749 293671 20867
-rect 293789 20749 293880 20867
-rect 293580 3027 293880 20749
-rect 293580 2909 293671 3027
-rect 293789 2909 293880 3027
-rect 293580 2867 293880 2909
-rect 293580 2749 293671 2867
-rect 293789 2749 293880 2867
-rect 290202 -1211 290293 -1093
-rect 290411 -1211 290502 -1093
-rect 290202 -1253 290502 -1211
-rect 290202 -1371 290293 -1253
-rect 290411 -1371 290502 -1253
-rect 290202 -1842 290502 -1371
-rect 293580 -1093 293880 2749
-rect 293580 -1211 293671 -1093
-rect 293789 -1211 293880 -1093
-rect 293580 -1253 293880 -1211
-rect 293580 -1371 293671 -1253
-rect 293789 -1371 293880 -1253
-rect 293580 -1382 293880 -1371
-rect 294040 336027 294340 353521
-rect 294040 335909 294131 336027
-rect 294249 335909 294340 336027
-rect 294040 335867 294340 335909
-rect 294040 335749 294131 335867
-rect 294249 335749 294340 335867
-rect 294040 318027 294340 335749
-rect 294040 317909 294131 318027
-rect 294249 317909 294340 318027
-rect 294040 317867 294340 317909
-rect 294040 317749 294131 317867
-rect 294249 317749 294340 317867
-rect 294040 300027 294340 317749
-rect 294040 299909 294131 300027
-rect 294249 299909 294340 300027
-rect 294040 299867 294340 299909
-rect 294040 299749 294131 299867
-rect 294249 299749 294340 299867
-rect 294040 282027 294340 299749
-rect 294040 281909 294131 282027
-rect 294249 281909 294340 282027
-rect 294040 281867 294340 281909
-rect 294040 281749 294131 281867
-rect 294249 281749 294340 281867
-rect 294040 264027 294340 281749
-rect 294040 263909 294131 264027
-rect 294249 263909 294340 264027
-rect 294040 263867 294340 263909
-rect 294040 263749 294131 263867
-rect 294249 263749 294340 263867
-rect 294040 246027 294340 263749
-rect 294040 245909 294131 246027
-rect 294249 245909 294340 246027
-rect 294040 245867 294340 245909
-rect 294040 245749 294131 245867
-rect 294249 245749 294340 245867
-rect 294040 228027 294340 245749
-rect 294040 227909 294131 228027
-rect 294249 227909 294340 228027
-rect 294040 227867 294340 227909
-rect 294040 227749 294131 227867
-rect 294249 227749 294340 227867
-rect 294040 210027 294340 227749
-rect 294040 209909 294131 210027
-rect 294249 209909 294340 210027
-rect 294040 209867 294340 209909
-rect 294040 209749 294131 209867
-rect 294249 209749 294340 209867
-rect 294040 192027 294340 209749
-rect 294040 191909 294131 192027
-rect 294249 191909 294340 192027
-rect 294040 191867 294340 191909
-rect 294040 191749 294131 191867
-rect 294249 191749 294340 191867
-rect 294040 174027 294340 191749
-rect 294040 173909 294131 174027
-rect 294249 173909 294340 174027
-rect 294040 173867 294340 173909
-rect 294040 173749 294131 173867
-rect 294249 173749 294340 173867
-rect 294040 156027 294340 173749
-rect 294040 155909 294131 156027
-rect 294249 155909 294340 156027
-rect 294040 155867 294340 155909
-rect 294040 155749 294131 155867
-rect 294249 155749 294340 155867
-rect 294040 138027 294340 155749
-rect 294040 137909 294131 138027
-rect 294249 137909 294340 138027
-rect 294040 137867 294340 137909
-rect 294040 137749 294131 137867
-rect 294249 137749 294340 137867
-rect 294040 120027 294340 137749
-rect 294040 119909 294131 120027
-rect 294249 119909 294340 120027
-rect 294040 119867 294340 119909
-rect 294040 119749 294131 119867
-rect 294249 119749 294340 119867
-rect 294040 102027 294340 119749
-rect 294040 101909 294131 102027
-rect 294249 101909 294340 102027
-rect 294040 101867 294340 101909
-rect 294040 101749 294131 101867
-rect 294249 101749 294340 101867
-rect 294040 84027 294340 101749
-rect 294040 83909 294131 84027
-rect 294249 83909 294340 84027
-rect 294040 83867 294340 83909
-rect 294040 83749 294131 83867
-rect 294249 83749 294340 83867
-rect 294040 66027 294340 83749
-rect 294040 65909 294131 66027
-rect 294249 65909 294340 66027
-rect 294040 65867 294340 65909
-rect 294040 65749 294131 65867
-rect 294249 65749 294340 65867
-rect 294040 48027 294340 65749
-rect 294040 47909 294131 48027
-rect 294249 47909 294340 48027
-rect 294040 47867 294340 47909
-rect 294040 47749 294131 47867
-rect 294249 47749 294340 47867
-rect 294040 30027 294340 47749
-rect 294040 29909 294131 30027
-rect 294249 29909 294340 30027
-rect 294040 29867 294340 29909
-rect 294040 29749 294131 29867
-rect 294249 29749 294340 29867
-rect 294040 12027 294340 29749
-rect 294040 11909 294131 12027
-rect 294249 11909 294340 12027
-rect 294040 11867 294340 11909
-rect 294040 11749 294131 11867
-rect 294249 11749 294340 11867
-rect 294040 -1553 294340 11749
-rect 294040 -1671 294131 -1553
-rect 294249 -1671 294340 -1553
-rect 294040 -1713 294340 -1671
-rect 294040 -1831 294131 -1713
-rect 294249 -1831 294340 -1713
-rect 294040 -1842 294340 -1831
-rect 294500 346827 294800 353981
-rect 294500 346709 294591 346827
-rect 294709 346709 294800 346827
-rect 294500 346667 294800 346709
-rect 294500 346549 294591 346667
-rect 294709 346549 294800 346667
-rect 294500 328827 294800 346549
-rect 294500 328709 294591 328827
-rect 294709 328709 294800 328827
-rect 294500 328667 294800 328709
-rect 294500 328549 294591 328667
-rect 294709 328549 294800 328667
-rect 294500 310827 294800 328549
-rect 294500 310709 294591 310827
-rect 294709 310709 294800 310827
-rect 294500 310667 294800 310709
-rect 294500 310549 294591 310667
-rect 294709 310549 294800 310667
-rect 294500 292827 294800 310549
-rect 294500 292709 294591 292827
-rect 294709 292709 294800 292827
-rect 294500 292667 294800 292709
-rect 294500 292549 294591 292667
-rect 294709 292549 294800 292667
-rect 294500 274827 294800 292549
-rect 294500 274709 294591 274827
-rect 294709 274709 294800 274827
-rect 294500 274667 294800 274709
-rect 294500 274549 294591 274667
-rect 294709 274549 294800 274667
-rect 294500 256827 294800 274549
-rect 294500 256709 294591 256827
-rect 294709 256709 294800 256827
-rect 294500 256667 294800 256709
-rect 294500 256549 294591 256667
-rect 294709 256549 294800 256667
-rect 294500 238827 294800 256549
-rect 294500 238709 294591 238827
-rect 294709 238709 294800 238827
-rect 294500 238667 294800 238709
-rect 294500 238549 294591 238667
-rect 294709 238549 294800 238667
-rect 294500 220827 294800 238549
-rect 294500 220709 294591 220827
-rect 294709 220709 294800 220827
-rect 294500 220667 294800 220709
-rect 294500 220549 294591 220667
-rect 294709 220549 294800 220667
-rect 294500 202827 294800 220549
-rect 294500 202709 294591 202827
-rect 294709 202709 294800 202827
-rect 294500 202667 294800 202709
-rect 294500 202549 294591 202667
-rect 294709 202549 294800 202667
-rect 294500 184827 294800 202549
-rect 294500 184709 294591 184827
-rect 294709 184709 294800 184827
-rect 294500 184667 294800 184709
-rect 294500 184549 294591 184667
-rect 294709 184549 294800 184667
-rect 294500 166827 294800 184549
-rect 294500 166709 294591 166827
-rect 294709 166709 294800 166827
-rect 294500 166667 294800 166709
-rect 294500 166549 294591 166667
-rect 294709 166549 294800 166667
-rect 294500 148827 294800 166549
-rect 294500 148709 294591 148827
-rect 294709 148709 294800 148827
-rect 294500 148667 294800 148709
-rect 294500 148549 294591 148667
-rect 294709 148549 294800 148667
-rect 294500 130827 294800 148549
-rect 294500 130709 294591 130827
-rect 294709 130709 294800 130827
-rect 294500 130667 294800 130709
-rect 294500 130549 294591 130667
-rect 294709 130549 294800 130667
-rect 294500 112827 294800 130549
-rect 294500 112709 294591 112827
-rect 294709 112709 294800 112827
-rect 294500 112667 294800 112709
-rect 294500 112549 294591 112667
-rect 294709 112549 294800 112667
-rect 294500 94827 294800 112549
-rect 294500 94709 294591 94827
-rect 294709 94709 294800 94827
-rect 294500 94667 294800 94709
-rect 294500 94549 294591 94667
-rect 294709 94549 294800 94667
-rect 294500 76827 294800 94549
-rect 294500 76709 294591 76827
-rect 294709 76709 294800 76827
-rect 294500 76667 294800 76709
-rect 294500 76549 294591 76667
-rect 294709 76549 294800 76667
-rect 294500 58827 294800 76549
-rect 294500 58709 294591 58827
-rect 294709 58709 294800 58827
-rect 294500 58667 294800 58709
-rect 294500 58549 294591 58667
-rect 294709 58549 294800 58667
-rect 294500 40827 294800 58549
-rect 294500 40709 294591 40827
-rect 294709 40709 294800 40827
-rect 294500 40667 294800 40709
-rect 294500 40549 294591 40667
-rect 294709 40549 294800 40667
-rect 294500 22827 294800 40549
-rect 294500 22709 294591 22827
-rect 294709 22709 294800 22827
-rect 294500 22667 294800 22709
-rect 294500 22549 294591 22667
-rect 294709 22549 294800 22667
-rect 294500 4827 294800 22549
-rect 294500 4709 294591 4827
-rect 294709 4709 294800 4827
-rect 294500 4667 294800 4709
-rect 294500 4549 294591 4667
-rect 294709 4549 294800 4667
-rect 294500 -2013 294800 4549
-rect 294500 -2131 294591 -2013
-rect 294709 -2131 294800 -2013
-rect 294500 -2173 294800 -2131
-rect 294500 -2291 294591 -2173
-rect 294709 -2291 294800 -2173
-rect 294500 -2302 294800 -2291
-rect 294960 337827 295260 354441
-rect 294960 337709 295051 337827
-rect 295169 337709 295260 337827
-rect 294960 337667 295260 337709
-rect 294960 337549 295051 337667
-rect 295169 337549 295260 337667
-rect 294960 319827 295260 337549
-rect 294960 319709 295051 319827
-rect 295169 319709 295260 319827
-rect 294960 319667 295260 319709
-rect 294960 319549 295051 319667
-rect 295169 319549 295260 319667
-rect 294960 301827 295260 319549
-rect 294960 301709 295051 301827
-rect 295169 301709 295260 301827
-rect 294960 301667 295260 301709
-rect 294960 301549 295051 301667
-rect 295169 301549 295260 301667
-rect 294960 283827 295260 301549
-rect 294960 283709 295051 283827
-rect 295169 283709 295260 283827
-rect 294960 283667 295260 283709
-rect 294960 283549 295051 283667
-rect 295169 283549 295260 283667
-rect 294960 265827 295260 283549
-rect 294960 265709 295051 265827
-rect 295169 265709 295260 265827
-rect 294960 265667 295260 265709
-rect 294960 265549 295051 265667
-rect 295169 265549 295260 265667
-rect 294960 247827 295260 265549
-rect 294960 247709 295051 247827
-rect 295169 247709 295260 247827
-rect 294960 247667 295260 247709
-rect 294960 247549 295051 247667
-rect 295169 247549 295260 247667
-rect 294960 229827 295260 247549
-rect 294960 229709 295051 229827
-rect 295169 229709 295260 229827
-rect 294960 229667 295260 229709
-rect 294960 229549 295051 229667
-rect 295169 229549 295260 229667
-rect 294960 211827 295260 229549
-rect 294960 211709 295051 211827
-rect 295169 211709 295260 211827
-rect 294960 211667 295260 211709
-rect 294960 211549 295051 211667
-rect 295169 211549 295260 211667
-rect 294960 193827 295260 211549
-rect 294960 193709 295051 193827
-rect 295169 193709 295260 193827
-rect 294960 193667 295260 193709
-rect 294960 193549 295051 193667
-rect 295169 193549 295260 193667
-rect 294960 175827 295260 193549
-rect 294960 175709 295051 175827
-rect 295169 175709 295260 175827
-rect 294960 175667 295260 175709
-rect 294960 175549 295051 175667
-rect 295169 175549 295260 175667
-rect 294960 157827 295260 175549
-rect 294960 157709 295051 157827
-rect 295169 157709 295260 157827
-rect 294960 157667 295260 157709
-rect 294960 157549 295051 157667
-rect 295169 157549 295260 157667
-rect 294960 139827 295260 157549
-rect 294960 139709 295051 139827
-rect 295169 139709 295260 139827
-rect 294960 139667 295260 139709
-rect 294960 139549 295051 139667
-rect 295169 139549 295260 139667
-rect 294960 121827 295260 139549
-rect 294960 121709 295051 121827
-rect 295169 121709 295260 121827
-rect 294960 121667 295260 121709
-rect 294960 121549 295051 121667
-rect 295169 121549 295260 121667
-rect 294960 103827 295260 121549
-rect 294960 103709 295051 103827
-rect 295169 103709 295260 103827
-rect 294960 103667 295260 103709
-rect 294960 103549 295051 103667
-rect 295169 103549 295260 103667
-rect 294960 85827 295260 103549
-rect 294960 85709 295051 85827
-rect 295169 85709 295260 85827
-rect 294960 85667 295260 85709
-rect 294960 85549 295051 85667
-rect 295169 85549 295260 85667
-rect 294960 67827 295260 85549
-rect 294960 67709 295051 67827
-rect 295169 67709 295260 67827
-rect 294960 67667 295260 67709
-rect 294960 67549 295051 67667
-rect 295169 67549 295260 67667
-rect 294960 49827 295260 67549
-rect 294960 49709 295051 49827
-rect 295169 49709 295260 49827
-rect 294960 49667 295260 49709
-rect 294960 49549 295051 49667
-rect 295169 49549 295260 49667
-rect 294960 31827 295260 49549
-rect 294960 31709 295051 31827
-rect 295169 31709 295260 31827
-rect 294960 31667 295260 31709
-rect 294960 31549 295051 31667
-rect 295169 31549 295260 31667
-rect 294960 13827 295260 31549
-rect 294960 13709 295051 13827
-rect 295169 13709 295260 13827
-rect 294960 13667 295260 13709
-rect 294960 13549 295051 13667
-rect 295169 13549 295260 13667
-rect 294960 -2473 295260 13549
-rect 294960 -2591 295051 -2473
-rect 295169 -2591 295260 -2473
-rect 294960 -2633 295260 -2591
-rect 294960 -2751 295051 -2633
-rect 295169 -2751 295260 -2633
-rect 294960 -2762 295260 -2751
-rect 295420 348627 295720 354901
-rect 295420 348509 295511 348627
-rect 295629 348509 295720 348627
-rect 295420 348467 295720 348509
-rect 295420 348349 295511 348467
-rect 295629 348349 295720 348467
-rect 295420 330627 295720 348349
-rect 295420 330509 295511 330627
-rect 295629 330509 295720 330627
-rect 295420 330467 295720 330509
-rect 295420 330349 295511 330467
-rect 295629 330349 295720 330467
-rect 295420 312627 295720 330349
-rect 295420 312509 295511 312627
-rect 295629 312509 295720 312627
-rect 295420 312467 295720 312509
-rect 295420 312349 295511 312467
-rect 295629 312349 295720 312467
-rect 295420 294627 295720 312349
-rect 295420 294509 295511 294627
-rect 295629 294509 295720 294627
-rect 295420 294467 295720 294509
-rect 295420 294349 295511 294467
-rect 295629 294349 295720 294467
-rect 295420 276627 295720 294349
-rect 295420 276509 295511 276627
-rect 295629 276509 295720 276627
-rect 295420 276467 295720 276509
-rect 295420 276349 295511 276467
-rect 295629 276349 295720 276467
-rect 295420 258627 295720 276349
-rect 295420 258509 295511 258627
-rect 295629 258509 295720 258627
-rect 295420 258467 295720 258509
-rect 295420 258349 295511 258467
-rect 295629 258349 295720 258467
-rect 295420 240627 295720 258349
-rect 295420 240509 295511 240627
-rect 295629 240509 295720 240627
-rect 295420 240467 295720 240509
-rect 295420 240349 295511 240467
-rect 295629 240349 295720 240467
-rect 295420 222627 295720 240349
-rect 295420 222509 295511 222627
-rect 295629 222509 295720 222627
-rect 295420 222467 295720 222509
-rect 295420 222349 295511 222467
-rect 295629 222349 295720 222467
-rect 295420 204627 295720 222349
-rect 295420 204509 295511 204627
-rect 295629 204509 295720 204627
-rect 295420 204467 295720 204509
-rect 295420 204349 295511 204467
-rect 295629 204349 295720 204467
-rect 295420 186627 295720 204349
-rect 295420 186509 295511 186627
-rect 295629 186509 295720 186627
-rect 295420 186467 295720 186509
-rect 295420 186349 295511 186467
-rect 295629 186349 295720 186467
-rect 295420 168627 295720 186349
-rect 295420 168509 295511 168627
-rect 295629 168509 295720 168627
-rect 295420 168467 295720 168509
-rect 295420 168349 295511 168467
-rect 295629 168349 295720 168467
-rect 295420 150627 295720 168349
-rect 295420 150509 295511 150627
-rect 295629 150509 295720 150627
-rect 295420 150467 295720 150509
-rect 295420 150349 295511 150467
-rect 295629 150349 295720 150467
-rect 295420 132627 295720 150349
-rect 295420 132509 295511 132627
-rect 295629 132509 295720 132627
-rect 295420 132467 295720 132509
-rect 295420 132349 295511 132467
-rect 295629 132349 295720 132467
-rect 295420 114627 295720 132349
-rect 295420 114509 295511 114627
-rect 295629 114509 295720 114627
-rect 295420 114467 295720 114509
-rect 295420 114349 295511 114467
-rect 295629 114349 295720 114467
-rect 295420 96627 295720 114349
-rect 295420 96509 295511 96627
-rect 295629 96509 295720 96627
-rect 295420 96467 295720 96509
-rect 295420 96349 295511 96467
-rect 295629 96349 295720 96467
-rect 295420 78627 295720 96349
-rect 295420 78509 295511 78627
-rect 295629 78509 295720 78627
-rect 295420 78467 295720 78509
-rect 295420 78349 295511 78467
-rect 295629 78349 295720 78467
-rect 295420 60627 295720 78349
-rect 295420 60509 295511 60627
-rect 295629 60509 295720 60627
-rect 295420 60467 295720 60509
-rect 295420 60349 295511 60467
-rect 295629 60349 295720 60467
-rect 295420 42627 295720 60349
-rect 295420 42509 295511 42627
-rect 295629 42509 295720 42627
-rect 295420 42467 295720 42509
-rect 295420 42349 295511 42467
-rect 295629 42349 295720 42467
-rect 295420 24627 295720 42349
-rect 295420 24509 295511 24627
-rect 295629 24509 295720 24627
-rect 295420 24467 295720 24509
-rect 295420 24349 295511 24467
-rect 295629 24349 295720 24467
-rect 295420 6627 295720 24349
-rect 295420 6509 295511 6627
-rect 295629 6509 295720 6627
-rect 295420 6467 295720 6509
-rect 295420 6349 295511 6467
-rect 295629 6349 295720 6467
-rect 295420 -2933 295720 6349
-rect 295420 -3051 295511 -2933
-rect 295629 -3051 295720 -2933
-rect 295420 -3093 295720 -3051
-rect 295420 -3211 295511 -3093
-rect 295629 -3211 295720 -3093
-rect 295420 -3222 295720 -3211
-rect 295880 339627 296180 355361
-rect 295880 339509 295971 339627
-rect 296089 339509 296180 339627
-rect 295880 339467 296180 339509
-rect 295880 339349 295971 339467
-rect 296089 339349 296180 339467
-rect 295880 321627 296180 339349
-rect 295880 321509 295971 321627
-rect 296089 321509 296180 321627
-rect 295880 321467 296180 321509
-rect 295880 321349 295971 321467
-rect 296089 321349 296180 321467
-rect 295880 303627 296180 321349
-rect 295880 303509 295971 303627
-rect 296089 303509 296180 303627
-rect 295880 303467 296180 303509
-rect 295880 303349 295971 303467
-rect 296089 303349 296180 303467
-rect 295880 285627 296180 303349
-rect 295880 285509 295971 285627
-rect 296089 285509 296180 285627
-rect 295880 285467 296180 285509
-rect 295880 285349 295971 285467
-rect 296089 285349 296180 285467
-rect 295880 267627 296180 285349
-rect 295880 267509 295971 267627
-rect 296089 267509 296180 267627
-rect 295880 267467 296180 267509
-rect 295880 267349 295971 267467
-rect 296089 267349 296180 267467
-rect 295880 249627 296180 267349
-rect 295880 249509 295971 249627
-rect 296089 249509 296180 249627
-rect 295880 249467 296180 249509
-rect 295880 249349 295971 249467
-rect 296089 249349 296180 249467
-rect 295880 231627 296180 249349
-rect 295880 231509 295971 231627
-rect 296089 231509 296180 231627
-rect 295880 231467 296180 231509
-rect 295880 231349 295971 231467
-rect 296089 231349 296180 231467
-rect 295880 213627 296180 231349
-rect 295880 213509 295971 213627
-rect 296089 213509 296180 213627
-rect 295880 213467 296180 213509
-rect 295880 213349 295971 213467
-rect 296089 213349 296180 213467
-rect 295880 195627 296180 213349
-rect 295880 195509 295971 195627
-rect 296089 195509 296180 195627
-rect 295880 195467 296180 195509
-rect 295880 195349 295971 195467
-rect 296089 195349 296180 195467
-rect 295880 177627 296180 195349
-rect 295880 177509 295971 177627
-rect 296089 177509 296180 177627
-rect 295880 177467 296180 177509
-rect 295880 177349 295971 177467
-rect 296089 177349 296180 177467
-rect 295880 159627 296180 177349
-rect 295880 159509 295971 159627
-rect 296089 159509 296180 159627
-rect 295880 159467 296180 159509
-rect 295880 159349 295971 159467
-rect 296089 159349 296180 159467
-rect 295880 141627 296180 159349
-rect 295880 141509 295971 141627
-rect 296089 141509 296180 141627
-rect 295880 141467 296180 141509
-rect 295880 141349 295971 141467
-rect 296089 141349 296180 141467
-rect 295880 123627 296180 141349
-rect 295880 123509 295971 123627
-rect 296089 123509 296180 123627
-rect 295880 123467 296180 123509
-rect 295880 123349 295971 123467
-rect 296089 123349 296180 123467
-rect 295880 105627 296180 123349
-rect 295880 105509 295971 105627
-rect 296089 105509 296180 105627
-rect 295880 105467 296180 105509
-rect 295880 105349 295971 105467
-rect 296089 105349 296180 105467
-rect 295880 87627 296180 105349
-rect 295880 87509 295971 87627
-rect 296089 87509 296180 87627
-rect 295880 87467 296180 87509
-rect 295880 87349 295971 87467
-rect 296089 87349 296180 87467
-rect 295880 69627 296180 87349
-rect 295880 69509 295971 69627
-rect 296089 69509 296180 69627
-rect 295880 69467 296180 69509
-rect 295880 69349 295971 69467
-rect 296089 69349 296180 69467
-rect 295880 51627 296180 69349
-rect 295880 51509 295971 51627
-rect 296089 51509 296180 51627
-rect 295880 51467 296180 51509
-rect 295880 51349 295971 51467
-rect 296089 51349 296180 51467
-rect 295880 33627 296180 51349
-rect 295880 33509 295971 33627
-rect 296089 33509 296180 33627
-rect 295880 33467 296180 33509
-rect 295880 33349 295971 33467
-rect 296089 33349 296180 33467
-rect 295880 15627 296180 33349
-rect 295880 15509 295971 15627
-rect 296089 15509 296180 15627
-rect 295880 15467 296180 15509
-rect 295880 15349 295971 15467
-rect 296089 15349 296180 15467
-rect 284802 -3511 284893 -3393
-rect 285011 -3511 285102 -3393
-rect 284802 -3553 285102 -3511
-rect 284802 -3671 284893 -3553
-rect 285011 -3671 285102 -3553
-rect 284802 -3682 285102 -3671
-rect 295880 -3393 296180 15349
-rect 295880 -3511 295971 -3393
-rect 296089 -3511 296180 -3393
-rect 295880 -3553 296180 -3511
-rect 295880 -3671 295971 -3553
-rect 296089 -3671 296180 -3553
-rect 295880 -3682 296180 -3671
+rect 293130 334227 293430 352611
+rect 293130 334109 293221 334227
+rect 293339 334109 293430 334227
+rect 293130 334067 293430 334109
+rect 293130 333949 293221 334067
+rect 293339 333949 293430 334067
+rect 293130 316227 293430 333949
+rect 293130 316109 293221 316227
+rect 293339 316109 293430 316227
+rect 293130 316067 293430 316109
+rect 293130 315949 293221 316067
+rect 293339 315949 293430 316067
+rect 293130 298227 293430 315949
+rect 293130 298109 293221 298227
+rect 293339 298109 293430 298227
+rect 293130 298067 293430 298109
+rect 293130 297949 293221 298067
+rect 293339 297949 293430 298067
+rect 293130 280227 293430 297949
+rect 293130 280109 293221 280227
+rect 293339 280109 293430 280227
+rect 293130 280067 293430 280109
+rect 293130 279949 293221 280067
+rect 293339 279949 293430 280067
+rect 293130 262227 293430 279949
+rect 293130 262109 293221 262227
+rect 293339 262109 293430 262227
+rect 293130 262067 293430 262109
+rect 293130 261949 293221 262067
+rect 293339 261949 293430 262067
+rect 293130 244227 293430 261949
+rect 293130 244109 293221 244227
+rect 293339 244109 293430 244227
+rect 293130 244067 293430 244109
+rect 293130 243949 293221 244067
+rect 293339 243949 293430 244067
+rect 293130 226227 293430 243949
+rect 293130 226109 293221 226227
+rect 293339 226109 293430 226227
+rect 293130 226067 293430 226109
+rect 293130 225949 293221 226067
+rect 293339 225949 293430 226067
+rect 293130 208227 293430 225949
+rect 293130 208109 293221 208227
+rect 293339 208109 293430 208227
+rect 293130 208067 293430 208109
+rect 293130 207949 293221 208067
+rect 293339 207949 293430 208067
+rect 293130 190227 293430 207949
+rect 293130 190109 293221 190227
+rect 293339 190109 293430 190227
+rect 293130 190067 293430 190109
+rect 293130 189949 293221 190067
+rect 293339 189949 293430 190067
+rect 293130 172227 293430 189949
+rect 293130 172109 293221 172227
+rect 293339 172109 293430 172227
+rect 293130 172067 293430 172109
+rect 293130 171949 293221 172067
+rect 293339 171949 293430 172067
+rect 293130 154227 293430 171949
+rect 293130 154109 293221 154227
+rect 293339 154109 293430 154227
+rect 293130 154067 293430 154109
+rect 293130 153949 293221 154067
+rect 293339 153949 293430 154067
+rect 293130 136227 293430 153949
+rect 293130 136109 293221 136227
+rect 293339 136109 293430 136227
+rect 293130 136067 293430 136109
+rect 293130 135949 293221 136067
+rect 293339 135949 293430 136067
+rect 293130 118227 293430 135949
+rect 293130 118109 293221 118227
+rect 293339 118109 293430 118227
+rect 293130 118067 293430 118109
+rect 293130 117949 293221 118067
+rect 293339 117949 293430 118067
+rect 293130 100227 293430 117949
+rect 293130 100109 293221 100227
+rect 293339 100109 293430 100227
+rect 293130 100067 293430 100109
+rect 293130 99949 293221 100067
+rect 293339 99949 293430 100067
+rect 293130 82227 293430 99949
+rect 293130 82109 293221 82227
+rect 293339 82109 293430 82227
+rect 293130 82067 293430 82109
+rect 293130 81949 293221 82067
+rect 293339 81949 293430 82067
+rect 293130 64227 293430 81949
+rect 293130 64109 293221 64227
+rect 293339 64109 293430 64227
+rect 293130 64067 293430 64109
+rect 293130 63949 293221 64067
+rect 293339 63949 293430 64067
+rect 293130 46227 293430 63949
+rect 293130 46109 293221 46227
+rect 293339 46109 293430 46227
+rect 293130 46067 293430 46109
+rect 293130 45949 293221 46067
+rect 293339 45949 293430 46067
+rect 293130 28227 293430 45949
+rect 293130 28109 293221 28227
+rect 293339 28109 293430 28227
+rect 293130 28067 293430 28109
+rect 293130 27949 293221 28067
+rect 293339 27949 293430 28067
+rect 293130 10227 293430 27949
+rect 293130 10109 293221 10227
+rect 293339 10109 293430 10227
+rect 293130 10067 293430 10109
+rect 293130 9949 293221 10067
+rect 293339 9949 293430 10067
+rect 293130 -643 293430 9949
+rect 293130 -761 293221 -643
+rect 293339 -761 293430 -643
+rect 293130 -803 293430 -761
+rect 293130 -921 293221 -803
+rect 293339 -921 293430 -803
+rect 293130 -932 293430 -921
+rect 293600 345027 293900 353081
+rect 293600 344909 293691 345027
+rect 293809 344909 293900 345027
+rect 293600 344867 293900 344909
+rect 293600 344749 293691 344867
+rect 293809 344749 293900 344867
+rect 293600 327027 293900 344749
+rect 293600 326909 293691 327027
+rect 293809 326909 293900 327027
+rect 293600 326867 293900 326909
+rect 293600 326749 293691 326867
+rect 293809 326749 293900 326867
+rect 293600 309027 293900 326749
+rect 293600 308909 293691 309027
+rect 293809 308909 293900 309027
+rect 293600 308867 293900 308909
+rect 293600 308749 293691 308867
+rect 293809 308749 293900 308867
+rect 293600 291027 293900 308749
+rect 293600 290909 293691 291027
+rect 293809 290909 293900 291027
+rect 293600 290867 293900 290909
+rect 293600 290749 293691 290867
+rect 293809 290749 293900 290867
+rect 293600 273027 293900 290749
+rect 293600 272909 293691 273027
+rect 293809 272909 293900 273027
+rect 293600 272867 293900 272909
+rect 293600 272749 293691 272867
+rect 293809 272749 293900 272867
+rect 293600 255027 293900 272749
+rect 293600 254909 293691 255027
+rect 293809 254909 293900 255027
+rect 293600 254867 293900 254909
+rect 293600 254749 293691 254867
+rect 293809 254749 293900 254867
+rect 293600 237027 293900 254749
+rect 293600 236909 293691 237027
+rect 293809 236909 293900 237027
+rect 293600 236867 293900 236909
+rect 293600 236749 293691 236867
+rect 293809 236749 293900 236867
+rect 293600 219027 293900 236749
+rect 293600 218909 293691 219027
+rect 293809 218909 293900 219027
+rect 293600 218867 293900 218909
+rect 293600 218749 293691 218867
+rect 293809 218749 293900 218867
+rect 293600 201027 293900 218749
+rect 293600 200909 293691 201027
+rect 293809 200909 293900 201027
+rect 293600 200867 293900 200909
+rect 293600 200749 293691 200867
+rect 293809 200749 293900 200867
+rect 293600 183027 293900 200749
+rect 293600 182909 293691 183027
+rect 293809 182909 293900 183027
+rect 293600 182867 293900 182909
+rect 293600 182749 293691 182867
+rect 293809 182749 293900 182867
+rect 293600 165027 293900 182749
+rect 293600 164909 293691 165027
+rect 293809 164909 293900 165027
+rect 293600 164867 293900 164909
+rect 293600 164749 293691 164867
+rect 293809 164749 293900 164867
+rect 293600 147027 293900 164749
+rect 293600 146909 293691 147027
+rect 293809 146909 293900 147027
+rect 293600 146867 293900 146909
+rect 293600 146749 293691 146867
+rect 293809 146749 293900 146867
+rect 293600 129027 293900 146749
+rect 293600 128909 293691 129027
+rect 293809 128909 293900 129027
+rect 293600 128867 293900 128909
+rect 293600 128749 293691 128867
+rect 293809 128749 293900 128867
+rect 293600 111027 293900 128749
+rect 293600 110909 293691 111027
+rect 293809 110909 293900 111027
+rect 293600 110867 293900 110909
+rect 293600 110749 293691 110867
+rect 293809 110749 293900 110867
+rect 293600 93027 293900 110749
+rect 293600 92909 293691 93027
+rect 293809 92909 293900 93027
+rect 293600 92867 293900 92909
+rect 293600 92749 293691 92867
+rect 293809 92749 293900 92867
+rect 293600 75027 293900 92749
+rect 293600 74909 293691 75027
+rect 293809 74909 293900 75027
+rect 293600 74867 293900 74909
+rect 293600 74749 293691 74867
+rect 293809 74749 293900 74867
+rect 293600 57027 293900 74749
+rect 293600 56909 293691 57027
+rect 293809 56909 293900 57027
+rect 293600 56867 293900 56909
+rect 293600 56749 293691 56867
+rect 293809 56749 293900 56867
+rect 293600 39027 293900 56749
+rect 293600 38909 293691 39027
+rect 293809 38909 293900 39027
+rect 293600 38867 293900 38909
+rect 293600 38749 293691 38867
+rect 293809 38749 293900 38867
+rect 293600 21027 293900 38749
+rect 293600 20909 293691 21027
+rect 293809 20909 293900 21027
+rect 293600 20867 293900 20909
+rect 293600 20749 293691 20867
+rect 293809 20749 293900 20867
+rect 293600 3027 293900 20749
+rect 293600 2909 293691 3027
+rect 293809 2909 293900 3027
+rect 293600 2867 293900 2909
+rect 293600 2749 293691 2867
+rect 293809 2749 293900 2867
+rect 290202 -1231 290293 -1113
+rect 290411 -1231 290502 -1113
+rect 290202 -1273 290502 -1231
+rect 290202 -1391 290293 -1273
+rect 290411 -1391 290502 -1273
+rect 290202 -1872 290502 -1391
+rect 293600 -1113 293900 2749
+rect 293600 -1231 293691 -1113
+rect 293809 -1231 293900 -1113
+rect 293600 -1273 293900 -1231
+rect 293600 -1391 293691 -1273
+rect 293809 -1391 293900 -1273
+rect 293600 -1402 293900 -1391
+rect 294070 336027 294370 353551
+rect 294070 335909 294161 336027
+rect 294279 335909 294370 336027
+rect 294070 335867 294370 335909
+rect 294070 335749 294161 335867
+rect 294279 335749 294370 335867
+rect 294070 318027 294370 335749
+rect 294070 317909 294161 318027
+rect 294279 317909 294370 318027
+rect 294070 317867 294370 317909
+rect 294070 317749 294161 317867
+rect 294279 317749 294370 317867
+rect 294070 300027 294370 317749
+rect 294070 299909 294161 300027
+rect 294279 299909 294370 300027
+rect 294070 299867 294370 299909
+rect 294070 299749 294161 299867
+rect 294279 299749 294370 299867
+rect 294070 282027 294370 299749
+rect 294070 281909 294161 282027
+rect 294279 281909 294370 282027
+rect 294070 281867 294370 281909
+rect 294070 281749 294161 281867
+rect 294279 281749 294370 281867
+rect 294070 264027 294370 281749
+rect 294070 263909 294161 264027
+rect 294279 263909 294370 264027
+rect 294070 263867 294370 263909
+rect 294070 263749 294161 263867
+rect 294279 263749 294370 263867
+rect 294070 246027 294370 263749
+rect 294070 245909 294161 246027
+rect 294279 245909 294370 246027
+rect 294070 245867 294370 245909
+rect 294070 245749 294161 245867
+rect 294279 245749 294370 245867
+rect 294070 228027 294370 245749
+rect 294070 227909 294161 228027
+rect 294279 227909 294370 228027
+rect 294070 227867 294370 227909
+rect 294070 227749 294161 227867
+rect 294279 227749 294370 227867
+rect 294070 210027 294370 227749
+rect 294070 209909 294161 210027
+rect 294279 209909 294370 210027
+rect 294070 209867 294370 209909
+rect 294070 209749 294161 209867
+rect 294279 209749 294370 209867
+rect 294070 192027 294370 209749
+rect 294070 191909 294161 192027
+rect 294279 191909 294370 192027
+rect 294070 191867 294370 191909
+rect 294070 191749 294161 191867
+rect 294279 191749 294370 191867
+rect 294070 174027 294370 191749
+rect 294070 173909 294161 174027
+rect 294279 173909 294370 174027
+rect 294070 173867 294370 173909
+rect 294070 173749 294161 173867
+rect 294279 173749 294370 173867
+rect 294070 156027 294370 173749
+rect 294070 155909 294161 156027
+rect 294279 155909 294370 156027
+rect 294070 155867 294370 155909
+rect 294070 155749 294161 155867
+rect 294279 155749 294370 155867
+rect 294070 138027 294370 155749
+rect 294070 137909 294161 138027
+rect 294279 137909 294370 138027
+rect 294070 137867 294370 137909
+rect 294070 137749 294161 137867
+rect 294279 137749 294370 137867
+rect 294070 120027 294370 137749
+rect 294070 119909 294161 120027
+rect 294279 119909 294370 120027
+rect 294070 119867 294370 119909
+rect 294070 119749 294161 119867
+rect 294279 119749 294370 119867
+rect 294070 102027 294370 119749
+rect 294070 101909 294161 102027
+rect 294279 101909 294370 102027
+rect 294070 101867 294370 101909
+rect 294070 101749 294161 101867
+rect 294279 101749 294370 101867
+rect 294070 84027 294370 101749
+rect 294070 83909 294161 84027
+rect 294279 83909 294370 84027
+rect 294070 83867 294370 83909
+rect 294070 83749 294161 83867
+rect 294279 83749 294370 83867
+rect 294070 66027 294370 83749
+rect 294070 65909 294161 66027
+rect 294279 65909 294370 66027
+rect 294070 65867 294370 65909
+rect 294070 65749 294161 65867
+rect 294279 65749 294370 65867
+rect 294070 48027 294370 65749
+rect 294070 47909 294161 48027
+rect 294279 47909 294370 48027
+rect 294070 47867 294370 47909
+rect 294070 47749 294161 47867
+rect 294279 47749 294370 47867
+rect 294070 30027 294370 47749
+rect 294070 29909 294161 30027
+rect 294279 29909 294370 30027
+rect 294070 29867 294370 29909
+rect 294070 29749 294161 29867
+rect 294279 29749 294370 29867
+rect 294070 12027 294370 29749
+rect 294070 11909 294161 12027
+rect 294279 11909 294370 12027
+rect 294070 11867 294370 11909
+rect 294070 11749 294161 11867
+rect 294279 11749 294370 11867
+rect 294070 -1583 294370 11749
+rect 294070 -1701 294161 -1583
+rect 294279 -1701 294370 -1583
+rect 294070 -1743 294370 -1701
+rect 294070 -1861 294161 -1743
+rect 294279 -1861 294370 -1743
+rect 294070 -1872 294370 -1861
+rect 294540 346827 294840 354021
+rect 294540 346709 294631 346827
+rect 294749 346709 294840 346827
+rect 294540 346667 294840 346709
+rect 294540 346549 294631 346667
+rect 294749 346549 294840 346667
+rect 294540 328827 294840 346549
+rect 294540 328709 294631 328827
+rect 294749 328709 294840 328827
+rect 294540 328667 294840 328709
+rect 294540 328549 294631 328667
+rect 294749 328549 294840 328667
+rect 294540 310827 294840 328549
+rect 294540 310709 294631 310827
+rect 294749 310709 294840 310827
+rect 294540 310667 294840 310709
+rect 294540 310549 294631 310667
+rect 294749 310549 294840 310667
+rect 294540 292827 294840 310549
+rect 294540 292709 294631 292827
+rect 294749 292709 294840 292827
+rect 294540 292667 294840 292709
+rect 294540 292549 294631 292667
+rect 294749 292549 294840 292667
+rect 294540 274827 294840 292549
+rect 294540 274709 294631 274827
+rect 294749 274709 294840 274827
+rect 294540 274667 294840 274709
+rect 294540 274549 294631 274667
+rect 294749 274549 294840 274667
+rect 294540 256827 294840 274549
+rect 294540 256709 294631 256827
+rect 294749 256709 294840 256827
+rect 294540 256667 294840 256709
+rect 294540 256549 294631 256667
+rect 294749 256549 294840 256667
+rect 294540 238827 294840 256549
+rect 294540 238709 294631 238827
+rect 294749 238709 294840 238827
+rect 294540 238667 294840 238709
+rect 294540 238549 294631 238667
+rect 294749 238549 294840 238667
+rect 294540 220827 294840 238549
+rect 294540 220709 294631 220827
+rect 294749 220709 294840 220827
+rect 294540 220667 294840 220709
+rect 294540 220549 294631 220667
+rect 294749 220549 294840 220667
+rect 294540 202827 294840 220549
+rect 294540 202709 294631 202827
+rect 294749 202709 294840 202827
+rect 294540 202667 294840 202709
+rect 294540 202549 294631 202667
+rect 294749 202549 294840 202667
+rect 294540 184827 294840 202549
+rect 294540 184709 294631 184827
+rect 294749 184709 294840 184827
+rect 294540 184667 294840 184709
+rect 294540 184549 294631 184667
+rect 294749 184549 294840 184667
+rect 294540 166827 294840 184549
+rect 294540 166709 294631 166827
+rect 294749 166709 294840 166827
+rect 294540 166667 294840 166709
+rect 294540 166549 294631 166667
+rect 294749 166549 294840 166667
+rect 294540 148827 294840 166549
+rect 294540 148709 294631 148827
+rect 294749 148709 294840 148827
+rect 294540 148667 294840 148709
+rect 294540 148549 294631 148667
+rect 294749 148549 294840 148667
+rect 294540 130827 294840 148549
+rect 294540 130709 294631 130827
+rect 294749 130709 294840 130827
+rect 294540 130667 294840 130709
+rect 294540 130549 294631 130667
+rect 294749 130549 294840 130667
+rect 294540 112827 294840 130549
+rect 294540 112709 294631 112827
+rect 294749 112709 294840 112827
+rect 294540 112667 294840 112709
+rect 294540 112549 294631 112667
+rect 294749 112549 294840 112667
+rect 294540 94827 294840 112549
+rect 294540 94709 294631 94827
+rect 294749 94709 294840 94827
+rect 294540 94667 294840 94709
+rect 294540 94549 294631 94667
+rect 294749 94549 294840 94667
+rect 294540 76827 294840 94549
+rect 294540 76709 294631 76827
+rect 294749 76709 294840 76827
+rect 294540 76667 294840 76709
+rect 294540 76549 294631 76667
+rect 294749 76549 294840 76667
+rect 294540 58827 294840 76549
+rect 294540 58709 294631 58827
+rect 294749 58709 294840 58827
+rect 294540 58667 294840 58709
+rect 294540 58549 294631 58667
+rect 294749 58549 294840 58667
+rect 294540 40827 294840 58549
+rect 294540 40709 294631 40827
+rect 294749 40709 294840 40827
+rect 294540 40667 294840 40709
+rect 294540 40549 294631 40667
+rect 294749 40549 294840 40667
+rect 294540 22827 294840 40549
+rect 294540 22709 294631 22827
+rect 294749 22709 294840 22827
+rect 294540 22667 294840 22709
+rect 294540 22549 294631 22667
+rect 294749 22549 294840 22667
+rect 294540 4827 294840 22549
+rect 294540 4709 294631 4827
+rect 294749 4709 294840 4827
+rect 294540 4667 294840 4709
+rect 294540 4549 294631 4667
+rect 294749 4549 294840 4667
+rect 294540 -2053 294840 4549
+rect 294540 -2171 294631 -2053
+rect 294749 -2171 294840 -2053
+rect 294540 -2213 294840 -2171
+rect 294540 -2331 294631 -2213
+rect 294749 -2331 294840 -2213
+rect 294540 -2342 294840 -2331
+rect 295010 337827 295310 354491
+rect 295010 337709 295101 337827
+rect 295219 337709 295310 337827
+rect 295010 337667 295310 337709
+rect 295010 337549 295101 337667
+rect 295219 337549 295310 337667
+rect 295010 319827 295310 337549
+rect 295010 319709 295101 319827
+rect 295219 319709 295310 319827
+rect 295010 319667 295310 319709
+rect 295010 319549 295101 319667
+rect 295219 319549 295310 319667
+rect 295010 301827 295310 319549
+rect 295010 301709 295101 301827
+rect 295219 301709 295310 301827
+rect 295010 301667 295310 301709
+rect 295010 301549 295101 301667
+rect 295219 301549 295310 301667
+rect 295010 283827 295310 301549
+rect 295010 283709 295101 283827
+rect 295219 283709 295310 283827
+rect 295010 283667 295310 283709
+rect 295010 283549 295101 283667
+rect 295219 283549 295310 283667
+rect 295010 265827 295310 283549
+rect 295010 265709 295101 265827
+rect 295219 265709 295310 265827
+rect 295010 265667 295310 265709
+rect 295010 265549 295101 265667
+rect 295219 265549 295310 265667
+rect 295010 247827 295310 265549
+rect 295010 247709 295101 247827
+rect 295219 247709 295310 247827
+rect 295010 247667 295310 247709
+rect 295010 247549 295101 247667
+rect 295219 247549 295310 247667
+rect 295010 229827 295310 247549
+rect 295010 229709 295101 229827
+rect 295219 229709 295310 229827
+rect 295010 229667 295310 229709
+rect 295010 229549 295101 229667
+rect 295219 229549 295310 229667
+rect 295010 211827 295310 229549
+rect 295010 211709 295101 211827
+rect 295219 211709 295310 211827
+rect 295010 211667 295310 211709
+rect 295010 211549 295101 211667
+rect 295219 211549 295310 211667
+rect 295010 193827 295310 211549
+rect 295010 193709 295101 193827
+rect 295219 193709 295310 193827
+rect 295010 193667 295310 193709
+rect 295010 193549 295101 193667
+rect 295219 193549 295310 193667
+rect 295010 175827 295310 193549
+rect 295010 175709 295101 175827
+rect 295219 175709 295310 175827
+rect 295010 175667 295310 175709
+rect 295010 175549 295101 175667
+rect 295219 175549 295310 175667
+rect 295010 157827 295310 175549
+rect 295010 157709 295101 157827
+rect 295219 157709 295310 157827
+rect 295010 157667 295310 157709
+rect 295010 157549 295101 157667
+rect 295219 157549 295310 157667
+rect 295010 139827 295310 157549
+rect 295010 139709 295101 139827
+rect 295219 139709 295310 139827
+rect 295010 139667 295310 139709
+rect 295010 139549 295101 139667
+rect 295219 139549 295310 139667
+rect 295010 121827 295310 139549
+rect 295010 121709 295101 121827
+rect 295219 121709 295310 121827
+rect 295010 121667 295310 121709
+rect 295010 121549 295101 121667
+rect 295219 121549 295310 121667
+rect 295010 103827 295310 121549
+rect 295010 103709 295101 103827
+rect 295219 103709 295310 103827
+rect 295010 103667 295310 103709
+rect 295010 103549 295101 103667
+rect 295219 103549 295310 103667
+rect 295010 85827 295310 103549
+rect 295010 85709 295101 85827
+rect 295219 85709 295310 85827
+rect 295010 85667 295310 85709
+rect 295010 85549 295101 85667
+rect 295219 85549 295310 85667
+rect 295010 67827 295310 85549
+rect 295010 67709 295101 67827
+rect 295219 67709 295310 67827
+rect 295010 67667 295310 67709
+rect 295010 67549 295101 67667
+rect 295219 67549 295310 67667
+rect 295010 49827 295310 67549
+rect 295010 49709 295101 49827
+rect 295219 49709 295310 49827
+rect 295010 49667 295310 49709
+rect 295010 49549 295101 49667
+rect 295219 49549 295310 49667
+rect 295010 31827 295310 49549
+rect 295010 31709 295101 31827
+rect 295219 31709 295310 31827
+rect 295010 31667 295310 31709
+rect 295010 31549 295101 31667
+rect 295219 31549 295310 31667
+rect 295010 13827 295310 31549
+rect 295010 13709 295101 13827
+rect 295219 13709 295310 13827
+rect 295010 13667 295310 13709
+rect 295010 13549 295101 13667
+rect 295219 13549 295310 13667
+rect 295010 -2523 295310 13549
+rect 295010 -2641 295101 -2523
+rect 295219 -2641 295310 -2523
+rect 295010 -2683 295310 -2641
+rect 295010 -2801 295101 -2683
+rect 295219 -2801 295310 -2683
+rect 295010 -2812 295310 -2801
+rect 295480 348627 295780 354961
+rect 295480 348509 295571 348627
+rect 295689 348509 295780 348627
+rect 295480 348467 295780 348509
+rect 295480 348349 295571 348467
+rect 295689 348349 295780 348467
+rect 295480 330627 295780 348349
+rect 295480 330509 295571 330627
+rect 295689 330509 295780 330627
+rect 295480 330467 295780 330509
+rect 295480 330349 295571 330467
+rect 295689 330349 295780 330467
+rect 295480 312627 295780 330349
+rect 295480 312509 295571 312627
+rect 295689 312509 295780 312627
+rect 295480 312467 295780 312509
+rect 295480 312349 295571 312467
+rect 295689 312349 295780 312467
+rect 295480 294627 295780 312349
+rect 295480 294509 295571 294627
+rect 295689 294509 295780 294627
+rect 295480 294467 295780 294509
+rect 295480 294349 295571 294467
+rect 295689 294349 295780 294467
+rect 295480 276627 295780 294349
+rect 295480 276509 295571 276627
+rect 295689 276509 295780 276627
+rect 295480 276467 295780 276509
+rect 295480 276349 295571 276467
+rect 295689 276349 295780 276467
+rect 295480 258627 295780 276349
+rect 295480 258509 295571 258627
+rect 295689 258509 295780 258627
+rect 295480 258467 295780 258509
+rect 295480 258349 295571 258467
+rect 295689 258349 295780 258467
+rect 295480 240627 295780 258349
+rect 295480 240509 295571 240627
+rect 295689 240509 295780 240627
+rect 295480 240467 295780 240509
+rect 295480 240349 295571 240467
+rect 295689 240349 295780 240467
+rect 295480 222627 295780 240349
+rect 295480 222509 295571 222627
+rect 295689 222509 295780 222627
+rect 295480 222467 295780 222509
+rect 295480 222349 295571 222467
+rect 295689 222349 295780 222467
+rect 295480 204627 295780 222349
+rect 295480 204509 295571 204627
+rect 295689 204509 295780 204627
+rect 295480 204467 295780 204509
+rect 295480 204349 295571 204467
+rect 295689 204349 295780 204467
+rect 295480 186627 295780 204349
+rect 295480 186509 295571 186627
+rect 295689 186509 295780 186627
+rect 295480 186467 295780 186509
+rect 295480 186349 295571 186467
+rect 295689 186349 295780 186467
+rect 295480 168627 295780 186349
+rect 295480 168509 295571 168627
+rect 295689 168509 295780 168627
+rect 295480 168467 295780 168509
+rect 295480 168349 295571 168467
+rect 295689 168349 295780 168467
+rect 295480 150627 295780 168349
+rect 295480 150509 295571 150627
+rect 295689 150509 295780 150627
+rect 295480 150467 295780 150509
+rect 295480 150349 295571 150467
+rect 295689 150349 295780 150467
+rect 295480 132627 295780 150349
+rect 295480 132509 295571 132627
+rect 295689 132509 295780 132627
+rect 295480 132467 295780 132509
+rect 295480 132349 295571 132467
+rect 295689 132349 295780 132467
+rect 295480 114627 295780 132349
+rect 295480 114509 295571 114627
+rect 295689 114509 295780 114627
+rect 295480 114467 295780 114509
+rect 295480 114349 295571 114467
+rect 295689 114349 295780 114467
+rect 295480 96627 295780 114349
+rect 295480 96509 295571 96627
+rect 295689 96509 295780 96627
+rect 295480 96467 295780 96509
+rect 295480 96349 295571 96467
+rect 295689 96349 295780 96467
+rect 295480 78627 295780 96349
+rect 295480 78509 295571 78627
+rect 295689 78509 295780 78627
+rect 295480 78467 295780 78509
+rect 295480 78349 295571 78467
+rect 295689 78349 295780 78467
+rect 295480 60627 295780 78349
+rect 295480 60509 295571 60627
+rect 295689 60509 295780 60627
+rect 295480 60467 295780 60509
+rect 295480 60349 295571 60467
+rect 295689 60349 295780 60467
+rect 295480 42627 295780 60349
+rect 295480 42509 295571 42627
+rect 295689 42509 295780 42627
+rect 295480 42467 295780 42509
+rect 295480 42349 295571 42467
+rect 295689 42349 295780 42467
+rect 295480 24627 295780 42349
+rect 295480 24509 295571 24627
+rect 295689 24509 295780 24627
+rect 295480 24467 295780 24509
+rect 295480 24349 295571 24467
+rect 295689 24349 295780 24467
+rect 295480 6627 295780 24349
+rect 295480 6509 295571 6627
+rect 295689 6509 295780 6627
+rect 295480 6467 295780 6509
+rect 295480 6349 295571 6467
+rect 295689 6349 295780 6467
+rect 295480 -2993 295780 6349
+rect 295480 -3111 295571 -2993
+rect 295689 -3111 295780 -2993
+rect 295480 -3153 295780 -3111
+rect 295480 -3271 295571 -3153
+rect 295689 -3271 295780 -3153
+rect 295480 -3282 295780 -3271
+rect 295950 339627 296250 355431
+rect 295950 339509 296041 339627
+rect 296159 339509 296250 339627
+rect 295950 339467 296250 339509
+rect 295950 339349 296041 339467
+rect 296159 339349 296250 339467
+rect 295950 321627 296250 339349
+rect 295950 321509 296041 321627
+rect 296159 321509 296250 321627
+rect 295950 321467 296250 321509
+rect 295950 321349 296041 321467
+rect 296159 321349 296250 321467
+rect 295950 303627 296250 321349
+rect 295950 303509 296041 303627
+rect 296159 303509 296250 303627
+rect 295950 303467 296250 303509
+rect 295950 303349 296041 303467
+rect 296159 303349 296250 303467
+rect 295950 285627 296250 303349
+rect 295950 285509 296041 285627
+rect 296159 285509 296250 285627
+rect 295950 285467 296250 285509
+rect 295950 285349 296041 285467
+rect 296159 285349 296250 285467
+rect 295950 267627 296250 285349
+rect 295950 267509 296041 267627
+rect 296159 267509 296250 267627
+rect 295950 267467 296250 267509
+rect 295950 267349 296041 267467
+rect 296159 267349 296250 267467
+rect 295950 249627 296250 267349
+rect 295950 249509 296041 249627
+rect 296159 249509 296250 249627
+rect 295950 249467 296250 249509
+rect 295950 249349 296041 249467
+rect 296159 249349 296250 249467
+rect 295950 231627 296250 249349
+rect 295950 231509 296041 231627
+rect 296159 231509 296250 231627
+rect 295950 231467 296250 231509
+rect 295950 231349 296041 231467
+rect 296159 231349 296250 231467
+rect 295950 213627 296250 231349
+rect 295950 213509 296041 213627
+rect 296159 213509 296250 213627
+rect 295950 213467 296250 213509
+rect 295950 213349 296041 213467
+rect 296159 213349 296250 213467
+rect 295950 195627 296250 213349
+rect 295950 195509 296041 195627
+rect 296159 195509 296250 195627
+rect 295950 195467 296250 195509
+rect 295950 195349 296041 195467
+rect 296159 195349 296250 195467
+rect 295950 177627 296250 195349
+rect 295950 177509 296041 177627
+rect 296159 177509 296250 177627
+rect 295950 177467 296250 177509
+rect 295950 177349 296041 177467
+rect 296159 177349 296250 177467
+rect 295950 159627 296250 177349
+rect 295950 159509 296041 159627
+rect 296159 159509 296250 159627
+rect 295950 159467 296250 159509
+rect 295950 159349 296041 159467
+rect 296159 159349 296250 159467
+rect 295950 141627 296250 159349
+rect 295950 141509 296041 141627
+rect 296159 141509 296250 141627
+rect 295950 141467 296250 141509
+rect 295950 141349 296041 141467
+rect 296159 141349 296250 141467
+rect 295950 123627 296250 141349
+rect 295950 123509 296041 123627
+rect 296159 123509 296250 123627
+rect 295950 123467 296250 123509
+rect 295950 123349 296041 123467
+rect 296159 123349 296250 123467
+rect 295950 105627 296250 123349
+rect 295950 105509 296041 105627
+rect 296159 105509 296250 105627
+rect 295950 105467 296250 105509
+rect 295950 105349 296041 105467
+rect 296159 105349 296250 105467
+rect 295950 87627 296250 105349
+rect 295950 87509 296041 87627
+rect 296159 87509 296250 87627
+rect 295950 87467 296250 87509
+rect 295950 87349 296041 87467
+rect 296159 87349 296250 87467
+rect 295950 69627 296250 87349
+rect 295950 69509 296041 69627
+rect 296159 69509 296250 69627
+rect 295950 69467 296250 69509
+rect 295950 69349 296041 69467
+rect 296159 69349 296250 69467
+rect 295950 51627 296250 69349
+rect 295950 51509 296041 51627
+rect 296159 51509 296250 51627
+rect 295950 51467 296250 51509
+rect 295950 51349 296041 51467
+rect 296159 51349 296250 51467
+rect 295950 33627 296250 51349
+rect 295950 33509 296041 33627
+rect 296159 33509 296250 33627
+rect 295950 33467 296250 33509
+rect 295950 33349 296041 33467
+rect 296159 33349 296250 33467
+rect 295950 15627 296250 33349
+rect 295950 15509 296041 15627
+rect 296159 15509 296250 15627
+rect 295950 15467 296250 15509
+rect 295950 15349 296041 15467
+rect 296159 15349 296250 15467
+rect 284802 -3581 284893 -3463
+rect 285011 -3581 285102 -3463
+rect 284802 -3623 285102 -3581
+rect 284802 -3741 284893 -3623
+rect 285011 -3741 285102 -3623
+rect 284802 -3752 285102 -3741
+rect 295950 -3463 296250 15349
+rect 295950 -3581 296041 -3463
+rect 296159 -3581 296250 -3463
+rect 295950 -3623 296250 -3581
+rect 295950 -3741 296041 -3623
+rect 296159 -3741 296250 -3623
+rect 295950 -3752 296250 -3741
 << via4 >>
-rect -4127 355521 -4009 355639
-rect -4127 355361 -4009 355479
-rect -4127 339509 -4009 339627
-rect -4127 339349 -4009 339467
-rect -4127 321509 -4009 321627
-rect -4127 321349 -4009 321467
-rect -4127 303509 -4009 303627
-rect -4127 303349 -4009 303467
-rect -4127 285509 -4009 285627
-rect -4127 285349 -4009 285467
-rect -4127 267509 -4009 267627
-rect -4127 267349 -4009 267467
-rect -4127 249509 -4009 249627
-rect -4127 249349 -4009 249467
-rect -4127 231509 -4009 231627
-rect -4127 231349 -4009 231467
-rect -4127 213509 -4009 213627
-rect -4127 213349 -4009 213467
-rect -4127 195509 -4009 195627
-rect -4127 195349 -4009 195467
-rect -4127 177509 -4009 177627
-rect -4127 177349 -4009 177467
-rect -4127 159509 -4009 159627
-rect -4127 159349 -4009 159467
-rect -4127 141509 -4009 141627
-rect -4127 141349 -4009 141467
-rect -4127 123509 -4009 123627
-rect -4127 123349 -4009 123467
-rect -4127 105509 -4009 105627
-rect -4127 105349 -4009 105467
-rect -4127 87509 -4009 87627
-rect -4127 87349 -4009 87467
-rect -4127 69509 -4009 69627
-rect -4127 69349 -4009 69467
-rect -4127 51509 -4009 51627
-rect -4127 51349 -4009 51467
-rect -4127 33509 -4009 33627
-rect -4127 33349 -4009 33467
-rect -4127 15509 -4009 15627
-rect -4127 15349 -4009 15467
-rect -3667 355061 -3549 355179
-rect -3667 354901 -3549 355019
-rect 5893 355061 6011 355179
-rect 5893 354901 6011 355019
-rect -3667 348509 -3549 348627
-rect -3667 348349 -3549 348467
-rect -3667 330509 -3549 330627
-rect -3667 330349 -3549 330467
-rect -3667 312509 -3549 312627
-rect -3667 312349 -3549 312467
-rect -3667 294509 -3549 294627
-rect -3667 294349 -3549 294467
-rect -3667 276509 -3549 276627
-rect -3667 276349 -3549 276467
-rect -3667 258509 -3549 258627
-rect -3667 258349 -3549 258467
-rect -3667 240509 -3549 240627
-rect -3667 240349 -3549 240467
-rect -3667 222509 -3549 222627
-rect -3667 222349 -3549 222467
-rect -3667 204509 -3549 204627
-rect -3667 204349 -3549 204467
-rect -3667 186509 -3549 186627
-rect -3667 186349 -3549 186467
-rect -3667 168509 -3549 168627
-rect -3667 168349 -3549 168467
-rect -3667 150509 -3549 150627
-rect -3667 150349 -3549 150467
-rect -3667 132509 -3549 132627
-rect -3667 132349 -3549 132467
-rect -3667 114509 -3549 114627
-rect -3667 114349 -3549 114467
-rect -3667 96509 -3549 96627
-rect -3667 96349 -3549 96467
-rect -3667 78509 -3549 78627
-rect -3667 78349 -3549 78467
-rect -3667 60509 -3549 60627
-rect -3667 60349 -3549 60467
-rect -3667 42509 -3549 42627
-rect -3667 42349 -3549 42467
-rect -3667 24509 -3549 24627
-rect -3667 24349 -3549 24467
-rect -3667 6509 -3549 6627
-rect -3667 6349 -3549 6467
-rect -3207 354601 -3089 354719
-rect -3207 354441 -3089 354559
-rect -3207 337709 -3089 337827
-rect -3207 337549 -3089 337667
-rect -3207 319709 -3089 319827
-rect -3207 319549 -3089 319667
-rect -3207 301709 -3089 301827
-rect -3207 301549 -3089 301667
-rect -3207 283709 -3089 283827
-rect -3207 283549 -3089 283667
-rect -3207 265709 -3089 265827
-rect -3207 265549 -3089 265667
-rect -3207 247709 -3089 247827
-rect -3207 247549 -3089 247667
-rect -3207 229709 -3089 229827
-rect -3207 229549 -3089 229667
-rect -3207 211709 -3089 211827
-rect -3207 211549 -3089 211667
-rect -3207 193709 -3089 193827
-rect -3207 193549 -3089 193667
-rect -3207 175709 -3089 175827
-rect -3207 175549 -3089 175667
-rect -3207 157709 -3089 157827
-rect -3207 157549 -3089 157667
-rect -3207 139709 -3089 139827
-rect -3207 139549 -3089 139667
-rect -3207 121709 -3089 121827
-rect -3207 121549 -3089 121667
-rect -3207 103709 -3089 103827
-rect -3207 103549 -3089 103667
-rect -3207 85709 -3089 85827
-rect -3207 85549 -3089 85667
-rect -3207 67709 -3089 67827
-rect -3207 67549 -3089 67667
-rect -3207 49709 -3089 49827
-rect -3207 49549 -3089 49667
-rect -3207 31709 -3089 31827
-rect -3207 31549 -3089 31667
-rect -3207 13709 -3089 13827
-rect -3207 13549 -3089 13667
-rect -2747 354141 -2629 354259
-rect -2747 353981 -2629 354099
-rect 4093 354141 4211 354259
-rect 4093 353981 4211 354099
-rect -2747 346709 -2629 346827
-rect -2747 346549 -2629 346667
-rect -2747 328709 -2629 328827
-rect -2747 328549 -2629 328667
-rect -2747 310709 -2629 310827
-rect -2747 310549 -2629 310667
-rect -2747 292709 -2629 292827
-rect -2747 292549 -2629 292667
-rect -2747 274709 -2629 274827
-rect -2747 274549 -2629 274667
-rect -2747 256709 -2629 256827
-rect -2747 256549 -2629 256667
-rect -2747 238709 -2629 238827
-rect -2747 238549 -2629 238667
-rect -2747 220709 -2629 220827
-rect -2747 220549 -2629 220667
-rect -2747 202709 -2629 202827
-rect -2747 202549 -2629 202667
-rect -2747 184709 -2629 184827
-rect -2747 184549 -2629 184667
-rect -2747 166709 -2629 166827
-rect -2747 166549 -2629 166667
-rect -2747 148709 -2629 148827
-rect -2747 148549 -2629 148667
-rect -2747 130709 -2629 130827
-rect -2747 130549 -2629 130667
-rect -2747 112709 -2629 112827
-rect -2747 112549 -2629 112667
-rect -2747 94709 -2629 94827
-rect -2747 94549 -2629 94667
-rect -2747 76709 -2629 76827
-rect -2747 76549 -2629 76667
-rect -2747 58709 -2629 58827
-rect -2747 58549 -2629 58667
-rect -2747 40709 -2629 40827
-rect -2747 40549 -2629 40667
-rect -2747 22709 -2629 22827
-rect -2747 22549 -2629 22667
-rect -2747 4709 -2629 4827
-rect -2747 4549 -2629 4667
-rect -2287 353681 -2169 353799
-rect -2287 353521 -2169 353639
-rect -2287 335909 -2169 336027
-rect -2287 335749 -2169 335867
-rect -2287 317909 -2169 318027
-rect -2287 317749 -2169 317867
-rect -2287 299909 -2169 300027
-rect -2287 299749 -2169 299867
-rect -2287 281909 -2169 282027
-rect -2287 281749 -2169 281867
-rect -2287 263909 -2169 264027
-rect -2287 263749 -2169 263867
-rect -2287 245909 -2169 246027
-rect -2287 245749 -2169 245867
-rect -2287 227909 -2169 228027
-rect -2287 227749 -2169 227867
-rect -2287 209909 -2169 210027
-rect -2287 209749 -2169 209867
-rect -2287 191909 -2169 192027
-rect -2287 191749 -2169 191867
-rect -2287 173909 -2169 174027
-rect -2287 173749 -2169 173867
-rect -2287 155909 -2169 156027
-rect -2287 155749 -2169 155867
-rect -2287 137909 -2169 138027
-rect -2287 137749 -2169 137867
-rect -2287 119909 -2169 120027
-rect -2287 119749 -2169 119867
-rect -2287 101909 -2169 102027
-rect -2287 101749 -2169 101867
-rect -2287 83909 -2169 84027
-rect -2287 83749 -2169 83867
-rect -2287 65909 -2169 66027
-rect -2287 65749 -2169 65867
-rect -2287 47909 -2169 48027
-rect -2287 47749 -2169 47867
-rect -2287 29909 -2169 30027
-rect -2287 29749 -2169 29867
-rect -2287 11909 -2169 12027
-rect -2287 11749 -2169 11867
-rect -1827 353221 -1709 353339
-rect -1827 353061 -1709 353179
-rect 2293 353221 2411 353339
-rect 2293 353061 2411 353179
-rect -1827 344909 -1709 345027
-rect -1827 344749 -1709 344867
-rect -1827 326909 -1709 327027
-rect -1827 326749 -1709 326867
-rect -1827 308909 -1709 309027
-rect -1827 308749 -1709 308867
-rect -1827 290909 -1709 291027
-rect -1827 290749 -1709 290867
-rect -1827 272909 -1709 273027
-rect -1827 272749 -1709 272867
-rect -1827 254909 -1709 255027
-rect -1827 254749 -1709 254867
-rect -1827 236909 -1709 237027
-rect -1827 236749 -1709 236867
-rect -1827 218909 -1709 219027
-rect -1827 218749 -1709 218867
-rect -1827 200909 -1709 201027
-rect -1827 200749 -1709 200867
-rect -1827 182909 -1709 183027
-rect -1827 182749 -1709 182867
-rect -1827 164909 -1709 165027
-rect -1827 164749 -1709 164867
-rect -1827 146909 -1709 147027
-rect -1827 146749 -1709 146867
-rect -1827 128909 -1709 129027
-rect -1827 128749 -1709 128867
-rect -1827 110909 -1709 111027
-rect -1827 110749 -1709 110867
-rect -1827 92909 -1709 93027
-rect -1827 92749 -1709 92867
-rect -1827 74909 -1709 75027
-rect -1827 74749 -1709 74867
-rect -1827 56909 -1709 57027
-rect -1827 56749 -1709 56867
-rect -1827 38909 -1709 39027
-rect -1827 38749 -1709 38867
-rect -1827 20909 -1709 21027
-rect -1827 20749 -1709 20867
-rect -1827 2909 -1709 3027
-rect -1827 2749 -1709 2867
-rect -1367 352761 -1249 352879
-rect -1367 352601 -1249 352719
-rect -1367 334109 -1249 334227
-rect -1367 333949 -1249 334067
-rect -1367 316109 -1249 316227
-rect -1367 315949 -1249 316067
-rect -1367 298109 -1249 298227
-rect -1367 297949 -1249 298067
-rect -1367 280109 -1249 280227
-rect -1367 279949 -1249 280067
-rect -1367 262109 -1249 262227
-rect -1367 261949 -1249 262067
-rect -1367 244109 -1249 244227
-rect -1367 243949 -1249 244067
-rect -1367 226109 -1249 226227
-rect -1367 225949 -1249 226067
-rect -1367 208109 -1249 208227
-rect -1367 207949 -1249 208067
-rect -1367 190109 -1249 190227
-rect -1367 189949 -1249 190067
-rect -1367 172109 -1249 172227
-rect -1367 171949 -1249 172067
-rect -1367 154109 -1249 154227
-rect -1367 153949 -1249 154067
-rect -1367 136109 -1249 136227
-rect -1367 135949 -1249 136067
-rect -1367 118109 -1249 118227
-rect -1367 117949 -1249 118067
-rect -1367 100109 -1249 100227
-rect -1367 99949 -1249 100067
-rect -1367 82109 -1249 82227
-rect -1367 81949 -1249 82067
-rect -1367 64109 -1249 64227
-rect -1367 63949 -1249 64067
-rect -1367 46109 -1249 46227
-rect -1367 45949 -1249 46067
-rect -1367 28109 -1249 28227
-rect -1367 27949 -1249 28067
-rect -1367 10109 -1249 10227
-rect -1367 9949 -1249 10067
+rect -4197 355591 -4079 355709
+rect -4197 355431 -4079 355549
+rect -4197 339509 -4079 339627
+rect -4197 339349 -4079 339467
+rect -4197 321509 -4079 321627
+rect -4197 321349 -4079 321467
+rect -4197 303509 -4079 303627
+rect -4197 303349 -4079 303467
+rect -4197 285509 -4079 285627
+rect -4197 285349 -4079 285467
+rect -4197 267509 -4079 267627
+rect -4197 267349 -4079 267467
+rect -4197 249509 -4079 249627
+rect -4197 249349 -4079 249467
+rect -4197 231509 -4079 231627
+rect -4197 231349 -4079 231467
+rect -4197 213509 -4079 213627
+rect -4197 213349 -4079 213467
+rect -4197 195509 -4079 195627
+rect -4197 195349 -4079 195467
+rect -4197 177509 -4079 177627
+rect -4197 177349 -4079 177467
+rect -4197 159509 -4079 159627
+rect -4197 159349 -4079 159467
+rect -4197 141509 -4079 141627
+rect -4197 141349 -4079 141467
+rect -4197 123509 -4079 123627
+rect -4197 123349 -4079 123467
+rect -4197 105509 -4079 105627
+rect -4197 105349 -4079 105467
+rect -4197 87509 -4079 87627
+rect -4197 87349 -4079 87467
+rect -4197 69509 -4079 69627
+rect -4197 69349 -4079 69467
+rect -4197 51509 -4079 51627
+rect -4197 51349 -4079 51467
+rect -4197 33509 -4079 33627
+rect -4197 33349 -4079 33467
+rect -4197 15509 -4079 15627
+rect -4197 15349 -4079 15467
+rect -3727 355121 -3609 355239
+rect -3727 354961 -3609 355079
+rect 5893 355121 6011 355239
+rect 5893 354961 6011 355079
+rect -3727 348509 -3609 348627
+rect -3727 348349 -3609 348467
+rect -3727 330509 -3609 330627
+rect -3727 330349 -3609 330467
+rect -3727 312509 -3609 312627
+rect -3727 312349 -3609 312467
+rect -3727 294509 -3609 294627
+rect -3727 294349 -3609 294467
+rect -3727 276509 -3609 276627
+rect -3727 276349 -3609 276467
+rect -3727 258509 -3609 258627
+rect -3727 258349 -3609 258467
+rect -3727 240509 -3609 240627
+rect -3727 240349 -3609 240467
+rect -3727 222509 -3609 222627
+rect -3727 222349 -3609 222467
+rect -3727 204509 -3609 204627
+rect -3727 204349 -3609 204467
+rect -3727 186509 -3609 186627
+rect -3727 186349 -3609 186467
+rect -3727 168509 -3609 168627
+rect -3727 168349 -3609 168467
+rect -3727 150509 -3609 150627
+rect -3727 150349 -3609 150467
+rect -3727 132509 -3609 132627
+rect -3727 132349 -3609 132467
+rect -3727 114509 -3609 114627
+rect -3727 114349 -3609 114467
+rect -3727 96509 -3609 96627
+rect -3727 96349 -3609 96467
+rect -3727 78509 -3609 78627
+rect -3727 78349 -3609 78467
+rect -3727 60509 -3609 60627
+rect -3727 60349 -3609 60467
+rect -3727 42509 -3609 42627
+rect -3727 42349 -3609 42467
+rect -3727 24509 -3609 24627
+rect -3727 24349 -3609 24467
+rect -3727 6509 -3609 6627
+rect -3727 6349 -3609 6467
+rect -3257 354651 -3139 354769
+rect -3257 354491 -3139 354609
+rect -3257 337709 -3139 337827
+rect -3257 337549 -3139 337667
+rect -3257 319709 -3139 319827
+rect -3257 319549 -3139 319667
+rect -3257 301709 -3139 301827
+rect -3257 301549 -3139 301667
+rect -3257 283709 -3139 283827
+rect -3257 283549 -3139 283667
+rect -3257 265709 -3139 265827
+rect -3257 265549 -3139 265667
+rect -3257 247709 -3139 247827
+rect -3257 247549 -3139 247667
+rect -3257 229709 -3139 229827
+rect -3257 229549 -3139 229667
+rect -3257 211709 -3139 211827
+rect -3257 211549 -3139 211667
+rect -3257 193709 -3139 193827
+rect -3257 193549 -3139 193667
+rect -3257 175709 -3139 175827
+rect -3257 175549 -3139 175667
+rect -3257 157709 -3139 157827
+rect -3257 157549 -3139 157667
+rect -3257 139709 -3139 139827
+rect -3257 139549 -3139 139667
+rect -3257 121709 -3139 121827
+rect -3257 121549 -3139 121667
+rect -3257 103709 -3139 103827
+rect -3257 103549 -3139 103667
+rect -3257 85709 -3139 85827
+rect -3257 85549 -3139 85667
+rect -3257 67709 -3139 67827
+rect -3257 67549 -3139 67667
+rect -3257 49709 -3139 49827
+rect -3257 49549 -3139 49667
+rect -3257 31709 -3139 31827
+rect -3257 31549 -3139 31667
+rect -3257 13709 -3139 13827
+rect -3257 13549 -3139 13667
+rect -2787 354181 -2669 354299
+rect -2787 354021 -2669 354139
+rect 4093 354181 4211 354299
+rect 4093 354021 4211 354139
+rect -2787 346709 -2669 346827
+rect -2787 346549 -2669 346667
+rect -2787 328709 -2669 328827
+rect -2787 328549 -2669 328667
+rect -2787 310709 -2669 310827
+rect -2787 310549 -2669 310667
+rect -2787 292709 -2669 292827
+rect -2787 292549 -2669 292667
+rect -2787 274709 -2669 274827
+rect -2787 274549 -2669 274667
+rect -2787 256709 -2669 256827
+rect -2787 256549 -2669 256667
+rect -2787 238709 -2669 238827
+rect -2787 238549 -2669 238667
+rect -2787 220709 -2669 220827
+rect -2787 220549 -2669 220667
+rect -2787 202709 -2669 202827
+rect -2787 202549 -2669 202667
+rect -2787 184709 -2669 184827
+rect -2787 184549 -2669 184667
+rect -2787 166709 -2669 166827
+rect -2787 166549 -2669 166667
+rect -2787 148709 -2669 148827
+rect -2787 148549 -2669 148667
+rect -2787 130709 -2669 130827
+rect -2787 130549 -2669 130667
+rect -2787 112709 -2669 112827
+rect -2787 112549 -2669 112667
+rect -2787 94709 -2669 94827
+rect -2787 94549 -2669 94667
+rect -2787 76709 -2669 76827
+rect -2787 76549 -2669 76667
+rect -2787 58709 -2669 58827
+rect -2787 58549 -2669 58667
+rect -2787 40709 -2669 40827
+rect -2787 40549 -2669 40667
+rect -2787 22709 -2669 22827
+rect -2787 22549 -2669 22667
+rect -2787 4709 -2669 4827
+rect -2787 4549 -2669 4667
+rect -2317 353711 -2199 353829
+rect -2317 353551 -2199 353669
+rect -2317 335909 -2199 336027
+rect -2317 335749 -2199 335867
+rect -2317 317909 -2199 318027
+rect -2317 317749 -2199 317867
+rect -2317 299909 -2199 300027
+rect -2317 299749 -2199 299867
+rect -2317 281909 -2199 282027
+rect -2317 281749 -2199 281867
+rect -2317 263909 -2199 264027
+rect -2317 263749 -2199 263867
+rect -2317 245909 -2199 246027
+rect -2317 245749 -2199 245867
+rect -2317 227909 -2199 228027
+rect -2317 227749 -2199 227867
+rect -2317 209909 -2199 210027
+rect -2317 209749 -2199 209867
+rect -2317 191909 -2199 192027
+rect -2317 191749 -2199 191867
+rect -2317 173909 -2199 174027
+rect -2317 173749 -2199 173867
+rect -2317 155909 -2199 156027
+rect -2317 155749 -2199 155867
+rect -2317 137909 -2199 138027
+rect -2317 137749 -2199 137867
+rect -2317 119909 -2199 120027
+rect -2317 119749 -2199 119867
+rect -2317 101909 -2199 102027
+rect -2317 101749 -2199 101867
+rect -2317 83909 -2199 84027
+rect -2317 83749 -2199 83867
+rect -2317 65909 -2199 66027
+rect -2317 65749 -2199 65867
+rect -2317 47909 -2199 48027
+rect -2317 47749 -2199 47867
+rect -2317 29909 -2199 30027
+rect -2317 29749 -2199 29867
+rect -2317 11909 -2199 12027
+rect -2317 11749 -2199 11867
+rect -1847 353241 -1729 353359
+rect -1847 353081 -1729 353199
+rect 2293 353241 2411 353359
+rect 2293 353081 2411 353199
+rect -1847 344909 -1729 345027
+rect -1847 344749 -1729 344867
+rect -1847 326909 -1729 327027
+rect -1847 326749 -1729 326867
+rect -1847 308909 -1729 309027
+rect -1847 308749 -1729 308867
+rect -1847 290909 -1729 291027
+rect -1847 290749 -1729 290867
+rect -1847 272909 -1729 273027
+rect -1847 272749 -1729 272867
+rect -1847 254909 -1729 255027
+rect -1847 254749 -1729 254867
+rect -1847 236909 -1729 237027
+rect -1847 236749 -1729 236867
+rect -1847 218909 -1729 219027
+rect -1847 218749 -1729 218867
+rect -1847 200909 -1729 201027
+rect -1847 200749 -1729 200867
+rect -1847 182909 -1729 183027
+rect -1847 182749 -1729 182867
+rect -1847 164909 -1729 165027
+rect -1847 164749 -1729 164867
+rect -1847 146909 -1729 147027
+rect -1847 146749 -1729 146867
+rect -1847 128909 -1729 129027
+rect -1847 128749 -1729 128867
+rect -1847 110909 -1729 111027
+rect -1847 110749 -1729 110867
+rect -1847 92909 -1729 93027
+rect -1847 92749 -1729 92867
+rect -1847 74909 -1729 75027
+rect -1847 74749 -1729 74867
+rect -1847 56909 -1729 57027
+rect -1847 56749 -1729 56867
+rect -1847 38909 -1729 39027
+rect -1847 38749 -1729 38867
+rect -1847 20909 -1729 21027
+rect -1847 20749 -1729 20867
+rect -1847 2909 -1729 3027
+rect -1847 2749 -1729 2867
+rect -1377 352771 -1259 352889
+rect -1377 352611 -1259 352729
+rect -1377 334109 -1259 334227
+rect -1377 333949 -1259 334067
+rect -1377 316109 -1259 316227
+rect -1377 315949 -1259 316067
+rect -1377 298109 -1259 298227
+rect -1377 297949 -1259 298067
+rect -1377 280109 -1259 280227
+rect -1377 279949 -1259 280067
+rect -1377 262109 -1259 262227
+rect -1377 261949 -1259 262067
+rect -1377 244109 -1259 244227
+rect -1377 243949 -1259 244067
+rect -1377 226109 -1259 226227
+rect -1377 225949 -1259 226067
+rect -1377 208109 -1259 208227
+rect -1377 207949 -1259 208067
+rect -1377 190109 -1259 190227
+rect -1377 189949 -1259 190067
+rect -1377 172109 -1259 172227
+rect -1377 171949 -1259 172067
+rect -1377 154109 -1259 154227
+rect -1377 153949 -1259 154067
+rect -1377 136109 -1259 136227
+rect -1377 135949 -1259 136067
+rect -1377 118109 -1259 118227
+rect -1377 117949 -1259 118067
+rect -1377 100109 -1259 100227
+rect -1377 99949 -1259 100067
+rect -1377 82109 -1259 82227
+rect -1377 81949 -1259 82067
+rect -1377 64109 -1259 64227
+rect -1377 63949 -1259 64067
+rect -1377 46109 -1259 46227
+rect -1377 45949 -1259 46067
+rect -1377 28109 -1259 28227
+rect -1377 27949 -1259 28067
+rect -1377 10109 -1259 10227
+rect -1377 9949 -1259 10067
 rect -907 352301 -789 352419
 rect -907 352141 -789 352259
 rect 493 352301 611 352419
 rect 493 352141 611 352259
-rect 14893 355521 15011 355639
-rect 14893 355361 15011 355479
-rect 13093 354601 13211 354719
-rect 13093 354441 13211 354559
-rect 11293 353681 11411 353799
-rect 11293 353521 11411 353639
-rect 9493 352761 9611 352879
-rect 9493 352601 9611 352719
-rect 23893 355061 24011 355179
-rect 23893 354901 24011 355019
-rect 22093 354141 22211 354259
-rect 22093 353981 22211 354099
-rect 20293 353221 20411 353339
-rect 20293 353061 20411 353179
+rect 14893 355591 15011 355709
+rect 14893 355431 15011 355549
+rect 13093 354651 13211 354769
+rect 13093 354491 13211 354609
+rect 11293 353711 11411 353829
+rect 11293 353551 11411 353669
+rect 9493 352771 9611 352889
+rect 9493 352611 9611 352729
+rect 23893 355121 24011 355239
+rect 23893 354961 24011 355079
+rect 22093 354181 22211 354299
+rect 22093 354021 22211 354139
+rect 20293 353241 20411 353359
+rect 20293 353081 20411 353199
 rect 18493 352301 18611 352419
 rect 18493 352141 18611 352259
-rect 32893 355521 33011 355639
-rect 32893 355361 33011 355479
-rect 31093 354601 31211 354719
-rect 31093 354441 31211 354559
-rect 29293 353681 29411 353799
-rect 29293 353521 29411 353639
-rect 27493 352761 27611 352879
-rect 27493 352601 27611 352719
-rect 41893 355061 42011 355179
-rect 41893 354901 42011 355019
-rect 40093 354141 40211 354259
-rect 40093 353981 40211 354099
-rect 38293 353221 38411 353339
-rect 38293 353061 38411 353179
+rect 32893 355591 33011 355709
+rect 32893 355431 33011 355549
+rect 31093 354651 31211 354769
+rect 31093 354491 31211 354609
+rect 29293 353711 29411 353829
+rect 29293 353551 29411 353669
+rect 27493 352771 27611 352889
+rect 27493 352611 27611 352729
+rect 41893 355121 42011 355239
+rect 41893 354961 42011 355079
+rect 40093 354181 40211 354299
+rect 40093 354021 40211 354139
+rect 38293 353241 38411 353359
+rect 38293 353081 38411 353199
 rect 36493 352301 36611 352419
 rect 36493 352141 36611 352259
-rect 50893 355521 51011 355639
-rect 50893 355361 51011 355479
-rect 49093 354601 49211 354719
-rect 49093 354441 49211 354559
-rect 47293 353681 47411 353799
-rect 47293 353521 47411 353639
-rect 45493 352761 45611 352879
-rect 45493 352601 45611 352719
-rect 59893 355061 60011 355179
-rect 59893 354901 60011 355019
-rect 58093 354141 58211 354259
-rect 58093 353981 58211 354099
-rect 56293 353221 56411 353339
-rect 56293 353061 56411 353179
+rect 50893 355591 51011 355709
+rect 50893 355431 51011 355549
+rect 49093 354651 49211 354769
+rect 49093 354491 49211 354609
+rect 47293 353711 47411 353829
+rect 47293 353551 47411 353669
+rect 45493 352771 45611 352889
+rect 45493 352611 45611 352729
+rect 59893 355121 60011 355239
+rect 59893 354961 60011 355079
+rect 58093 354181 58211 354299
+rect 58093 354021 58211 354139
+rect 56293 353241 56411 353359
+rect 56293 353081 56411 353199
 rect 54493 352301 54611 352419
 rect 54493 352141 54611 352259
-rect 68893 355521 69011 355639
-rect 68893 355361 69011 355479
-rect 67093 354601 67211 354719
-rect 67093 354441 67211 354559
-rect 65293 353681 65411 353799
-rect 65293 353521 65411 353639
-rect 63493 352761 63611 352879
-rect 63493 352601 63611 352719
-rect 77893 355061 78011 355179
-rect 77893 354901 78011 355019
-rect 76093 354141 76211 354259
-rect 76093 353981 76211 354099
-rect 74293 353221 74411 353339
-rect 74293 353061 74411 353179
+rect 68893 355591 69011 355709
+rect 68893 355431 69011 355549
+rect 67093 354651 67211 354769
+rect 67093 354491 67211 354609
+rect 65293 353711 65411 353829
+rect 65293 353551 65411 353669
+rect 63493 352771 63611 352889
+rect 63493 352611 63611 352729
+rect 77893 355121 78011 355239
+rect 77893 354961 78011 355079
+rect 76093 354181 76211 354299
+rect 76093 354021 76211 354139
+rect 74293 353241 74411 353359
+rect 74293 353081 74411 353199
 rect 72493 352301 72611 352419
 rect 72493 352141 72611 352259
-rect 86893 355521 87011 355639
-rect 86893 355361 87011 355479
-rect 85093 354601 85211 354719
-rect 85093 354441 85211 354559
-rect 83293 353681 83411 353799
-rect 83293 353521 83411 353639
-rect 81493 352761 81611 352879
-rect 81493 352601 81611 352719
-rect 95893 355061 96011 355179
-rect 95893 354901 96011 355019
-rect 94093 354141 94211 354259
-rect 94093 353981 94211 354099
-rect 92293 353221 92411 353339
-rect 92293 353061 92411 353179
+rect 86893 355591 87011 355709
+rect 86893 355431 87011 355549
+rect 85093 354651 85211 354769
+rect 85093 354491 85211 354609
+rect 83293 353711 83411 353829
+rect 83293 353551 83411 353669
+rect 81493 352771 81611 352889
+rect 81493 352611 81611 352729
+rect 95893 355121 96011 355239
+rect 95893 354961 96011 355079
+rect 94093 354181 94211 354299
+rect 94093 354021 94211 354139
+rect 92293 353241 92411 353359
+rect 92293 353081 92411 353199
 rect 90493 352301 90611 352419
 rect 90493 352141 90611 352259
-rect 104893 355521 105011 355639
-rect 104893 355361 105011 355479
-rect 103093 354601 103211 354719
-rect 103093 354441 103211 354559
-rect 101293 353681 101411 353799
-rect 101293 353521 101411 353639
-rect 99493 352761 99611 352879
-rect 99493 352601 99611 352719
-rect 113893 355061 114011 355179
-rect 113893 354901 114011 355019
-rect 112093 354141 112211 354259
-rect 112093 353981 112211 354099
-rect 110293 353221 110411 353339
-rect 110293 353061 110411 353179
+rect 104893 355591 105011 355709
+rect 104893 355431 105011 355549
+rect 103093 354651 103211 354769
+rect 103093 354491 103211 354609
+rect 101293 353711 101411 353829
+rect 101293 353551 101411 353669
+rect 99493 352771 99611 352889
+rect 99493 352611 99611 352729
+rect 113893 355121 114011 355239
+rect 113893 354961 114011 355079
+rect 112093 354181 112211 354299
+rect 112093 354021 112211 354139
+rect 110293 353241 110411 353359
+rect 110293 353081 110411 353199
 rect 108493 352301 108611 352419
 rect 108493 352141 108611 352259
-rect 122893 355521 123011 355639
-rect 122893 355361 123011 355479
-rect 121093 354601 121211 354719
-rect 121093 354441 121211 354559
-rect 119293 353681 119411 353799
-rect 119293 353521 119411 353639
-rect 117493 352761 117611 352879
-rect 117493 352601 117611 352719
-rect 131893 355061 132011 355179
-rect 131893 354901 132011 355019
-rect 130093 354141 130211 354259
-rect 130093 353981 130211 354099
-rect 128293 353221 128411 353339
-rect 128293 353061 128411 353179
+rect 122893 355591 123011 355709
+rect 122893 355431 123011 355549
+rect 121093 354651 121211 354769
+rect 121093 354491 121211 354609
+rect 119293 353711 119411 353829
+rect 119293 353551 119411 353669
+rect 117493 352771 117611 352889
+rect 117493 352611 117611 352729
+rect 131893 355121 132011 355239
+rect 131893 354961 132011 355079
+rect 130093 354181 130211 354299
+rect 130093 354021 130211 354139
+rect 128293 353241 128411 353359
+rect 128293 353081 128411 353199
 rect 126493 352301 126611 352419
 rect 126493 352141 126611 352259
-rect 140893 355521 141011 355639
-rect 140893 355361 141011 355479
-rect 139093 354601 139211 354719
-rect 139093 354441 139211 354559
-rect 137293 353681 137411 353799
-rect 137293 353521 137411 353639
-rect 135493 352761 135611 352879
-rect 135493 352601 135611 352719
-rect 149893 355061 150011 355179
-rect 149893 354901 150011 355019
-rect 148093 354141 148211 354259
-rect 148093 353981 148211 354099
-rect 146293 353221 146411 353339
-rect 146293 353061 146411 353179
+rect 140893 355591 141011 355709
+rect 140893 355431 141011 355549
+rect 139093 354651 139211 354769
+rect 139093 354491 139211 354609
+rect 137293 353711 137411 353829
+rect 137293 353551 137411 353669
+rect 135493 352771 135611 352889
+rect 135493 352611 135611 352729
+rect 149893 355121 150011 355239
+rect 149893 354961 150011 355079
+rect 148093 354181 148211 354299
+rect 148093 354021 148211 354139
+rect 146293 353241 146411 353359
+rect 146293 353081 146411 353199
 rect 144493 352301 144611 352419
 rect 144493 352141 144611 352259
-rect 158893 355521 159011 355639
-rect 158893 355361 159011 355479
-rect 157093 354601 157211 354719
-rect 157093 354441 157211 354559
-rect 155293 353681 155411 353799
-rect 155293 353521 155411 353639
-rect 153493 352761 153611 352879
-rect 153493 352601 153611 352719
-rect 167893 355061 168011 355179
-rect 167893 354901 168011 355019
-rect 166093 354141 166211 354259
-rect 166093 353981 166211 354099
-rect 164293 353221 164411 353339
-rect 164293 353061 164411 353179
+rect 158893 355591 159011 355709
+rect 158893 355431 159011 355549
+rect 157093 354651 157211 354769
+rect 157093 354491 157211 354609
+rect 155293 353711 155411 353829
+rect 155293 353551 155411 353669
+rect 153493 352771 153611 352889
+rect 153493 352611 153611 352729
+rect 167893 355121 168011 355239
+rect 167893 354961 168011 355079
+rect 166093 354181 166211 354299
+rect 166093 354021 166211 354139
+rect 164293 353241 164411 353359
+rect 164293 353081 164411 353199
 rect 162493 352301 162611 352419
 rect 162493 352141 162611 352259
-rect 176893 355521 177011 355639
-rect 176893 355361 177011 355479
-rect 175093 354601 175211 354719
-rect 175093 354441 175211 354559
-rect 173293 353681 173411 353799
-rect 173293 353521 173411 353639
-rect 171493 352761 171611 352879
-rect 171493 352601 171611 352719
-rect 185893 355061 186011 355179
-rect 185893 354901 186011 355019
-rect 184093 354141 184211 354259
-rect 184093 353981 184211 354099
-rect 182293 353221 182411 353339
-rect 182293 353061 182411 353179
+rect 176893 355591 177011 355709
+rect 176893 355431 177011 355549
+rect 175093 354651 175211 354769
+rect 175093 354491 175211 354609
+rect 173293 353711 173411 353829
+rect 173293 353551 173411 353669
+rect 171493 352771 171611 352889
+rect 171493 352611 171611 352729
+rect 185893 355121 186011 355239
+rect 185893 354961 186011 355079
+rect 184093 354181 184211 354299
+rect 184093 354021 184211 354139
+rect 182293 353241 182411 353359
+rect 182293 353081 182411 353199
 rect 180493 352301 180611 352419
 rect 180493 352141 180611 352259
-rect 194893 355521 195011 355639
-rect 194893 355361 195011 355479
-rect 193093 354601 193211 354719
-rect 193093 354441 193211 354559
-rect 191293 353681 191411 353799
-rect 191293 353521 191411 353639
-rect 189493 352761 189611 352879
-rect 189493 352601 189611 352719
-rect 203893 355061 204011 355179
-rect 203893 354901 204011 355019
-rect 202093 354141 202211 354259
-rect 202093 353981 202211 354099
-rect 200293 353221 200411 353339
-rect 200293 353061 200411 353179
+rect 194893 355591 195011 355709
+rect 194893 355431 195011 355549
+rect 193093 354651 193211 354769
+rect 193093 354491 193211 354609
+rect 191293 353711 191411 353829
+rect 191293 353551 191411 353669
+rect 189493 352771 189611 352889
+rect 189493 352611 189611 352729
+rect 203893 355121 204011 355239
+rect 203893 354961 204011 355079
+rect 202093 354181 202211 354299
+rect 202093 354021 202211 354139
+rect 200293 353241 200411 353359
+rect 200293 353081 200411 353199
 rect 198493 352301 198611 352419
 rect 198493 352141 198611 352259
-rect 212893 355521 213011 355639
-rect 212893 355361 213011 355479
-rect 211093 354601 211211 354719
-rect 211093 354441 211211 354559
-rect 209293 353681 209411 353799
-rect 209293 353521 209411 353639
-rect 207493 352761 207611 352879
-rect 207493 352601 207611 352719
-rect 221893 355061 222011 355179
-rect 221893 354901 222011 355019
-rect 220093 354141 220211 354259
-rect 220093 353981 220211 354099
-rect 218293 353221 218411 353339
-rect 218293 353061 218411 353179
+rect 212893 355591 213011 355709
+rect 212893 355431 213011 355549
+rect 211093 354651 211211 354769
+rect 211093 354491 211211 354609
+rect 209293 353711 209411 353829
+rect 209293 353551 209411 353669
+rect 207493 352771 207611 352889
+rect 207493 352611 207611 352729
+rect 221893 355121 222011 355239
+rect 221893 354961 222011 355079
+rect 220093 354181 220211 354299
+rect 220093 354021 220211 354139
+rect 218293 353241 218411 353359
+rect 218293 353081 218411 353199
 rect 216493 352301 216611 352419
 rect 216493 352141 216611 352259
-rect 230893 355521 231011 355639
-rect 230893 355361 231011 355479
-rect 229093 354601 229211 354719
-rect 229093 354441 229211 354559
-rect 227293 353681 227411 353799
-rect 227293 353521 227411 353639
-rect 225493 352761 225611 352879
-rect 225493 352601 225611 352719
-rect 239893 355061 240011 355179
-rect 239893 354901 240011 355019
-rect 238093 354141 238211 354259
-rect 238093 353981 238211 354099
-rect 236293 353221 236411 353339
-rect 236293 353061 236411 353179
+rect 230893 355591 231011 355709
+rect 230893 355431 231011 355549
+rect 229093 354651 229211 354769
+rect 229093 354491 229211 354609
+rect 227293 353711 227411 353829
+rect 227293 353551 227411 353669
+rect 225493 352771 225611 352889
+rect 225493 352611 225611 352729
+rect 239893 355121 240011 355239
+rect 239893 354961 240011 355079
+rect 238093 354181 238211 354299
+rect 238093 354021 238211 354139
+rect 236293 353241 236411 353359
+rect 236293 353081 236411 353199
 rect 234493 352301 234611 352419
 rect 234493 352141 234611 352259
-rect 248893 355521 249011 355639
-rect 248893 355361 249011 355479
-rect 247093 354601 247211 354719
-rect 247093 354441 247211 354559
-rect 245293 353681 245411 353799
-rect 245293 353521 245411 353639
-rect 243493 352761 243611 352879
-rect 243493 352601 243611 352719
-rect 257893 355061 258011 355179
-rect 257893 354901 258011 355019
-rect 256093 354141 256211 354259
-rect 256093 353981 256211 354099
-rect 254293 353221 254411 353339
-rect 254293 353061 254411 353179
+rect 248893 355591 249011 355709
+rect 248893 355431 249011 355549
+rect 247093 354651 247211 354769
+rect 247093 354491 247211 354609
+rect 245293 353711 245411 353829
+rect 245293 353551 245411 353669
+rect 243493 352771 243611 352889
+rect 243493 352611 243611 352729
+rect 257893 355121 258011 355239
+rect 257893 354961 258011 355079
+rect 256093 354181 256211 354299
+rect 256093 354021 256211 354139
+rect 254293 353241 254411 353359
+rect 254293 353081 254411 353199
 rect 252493 352301 252611 352419
 rect 252493 352141 252611 352259
-rect 266893 355521 267011 355639
-rect 266893 355361 267011 355479
-rect 265093 354601 265211 354719
-rect 265093 354441 265211 354559
-rect 263293 353681 263411 353799
-rect 263293 353521 263411 353639
-rect 261493 352761 261611 352879
-rect 261493 352601 261611 352719
-rect 275893 355061 276011 355179
-rect 275893 354901 276011 355019
-rect 274093 354141 274211 354259
-rect 274093 353981 274211 354099
-rect 272293 353221 272411 353339
-rect 272293 353061 272411 353179
+rect 266893 355591 267011 355709
+rect 266893 355431 267011 355549
+rect 265093 354651 265211 354769
+rect 265093 354491 265211 354609
+rect 263293 353711 263411 353829
+rect 263293 353551 263411 353669
+rect 261493 352771 261611 352889
+rect 261493 352611 261611 352729
+rect 275893 355121 276011 355239
+rect 275893 354961 276011 355079
+rect 274093 354181 274211 354299
+rect 274093 354021 274211 354139
+rect 272293 353241 272411 353359
+rect 272293 353081 272411 353199
 rect 270493 352301 270611 352419
 rect 270493 352141 270611 352259
-rect 284893 355521 285011 355639
-rect 284893 355361 285011 355479
-rect 283093 354601 283211 354719
-rect 283093 354441 283211 354559
-rect 281293 353681 281411 353799
-rect 281293 353521 281411 353639
-rect 279493 352761 279611 352879
-rect 279493 352601 279611 352719
-rect 295971 355521 296089 355639
-rect 295971 355361 296089 355479
-rect 295511 355061 295629 355179
-rect 295511 354901 295629 355019
-rect 295051 354601 295169 354719
-rect 295051 354441 295169 354559
-rect 294591 354141 294709 354259
-rect 294591 353981 294709 354099
-rect 294131 353681 294249 353799
-rect 294131 353521 294249 353639
-rect 290293 353221 290411 353339
-rect 290293 353061 290411 353179
+rect 284893 355591 285011 355709
+rect 284893 355431 285011 355549
+rect 283093 354651 283211 354769
+rect 283093 354491 283211 354609
+rect 281293 353711 281411 353829
+rect 281293 353551 281411 353669
+rect 279493 352771 279611 352889
+rect 279493 352611 279611 352729
+rect 296041 355591 296159 355709
+rect 296041 355431 296159 355549
+rect 295571 355121 295689 355239
+rect 295571 354961 295689 355079
+rect 295101 354651 295219 354769
+rect 295101 354491 295219 354609
+rect 294631 354181 294749 354299
+rect 294631 354021 294749 354139
+rect 294161 353711 294279 353829
+rect 294161 353551 294279 353669
+rect 290293 353241 290411 353359
+rect 290293 353081 290411 353199
 rect 288493 352301 288611 352419
 rect 288493 352141 288611 352259
-rect 293671 353221 293789 353339
-rect 293671 353061 293789 353179
-rect 293211 352761 293329 352879
-rect 293211 352601 293329 352719
+rect 293691 353241 293809 353359
+rect 293691 353081 293809 353199
+rect 293221 352771 293339 352889
+rect 293221 352611 293339 352729
 rect 292751 352301 292869 352419
 rect 292751 352141 292869 352259
 rect -907 343109 -789 343227
@@ -5191,1110 +5191,1110 @@
 rect -907 -451 -789 -333
 rect 493 -291 611 -173
 rect 493 -451 611 -333
-rect -1367 -751 -1249 -633
-rect -1367 -911 -1249 -793
-rect -1827 -1211 -1709 -1093
-rect -1827 -1371 -1709 -1253
-rect 2293 -1211 2411 -1093
-rect 2293 -1371 2411 -1253
-rect -2287 -1671 -2169 -1553
-rect -2287 -1831 -2169 -1713
-rect -2747 -2131 -2629 -2013
-rect -2747 -2291 -2629 -2173
-rect 4093 -2131 4211 -2013
-rect 4093 -2291 4211 -2173
-rect -3207 -2591 -3089 -2473
-rect -3207 -2751 -3089 -2633
-rect -3667 -3051 -3549 -2933
-rect -3667 -3211 -3549 -3093
-rect 9493 -751 9611 -633
-rect 9493 -911 9611 -793
-rect 11293 -1671 11411 -1553
-rect 11293 -1831 11411 -1713
-rect 13093 -2591 13211 -2473
-rect 13093 -2751 13211 -2633
-rect 5893 -3051 6011 -2933
-rect 5893 -3211 6011 -3093
-rect -4127 -3511 -4009 -3393
-rect -4127 -3671 -4009 -3553
+rect -1377 -761 -1259 -643
+rect -1377 -921 -1259 -803
+rect -1847 -1231 -1729 -1113
+rect -1847 -1391 -1729 -1273
+rect 2293 -1231 2411 -1113
+rect 2293 -1391 2411 -1273
+rect -2317 -1701 -2199 -1583
+rect -2317 -1861 -2199 -1743
+rect -2787 -2171 -2669 -2053
+rect -2787 -2331 -2669 -2213
+rect 4093 -2171 4211 -2053
+rect 4093 -2331 4211 -2213
+rect -3257 -2641 -3139 -2523
+rect -3257 -2801 -3139 -2683
+rect -3727 -3111 -3609 -2993
+rect -3727 -3271 -3609 -3153
+rect 9493 -761 9611 -643
+rect 9493 -921 9611 -803
+rect 11293 -1701 11411 -1583
+rect 11293 -1861 11411 -1743
+rect 13093 -2641 13211 -2523
+rect 13093 -2801 13211 -2683
+rect 5893 -3111 6011 -2993
+rect 5893 -3271 6011 -3153
+rect -4197 -3581 -4079 -3463
+rect -4197 -3741 -4079 -3623
 rect 18493 -291 18611 -173
 rect 18493 -451 18611 -333
-rect 20293 -1211 20411 -1093
-rect 20293 -1371 20411 -1253
-rect 22093 -2131 22211 -2013
-rect 22093 -2291 22211 -2173
-rect 14893 -3511 15011 -3393
-rect 14893 -3671 15011 -3553
-rect 27493 -751 27611 -633
-rect 27493 -911 27611 -793
-rect 29293 -1671 29411 -1553
-rect 29293 -1831 29411 -1713
-rect 31093 -2591 31211 -2473
-rect 31093 -2751 31211 -2633
-rect 23893 -3051 24011 -2933
-rect 23893 -3211 24011 -3093
+rect 20293 -1231 20411 -1113
+rect 20293 -1391 20411 -1273
+rect 22093 -2171 22211 -2053
+rect 22093 -2331 22211 -2213
+rect 14893 -3581 15011 -3463
+rect 14893 -3741 15011 -3623
+rect 27493 -761 27611 -643
+rect 27493 -921 27611 -803
+rect 29293 -1701 29411 -1583
+rect 29293 -1861 29411 -1743
+rect 31093 -2641 31211 -2523
+rect 31093 -2801 31211 -2683
+rect 23893 -3111 24011 -2993
+rect 23893 -3271 24011 -3153
 rect 36493 -291 36611 -173
 rect 36493 -451 36611 -333
-rect 38293 -1211 38411 -1093
-rect 38293 -1371 38411 -1253
-rect 40093 -2131 40211 -2013
-rect 40093 -2291 40211 -2173
-rect 32893 -3511 33011 -3393
-rect 32893 -3671 33011 -3553
-rect 45493 -751 45611 -633
-rect 45493 -911 45611 -793
-rect 47293 -1671 47411 -1553
-rect 47293 -1831 47411 -1713
-rect 49093 -2591 49211 -2473
-rect 49093 -2751 49211 -2633
-rect 41893 -3051 42011 -2933
-rect 41893 -3211 42011 -3093
+rect 38293 -1231 38411 -1113
+rect 38293 -1391 38411 -1273
+rect 40093 -2171 40211 -2053
+rect 40093 -2331 40211 -2213
+rect 32893 -3581 33011 -3463
+rect 32893 -3741 33011 -3623
+rect 45493 -761 45611 -643
+rect 45493 -921 45611 -803
+rect 47293 -1701 47411 -1583
+rect 47293 -1861 47411 -1743
+rect 49093 -2641 49211 -2523
+rect 49093 -2801 49211 -2683
+rect 41893 -3111 42011 -2993
+rect 41893 -3271 42011 -3153
 rect 54493 -291 54611 -173
 rect 54493 -451 54611 -333
-rect 56293 -1211 56411 -1093
-rect 56293 -1371 56411 -1253
-rect 58093 -2131 58211 -2013
-rect 58093 -2291 58211 -2173
-rect 50893 -3511 51011 -3393
-rect 50893 -3671 51011 -3553
-rect 63493 -751 63611 -633
-rect 63493 -911 63611 -793
-rect 65293 -1671 65411 -1553
-rect 65293 -1831 65411 -1713
-rect 67093 -2591 67211 -2473
-rect 67093 -2751 67211 -2633
-rect 59893 -3051 60011 -2933
-rect 59893 -3211 60011 -3093
+rect 56293 -1231 56411 -1113
+rect 56293 -1391 56411 -1273
+rect 58093 -2171 58211 -2053
+rect 58093 -2331 58211 -2213
+rect 50893 -3581 51011 -3463
+rect 50893 -3741 51011 -3623
+rect 63493 -761 63611 -643
+rect 63493 -921 63611 -803
+rect 65293 -1701 65411 -1583
+rect 65293 -1861 65411 -1743
+rect 67093 -2641 67211 -2523
+rect 67093 -2801 67211 -2683
+rect 59893 -3111 60011 -2993
+rect 59893 -3271 60011 -3153
 rect 72493 -291 72611 -173
 rect 72493 -451 72611 -333
-rect 74293 -1211 74411 -1093
-rect 74293 -1371 74411 -1253
-rect 76093 -2131 76211 -2013
-rect 76093 -2291 76211 -2173
-rect 68893 -3511 69011 -3393
-rect 68893 -3671 69011 -3553
-rect 81493 -751 81611 -633
-rect 81493 -911 81611 -793
-rect 83293 -1671 83411 -1553
-rect 83293 -1831 83411 -1713
-rect 85093 -2591 85211 -2473
-rect 85093 -2751 85211 -2633
-rect 77893 -3051 78011 -2933
-rect 77893 -3211 78011 -3093
+rect 74293 -1231 74411 -1113
+rect 74293 -1391 74411 -1273
+rect 76093 -2171 76211 -2053
+rect 76093 -2331 76211 -2213
+rect 68893 -3581 69011 -3463
+rect 68893 -3741 69011 -3623
+rect 81493 -761 81611 -643
+rect 81493 -921 81611 -803
+rect 83293 -1701 83411 -1583
+rect 83293 -1861 83411 -1743
+rect 85093 -2641 85211 -2523
+rect 85093 -2801 85211 -2683
+rect 77893 -3111 78011 -2993
+rect 77893 -3271 78011 -3153
 rect 90493 -291 90611 -173
 rect 90493 -451 90611 -333
-rect 92293 -1211 92411 -1093
-rect 92293 -1371 92411 -1253
-rect 94093 -2131 94211 -2013
-rect 94093 -2291 94211 -2173
-rect 86893 -3511 87011 -3393
-rect 86893 -3671 87011 -3553
-rect 99493 -751 99611 -633
-rect 99493 -911 99611 -793
-rect 101293 -1671 101411 -1553
-rect 101293 -1831 101411 -1713
-rect 103093 -2591 103211 -2473
-rect 103093 -2751 103211 -2633
-rect 95893 -3051 96011 -2933
-rect 95893 -3211 96011 -3093
+rect 92293 -1231 92411 -1113
+rect 92293 -1391 92411 -1273
+rect 94093 -2171 94211 -2053
+rect 94093 -2331 94211 -2213
+rect 86893 -3581 87011 -3463
+rect 86893 -3741 87011 -3623
+rect 99493 -761 99611 -643
+rect 99493 -921 99611 -803
+rect 101293 -1701 101411 -1583
+rect 101293 -1861 101411 -1743
+rect 103093 -2641 103211 -2523
+rect 103093 -2801 103211 -2683
+rect 95893 -3111 96011 -2993
+rect 95893 -3271 96011 -3153
 rect 108493 -291 108611 -173
 rect 108493 -451 108611 -333
-rect 110293 -1211 110411 -1093
-rect 110293 -1371 110411 -1253
-rect 112093 -2131 112211 -2013
-rect 112093 -2291 112211 -2173
-rect 104893 -3511 105011 -3393
-rect 104893 -3671 105011 -3553
-rect 117493 -751 117611 -633
-rect 117493 -911 117611 -793
-rect 119293 -1671 119411 -1553
-rect 119293 -1831 119411 -1713
-rect 121093 -2591 121211 -2473
-rect 121093 -2751 121211 -2633
-rect 113893 -3051 114011 -2933
-rect 113893 -3211 114011 -3093
+rect 110293 -1231 110411 -1113
+rect 110293 -1391 110411 -1273
+rect 112093 -2171 112211 -2053
+rect 112093 -2331 112211 -2213
+rect 104893 -3581 105011 -3463
+rect 104893 -3741 105011 -3623
+rect 117493 -761 117611 -643
+rect 117493 -921 117611 -803
+rect 119293 -1701 119411 -1583
+rect 119293 -1861 119411 -1743
+rect 121093 -2641 121211 -2523
+rect 121093 -2801 121211 -2683
+rect 113893 -3111 114011 -2993
+rect 113893 -3271 114011 -3153
 rect 126493 -291 126611 -173
 rect 126493 -451 126611 -333
-rect 128293 -1211 128411 -1093
-rect 128293 -1371 128411 -1253
-rect 130093 -2131 130211 -2013
-rect 130093 -2291 130211 -2173
-rect 122893 -3511 123011 -3393
-rect 122893 -3671 123011 -3553
-rect 135493 -751 135611 -633
-rect 135493 -911 135611 -793
-rect 137293 -1671 137411 -1553
-rect 137293 -1831 137411 -1713
-rect 139093 -2591 139211 -2473
-rect 139093 -2751 139211 -2633
-rect 131893 -3051 132011 -2933
-rect 131893 -3211 132011 -3093
+rect 128293 -1231 128411 -1113
+rect 128293 -1391 128411 -1273
+rect 130093 -2171 130211 -2053
+rect 130093 -2331 130211 -2213
+rect 122893 -3581 123011 -3463
+rect 122893 -3741 123011 -3623
+rect 135493 -761 135611 -643
+rect 135493 -921 135611 -803
+rect 137293 -1701 137411 -1583
+rect 137293 -1861 137411 -1743
+rect 139093 -2641 139211 -2523
+rect 139093 -2801 139211 -2683
+rect 131893 -3111 132011 -2993
+rect 131893 -3271 132011 -3153
 rect 144493 -291 144611 -173
 rect 144493 -451 144611 -333
-rect 146293 -1211 146411 -1093
-rect 146293 -1371 146411 -1253
-rect 148093 -2131 148211 -2013
-rect 148093 -2291 148211 -2173
-rect 140893 -3511 141011 -3393
-rect 140893 -3671 141011 -3553
-rect 153493 -751 153611 -633
-rect 153493 -911 153611 -793
-rect 155293 -1671 155411 -1553
-rect 155293 -1831 155411 -1713
-rect 157093 -2591 157211 -2473
-rect 157093 -2751 157211 -2633
-rect 149893 -3051 150011 -2933
-rect 149893 -3211 150011 -3093
+rect 146293 -1231 146411 -1113
+rect 146293 -1391 146411 -1273
+rect 148093 -2171 148211 -2053
+rect 148093 -2331 148211 -2213
+rect 140893 -3581 141011 -3463
+rect 140893 -3741 141011 -3623
+rect 153493 -761 153611 -643
+rect 153493 -921 153611 -803
+rect 155293 -1701 155411 -1583
+rect 155293 -1861 155411 -1743
+rect 157093 -2641 157211 -2523
+rect 157093 -2801 157211 -2683
+rect 149893 -3111 150011 -2993
+rect 149893 -3271 150011 -3153
 rect 162493 -291 162611 -173
 rect 162493 -451 162611 -333
-rect 164293 -1211 164411 -1093
-rect 164293 -1371 164411 -1253
-rect 166093 -2131 166211 -2013
-rect 166093 -2291 166211 -2173
-rect 158893 -3511 159011 -3393
-rect 158893 -3671 159011 -3553
-rect 171493 -751 171611 -633
-rect 171493 -911 171611 -793
-rect 173293 -1671 173411 -1553
-rect 173293 -1831 173411 -1713
-rect 175093 -2591 175211 -2473
-rect 175093 -2751 175211 -2633
-rect 167893 -3051 168011 -2933
-rect 167893 -3211 168011 -3093
+rect 164293 -1231 164411 -1113
+rect 164293 -1391 164411 -1273
+rect 166093 -2171 166211 -2053
+rect 166093 -2331 166211 -2213
+rect 158893 -3581 159011 -3463
+rect 158893 -3741 159011 -3623
+rect 171493 -761 171611 -643
+rect 171493 -921 171611 -803
+rect 173293 -1701 173411 -1583
+rect 173293 -1861 173411 -1743
+rect 175093 -2641 175211 -2523
+rect 175093 -2801 175211 -2683
+rect 167893 -3111 168011 -2993
+rect 167893 -3271 168011 -3153
 rect 180493 -291 180611 -173
 rect 180493 -451 180611 -333
-rect 182293 -1211 182411 -1093
-rect 182293 -1371 182411 -1253
-rect 184093 -2131 184211 -2013
-rect 184093 -2291 184211 -2173
-rect 176893 -3511 177011 -3393
-rect 176893 -3671 177011 -3553
-rect 189493 -751 189611 -633
-rect 189493 -911 189611 -793
-rect 191293 -1671 191411 -1553
-rect 191293 -1831 191411 -1713
-rect 193093 -2591 193211 -2473
-rect 193093 -2751 193211 -2633
-rect 185893 -3051 186011 -2933
-rect 185893 -3211 186011 -3093
+rect 182293 -1231 182411 -1113
+rect 182293 -1391 182411 -1273
+rect 184093 -2171 184211 -2053
+rect 184093 -2331 184211 -2213
+rect 176893 -3581 177011 -3463
+rect 176893 -3741 177011 -3623
+rect 189493 -761 189611 -643
+rect 189493 -921 189611 -803
+rect 191293 -1701 191411 -1583
+rect 191293 -1861 191411 -1743
+rect 193093 -2641 193211 -2523
+rect 193093 -2801 193211 -2683
+rect 185893 -3111 186011 -2993
+rect 185893 -3271 186011 -3153
 rect 198493 -291 198611 -173
 rect 198493 -451 198611 -333
-rect 200293 -1211 200411 -1093
-rect 200293 -1371 200411 -1253
-rect 202093 -2131 202211 -2013
-rect 202093 -2291 202211 -2173
-rect 194893 -3511 195011 -3393
-rect 194893 -3671 195011 -3553
-rect 207493 -751 207611 -633
-rect 207493 -911 207611 -793
-rect 209293 -1671 209411 -1553
-rect 209293 -1831 209411 -1713
-rect 211093 -2591 211211 -2473
-rect 211093 -2751 211211 -2633
-rect 203893 -3051 204011 -2933
-rect 203893 -3211 204011 -3093
+rect 200293 -1231 200411 -1113
+rect 200293 -1391 200411 -1273
+rect 202093 -2171 202211 -2053
+rect 202093 -2331 202211 -2213
+rect 194893 -3581 195011 -3463
+rect 194893 -3741 195011 -3623
+rect 207493 -761 207611 -643
+rect 207493 -921 207611 -803
+rect 209293 -1701 209411 -1583
+rect 209293 -1861 209411 -1743
+rect 211093 -2641 211211 -2523
+rect 211093 -2801 211211 -2683
+rect 203893 -3111 204011 -2993
+rect 203893 -3271 204011 -3153
 rect 216493 -291 216611 -173
 rect 216493 -451 216611 -333
-rect 218293 -1211 218411 -1093
-rect 218293 -1371 218411 -1253
-rect 220093 -2131 220211 -2013
-rect 220093 -2291 220211 -2173
-rect 212893 -3511 213011 -3393
-rect 212893 -3671 213011 -3553
-rect 225493 -751 225611 -633
-rect 225493 -911 225611 -793
-rect 227293 -1671 227411 -1553
-rect 227293 -1831 227411 -1713
-rect 229093 -2591 229211 -2473
-rect 229093 -2751 229211 -2633
-rect 221893 -3051 222011 -2933
-rect 221893 -3211 222011 -3093
+rect 218293 -1231 218411 -1113
+rect 218293 -1391 218411 -1273
+rect 220093 -2171 220211 -2053
+rect 220093 -2331 220211 -2213
+rect 212893 -3581 213011 -3463
+rect 212893 -3741 213011 -3623
+rect 225493 -761 225611 -643
+rect 225493 -921 225611 -803
+rect 227293 -1701 227411 -1583
+rect 227293 -1861 227411 -1743
+rect 229093 -2641 229211 -2523
+rect 229093 -2801 229211 -2683
+rect 221893 -3111 222011 -2993
+rect 221893 -3271 222011 -3153
 rect 234493 -291 234611 -173
 rect 234493 -451 234611 -333
-rect 236293 -1211 236411 -1093
-rect 236293 -1371 236411 -1253
-rect 238093 -2131 238211 -2013
-rect 238093 -2291 238211 -2173
-rect 230893 -3511 231011 -3393
-rect 230893 -3671 231011 -3553
-rect 243493 -751 243611 -633
-rect 243493 -911 243611 -793
-rect 245293 -1671 245411 -1553
-rect 245293 -1831 245411 -1713
-rect 247093 -2591 247211 -2473
-rect 247093 -2751 247211 -2633
-rect 239893 -3051 240011 -2933
-rect 239893 -3211 240011 -3093
+rect 236293 -1231 236411 -1113
+rect 236293 -1391 236411 -1273
+rect 238093 -2171 238211 -2053
+rect 238093 -2331 238211 -2213
+rect 230893 -3581 231011 -3463
+rect 230893 -3741 231011 -3623
+rect 243493 -761 243611 -643
+rect 243493 -921 243611 -803
+rect 245293 -1701 245411 -1583
+rect 245293 -1861 245411 -1743
+rect 247093 -2641 247211 -2523
+rect 247093 -2801 247211 -2683
+rect 239893 -3111 240011 -2993
+rect 239893 -3271 240011 -3153
 rect 252493 -291 252611 -173
 rect 252493 -451 252611 -333
-rect 254293 -1211 254411 -1093
-rect 254293 -1371 254411 -1253
-rect 256093 -2131 256211 -2013
-rect 256093 -2291 256211 -2173
-rect 248893 -3511 249011 -3393
-rect 248893 -3671 249011 -3553
-rect 261493 -751 261611 -633
-rect 261493 -911 261611 -793
-rect 263293 -1671 263411 -1553
-rect 263293 -1831 263411 -1713
-rect 265093 -2591 265211 -2473
-rect 265093 -2751 265211 -2633
-rect 257893 -3051 258011 -2933
-rect 257893 -3211 258011 -3093
+rect 254293 -1231 254411 -1113
+rect 254293 -1391 254411 -1273
+rect 256093 -2171 256211 -2053
+rect 256093 -2331 256211 -2213
+rect 248893 -3581 249011 -3463
+rect 248893 -3741 249011 -3623
+rect 261493 -761 261611 -643
+rect 261493 -921 261611 -803
+rect 263293 -1701 263411 -1583
+rect 263293 -1861 263411 -1743
+rect 265093 -2641 265211 -2523
+rect 265093 -2801 265211 -2683
+rect 257893 -3111 258011 -2993
+rect 257893 -3271 258011 -3153
 rect 270493 -291 270611 -173
 rect 270493 -451 270611 -333
-rect 272293 -1211 272411 -1093
-rect 272293 -1371 272411 -1253
-rect 274093 -2131 274211 -2013
-rect 274093 -2291 274211 -2173
-rect 266893 -3511 267011 -3393
-rect 266893 -3671 267011 -3553
-rect 279493 -751 279611 -633
-rect 279493 -911 279611 -793
-rect 281293 -1671 281411 -1553
-rect 281293 -1831 281411 -1713
-rect 283093 -2591 283211 -2473
-rect 283093 -2751 283211 -2633
-rect 275893 -3051 276011 -2933
-rect 275893 -3211 276011 -3093
+rect 272293 -1231 272411 -1113
+rect 272293 -1391 272411 -1273
+rect 274093 -2171 274211 -2053
+rect 274093 -2331 274211 -2213
+rect 266893 -3581 267011 -3463
+rect 266893 -3741 267011 -3623
+rect 279493 -761 279611 -643
+rect 279493 -921 279611 -803
+rect 281293 -1701 281411 -1583
+rect 281293 -1861 281411 -1743
+rect 283093 -2641 283211 -2523
+rect 283093 -2801 283211 -2683
+rect 275893 -3111 276011 -2993
+rect 275893 -3271 276011 -3153
 rect 288493 -291 288611 -173
 rect 288493 -451 288611 -333
 rect 292751 -291 292869 -173
 rect 292751 -451 292869 -333
-rect 293211 334109 293329 334227
-rect 293211 333949 293329 334067
-rect 293211 316109 293329 316227
-rect 293211 315949 293329 316067
-rect 293211 298109 293329 298227
-rect 293211 297949 293329 298067
-rect 293211 280109 293329 280227
-rect 293211 279949 293329 280067
-rect 293211 262109 293329 262227
-rect 293211 261949 293329 262067
-rect 293211 244109 293329 244227
-rect 293211 243949 293329 244067
-rect 293211 226109 293329 226227
-rect 293211 225949 293329 226067
-rect 293211 208109 293329 208227
-rect 293211 207949 293329 208067
-rect 293211 190109 293329 190227
-rect 293211 189949 293329 190067
-rect 293211 172109 293329 172227
-rect 293211 171949 293329 172067
-rect 293211 154109 293329 154227
-rect 293211 153949 293329 154067
-rect 293211 136109 293329 136227
-rect 293211 135949 293329 136067
-rect 293211 118109 293329 118227
-rect 293211 117949 293329 118067
-rect 293211 100109 293329 100227
-rect 293211 99949 293329 100067
-rect 293211 82109 293329 82227
-rect 293211 81949 293329 82067
-rect 293211 64109 293329 64227
-rect 293211 63949 293329 64067
-rect 293211 46109 293329 46227
-rect 293211 45949 293329 46067
-rect 293211 28109 293329 28227
-rect 293211 27949 293329 28067
-rect 293211 10109 293329 10227
-rect 293211 9949 293329 10067
-rect 293211 -751 293329 -633
-rect 293211 -911 293329 -793
-rect 293671 344909 293789 345027
-rect 293671 344749 293789 344867
-rect 293671 326909 293789 327027
-rect 293671 326749 293789 326867
-rect 293671 308909 293789 309027
-rect 293671 308749 293789 308867
-rect 293671 290909 293789 291027
-rect 293671 290749 293789 290867
-rect 293671 272909 293789 273027
-rect 293671 272749 293789 272867
-rect 293671 254909 293789 255027
-rect 293671 254749 293789 254867
-rect 293671 236909 293789 237027
-rect 293671 236749 293789 236867
-rect 293671 218909 293789 219027
-rect 293671 218749 293789 218867
-rect 293671 200909 293789 201027
-rect 293671 200749 293789 200867
-rect 293671 182909 293789 183027
-rect 293671 182749 293789 182867
-rect 293671 164909 293789 165027
-rect 293671 164749 293789 164867
-rect 293671 146909 293789 147027
-rect 293671 146749 293789 146867
-rect 293671 128909 293789 129027
-rect 293671 128749 293789 128867
-rect 293671 110909 293789 111027
-rect 293671 110749 293789 110867
-rect 293671 92909 293789 93027
-rect 293671 92749 293789 92867
-rect 293671 74909 293789 75027
-rect 293671 74749 293789 74867
-rect 293671 56909 293789 57027
-rect 293671 56749 293789 56867
-rect 293671 38909 293789 39027
-rect 293671 38749 293789 38867
-rect 293671 20909 293789 21027
-rect 293671 20749 293789 20867
-rect 293671 2909 293789 3027
-rect 293671 2749 293789 2867
-rect 290293 -1211 290411 -1093
-rect 290293 -1371 290411 -1253
-rect 293671 -1211 293789 -1093
-rect 293671 -1371 293789 -1253
-rect 294131 335909 294249 336027
-rect 294131 335749 294249 335867
-rect 294131 317909 294249 318027
-rect 294131 317749 294249 317867
-rect 294131 299909 294249 300027
-rect 294131 299749 294249 299867
-rect 294131 281909 294249 282027
-rect 294131 281749 294249 281867
-rect 294131 263909 294249 264027
-rect 294131 263749 294249 263867
-rect 294131 245909 294249 246027
-rect 294131 245749 294249 245867
-rect 294131 227909 294249 228027
-rect 294131 227749 294249 227867
-rect 294131 209909 294249 210027
-rect 294131 209749 294249 209867
-rect 294131 191909 294249 192027
-rect 294131 191749 294249 191867
-rect 294131 173909 294249 174027
-rect 294131 173749 294249 173867
-rect 294131 155909 294249 156027
-rect 294131 155749 294249 155867
-rect 294131 137909 294249 138027
-rect 294131 137749 294249 137867
-rect 294131 119909 294249 120027
-rect 294131 119749 294249 119867
-rect 294131 101909 294249 102027
-rect 294131 101749 294249 101867
-rect 294131 83909 294249 84027
-rect 294131 83749 294249 83867
-rect 294131 65909 294249 66027
-rect 294131 65749 294249 65867
-rect 294131 47909 294249 48027
-rect 294131 47749 294249 47867
-rect 294131 29909 294249 30027
-rect 294131 29749 294249 29867
-rect 294131 11909 294249 12027
-rect 294131 11749 294249 11867
-rect 294131 -1671 294249 -1553
-rect 294131 -1831 294249 -1713
-rect 294591 346709 294709 346827
-rect 294591 346549 294709 346667
-rect 294591 328709 294709 328827
-rect 294591 328549 294709 328667
-rect 294591 310709 294709 310827
-rect 294591 310549 294709 310667
-rect 294591 292709 294709 292827
-rect 294591 292549 294709 292667
-rect 294591 274709 294709 274827
-rect 294591 274549 294709 274667
-rect 294591 256709 294709 256827
-rect 294591 256549 294709 256667
-rect 294591 238709 294709 238827
-rect 294591 238549 294709 238667
-rect 294591 220709 294709 220827
-rect 294591 220549 294709 220667
-rect 294591 202709 294709 202827
-rect 294591 202549 294709 202667
-rect 294591 184709 294709 184827
-rect 294591 184549 294709 184667
-rect 294591 166709 294709 166827
-rect 294591 166549 294709 166667
-rect 294591 148709 294709 148827
-rect 294591 148549 294709 148667
-rect 294591 130709 294709 130827
-rect 294591 130549 294709 130667
-rect 294591 112709 294709 112827
-rect 294591 112549 294709 112667
-rect 294591 94709 294709 94827
-rect 294591 94549 294709 94667
-rect 294591 76709 294709 76827
-rect 294591 76549 294709 76667
-rect 294591 58709 294709 58827
-rect 294591 58549 294709 58667
-rect 294591 40709 294709 40827
-rect 294591 40549 294709 40667
-rect 294591 22709 294709 22827
-rect 294591 22549 294709 22667
-rect 294591 4709 294709 4827
-rect 294591 4549 294709 4667
-rect 294591 -2131 294709 -2013
-rect 294591 -2291 294709 -2173
-rect 295051 337709 295169 337827
-rect 295051 337549 295169 337667
-rect 295051 319709 295169 319827
-rect 295051 319549 295169 319667
-rect 295051 301709 295169 301827
-rect 295051 301549 295169 301667
-rect 295051 283709 295169 283827
-rect 295051 283549 295169 283667
-rect 295051 265709 295169 265827
-rect 295051 265549 295169 265667
-rect 295051 247709 295169 247827
-rect 295051 247549 295169 247667
-rect 295051 229709 295169 229827
-rect 295051 229549 295169 229667
-rect 295051 211709 295169 211827
-rect 295051 211549 295169 211667
-rect 295051 193709 295169 193827
-rect 295051 193549 295169 193667
-rect 295051 175709 295169 175827
-rect 295051 175549 295169 175667
-rect 295051 157709 295169 157827
-rect 295051 157549 295169 157667
-rect 295051 139709 295169 139827
-rect 295051 139549 295169 139667
-rect 295051 121709 295169 121827
-rect 295051 121549 295169 121667
-rect 295051 103709 295169 103827
-rect 295051 103549 295169 103667
-rect 295051 85709 295169 85827
-rect 295051 85549 295169 85667
-rect 295051 67709 295169 67827
-rect 295051 67549 295169 67667
-rect 295051 49709 295169 49827
-rect 295051 49549 295169 49667
-rect 295051 31709 295169 31827
-rect 295051 31549 295169 31667
-rect 295051 13709 295169 13827
-rect 295051 13549 295169 13667
-rect 295051 -2591 295169 -2473
-rect 295051 -2751 295169 -2633
-rect 295511 348509 295629 348627
-rect 295511 348349 295629 348467
-rect 295511 330509 295629 330627
-rect 295511 330349 295629 330467
-rect 295511 312509 295629 312627
-rect 295511 312349 295629 312467
-rect 295511 294509 295629 294627
-rect 295511 294349 295629 294467
-rect 295511 276509 295629 276627
-rect 295511 276349 295629 276467
-rect 295511 258509 295629 258627
-rect 295511 258349 295629 258467
-rect 295511 240509 295629 240627
-rect 295511 240349 295629 240467
-rect 295511 222509 295629 222627
-rect 295511 222349 295629 222467
-rect 295511 204509 295629 204627
-rect 295511 204349 295629 204467
-rect 295511 186509 295629 186627
-rect 295511 186349 295629 186467
-rect 295511 168509 295629 168627
-rect 295511 168349 295629 168467
-rect 295511 150509 295629 150627
-rect 295511 150349 295629 150467
-rect 295511 132509 295629 132627
-rect 295511 132349 295629 132467
-rect 295511 114509 295629 114627
-rect 295511 114349 295629 114467
-rect 295511 96509 295629 96627
-rect 295511 96349 295629 96467
-rect 295511 78509 295629 78627
-rect 295511 78349 295629 78467
-rect 295511 60509 295629 60627
-rect 295511 60349 295629 60467
-rect 295511 42509 295629 42627
-rect 295511 42349 295629 42467
-rect 295511 24509 295629 24627
-rect 295511 24349 295629 24467
-rect 295511 6509 295629 6627
-rect 295511 6349 295629 6467
-rect 295511 -3051 295629 -2933
-rect 295511 -3211 295629 -3093
-rect 295971 339509 296089 339627
-rect 295971 339349 296089 339467
-rect 295971 321509 296089 321627
-rect 295971 321349 296089 321467
-rect 295971 303509 296089 303627
-rect 295971 303349 296089 303467
-rect 295971 285509 296089 285627
-rect 295971 285349 296089 285467
-rect 295971 267509 296089 267627
-rect 295971 267349 296089 267467
-rect 295971 249509 296089 249627
-rect 295971 249349 296089 249467
-rect 295971 231509 296089 231627
-rect 295971 231349 296089 231467
-rect 295971 213509 296089 213627
-rect 295971 213349 296089 213467
-rect 295971 195509 296089 195627
-rect 295971 195349 296089 195467
-rect 295971 177509 296089 177627
-rect 295971 177349 296089 177467
-rect 295971 159509 296089 159627
-rect 295971 159349 296089 159467
-rect 295971 141509 296089 141627
-rect 295971 141349 296089 141467
-rect 295971 123509 296089 123627
-rect 295971 123349 296089 123467
-rect 295971 105509 296089 105627
-rect 295971 105349 296089 105467
-rect 295971 87509 296089 87627
-rect 295971 87349 296089 87467
-rect 295971 69509 296089 69627
-rect 295971 69349 296089 69467
-rect 295971 51509 296089 51627
-rect 295971 51349 296089 51467
-rect 295971 33509 296089 33627
-rect 295971 33349 296089 33467
-rect 295971 15509 296089 15627
-rect 295971 15349 296089 15467
-rect 284893 -3511 285011 -3393
-rect 284893 -3671 285011 -3553
-rect 295971 -3511 296089 -3393
-rect 295971 -3671 296089 -3553
+rect 293221 334109 293339 334227
+rect 293221 333949 293339 334067
+rect 293221 316109 293339 316227
+rect 293221 315949 293339 316067
+rect 293221 298109 293339 298227
+rect 293221 297949 293339 298067
+rect 293221 280109 293339 280227
+rect 293221 279949 293339 280067
+rect 293221 262109 293339 262227
+rect 293221 261949 293339 262067
+rect 293221 244109 293339 244227
+rect 293221 243949 293339 244067
+rect 293221 226109 293339 226227
+rect 293221 225949 293339 226067
+rect 293221 208109 293339 208227
+rect 293221 207949 293339 208067
+rect 293221 190109 293339 190227
+rect 293221 189949 293339 190067
+rect 293221 172109 293339 172227
+rect 293221 171949 293339 172067
+rect 293221 154109 293339 154227
+rect 293221 153949 293339 154067
+rect 293221 136109 293339 136227
+rect 293221 135949 293339 136067
+rect 293221 118109 293339 118227
+rect 293221 117949 293339 118067
+rect 293221 100109 293339 100227
+rect 293221 99949 293339 100067
+rect 293221 82109 293339 82227
+rect 293221 81949 293339 82067
+rect 293221 64109 293339 64227
+rect 293221 63949 293339 64067
+rect 293221 46109 293339 46227
+rect 293221 45949 293339 46067
+rect 293221 28109 293339 28227
+rect 293221 27949 293339 28067
+rect 293221 10109 293339 10227
+rect 293221 9949 293339 10067
+rect 293221 -761 293339 -643
+rect 293221 -921 293339 -803
+rect 293691 344909 293809 345027
+rect 293691 344749 293809 344867
+rect 293691 326909 293809 327027
+rect 293691 326749 293809 326867
+rect 293691 308909 293809 309027
+rect 293691 308749 293809 308867
+rect 293691 290909 293809 291027
+rect 293691 290749 293809 290867
+rect 293691 272909 293809 273027
+rect 293691 272749 293809 272867
+rect 293691 254909 293809 255027
+rect 293691 254749 293809 254867
+rect 293691 236909 293809 237027
+rect 293691 236749 293809 236867
+rect 293691 218909 293809 219027
+rect 293691 218749 293809 218867
+rect 293691 200909 293809 201027
+rect 293691 200749 293809 200867
+rect 293691 182909 293809 183027
+rect 293691 182749 293809 182867
+rect 293691 164909 293809 165027
+rect 293691 164749 293809 164867
+rect 293691 146909 293809 147027
+rect 293691 146749 293809 146867
+rect 293691 128909 293809 129027
+rect 293691 128749 293809 128867
+rect 293691 110909 293809 111027
+rect 293691 110749 293809 110867
+rect 293691 92909 293809 93027
+rect 293691 92749 293809 92867
+rect 293691 74909 293809 75027
+rect 293691 74749 293809 74867
+rect 293691 56909 293809 57027
+rect 293691 56749 293809 56867
+rect 293691 38909 293809 39027
+rect 293691 38749 293809 38867
+rect 293691 20909 293809 21027
+rect 293691 20749 293809 20867
+rect 293691 2909 293809 3027
+rect 293691 2749 293809 2867
+rect 290293 -1231 290411 -1113
+rect 290293 -1391 290411 -1273
+rect 293691 -1231 293809 -1113
+rect 293691 -1391 293809 -1273
+rect 294161 335909 294279 336027
+rect 294161 335749 294279 335867
+rect 294161 317909 294279 318027
+rect 294161 317749 294279 317867
+rect 294161 299909 294279 300027
+rect 294161 299749 294279 299867
+rect 294161 281909 294279 282027
+rect 294161 281749 294279 281867
+rect 294161 263909 294279 264027
+rect 294161 263749 294279 263867
+rect 294161 245909 294279 246027
+rect 294161 245749 294279 245867
+rect 294161 227909 294279 228027
+rect 294161 227749 294279 227867
+rect 294161 209909 294279 210027
+rect 294161 209749 294279 209867
+rect 294161 191909 294279 192027
+rect 294161 191749 294279 191867
+rect 294161 173909 294279 174027
+rect 294161 173749 294279 173867
+rect 294161 155909 294279 156027
+rect 294161 155749 294279 155867
+rect 294161 137909 294279 138027
+rect 294161 137749 294279 137867
+rect 294161 119909 294279 120027
+rect 294161 119749 294279 119867
+rect 294161 101909 294279 102027
+rect 294161 101749 294279 101867
+rect 294161 83909 294279 84027
+rect 294161 83749 294279 83867
+rect 294161 65909 294279 66027
+rect 294161 65749 294279 65867
+rect 294161 47909 294279 48027
+rect 294161 47749 294279 47867
+rect 294161 29909 294279 30027
+rect 294161 29749 294279 29867
+rect 294161 11909 294279 12027
+rect 294161 11749 294279 11867
+rect 294161 -1701 294279 -1583
+rect 294161 -1861 294279 -1743
+rect 294631 346709 294749 346827
+rect 294631 346549 294749 346667
+rect 294631 328709 294749 328827
+rect 294631 328549 294749 328667
+rect 294631 310709 294749 310827
+rect 294631 310549 294749 310667
+rect 294631 292709 294749 292827
+rect 294631 292549 294749 292667
+rect 294631 274709 294749 274827
+rect 294631 274549 294749 274667
+rect 294631 256709 294749 256827
+rect 294631 256549 294749 256667
+rect 294631 238709 294749 238827
+rect 294631 238549 294749 238667
+rect 294631 220709 294749 220827
+rect 294631 220549 294749 220667
+rect 294631 202709 294749 202827
+rect 294631 202549 294749 202667
+rect 294631 184709 294749 184827
+rect 294631 184549 294749 184667
+rect 294631 166709 294749 166827
+rect 294631 166549 294749 166667
+rect 294631 148709 294749 148827
+rect 294631 148549 294749 148667
+rect 294631 130709 294749 130827
+rect 294631 130549 294749 130667
+rect 294631 112709 294749 112827
+rect 294631 112549 294749 112667
+rect 294631 94709 294749 94827
+rect 294631 94549 294749 94667
+rect 294631 76709 294749 76827
+rect 294631 76549 294749 76667
+rect 294631 58709 294749 58827
+rect 294631 58549 294749 58667
+rect 294631 40709 294749 40827
+rect 294631 40549 294749 40667
+rect 294631 22709 294749 22827
+rect 294631 22549 294749 22667
+rect 294631 4709 294749 4827
+rect 294631 4549 294749 4667
+rect 294631 -2171 294749 -2053
+rect 294631 -2331 294749 -2213
+rect 295101 337709 295219 337827
+rect 295101 337549 295219 337667
+rect 295101 319709 295219 319827
+rect 295101 319549 295219 319667
+rect 295101 301709 295219 301827
+rect 295101 301549 295219 301667
+rect 295101 283709 295219 283827
+rect 295101 283549 295219 283667
+rect 295101 265709 295219 265827
+rect 295101 265549 295219 265667
+rect 295101 247709 295219 247827
+rect 295101 247549 295219 247667
+rect 295101 229709 295219 229827
+rect 295101 229549 295219 229667
+rect 295101 211709 295219 211827
+rect 295101 211549 295219 211667
+rect 295101 193709 295219 193827
+rect 295101 193549 295219 193667
+rect 295101 175709 295219 175827
+rect 295101 175549 295219 175667
+rect 295101 157709 295219 157827
+rect 295101 157549 295219 157667
+rect 295101 139709 295219 139827
+rect 295101 139549 295219 139667
+rect 295101 121709 295219 121827
+rect 295101 121549 295219 121667
+rect 295101 103709 295219 103827
+rect 295101 103549 295219 103667
+rect 295101 85709 295219 85827
+rect 295101 85549 295219 85667
+rect 295101 67709 295219 67827
+rect 295101 67549 295219 67667
+rect 295101 49709 295219 49827
+rect 295101 49549 295219 49667
+rect 295101 31709 295219 31827
+rect 295101 31549 295219 31667
+rect 295101 13709 295219 13827
+rect 295101 13549 295219 13667
+rect 295101 -2641 295219 -2523
+rect 295101 -2801 295219 -2683
+rect 295571 348509 295689 348627
+rect 295571 348349 295689 348467
+rect 295571 330509 295689 330627
+rect 295571 330349 295689 330467
+rect 295571 312509 295689 312627
+rect 295571 312349 295689 312467
+rect 295571 294509 295689 294627
+rect 295571 294349 295689 294467
+rect 295571 276509 295689 276627
+rect 295571 276349 295689 276467
+rect 295571 258509 295689 258627
+rect 295571 258349 295689 258467
+rect 295571 240509 295689 240627
+rect 295571 240349 295689 240467
+rect 295571 222509 295689 222627
+rect 295571 222349 295689 222467
+rect 295571 204509 295689 204627
+rect 295571 204349 295689 204467
+rect 295571 186509 295689 186627
+rect 295571 186349 295689 186467
+rect 295571 168509 295689 168627
+rect 295571 168349 295689 168467
+rect 295571 150509 295689 150627
+rect 295571 150349 295689 150467
+rect 295571 132509 295689 132627
+rect 295571 132349 295689 132467
+rect 295571 114509 295689 114627
+rect 295571 114349 295689 114467
+rect 295571 96509 295689 96627
+rect 295571 96349 295689 96467
+rect 295571 78509 295689 78627
+rect 295571 78349 295689 78467
+rect 295571 60509 295689 60627
+rect 295571 60349 295689 60467
+rect 295571 42509 295689 42627
+rect 295571 42349 295689 42467
+rect 295571 24509 295689 24627
+rect 295571 24349 295689 24467
+rect 295571 6509 295689 6627
+rect 295571 6349 295689 6467
+rect 295571 -3111 295689 -2993
+rect 295571 -3271 295689 -3153
+rect 296041 339509 296159 339627
+rect 296041 339349 296159 339467
+rect 296041 321509 296159 321627
+rect 296041 321349 296159 321467
+rect 296041 303509 296159 303627
+rect 296041 303349 296159 303467
+rect 296041 285509 296159 285627
+rect 296041 285349 296159 285467
+rect 296041 267509 296159 267627
+rect 296041 267349 296159 267467
+rect 296041 249509 296159 249627
+rect 296041 249349 296159 249467
+rect 296041 231509 296159 231627
+rect 296041 231349 296159 231467
+rect 296041 213509 296159 213627
+rect 296041 213349 296159 213467
+rect 296041 195509 296159 195627
+rect 296041 195349 296159 195467
+rect 296041 177509 296159 177627
+rect 296041 177349 296159 177467
+rect 296041 159509 296159 159627
+rect 296041 159349 296159 159467
+rect 296041 141509 296159 141627
+rect 296041 141349 296159 141467
+rect 296041 123509 296159 123627
+rect 296041 123349 296159 123467
+rect 296041 105509 296159 105627
+rect 296041 105349 296159 105467
+rect 296041 87509 296159 87627
+rect 296041 87349 296159 87467
+rect 296041 69509 296159 69627
+rect 296041 69349 296159 69467
+rect 296041 51509 296159 51627
+rect 296041 51349 296159 51467
+rect 296041 33509 296159 33627
+rect 296041 33349 296159 33467
+rect 296041 15509 296159 15627
+rect 296041 15349 296159 15467
+rect 284893 -3581 285011 -3463
+rect 284893 -3741 285011 -3623
+rect 296041 -3581 296159 -3463
+rect 296041 -3741 296159 -3623
 << metal5 >>
-rect -4218 355650 -3918 355651
-rect 14802 355650 15102 355651
-rect 32802 355650 33102 355651
-rect 50802 355650 51102 355651
-rect 68802 355650 69102 355651
-rect 86802 355650 87102 355651
-rect 104802 355650 105102 355651
-rect 122802 355650 123102 355651
-rect 140802 355650 141102 355651
-rect 158802 355650 159102 355651
-rect 176802 355650 177102 355651
-rect 194802 355650 195102 355651
-rect 212802 355650 213102 355651
-rect 230802 355650 231102 355651
-rect 248802 355650 249102 355651
-rect 266802 355650 267102 355651
-rect 284802 355650 285102 355651
-rect 295880 355650 296180 355651
-rect -4218 355639 296180 355650
-rect -4218 355521 -4127 355639
-rect -4009 355521 14893 355639
-rect 15011 355521 32893 355639
-rect 33011 355521 50893 355639
-rect 51011 355521 68893 355639
-rect 69011 355521 86893 355639
-rect 87011 355521 104893 355639
-rect 105011 355521 122893 355639
-rect 123011 355521 140893 355639
-rect 141011 355521 158893 355639
-rect 159011 355521 176893 355639
-rect 177011 355521 194893 355639
-rect 195011 355521 212893 355639
-rect 213011 355521 230893 355639
-rect 231011 355521 248893 355639
-rect 249011 355521 266893 355639
-rect 267011 355521 284893 355639
-rect 285011 355521 295971 355639
-rect 296089 355521 296180 355639
-rect -4218 355479 296180 355521
-rect -4218 355361 -4127 355479
-rect -4009 355361 14893 355479
-rect 15011 355361 32893 355479
-rect 33011 355361 50893 355479
-rect 51011 355361 68893 355479
-rect 69011 355361 86893 355479
-rect 87011 355361 104893 355479
-rect 105011 355361 122893 355479
-rect 123011 355361 140893 355479
-rect 141011 355361 158893 355479
-rect 159011 355361 176893 355479
-rect 177011 355361 194893 355479
-rect 195011 355361 212893 355479
-rect 213011 355361 230893 355479
-rect 231011 355361 248893 355479
-rect 249011 355361 266893 355479
-rect 267011 355361 284893 355479
-rect 285011 355361 295971 355479
-rect 296089 355361 296180 355479
-rect -4218 355350 296180 355361
-rect -4218 355349 -3918 355350
-rect 14802 355349 15102 355350
-rect 32802 355349 33102 355350
-rect 50802 355349 51102 355350
-rect 68802 355349 69102 355350
-rect 86802 355349 87102 355350
-rect 104802 355349 105102 355350
-rect 122802 355349 123102 355350
-rect 140802 355349 141102 355350
-rect 158802 355349 159102 355350
-rect 176802 355349 177102 355350
-rect 194802 355349 195102 355350
-rect 212802 355349 213102 355350
-rect 230802 355349 231102 355350
-rect 248802 355349 249102 355350
-rect 266802 355349 267102 355350
-rect 284802 355349 285102 355350
-rect 295880 355349 296180 355350
-rect -3758 355190 -3458 355191
-rect 5802 355190 6102 355191
-rect 23802 355190 24102 355191
-rect 41802 355190 42102 355191
-rect 59802 355190 60102 355191
-rect 77802 355190 78102 355191
-rect 95802 355190 96102 355191
-rect 113802 355190 114102 355191
-rect 131802 355190 132102 355191
-rect 149802 355190 150102 355191
-rect 167802 355190 168102 355191
-rect 185802 355190 186102 355191
-rect 203802 355190 204102 355191
-rect 221802 355190 222102 355191
-rect 239802 355190 240102 355191
-rect 257802 355190 258102 355191
-rect 275802 355190 276102 355191
-rect 295420 355190 295720 355191
-rect -3758 355179 295720 355190
-rect -3758 355061 -3667 355179
-rect -3549 355061 5893 355179
-rect 6011 355061 23893 355179
-rect 24011 355061 41893 355179
-rect 42011 355061 59893 355179
-rect 60011 355061 77893 355179
-rect 78011 355061 95893 355179
-rect 96011 355061 113893 355179
-rect 114011 355061 131893 355179
-rect 132011 355061 149893 355179
-rect 150011 355061 167893 355179
-rect 168011 355061 185893 355179
-rect 186011 355061 203893 355179
-rect 204011 355061 221893 355179
-rect 222011 355061 239893 355179
-rect 240011 355061 257893 355179
-rect 258011 355061 275893 355179
-rect 276011 355061 295511 355179
-rect 295629 355061 295720 355179
-rect -3758 355019 295720 355061
-rect -3758 354901 -3667 355019
-rect -3549 354901 5893 355019
-rect 6011 354901 23893 355019
-rect 24011 354901 41893 355019
-rect 42011 354901 59893 355019
-rect 60011 354901 77893 355019
-rect 78011 354901 95893 355019
-rect 96011 354901 113893 355019
-rect 114011 354901 131893 355019
-rect 132011 354901 149893 355019
-rect 150011 354901 167893 355019
-rect 168011 354901 185893 355019
-rect 186011 354901 203893 355019
-rect 204011 354901 221893 355019
-rect 222011 354901 239893 355019
-rect 240011 354901 257893 355019
-rect 258011 354901 275893 355019
-rect 276011 354901 295511 355019
-rect 295629 354901 295720 355019
-rect -3758 354890 295720 354901
-rect -3758 354889 -3458 354890
-rect 5802 354889 6102 354890
-rect 23802 354889 24102 354890
-rect 41802 354889 42102 354890
-rect 59802 354889 60102 354890
-rect 77802 354889 78102 354890
-rect 95802 354889 96102 354890
-rect 113802 354889 114102 354890
-rect 131802 354889 132102 354890
-rect 149802 354889 150102 354890
-rect 167802 354889 168102 354890
-rect 185802 354889 186102 354890
-rect 203802 354889 204102 354890
-rect 221802 354889 222102 354890
-rect 239802 354889 240102 354890
-rect 257802 354889 258102 354890
-rect 275802 354889 276102 354890
-rect 295420 354889 295720 354890
-rect -3298 354730 -2998 354731
-rect 13002 354730 13302 354731
-rect 31002 354730 31302 354731
-rect 49002 354730 49302 354731
-rect 67002 354730 67302 354731
-rect 85002 354730 85302 354731
-rect 103002 354730 103302 354731
-rect 121002 354730 121302 354731
-rect 139002 354730 139302 354731
-rect 157002 354730 157302 354731
-rect 175002 354730 175302 354731
-rect 193002 354730 193302 354731
-rect 211002 354730 211302 354731
-rect 229002 354730 229302 354731
-rect 247002 354730 247302 354731
-rect 265002 354730 265302 354731
-rect 283002 354730 283302 354731
-rect 294960 354730 295260 354731
-rect -3298 354719 295260 354730
-rect -3298 354601 -3207 354719
-rect -3089 354601 13093 354719
-rect 13211 354601 31093 354719
-rect 31211 354601 49093 354719
-rect 49211 354601 67093 354719
-rect 67211 354601 85093 354719
-rect 85211 354601 103093 354719
-rect 103211 354601 121093 354719
-rect 121211 354601 139093 354719
-rect 139211 354601 157093 354719
-rect 157211 354601 175093 354719
-rect 175211 354601 193093 354719
-rect 193211 354601 211093 354719
-rect 211211 354601 229093 354719
-rect 229211 354601 247093 354719
-rect 247211 354601 265093 354719
-rect 265211 354601 283093 354719
-rect 283211 354601 295051 354719
-rect 295169 354601 295260 354719
-rect -3298 354559 295260 354601
-rect -3298 354441 -3207 354559
-rect -3089 354441 13093 354559
-rect 13211 354441 31093 354559
-rect 31211 354441 49093 354559
-rect 49211 354441 67093 354559
-rect 67211 354441 85093 354559
-rect 85211 354441 103093 354559
-rect 103211 354441 121093 354559
-rect 121211 354441 139093 354559
-rect 139211 354441 157093 354559
-rect 157211 354441 175093 354559
-rect 175211 354441 193093 354559
-rect 193211 354441 211093 354559
-rect 211211 354441 229093 354559
-rect 229211 354441 247093 354559
-rect 247211 354441 265093 354559
-rect 265211 354441 283093 354559
-rect 283211 354441 295051 354559
-rect 295169 354441 295260 354559
-rect -3298 354430 295260 354441
-rect -3298 354429 -2998 354430
-rect 13002 354429 13302 354430
-rect 31002 354429 31302 354430
-rect 49002 354429 49302 354430
-rect 67002 354429 67302 354430
-rect 85002 354429 85302 354430
-rect 103002 354429 103302 354430
-rect 121002 354429 121302 354430
-rect 139002 354429 139302 354430
-rect 157002 354429 157302 354430
-rect 175002 354429 175302 354430
-rect 193002 354429 193302 354430
-rect 211002 354429 211302 354430
-rect 229002 354429 229302 354430
-rect 247002 354429 247302 354430
-rect 265002 354429 265302 354430
-rect 283002 354429 283302 354430
-rect 294960 354429 295260 354430
-rect -2838 354270 -2538 354271
-rect 4002 354270 4302 354271
-rect 22002 354270 22302 354271
-rect 40002 354270 40302 354271
-rect 58002 354270 58302 354271
-rect 76002 354270 76302 354271
-rect 94002 354270 94302 354271
-rect 112002 354270 112302 354271
-rect 130002 354270 130302 354271
-rect 148002 354270 148302 354271
-rect 166002 354270 166302 354271
-rect 184002 354270 184302 354271
-rect 202002 354270 202302 354271
-rect 220002 354270 220302 354271
-rect 238002 354270 238302 354271
-rect 256002 354270 256302 354271
-rect 274002 354270 274302 354271
-rect 294500 354270 294800 354271
-rect -2838 354259 294800 354270
-rect -2838 354141 -2747 354259
-rect -2629 354141 4093 354259
-rect 4211 354141 22093 354259
-rect 22211 354141 40093 354259
-rect 40211 354141 58093 354259
-rect 58211 354141 76093 354259
-rect 76211 354141 94093 354259
-rect 94211 354141 112093 354259
-rect 112211 354141 130093 354259
-rect 130211 354141 148093 354259
-rect 148211 354141 166093 354259
-rect 166211 354141 184093 354259
-rect 184211 354141 202093 354259
-rect 202211 354141 220093 354259
-rect 220211 354141 238093 354259
-rect 238211 354141 256093 354259
-rect 256211 354141 274093 354259
-rect 274211 354141 294591 354259
-rect 294709 354141 294800 354259
-rect -2838 354099 294800 354141
-rect -2838 353981 -2747 354099
-rect -2629 353981 4093 354099
-rect 4211 353981 22093 354099
-rect 22211 353981 40093 354099
-rect 40211 353981 58093 354099
-rect 58211 353981 76093 354099
-rect 76211 353981 94093 354099
-rect 94211 353981 112093 354099
-rect 112211 353981 130093 354099
-rect 130211 353981 148093 354099
-rect 148211 353981 166093 354099
-rect 166211 353981 184093 354099
-rect 184211 353981 202093 354099
-rect 202211 353981 220093 354099
-rect 220211 353981 238093 354099
-rect 238211 353981 256093 354099
-rect 256211 353981 274093 354099
-rect 274211 353981 294591 354099
-rect 294709 353981 294800 354099
-rect -2838 353970 294800 353981
-rect -2838 353969 -2538 353970
-rect 4002 353969 4302 353970
-rect 22002 353969 22302 353970
-rect 40002 353969 40302 353970
-rect 58002 353969 58302 353970
-rect 76002 353969 76302 353970
-rect 94002 353969 94302 353970
-rect 112002 353969 112302 353970
-rect 130002 353969 130302 353970
-rect 148002 353969 148302 353970
-rect 166002 353969 166302 353970
-rect 184002 353969 184302 353970
-rect 202002 353969 202302 353970
-rect 220002 353969 220302 353970
-rect 238002 353969 238302 353970
-rect 256002 353969 256302 353970
-rect 274002 353969 274302 353970
-rect 294500 353969 294800 353970
-rect -2378 353810 -2078 353811
-rect 11202 353810 11502 353811
-rect 29202 353810 29502 353811
-rect 47202 353810 47502 353811
-rect 65202 353810 65502 353811
-rect 83202 353810 83502 353811
-rect 101202 353810 101502 353811
-rect 119202 353810 119502 353811
-rect 137202 353810 137502 353811
-rect 155202 353810 155502 353811
-rect 173202 353810 173502 353811
-rect 191202 353810 191502 353811
-rect 209202 353810 209502 353811
-rect 227202 353810 227502 353811
-rect 245202 353810 245502 353811
-rect 263202 353810 263502 353811
-rect 281202 353810 281502 353811
-rect 294040 353810 294340 353811
-rect -2378 353799 294340 353810
-rect -2378 353681 -2287 353799
-rect -2169 353681 11293 353799
-rect 11411 353681 29293 353799
-rect 29411 353681 47293 353799
-rect 47411 353681 65293 353799
-rect 65411 353681 83293 353799
-rect 83411 353681 101293 353799
-rect 101411 353681 119293 353799
-rect 119411 353681 137293 353799
-rect 137411 353681 155293 353799
-rect 155411 353681 173293 353799
-rect 173411 353681 191293 353799
-rect 191411 353681 209293 353799
-rect 209411 353681 227293 353799
-rect 227411 353681 245293 353799
-rect 245411 353681 263293 353799
-rect 263411 353681 281293 353799
-rect 281411 353681 294131 353799
-rect 294249 353681 294340 353799
-rect -2378 353639 294340 353681
-rect -2378 353521 -2287 353639
-rect -2169 353521 11293 353639
-rect 11411 353521 29293 353639
-rect 29411 353521 47293 353639
-rect 47411 353521 65293 353639
-rect 65411 353521 83293 353639
-rect 83411 353521 101293 353639
-rect 101411 353521 119293 353639
-rect 119411 353521 137293 353639
-rect 137411 353521 155293 353639
-rect 155411 353521 173293 353639
-rect 173411 353521 191293 353639
-rect 191411 353521 209293 353639
-rect 209411 353521 227293 353639
-rect 227411 353521 245293 353639
-rect 245411 353521 263293 353639
-rect 263411 353521 281293 353639
-rect 281411 353521 294131 353639
-rect 294249 353521 294340 353639
-rect -2378 353510 294340 353521
-rect -2378 353509 -2078 353510
-rect 11202 353509 11502 353510
-rect 29202 353509 29502 353510
-rect 47202 353509 47502 353510
-rect 65202 353509 65502 353510
-rect 83202 353509 83502 353510
-rect 101202 353509 101502 353510
-rect 119202 353509 119502 353510
-rect 137202 353509 137502 353510
-rect 155202 353509 155502 353510
-rect 173202 353509 173502 353510
-rect 191202 353509 191502 353510
-rect 209202 353509 209502 353510
-rect 227202 353509 227502 353510
-rect 245202 353509 245502 353510
-rect 263202 353509 263502 353510
-rect 281202 353509 281502 353510
-rect 294040 353509 294340 353510
-rect -1918 353350 -1618 353351
-rect 2202 353350 2502 353351
-rect 20202 353350 20502 353351
-rect 38202 353350 38502 353351
-rect 56202 353350 56502 353351
-rect 74202 353350 74502 353351
-rect 92202 353350 92502 353351
-rect 110202 353350 110502 353351
-rect 128202 353350 128502 353351
-rect 146202 353350 146502 353351
-rect 164202 353350 164502 353351
-rect 182202 353350 182502 353351
-rect 200202 353350 200502 353351
-rect 218202 353350 218502 353351
-rect 236202 353350 236502 353351
-rect 254202 353350 254502 353351
-rect 272202 353350 272502 353351
-rect 290202 353350 290502 353351
-rect 293580 353350 293880 353351
-rect -1918 353339 293880 353350
-rect -1918 353221 -1827 353339
-rect -1709 353221 2293 353339
-rect 2411 353221 20293 353339
-rect 20411 353221 38293 353339
-rect 38411 353221 56293 353339
-rect 56411 353221 74293 353339
-rect 74411 353221 92293 353339
-rect 92411 353221 110293 353339
-rect 110411 353221 128293 353339
-rect 128411 353221 146293 353339
-rect 146411 353221 164293 353339
-rect 164411 353221 182293 353339
-rect 182411 353221 200293 353339
-rect 200411 353221 218293 353339
-rect 218411 353221 236293 353339
-rect 236411 353221 254293 353339
-rect 254411 353221 272293 353339
-rect 272411 353221 290293 353339
-rect 290411 353221 293671 353339
-rect 293789 353221 293880 353339
-rect -1918 353179 293880 353221
-rect -1918 353061 -1827 353179
-rect -1709 353061 2293 353179
-rect 2411 353061 20293 353179
-rect 20411 353061 38293 353179
-rect 38411 353061 56293 353179
-rect 56411 353061 74293 353179
-rect 74411 353061 92293 353179
-rect 92411 353061 110293 353179
-rect 110411 353061 128293 353179
-rect 128411 353061 146293 353179
-rect 146411 353061 164293 353179
-rect 164411 353061 182293 353179
-rect 182411 353061 200293 353179
-rect 200411 353061 218293 353179
-rect 218411 353061 236293 353179
-rect 236411 353061 254293 353179
-rect 254411 353061 272293 353179
-rect 272411 353061 290293 353179
-rect 290411 353061 293671 353179
-rect 293789 353061 293880 353179
-rect -1918 353050 293880 353061
-rect -1918 353049 -1618 353050
-rect 2202 353049 2502 353050
-rect 20202 353049 20502 353050
-rect 38202 353049 38502 353050
-rect 56202 353049 56502 353050
-rect 74202 353049 74502 353050
-rect 92202 353049 92502 353050
-rect 110202 353049 110502 353050
-rect 128202 353049 128502 353050
-rect 146202 353049 146502 353050
-rect 164202 353049 164502 353050
-rect 182202 353049 182502 353050
-rect 200202 353049 200502 353050
-rect 218202 353049 218502 353050
-rect 236202 353049 236502 353050
-rect 254202 353049 254502 353050
-rect 272202 353049 272502 353050
-rect 290202 353049 290502 353050
-rect 293580 353049 293880 353050
-rect -1458 352890 -1158 352891
-rect 9402 352890 9702 352891
-rect 27402 352890 27702 352891
-rect 45402 352890 45702 352891
-rect 63402 352890 63702 352891
-rect 81402 352890 81702 352891
-rect 99402 352890 99702 352891
-rect 117402 352890 117702 352891
-rect 135402 352890 135702 352891
-rect 153402 352890 153702 352891
-rect 171402 352890 171702 352891
-rect 189402 352890 189702 352891
-rect 207402 352890 207702 352891
-rect 225402 352890 225702 352891
-rect 243402 352890 243702 352891
-rect 261402 352890 261702 352891
-rect 279402 352890 279702 352891
-rect 293120 352890 293420 352891
-rect -1458 352879 293420 352890
-rect -1458 352761 -1367 352879
-rect -1249 352761 9493 352879
-rect 9611 352761 27493 352879
-rect 27611 352761 45493 352879
-rect 45611 352761 63493 352879
-rect 63611 352761 81493 352879
-rect 81611 352761 99493 352879
-rect 99611 352761 117493 352879
-rect 117611 352761 135493 352879
-rect 135611 352761 153493 352879
-rect 153611 352761 171493 352879
-rect 171611 352761 189493 352879
-rect 189611 352761 207493 352879
-rect 207611 352761 225493 352879
-rect 225611 352761 243493 352879
-rect 243611 352761 261493 352879
-rect 261611 352761 279493 352879
-rect 279611 352761 293211 352879
-rect 293329 352761 293420 352879
-rect -1458 352719 293420 352761
-rect -1458 352601 -1367 352719
-rect -1249 352601 9493 352719
-rect 9611 352601 27493 352719
-rect 27611 352601 45493 352719
-rect 45611 352601 63493 352719
-rect 63611 352601 81493 352719
-rect 81611 352601 99493 352719
-rect 99611 352601 117493 352719
-rect 117611 352601 135493 352719
-rect 135611 352601 153493 352719
-rect 153611 352601 171493 352719
-rect 171611 352601 189493 352719
-rect 189611 352601 207493 352719
-rect 207611 352601 225493 352719
-rect 225611 352601 243493 352719
-rect 243611 352601 261493 352719
-rect 261611 352601 279493 352719
-rect 279611 352601 293211 352719
-rect 293329 352601 293420 352719
-rect -1458 352590 293420 352601
-rect -1458 352589 -1158 352590
-rect 9402 352589 9702 352590
-rect 27402 352589 27702 352590
-rect 45402 352589 45702 352590
-rect 63402 352589 63702 352590
-rect 81402 352589 81702 352590
-rect 99402 352589 99702 352590
-rect 117402 352589 117702 352590
-rect 135402 352589 135702 352590
-rect 153402 352589 153702 352590
-rect 171402 352589 171702 352590
-rect 189402 352589 189702 352590
-rect 207402 352589 207702 352590
-rect 225402 352589 225702 352590
-rect 243402 352589 243702 352590
-rect 261402 352589 261702 352590
-rect 279402 352589 279702 352590
-rect 293120 352589 293420 352590
+rect -4288 355720 -3988 355721
+rect 14802 355720 15102 355721
+rect 32802 355720 33102 355721
+rect 50802 355720 51102 355721
+rect 68802 355720 69102 355721
+rect 86802 355720 87102 355721
+rect 104802 355720 105102 355721
+rect 122802 355720 123102 355721
+rect 140802 355720 141102 355721
+rect 158802 355720 159102 355721
+rect 176802 355720 177102 355721
+rect 194802 355720 195102 355721
+rect 212802 355720 213102 355721
+rect 230802 355720 231102 355721
+rect 248802 355720 249102 355721
+rect 266802 355720 267102 355721
+rect 284802 355720 285102 355721
+rect 295950 355720 296250 355721
+rect -4288 355709 296250 355720
+rect -4288 355591 -4197 355709
+rect -4079 355591 14893 355709
+rect 15011 355591 32893 355709
+rect 33011 355591 50893 355709
+rect 51011 355591 68893 355709
+rect 69011 355591 86893 355709
+rect 87011 355591 104893 355709
+rect 105011 355591 122893 355709
+rect 123011 355591 140893 355709
+rect 141011 355591 158893 355709
+rect 159011 355591 176893 355709
+rect 177011 355591 194893 355709
+rect 195011 355591 212893 355709
+rect 213011 355591 230893 355709
+rect 231011 355591 248893 355709
+rect 249011 355591 266893 355709
+rect 267011 355591 284893 355709
+rect 285011 355591 296041 355709
+rect 296159 355591 296250 355709
+rect -4288 355549 296250 355591
+rect -4288 355431 -4197 355549
+rect -4079 355431 14893 355549
+rect 15011 355431 32893 355549
+rect 33011 355431 50893 355549
+rect 51011 355431 68893 355549
+rect 69011 355431 86893 355549
+rect 87011 355431 104893 355549
+rect 105011 355431 122893 355549
+rect 123011 355431 140893 355549
+rect 141011 355431 158893 355549
+rect 159011 355431 176893 355549
+rect 177011 355431 194893 355549
+rect 195011 355431 212893 355549
+rect 213011 355431 230893 355549
+rect 231011 355431 248893 355549
+rect 249011 355431 266893 355549
+rect 267011 355431 284893 355549
+rect 285011 355431 296041 355549
+rect 296159 355431 296250 355549
+rect -4288 355420 296250 355431
+rect -4288 355419 -3988 355420
+rect 14802 355419 15102 355420
+rect 32802 355419 33102 355420
+rect 50802 355419 51102 355420
+rect 68802 355419 69102 355420
+rect 86802 355419 87102 355420
+rect 104802 355419 105102 355420
+rect 122802 355419 123102 355420
+rect 140802 355419 141102 355420
+rect 158802 355419 159102 355420
+rect 176802 355419 177102 355420
+rect 194802 355419 195102 355420
+rect 212802 355419 213102 355420
+rect 230802 355419 231102 355420
+rect 248802 355419 249102 355420
+rect 266802 355419 267102 355420
+rect 284802 355419 285102 355420
+rect 295950 355419 296250 355420
+rect -3818 355250 -3518 355251
+rect 5802 355250 6102 355251
+rect 23802 355250 24102 355251
+rect 41802 355250 42102 355251
+rect 59802 355250 60102 355251
+rect 77802 355250 78102 355251
+rect 95802 355250 96102 355251
+rect 113802 355250 114102 355251
+rect 131802 355250 132102 355251
+rect 149802 355250 150102 355251
+rect 167802 355250 168102 355251
+rect 185802 355250 186102 355251
+rect 203802 355250 204102 355251
+rect 221802 355250 222102 355251
+rect 239802 355250 240102 355251
+rect 257802 355250 258102 355251
+rect 275802 355250 276102 355251
+rect 295480 355250 295780 355251
+rect -3818 355239 295780 355250
+rect -3818 355121 -3727 355239
+rect -3609 355121 5893 355239
+rect 6011 355121 23893 355239
+rect 24011 355121 41893 355239
+rect 42011 355121 59893 355239
+rect 60011 355121 77893 355239
+rect 78011 355121 95893 355239
+rect 96011 355121 113893 355239
+rect 114011 355121 131893 355239
+rect 132011 355121 149893 355239
+rect 150011 355121 167893 355239
+rect 168011 355121 185893 355239
+rect 186011 355121 203893 355239
+rect 204011 355121 221893 355239
+rect 222011 355121 239893 355239
+rect 240011 355121 257893 355239
+rect 258011 355121 275893 355239
+rect 276011 355121 295571 355239
+rect 295689 355121 295780 355239
+rect -3818 355079 295780 355121
+rect -3818 354961 -3727 355079
+rect -3609 354961 5893 355079
+rect 6011 354961 23893 355079
+rect 24011 354961 41893 355079
+rect 42011 354961 59893 355079
+rect 60011 354961 77893 355079
+rect 78011 354961 95893 355079
+rect 96011 354961 113893 355079
+rect 114011 354961 131893 355079
+rect 132011 354961 149893 355079
+rect 150011 354961 167893 355079
+rect 168011 354961 185893 355079
+rect 186011 354961 203893 355079
+rect 204011 354961 221893 355079
+rect 222011 354961 239893 355079
+rect 240011 354961 257893 355079
+rect 258011 354961 275893 355079
+rect 276011 354961 295571 355079
+rect 295689 354961 295780 355079
+rect -3818 354950 295780 354961
+rect -3818 354949 -3518 354950
+rect 5802 354949 6102 354950
+rect 23802 354949 24102 354950
+rect 41802 354949 42102 354950
+rect 59802 354949 60102 354950
+rect 77802 354949 78102 354950
+rect 95802 354949 96102 354950
+rect 113802 354949 114102 354950
+rect 131802 354949 132102 354950
+rect 149802 354949 150102 354950
+rect 167802 354949 168102 354950
+rect 185802 354949 186102 354950
+rect 203802 354949 204102 354950
+rect 221802 354949 222102 354950
+rect 239802 354949 240102 354950
+rect 257802 354949 258102 354950
+rect 275802 354949 276102 354950
+rect 295480 354949 295780 354950
+rect -3348 354780 -3048 354781
+rect 13002 354780 13302 354781
+rect 31002 354780 31302 354781
+rect 49002 354780 49302 354781
+rect 67002 354780 67302 354781
+rect 85002 354780 85302 354781
+rect 103002 354780 103302 354781
+rect 121002 354780 121302 354781
+rect 139002 354780 139302 354781
+rect 157002 354780 157302 354781
+rect 175002 354780 175302 354781
+rect 193002 354780 193302 354781
+rect 211002 354780 211302 354781
+rect 229002 354780 229302 354781
+rect 247002 354780 247302 354781
+rect 265002 354780 265302 354781
+rect 283002 354780 283302 354781
+rect 295010 354780 295310 354781
+rect -3348 354769 295310 354780
+rect -3348 354651 -3257 354769
+rect -3139 354651 13093 354769
+rect 13211 354651 31093 354769
+rect 31211 354651 49093 354769
+rect 49211 354651 67093 354769
+rect 67211 354651 85093 354769
+rect 85211 354651 103093 354769
+rect 103211 354651 121093 354769
+rect 121211 354651 139093 354769
+rect 139211 354651 157093 354769
+rect 157211 354651 175093 354769
+rect 175211 354651 193093 354769
+rect 193211 354651 211093 354769
+rect 211211 354651 229093 354769
+rect 229211 354651 247093 354769
+rect 247211 354651 265093 354769
+rect 265211 354651 283093 354769
+rect 283211 354651 295101 354769
+rect 295219 354651 295310 354769
+rect -3348 354609 295310 354651
+rect -3348 354491 -3257 354609
+rect -3139 354491 13093 354609
+rect 13211 354491 31093 354609
+rect 31211 354491 49093 354609
+rect 49211 354491 67093 354609
+rect 67211 354491 85093 354609
+rect 85211 354491 103093 354609
+rect 103211 354491 121093 354609
+rect 121211 354491 139093 354609
+rect 139211 354491 157093 354609
+rect 157211 354491 175093 354609
+rect 175211 354491 193093 354609
+rect 193211 354491 211093 354609
+rect 211211 354491 229093 354609
+rect 229211 354491 247093 354609
+rect 247211 354491 265093 354609
+rect 265211 354491 283093 354609
+rect 283211 354491 295101 354609
+rect 295219 354491 295310 354609
+rect -3348 354480 295310 354491
+rect -3348 354479 -3048 354480
+rect 13002 354479 13302 354480
+rect 31002 354479 31302 354480
+rect 49002 354479 49302 354480
+rect 67002 354479 67302 354480
+rect 85002 354479 85302 354480
+rect 103002 354479 103302 354480
+rect 121002 354479 121302 354480
+rect 139002 354479 139302 354480
+rect 157002 354479 157302 354480
+rect 175002 354479 175302 354480
+rect 193002 354479 193302 354480
+rect 211002 354479 211302 354480
+rect 229002 354479 229302 354480
+rect 247002 354479 247302 354480
+rect 265002 354479 265302 354480
+rect 283002 354479 283302 354480
+rect 295010 354479 295310 354480
+rect -2878 354310 -2578 354311
+rect 4002 354310 4302 354311
+rect 22002 354310 22302 354311
+rect 40002 354310 40302 354311
+rect 58002 354310 58302 354311
+rect 76002 354310 76302 354311
+rect 94002 354310 94302 354311
+rect 112002 354310 112302 354311
+rect 130002 354310 130302 354311
+rect 148002 354310 148302 354311
+rect 166002 354310 166302 354311
+rect 184002 354310 184302 354311
+rect 202002 354310 202302 354311
+rect 220002 354310 220302 354311
+rect 238002 354310 238302 354311
+rect 256002 354310 256302 354311
+rect 274002 354310 274302 354311
+rect 294540 354310 294840 354311
+rect -2878 354299 294840 354310
+rect -2878 354181 -2787 354299
+rect -2669 354181 4093 354299
+rect 4211 354181 22093 354299
+rect 22211 354181 40093 354299
+rect 40211 354181 58093 354299
+rect 58211 354181 76093 354299
+rect 76211 354181 94093 354299
+rect 94211 354181 112093 354299
+rect 112211 354181 130093 354299
+rect 130211 354181 148093 354299
+rect 148211 354181 166093 354299
+rect 166211 354181 184093 354299
+rect 184211 354181 202093 354299
+rect 202211 354181 220093 354299
+rect 220211 354181 238093 354299
+rect 238211 354181 256093 354299
+rect 256211 354181 274093 354299
+rect 274211 354181 294631 354299
+rect 294749 354181 294840 354299
+rect -2878 354139 294840 354181
+rect -2878 354021 -2787 354139
+rect -2669 354021 4093 354139
+rect 4211 354021 22093 354139
+rect 22211 354021 40093 354139
+rect 40211 354021 58093 354139
+rect 58211 354021 76093 354139
+rect 76211 354021 94093 354139
+rect 94211 354021 112093 354139
+rect 112211 354021 130093 354139
+rect 130211 354021 148093 354139
+rect 148211 354021 166093 354139
+rect 166211 354021 184093 354139
+rect 184211 354021 202093 354139
+rect 202211 354021 220093 354139
+rect 220211 354021 238093 354139
+rect 238211 354021 256093 354139
+rect 256211 354021 274093 354139
+rect 274211 354021 294631 354139
+rect 294749 354021 294840 354139
+rect -2878 354010 294840 354021
+rect -2878 354009 -2578 354010
+rect 4002 354009 4302 354010
+rect 22002 354009 22302 354010
+rect 40002 354009 40302 354010
+rect 58002 354009 58302 354010
+rect 76002 354009 76302 354010
+rect 94002 354009 94302 354010
+rect 112002 354009 112302 354010
+rect 130002 354009 130302 354010
+rect 148002 354009 148302 354010
+rect 166002 354009 166302 354010
+rect 184002 354009 184302 354010
+rect 202002 354009 202302 354010
+rect 220002 354009 220302 354010
+rect 238002 354009 238302 354010
+rect 256002 354009 256302 354010
+rect 274002 354009 274302 354010
+rect 294540 354009 294840 354010
+rect -2408 353840 -2108 353841
+rect 11202 353840 11502 353841
+rect 29202 353840 29502 353841
+rect 47202 353840 47502 353841
+rect 65202 353840 65502 353841
+rect 83202 353840 83502 353841
+rect 101202 353840 101502 353841
+rect 119202 353840 119502 353841
+rect 137202 353840 137502 353841
+rect 155202 353840 155502 353841
+rect 173202 353840 173502 353841
+rect 191202 353840 191502 353841
+rect 209202 353840 209502 353841
+rect 227202 353840 227502 353841
+rect 245202 353840 245502 353841
+rect 263202 353840 263502 353841
+rect 281202 353840 281502 353841
+rect 294070 353840 294370 353841
+rect -2408 353829 294370 353840
+rect -2408 353711 -2317 353829
+rect -2199 353711 11293 353829
+rect 11411 353711 29293 353829
+rect 29411 353711 47293 353829
+rect 47411 353711 65293 353829
+rect 65411 353711 83293 353829
+rect 83411 353711 101293 353829
+rect 101411 353711 119293 353829
+rect 119411 353711 137293 353829
+rect 137411 353711 155293 353829
+rect 155411 353711 173293 353829
+rect 173411 353711 191293 353829
+rect 191411 353711 209293 353829
+rect 209411 353711 227293 353829
+rect 227411 353711 245293 353829
+rect 245411 353711 263293 353829
+rect 263411 353711 281293 353829
+rect 281411 353711 294161 353829
+rect 294279 353711 294370 353829
+rect -2408 353669 294370 353711
+rect -2408 353551 -2317 353669
+rect -2199 353551 11293 353669
+rect 11411 353551 29293 353669
+rect 29411 353551 47293 353669
+rect 47411 353551 65293 353669
+rect 65411 353551 83293 353669
+rect 83411 353551 101293 353669
+rect 101411 353551 119293 353669
+rect 119411 353551 137293 353669
+rect 137411 353551 155293 353669
+rect 155411 353551 173293 353669
+rect 173411 353551 191293 353669
+rect 191411 353551 209293 353669
+rect 209411 353551 227293 353669
+rect 227411 353551 245293 353669
+rect 245411 353551 263293 353669
+rect 263411 353551 281293 353669
+rect 281411 353551 294161 353669
+rect 294279 353551 294370 353669
+rect -2408 353540 294370 353551
+rect -2408 353539 -2108 353540
+rect 11202 353539 11502 353540
+rect 29202 353539 29502 353540
+rect 47202 353539 47502 353540
+rect 65202 353539 65502 353540
+rect 83202 353539 83502 353540
+rect 101202 353539 101502 353540
+rect 119202 353539 119502 353540
+rect 137202 353539 137502 353540
+rect 155202 353539 155502 353540
+rect 173202 353539 173502 353540
+rect 191202 353539 191502 353540
+rect 209202 353539 209502 353540
+rect 227202 353539 227502 353540
+rect 245202 353539 245502 353540
+rect 263202 353539 263502 353540
+rect 281202 353539 281502 353540
+rect 294070 353539 294370 353540
+rect -1938 353370 -1638 353371
+rect 2202 353370 2502 353371
+rect 20202 353370 20502 353371
+rect 38202 353370 38502 353371
+rect 56202 353370 56502 353371
+rect 74202 353370 74502 353371
+rect 92202 353370 92502 353371
+rect 110202 353370 110502 353371
+rect 128202 353370 128502 353371
+rect 146202 353370 146502 353371
+rect 164202 353370 164502 353371
+rect 182202 353370 182502 353371
+rect 200202 353370 200502 353371
+rect 218202 353370 218502 353371
+rect 236202 353370 236502 353371
+rect 254202 353370 254502 353371
+rect 272202 353370 272502 353371
+rect 290202 353370 290502 353371
+rect 293600 353370 293900 353371
+rect -1938 353359 293900 353370
+rect -1938 353241 -1847 353359
+rect -1729 353241 2293 353359
+rect 2411 353241 20293 353359
+rect 20411 353241 38293 353359
+rect 38411 353241 56293 353359
+rect 56411 353241 74293 353359
+rect 74411 353241 92293 353359
+rect 92411 353241 110293 353359
+rect 110411 353241 128293 353359
+rect 128411 353241 146293 353359
+rect 146411 353241 164293 353359
+rect 164411 353241 182293 353359
+rect 182411 353241 200293 353359
+rect 200411 353241 218293 353359
+rect 218411 353241 236293 353359
+rect 236411 353241 254293 353359
+rect 254411 353241 272293 353359
+rect 272411 353241 290293 353359
+rect 290411 353241 293691 353359
+rect 293809 353241 293900 353359
+rect -1938 353199 293900 353241
+rect -1938 353081 -1847 353199
+rect -1729 353081 2293 353199
+rect 2411 353081 20293 353199
+rect 20411 353081 38293 353199
+rect 38411 353081 56293 353199
+rect 56411 353081 74293 353199
+rect 74411 353081 92293 353199
+rect 92411 353081 110293 353199
+rect 110411 353081 128293 353199
+rect 128411 353081 146293 353199
+rect 146411 353081 164293 353199
+rect 164411 353081 182293 353199
+rect 182411 353081 200293 353199
+rect 200411 353081 218293 353199
+rect 218411 353081 236293 353199
+rect 236411 353081 254293 353199
+rect 254411 353081 272293 353199
+rect 272411 353081 290293 353199
+rect 290411 353081 293691 353199
+rect 293809 353081 293900 353199
+rect -1938 353070 293900 353081
+rect -1938 353069 -1638 353070
+rect 2202 353069 2502 353070
+rect 20202 353069 20502 353070
+rect 38202 353069 38502 353070
+rect 56202 353069 56502 353070
+rect 74202 353069 74502 353070
+rect 92202 353069 92502 353070
+rect 110202 353069 110502 353070
+rect 128202 353069 128502 353070
+rect 146202 353069 146502 353070
+rect 164202 353069 164502 353070
+rect 182202 353069 182502 353070
+rect 200202 353069 200502 353070
+rect 218202 353069 218502 353070
+rect 236202 353069 236502 353070
+rect 254202 353069 254502 353070
+rect 272202 353069 272502 353070
+rect 290202 353069 290502 353070
+rect 293600 353069 293900 353070
+rect -1468 352900 -1168 352901
+rect 9402 352900 9702 352901
+rect 27402 352900 27702 352901
+rect 45402 352900 45702 352901
+rect 63402 352900 63702 352901
+rect 81402 352900 81702 352901
+rect 99402 352900 99702 352901
+rect 117402 352900 117702 352901
+rect 135402 352900 135702 352901
+rect 153402 352900 153702 352901
+rect 171402 352900 171702 352901
+rect 189402 352900 189702 352901
+rect 207402 352900 207702 352901
+rect 225402 352900 225702 352901
+rect 243402 352900 243702 352901
+rect 261402 352900 261702 352901
+rect 279402 352900 279702 352901
+rect 293130 352900 293430 352901
+rect -1468 352889 293430 352900
+rect -1468 352771 -1377 352889
+rect -1259 352771 9493 352889
+rect 9611 352771 27493 352889
+rect 27611 352771 45493 352889
+rect 45611 352771 63493 352889
+rect 63611 352771 81493 352889
+rect 81611 352771 99493 352889
+rect 99611 352771 117493 352889
+rect 117611 352771 135493 352889
+rect 135611 352771 153493 352889
+rect 153611 352771 171493 352889
+rect 171611 352771 189493 352889
+rect 189611 352771 207493 352889
+rect 207611 352771 225493 352889
+rect 225611 352771 243493 352889
+rect 243611 352771 261493 352889
+rect 261611 352771 279493 352889
+rect 279611 352771 293221 352889
+rect 293339 352771 293430 352889
+rect -1468 352729 293430 352771
+rect -1468 352611 -1377 352729
+rect -1259 352611 9493 352729
+rect 9611 352611 27493 352729
+rect 27611 352611 45493 352729
+rect 45611 352611 63493 352729
+rect 63611 352611 81493 352729
+rect 81611 352611 99493 352729
+rect 99611 352611 117493 352729
+rect 117611 352611 135493 352729
+rect 135611 352611 153493 352729
+rect 153611 352611 171493 352729
+rect 171611 352611 189493 352729
+rect 189611 352611 207493 352729
+rect 207611 352611 225493 352729
+rect 225611 352611 243493 352729
+rect 243611 352611 261493 352729
+rect 261611 352611 279493 352729
+rect 279611 352611 293221 352729
+rect 293339 352611 293430 352729
+rect -1468 352600 293430 352611
+rect -1468 352599 -1168 352600
+rect 9402 352599 9702 352600
+rect 27402 352599 27702 352600
+rect 45402 352599 45702 352600
+rect 63402 352599 63702 352600
+rect 81402 352599 81702 352600
+rect 99402 352599 99702 352600
+rect 117402 352599 117702 352600
+rect 135402 352599 135702 352600
+rect 153402 352599 153702 352600
+rect 171402 352599 171702 352600
+rect 189402 352599 189702 352600
+rect 207402 352599 207702 352600
+rect 225402 352599 225702 352600
+rect 243402 352599 243702 352600
+rect 261402 352599 261702 352600
+rect 279402 352599 279702 352600
+rect 293130 352599 293430 352600
 rect -998 352430 -698 352431
 rect 402 352430 702 352431
 rect 18402 352430 18702 352431
@@ -6376,2812 +6376,2812 @@
 rect 270402 352129 270702 352130
 rect 288402 352129 288702 352130
 rect 292660 352129 292960 352130
-rect -3758 348638 -3458 348639
-rect 295420 348638 295720 348639
-rect -4218 348627 240 348638
-rect -4218 348509 -3667 348627
-rect -3549 348509 240 348627
-rect -4218 348467 240 348509
-rect -4218 348349 -3667 348467
-rect -3549 348349 240 348467
-rect -4218 348338 240 348349
-rect 291760 348627 296180 348638
-rect 291760 348509 295511 348627
-rect 295629 348509 296180 348627
-rect 291760 348467 296180 348509
-rect 291760 348349 295511 348467
-rect 295629 348349 296180 348467
-rect 291760 348338 296180 348349
-rect -3758 348337 -3458 348338
-rect 295420 348337 295720 348338
-rect -2838 346838 -2538 346839
-rect 294500 346838 294800 346839
-rect -3298 346827 240 346838
-rect -3298 346709 -2747 346827
-rect -2629 346709 240 346827
-rect -3298 346667 240 346709
-rect -3298 346549 -2747 346667
-rect -2629 346549 240 346667
-rect -3298 346538 240 346549
-rect 291760 346827 295260 346838
-rect 291760 346709 294591 346827
-rect 294709 346709 295260 346827
-rect 291760 346667 295260 346709
-rect 291760 346549 294591 346667
-rect 294709 346549 295260 346667
-rect 291760 346538 295260 346549
-rect -2838 346537 -2538 346538
-rect 294500 346537 294800 346538
-rect -1918 345038 -1618 345039
-rect 293580 345038 293880 345039
-rect -2378 345027 240 345038
-rect -2378 344909 -1827 345027
-rect -1709 344909 240 345027
-rect -2378 344867 240 344909
-rect -2378 344749 -1827 344867
-rect -1709 344749 240 344867
-rect -2378 344738 240 344749
-rect 291760 345027 294340 345038
-rect 291760 344909 293671 345027
-rect 293789 344909 294340 345027
-rect 291760 344867 294340 344909
-rect 291760 344749 293671 344867
-rect 293789 344749 294340 344867
-rect 291760 344738 294340 344749
-rect -1918 344737 -1618 344738
-rect 293580 344737 293880 344738
+rect -3818 348638 -3518 348639
+rect 295480 348638 295780 348639
+rect -4288 348627 240 348638
+rect -4288 348509 -3727 348627
+rect -3609 348509 240 348627
+rect -4288 348467 240 348509
+rect -4288 348349 -3727 348467
+rect -3609 348349 240 348467
+rect -4288 348338 240 348349
+rect 291760 348627 296250 348638
+rect 291760 348509 295571 348627
+rect 295689 348509 296250 348627
+rect 291760 348467 296250 348509
+rect 291760 348349 295571 348467
+rect 295689 348349 296250 348467
+rect 291760 348338 296250 348349
+rect -3818 348337 -3518 348338
+rect 295480 348337 295780 348338
+rect -2878 346838 -2578 346839
+rect 294540 346838 294840 346839
+rect -3348 346827 240 346838
+rect -3348 346709 -2787 346827
+rect -2669 346709 240 346827
+rect -3348 346667 240 346709
+rect -3348 346549 -2787 346667
+rect -2669 346549 240 346667
+rect -3348 346538 240 346549
+rect 291760 346827 295310 346838
+rect 291760 346709 294631 346827
+rect 294749 346709 295310 346827
+rect 291760 346667 295310 346709
+rect 291760 346549 294631 346667
+rect 294749 346549 295310 346667
+rect 291760 346538 295310 346549
+rect -2878 346537 -2578 346538
+rect 294540 346537 294840 346538
+rect -1938 345038 -1638 345039
+rect 293600 345038 293900 345039
+rect -2408 345027 240 345038
+rect -2408 344909 -1847 345027
+rect -1729 344909 240 345027
+rect -2408 344867 240 344909
+rect -2408 344749 -1847 344867
+rect -1729 344749 240 344867
+rect -2408 344738 240 344749
+rect 291760 345027 294370 345038
+rect 291760 344909 293691 345027
+rect 293809 344909 294370 345027
+rect 291760 344867 294370 344909
+rect 291760 344749 293691 344867
+rect 293809 344749 294370 344867
+rect 291760 344738 294370 344749
+rect -1938 344737 -1638 344738
+rect 293600 344737 293900 344738
 rect -998 343238 -698 343239
 rect 292660 343238 292960 343239
-rect -1458 343227 240 343238
-rect -1458 343109 -907 343227
+rect -1468 343227 240 343238
+rect -1468 343109 -907 343227
 rect -789 343109 240 343227
-rect -1458 343067 240 343109
-rect -1458 342949 -907 343067
+rect -1468 343067 240 343109
+rect -1468 342949 -907 343067
 rect -789 342949 240 343067
-rect -1458 342938 240 342949
-rect 291760 343227 293420 343238
+rect -1468 342938 240 342949
+rect 291760 343227 293430 343238
 rect 291760 343109 292751 343227
-rect 292869 343109 293420 343227
-rect 291760 343067 293420 343109
+rect 292869 343109 293430 343227
+rect 291760 343067 293430 343109
 rect 291760 342949 292751 343067
-rect 292869 342949 293420 343067
-rect 291760 342938 293420 342949
+rect 292869 342949 293430 343067
+rect 291760 342938 293430 342949
 rect -998 342937 -698 342938
 rect 292660 342937 292960 342938
-rect -4218 339638 -3918 339639
-rect 295880 339638 296180 339639
-rect -4218 339627 240 339638
-rect -4218 339509 -4127 339627
-rect -4009 339509 240 339627
-rect -4218 339467 240 339509
-rect -4218 339349 -4127 339467
-rect -4009 339349 240 339467
-rect -4218 339338 240 339349
-rect 291760 339627 296180 339638
-rect 291760 339509 295971 339627
-rect 296089 339509 296180 339627
-rect 291760 339467 296180 339509
-rect 291760 339349 295971 339467
-rect 296089 339349 296180 339467
-rect 291760 339338 296180 339349
-rect -4218 339337 -3918 339338
-rect 295880 339337 296180 339338
-rect -3298 337838 -2998 337839
-rect 294960 337838 295260 337839
-rect -3298 337827 240 337838
-rect -3298 337709 -3207 337827
-rect -3089 337709 240 337827
-rect -3298 337667 240 337709
-rect -3298 337549 -3207 337667
-rect -3089 337549 240 337667
-rect -3298 337538 240 337549
-rect 291760 337827 295260 337838
-rect 291760 337709 295051 337827
-rect 295169 337709 295260 337827
-rect 291760 337667 295260 337709
-rect 291760 337549 295051 337667
-rect 295169 337549 295260 337667
-rect 291760 337538 295260 337549
-rect -3298 337537 -2998 337538
-rect 294960 337537 295260 337538
-rect -2378 336038 -2078 336039
-rect 294040 336038 294340 336039
-rect -2378 336027 240 336038
-rect -2378 335909 -2287 336027
-rect -2169 335909 240 336027
-rect -2378 335867 240 335909
-rect -2378 335749 -2287 335867
-rect -2169 335749 240 335867
-rect -2378 335738 240 335749
-rect 291760 336027 294340 336038
-rect 291760 335909 294131 336027
-rect 294249 335909 294340 336027
-rect 291760 335867 294340 335909
-rect 291760 335749 294131 335867
-rect 294249 335749 294340 335867
-rect 291760 335738 294340 335749
-rect -2378 335737 -2078 335738
-rect 294040 335737 294340 335738
-rect -1458 334238 -1158 334239
-rect 293120 334238 293420 334239
-rect -1458 334227 240 334238
-rect -1458 334109 -1367 334227
-rect -1249 334109 240 334227
-rect -1458 334067 240 334109
-rect -1458 333949 -1367 334067
-rect -1249 333949 240 334067
-rect -1458 333938 240 333949
-rect 291760 334227 293420 334238
-rect 291760 334109 293211 334227
-rect 293329 334109 293420 334227
-rect 291760 334067 293420 334109
-rect 291760 333949 293211 334067
-rect 293329 333949 293420 334067
-rect 291760 333938 293420 333949
-rect -1458 333937 -1158 333938
-rect 293120 333937 293420 333938
-rect -3758 330638 -3458 330639
-rect 295420 330638 295720 330639
-rect -4218 330627 240 330638
-rect -4218 330509 -3667 330627
-rect -3549 330509 240 330627
-rect -4218 330467 240 330509
-rect -4218 330349 -3667 330467
-rect -3549 330349 240 330467
-rect -4218 330338 240 330349
-rect 291760 330627 296180 330638
-rect 291760 330509 295511 330627
-rect 295629 330509 296180 330627
-rect 291760 330467 296180 330509
-rect 291760 330349 295511 330467
-rect 295629 330349 296180 330467
-rect 291760 330338 296180 330349
-rect -3758 330337 -3458 330338
-rect 295420 330337 295720 330338
-rect -2838 328838 -2538 328839
-rect 294500 328838 294800 328839
-rect -3298 328827 240 328838
-rect -3298 328709 -2747 328827
-rect -2629 328709 240 328827
-rect -3298 328667 240 328709
-rect -3298 328549 -2747 328667
-rect -2629 328549 240 328667
-rect -3298 328538 240 328549
-rect 291760 328827 295260 328838
-rect 291760 328709 294591 328827
-rect 294709 328709 295260 328827
-rect 291760 328667 295260 328709
-rect 291760 328549 294591 328667
-rect 294709 328549 295260 328667
-rect 291760 328538 295260 328549
-rect -2838 328537 -2538 328538
-rect 294500 328537 294800 328538
-rect -1918 327038 -1618 327039
-rect 293580 327038 293880 327039
-rect -2378 327027 240 327038
-rect -2378 326909 -1827 327027
-rect -1709 326909 240 327027
-rect -2378 326867 240 326909
-rect -2378 326749 -1827 326867
-rect -1709 326749 240 326867
-rect -2378 326738 240 326749
-rect 291760 327027 294340 327038
-rect 291760 326909 293671 327027
-rect 293789 326909 294340 327027
-rect 291760 326867 294340 326909
-rect 291760 326749 293671 326867
-rect 293789 326749 294340 326867
-rect 291760 326738 294340 326749
-rect -1918 326737 -1618 326738
-rect 293580 326737 293880 326738
+rect -4288 339638 -3988 339639
+rect 295950 339638 296250 339639
+rect -4288 339627 240 339638
+rect -4288 339509 -4197 339627
+rect -4079 339509 240 339627
+rect -4288 339467 240 339509
+rect -4288 339349 -4197 339467
+rect -4079 339349 240 339467
+rect -4288 339338 240 339349
+rect 291760 339627 296250 339638
+rect 291760 339509 296041 339627
+rect 296159 339509 296250 339627
+rect 291760 339467 296250 339509
+rect 291760 339349 296041 339467
+rect 296159 339349 296250 339467
+rect 291760 339338 296250 339349
+rect -4288 339337 -3988 339338
+rect 295950 339337 296250 339338
+rect -3348 337838 -3048 337839
+rect 295010 337838 295310 337839
+rect -3348 337827 240 337838
+rect -3348 337709 -3257 337827
+rect -3139 337709 240 337827
+rect -3348 337667 240 337709
+rect -3348 337549 -3257 337667
+rect -3139 337549 240 337667
+rect -3348 337538 240 337549
+rect 291760 337827 295310 337838
+rect 291760 337709 295101 337827
+rect 295219 337709 295310 337827
+rect 291760 337667 295310 337709
+rect 291760 337549 295101 337667
+rect 295219 337549 295310 337667
+rect 291760 337538 295310 337549
+rect -3348 337537 -3048 337538
+rect 295010 337537 295310 337538
+rect -2408 336038 -2108 336039
+rect 294070 336038 294370 336039
+rect -2408 336027 240 336038
+rect -2408 335909 -2317 336027
+rect -2199 335909 240 336027
+rect -2408 335867 240 335909
+rect -2408 335749 -2317 335867
+rect -2199 335749 240 335867
+rect -2408 335738 240 335749
+rect 291760 336027 294370 336038
+rect 291760 335909 294161 336027
+rect 294279 335909 294370 336027
+rect 291760 335867 294370 335909
+rect 291760 335749 294161 335867
+rect 294279 335749 294370 335867
+rect 291760 335738 294370 335749
+rect -2408 335737 -2108 335738
+rect 294070 335737 294370 335738
+rect -1468 334238 -1168 334239
+rect 293130 334238 293430 334239
+rect -1468 334227 240 334238
+rect -1468 334109 -1377 334227
+rect -1259 334109 240 334227
+rect -1468 334067 240 334109
+rect -1468 333949 -1377 334067
+rect -1259 333949 240 334067
+rect -1468 333938 240 333949
+rect 291760 334227 293430 334238
+rect 291760 334109 293221 334227
+rect 293339 334109 293430 334227
+rect 291760 334067 293430 334109
+rect 291760 333949 293221 334067
+rect 293339 333949 293430 334067
+rect 291760 333938 293430 333949
+rect -1468 333937 -1168 333938
+rect 293130 333937 293430 333938
+rect -3818 330638 -3518 330639
+rect 295480 330638 295780 330639
+rect -4288 330627 240 330638
+rect -4288 330509 -3727 330627
+rect -3609 330509 240 330627
+rect -4288 330467 240 330509
+rect -4288 330349 -3727 330467
+rect -3609 330349 240 330467
+rect -4288 330338 240 330349
+rect 291760 330627 296250 330638
+rect 291760 330509 295571 330627
+rect 295689 330509 296250 330627
+rect 291760 330467 296250 330509
+rect 291760 330349 295571 330467
+rect 295689 330349 296250 330467
+rect 291760 330338 296250 330349
+rect -3818 330337 -3518 330338
+rect 295480 330337 295780 330338
+rect -2878 328838 -2578 328839
+rect 294540 328838 294840 328839
+rect -3348 328827 240 328838
+rect -3348 328709 -2787 328827
+rect -2669 328709 240 328827
+rect -3348 328667 240 328709
+rect -3348 328549 -2787 328667
+rect -2669 328549 240 328667
+rect -3348 328538 240 328549
+rect 291760 328827 295310 328838
+rect 291760 328709 294631 328827
+rect 294749 328709 295310 328827
+rect 291760 328667 295310 328709
+rect 291760 328549 294631 328667
+rect 294749 328549 295310 328667
+rect 291760 328538 295310 328549
+rect -2878 328537 -2578 328538
+rect 294540 328537 294840 328538
+rect -1938 327038 -1638 327039
+rect 293600 327038 293900 327039
+rect -2408 327027 240 327038
+rect -2408 326909 -1847 327027
+rect -1729 326909 240 327027
+rect -2408 326867 240 326909
+rect -2408 326749 -1847 326867
+rect -1729 326749 240 326867
+rect -2408 326738 240 326749
+rect 291760 327027 294370 327038
+rect 291760 326909 293691 327027
+rect 293809 326909 294370 327027
+rect 291760 326867 294370 326909
+rect 291760 326749 293691 326867
+rect 293809 326749 294370 326867
+rect 291760 326738 294370 326749
+rect -1938 326737 -1638 326738
+rect 293600 326737 293900 326738
 rect -998 325238 -698 325239
 rect 292660 325238 292960 325239
-rect -1458 325227 240 325238
-rect -1458 325109 -907 325227
+rect -1468 325227 240 325238
+rect -1468 325109 -907 325227
 rect -789 325109 240 325227
-rect -1458 325067 240 325109
-rect -1458 324949 -907 325067
+rect -1468 325067 240 325109
+rect -1468 324949 -907 325067
 rect -789 324949 240 325067
-rect -1458 324938 240 324949
-rect 291760 325227 293420 325238
+rect -1468 324938 240 324949
+rect 291760 325227 293430 325238
 rect 291760 325109 292751 325227
-rect 292869 325109 293420 325227
-rect 291760 325067 293420 325109
+rect 292869 325109 293430 325227
+rect 291760 325067 293430 325109
 rect 291760 324949 292751 325067
-rect 292869 324949 293420 325067
-rect 291760 324938 293420 324949
+rect 292869 324949 293430 325067
+rect 291760 324938 293430 324949
 rect -998 324937 -698 324938
 rect 292660 324937 292960 324938
-rect -4218 321638 -3918 321639
-rect 295880 321638 296180 321639
-rect -4218 321627 240 321638
-rect -4218 321509 -4127 321627
-rect -4009 321509 240 321627
-rect -4218 321467 240 321509
-rect -4218 321349 -4127 321467
-rect -4009 321349 240 321467
-rect -4218 321338 240 321349
-rect 291760 321627 296180 321638
-rect 291760 321509 295971 321627
-rect 296089 321509 296180 321627
-rect 291760 321467 296180 321509
-rect 291760 321349 295971 321467
-rect 296089 321349 296180 321467
-rect 291760 321338 296180 321349
-rect -4218 321337 -3918 321338
-rect 295880 321337 296180 321338
-rect -3298 319838 -2998 319839
-rect 294960 319838 295260 319839
-rect -3298 319827 240 319838
-rect -3298 319709 -3207 319827
-rect -3089 319709 240 319827
-rect -3298 319667 240 319709
-rect -3298 319549 -3207 319667
-rect -3089 319549 240 319667
-rect -3298 319538 240 319549
-rect 291760 319827 295260 319838
-rect 291760 319709 295051 319827
-rect 295169 319709 295260 319827
-rect 291760 319667 295260 319709
-rect 291760 319549 295051 319667
-rect 295169 319549 295260 319667
-rect 291760 319538 295260 319549
-rect -3298 319537 -2998 319538
-rect 294960 319537 295260 319538
-rect -2378 318038 -2078 318039
-rect 294040 318038 294340 318039
-rect -2378 318027 240 318038
-rect -2378 317909 -2287 318027
-rect -2169 317909 240 318027
-rect -2378 317867 240 317909
-rect -2378 317749 -2287 317867
-rect -2169 317749 240 317867
-rect -2378 317738 240 317749
-rect 291760 318027 294340 318038
-rect 291760 317909 294131 318027
-rect 294249 317909 294340 318027
-rect 291760 317867 294340 317909
-rect 291760 317749 294131 317867
-rect 294249 317749 294340 317867
-rect 291760 317738 294340 317749
-rect -2378 317737 -2078 317738
-rect 294040 317737 294340 317738
-rect -1458 316238 -1158 316239
-rect 293120 316238 293420 316239
-rect -1458 316227 240 316238
-rect -1458 316109 -1367 316227
-rect -1249 316109 240 316227
-rect -1458 316067 240 316109
-rect -1458 315949 -1367 316067
-rect -1249 315949 240 316067
-rect -1458 315938 240 315949
-rect 291760 316227 293420 316238
-rect 291760 316109 293211 316227
-rect 293329 316109 293420 316227
-rect 291760 316067 293420 316109
-rect 291760 315949 293211 316067
-rect 293329 315949 293420 316067
-rect 291760 315938 293420 315949
-rect -1458 315937 -1158 315938
-rect 293120 315937 293420 315938
-rect -3758 312638 -3458 312639
-rect 295420 312638 295720 312639
-rect -4218 312627 240 312638
-rect -4218 312509 -3667 312627
-rect -3549 312509 240 312627
-rect -4218 312467 240 312509
-rect -4218 312349 -3667 312467
-rect -3549 312349 240 312467
-rect -4218 312338 240 312349
-rect 291760 312627 296180 312638
-rect 291760 312509 295511 312627
-rect 295629 312509 296180 312627
-rect 291760 312467 296180 312509
-rect 291760 312349 295511 312467
-rect 295629 312349 296180 312467
-rect 291760 312338 296180 312349
-rect -3758 312337 -3458 312338
-rect 295420 312337 295720 312338
-rect -2838 310838 -2538 310839
-rect 294500 310838 294800 310839
-rect -3298 310827 240 310838
-rect -3298 310709 -2747 310827
-rect -2629 310709 240 310827
-rect -3298 310667 240 310709
-rect -3298 310549 -2747 310667
-rect -2629 310549 240 310667
-rect -3298 310538 240 310549
-rect 291760 310827 295260 310838
-rect 291760 310709 294591 310827
-rect 294709 310709 295260 310827
-rect 291760 310667 295260 310709
-rect 291760 310549 294591 310667
-rect 294709 310549 295260 310667
-rect 291760 310538 295260 310549
-rect -2838 310537 -2538 310538
-rect 294500 310537 294800 310538
-rect -1918 309038 -1618 309039
-rect 293580 309038 293880 309039
-rect -2378 309027 240 309038
-rect -2378 308909 -1827 309027
-rect -1709 308909 240 309027
-rect -2378 308867 240 308909
-rect -2378 308749 -1827 308867
-rect -1709 308749 240 308867
-rect -2378 308738 240 308749
-rect 291760 309027 294340 309038
-rect 291760 308909 293671 309027
-rect 293789 308909 294340 309027
-rect 291760 308867 294340 308909
-rect 291760 308749 293671 308867
-rect 293789 308749 294340 308867
-rect 291760 308738 294340 308749
-rect -1918 308737 -1618 308738
-rect 293580 308737 293880 308738
+rect -4288 321638 -3988 321639
+rect 295950 321638 296250 321639
+rect -4288 321627 240 321638
+rect -4288 321509 -4197 321627
+rect -4079 321509 240 321627
+rect -4288 321467 240 321509
+rect -4288 321349 -4197 321467
+rect -4079 321349 240 321467
+rect -4288 321338 240 321349
+rect 291760 321627 296250 321638
+rect 291760 321509 296041 321627
+rect 296159 321509 296250 321627
+rect 291760 321467 296250 321509
+rect 291760 321349 296041 321467
+rect 296159 321349 296250 321467
+rect 291760 321338 296250 321349
+rect -4288 321337 -3988 321338
+rect 295950 321337 296250 321338
+rect -3348 319838 -3048 319839
+rect 295010 319838 295310 319839
+rect -3348 319827 240 319838
+rect -3348 319709 -3257 319827
+rect -3139 319709 240 319827
+rect -3348 319667 240 319709
+rect -3348 319549 -3257 319667
+rect -3139 319549 240 319667
+rect -3348 319538 240 319549
+rect 291760 319827 295310 319838
+rect 291760 319709 295101 319827
+rect 295219 319709 295310 319827
+rect 291760 319667 295310 319709
+rect 291760 319549 295101 319667
+rect 295219 319549 295310 319667
+rect 291760 319538 295310 319549
+rect -3348 319537 -3048 319538
+rect 295010 319537 295310 319538
+rect -2408 318038 -2108 318039
+rect 294070 318038 294370 318039
+rect -2408 318027 240 318038
+rect -2408 317909 -2317 318027
+rect -2199 317909 240 318027
+rect -2408 317867 240 317909
+rect -2408 317749 -2317 317867
+rect -2199 317749 240 317867
+rect -2408 317738 240 317749
+rect 291760 318027 294370 318038
+rect 291760 317909 294161 318027
+rect 294279 317909 294370 318027
+rect 291760 317867 294370 317909
+rect 291760 317749 294161 317867
+rect 294279 317749 294370 317867
+rect 291760 317738 294370 317749
+rect -2408 317737 -2108 317738
+rect 294070 317737 294370 317738
+rect -1468 316238 -1168 316239
+rect 293130 316238 293430 316239
+rect -1468 316227 240 316238
+rect -1468 316109 -1377 316227
+rect -1259 316109 240 316227
+rect -1468 316067 240 316109
+rect -1468 315949 -1377 316067
+rect -1259 315949 240 316067
+rect -1468 315938 240 315949
+rect 291760 316227 293430 316238
+rect 291760 316109 293221 316227
+rect 293339 316109 293430 316227
+rect 291760 316067 293430 316109
+rect 291760 315949 293221 316067
+rect 293339 315949 293430 316067
+rect 291760 315938 293430 315949
+rect -1468 315937 -1168 315938
+rect 293130 315937 293430 315938
+rect -3818 312638 -3518 312639
+rect 295480 312638 295780 312639
+rect -4288 312627 240 312638
+rect -4288 312509 -3727 312627
+rect -3609 312509 240 312627
+rect -4288 312467 240 312509
+rect -4288 312349 -3727 312467
+rect -3609 312349 240 312467
+rect -4288 312338 240 312349
+rect 291760 312627 296250 312638
+rect 291760 312509 295571 312627
+rect 295689 312509 296250 312627
+rect 291760 312467 296250 312509
+rect 291760 312349 295571 312467
+rect 295689 312349 296250 312467
+rect 291760 312338 296250 312349
+rect -3818 312337 -3518 312338
+rect 295480 312337 295780 312338
+rect -2878 310838 -2578 310839
+rect 294540 310838 294840 310839
+rect -3348 310827 240 310838
+rect -3348 310709 -2787 310827
+rect -2669 310709 240 310827
+rect -3348 310667 240 310709
+rect -3348 310549 -2787 310667
+rect -2669 310549 240 310667
+rect -3348 310538 240 310549
+rect 291760 310827 295310 310838
+rect 291760 310709 294631 310827
+rect 294749 310709 295310 310827
+rect 291760 310667 295310 310709
+rect 291760 310549 294631 310667
+rect 294749 310549 295310 310667
+rect 291760 310538 295310 310549
+rect -2878 310537 -2578 310538
+rect 294540 310537 294840 310538
+rect -1938 309038 -1638 309039
+rect 293600 309038 293900 309039
+rect -2408 309027 240 309038
+rect -2408 308909 -1847 309027
+rect -1729 308909 240 309027
+rect -2408 308867 240 308909
+rect -2408 308749 -1847 308867
+rect -1729 308749 240 308867
+rect -2408 308738 240 308749
+rect 291760 309027 294370 309038
+rect 291760 308909 293691 309027
+rect 293809 308909 294370 309027
+rect 291760 308867 294370 308909
+rect 291760 308749 293691 308867
+rect 293809 308749 294370 308867
+rect 291760 308738 294370 308749
+rect -1938 308737 -1638 308738
+rect 293600 308737 293900 308738
 rect -998 307238 -698 307239
 rect 292660 307238 292960 307239
-rect -1458 307227 240 307238
-rect -1458 307109 -907 307227
+rect -1468 307227 240 307238
+rect -1468 307109 -907 307227
 rect -789 307109 240 307227
-rect -1458 307067 240 307109
-rect -1458 306949 -907 307067
+rect -1468 307067 240 307109
+rect -1468 306949 -907 307067
 rect -789 306949 240 307067
-rect -1458 306938 240 306949
-rect 291760 307227 293420 307238
+rect -1468 306938 240 306949
+rect 291760 307227 293430 307238
 rect 291760 307109 292751 307227
-rect 292869 307109 293420 307227
-rect 291760 307067 293420 307109
+rect 292869 307109 293430 307227
+rect 291760 307067 293430 307109
 rect 291760 306949 292751 307067
-rect 292869 306949 293420 307067
-rect 291760 306938 293420 306949
+rect 292869 306949 293430 307067
+rect 291760 306938 293430 306949
 rect -998 306937 -698 306938
 rect 292660 306937 292960 306938
-rect -4218 303638 -3918 303639
-rect 295880 303638 296180 303639
-rect -4218 303627 240 303638
-rect -4218 303509 -4127 303627
-rect -4009 303509 240 303627
-rect -4218 303467 240 303509
-rect -4218 303349 -4127 303467
-rect -4009 303349 240 303467
-rect -4218 303338 240 303349
-rect 291760 303627 296180 303638
-rect 291760 303509 295971 303627
-rect 296089 303509 296180 303627
-rect 291760 303467 296180 303509
-rect 291760 303349 295971 303467
-rect 296089 303349 296180 303467
-rect 291760 303338 296180 303349
-rect -4218 303337 -3918 303338
-rect 295880 303337 296180 303338
-rect -3298 301838 -2998 301839
-rect 294960 301838 295260 301839
-rect -3298 301827 240 301838
-rect -3298 301709 -3207 301827
-rect -3089 301709 240 301827
-rect -3298 301667 240 301709
-rect -3298 301549 -3207 301667
-rect -3089 301549 240 301667
-rect -3298 301538 240 301549
-rect 291760 301827 295260 301838
-rect 291760 301709 295051 301827
-rect 295169 301709 295260 301827
-rect 291760 301667 295260 301709
-rect 291760 301549 295051 301667
-rect 295169 301549 295260 301667
-rect 291760 301538 295260 301549
-rect -3298 301537 -2998 301538
-rect 294960 301537 295260 301538
-rect -2378 300038 -2078 300039
-rect 294040 300038 294340 300039
-rect -2378 300027 240 300038
-rect -2378 299909 -2287 300027
-rect -2169 299909 240 300027
-rect -2378 299867 240 299909
-rect -2378 299749 -2287 299867
-rect -2169 299749 240 299867
-rect -2378 299738 240 299749
-rect 291760 300027 294340 300038
-rect 291760 299909 294131 300027
-rect 294249 299909 294340 300027
-rect 291760 299867 294340 299909
-rect 291760 299749 294131 299867
-rect 294249 299749 294340 299867
-rect 291760 299738 294340 299749
-rect -2378 299737 -2078 299738
-rect 294040 299737 294340 299738
-rect -1458 298238 -1158 298239
-rect 293120 298238 293420 298239
-rect -1458 298227 240 298238
-rect -1458 298109 -1367 298227
-rect -1249 298109 240 298227
-rect -1458 298067 240 298109
-rect -1458 297949 -1367 298067
-rect -1249 297949 240 298067
-rect -1458 297938 240 297949
-rect 291760 298227 293420 298238
-rect 291760 298109 293211 298227
-rect 293329 298109 293420 298227
-rect 291760 298067 293420 298109
-rect 291760 297949 293211 298067
-rect 293329 297949 293420 298067
-rect 291760 297938 293420 297949
-rect -1458 297937 -1158 297938
-rect 293120 297937 293420 297938
-rect -3758 294638 -3458 294639
-rect 295420 294638 295720 294639
-rect -4218 294627 240 294638
-rect -4218 294509 -3667 294627
-rect -3549 294509 240 294627
-rect -4218 294467 240 294509
-rect -4218 294349 -3667 294467
-rect -3549 294349 240 294467
-rect -4218 294338 240 294349
-rect 291760 294627 296180 294638
-rect 291760 294509 295511 294627
-rect 295629 294509 296180 294627
-rect 291760 294467 296180 294509
-rect 291760 294349 295511 294467
-rect 295629 294349 296180 294467
-rect 291760 294338 296180 294349
-rect -3758 294337 -3458 294338
-rect 295420 294337 295720 294338
-rect -2838 292838 -2538 292839
-rect 294500 292838 294800 292839
-rect -3298 292827 240 292838
-rect -3298 292709 -2747 292827
-rect -2629 292709 240 292827
-rect -3298 292667 240 292709
-rect -3298 292549 -2747 292667
-rect -2629 292549 240 292667
-rect -3298 292538 240 292549
-rect 291760 292827 295260 292838
-rect 291760 292709 294591 292827
-rect 294709 292709 295260 292827
-rect 291760 292667 295260 292709
-rect 291760 292549 294591 292667
-rect 294709 292549 295260 292667
-rect 291760 292538 295260 292549
-rect -2838 292537 -2538 292538
-rect 294500 292537 294800 292538
-rect -1918 291038 -1618 291039
-rect 293580 291038 293880 291039
-rect -2378 291027 240 291038
-rect -2378 290909 -1827 291027
-rect -1709 290909 240 291027
-rect -2378 290867 240 290909
-rect -2378 290749 -1827 290867
-rect -1709 290749 240 290867
-rect -2378 290738 240 290749
-rect 291760 291027 294340 291038
-rect 291760 290909 293671 291027
-rect 293789 290909 294340 291027
-rect 291760 290867 294340 290909
-rect 291760 290749 293671 290867
-rect 293789 290749 294340 290867
-rect 291760 290738 294340 290749
-rect -1918 290737 -1618 290738
-rect 293580 290737 293880 290738
+rect -4288 303638 -3988 303639
+rect 295950 303638 296250 303639
+rect -4288 303627 240 303638
+rect -4288 303509 -4197 303627
+rect -4079 303509 240 303627
+rect -4288 303467 240 303509
+rect -4288 303349 -4197 303467
+rect -4079 303349 240 303467
+rect -4288 303338 240 303349
+rect 291760 303627 296250 303638
+rect 291760 303509 296041 303627
+rect 296159 303509 296250 303627
+rect 291760 303467 296250 303509
+rect 291760 303349 296041 303467
+rect 296159 303349 296250 303467
+rect 291760 303338 296250 303349
+rect -4288 303337 -3988 303338
+rect 295950 303337 296250 303338
+rect -3348 301838 -3048 301839
+rect 295010 301838 295310 301839
+rect -3348 301827 240 301838
+rect -3348 301709 -3257 301827
+rect -3139 301709 240 301827
+rect -3348 301667 240 301709
+rect -3348 301549 -3257 301667
+rect -3139 301549 240 301667
+rect -3348 301538 240 301549
+rect 291760 301827 295310 301838
+rect 291760 301709 295101 301827
+rect 295219 301709 295310 301827
+rect 291760 301667 295310 301709
+rect 291760 301549 295101 301667
+rect 295219 301549 295310 301667
+rect 291760 301538 295310 301549
+rect -3348 301537 -3048 301538
+rect 295010 301537 295310 301538
+rect -2408 300038 -2108 300039
+rect 294070 300038 294370 300039
+rect -2408 300027 240 300038
+rect -2408 299909 -2317 300027
+rect -2199 299909 240 300027
+rect -2408 299867 240 299909
+rect -2408 299749 -2317 299867
+rect -2199 299749 240 299867
+rect -2408 299738 240 299749
+rect 291760 300027 294370 300038
+rect 291760 299909 294161 300027
+rect 294279 299909 294370 300027
+rect 291760 299867 294370 299909
+rect 291760 299749 294161 299867
+rect 294279 299749 294370 299867
+rect 291760 299738 294370 299749
+rect -2408 299737 -2108 299738
+rect 294070 299737 294370 299738
+rect -1468 298238 -1168 298239
+rect 293130 298238 293430 298239
+rect -1468 298227 240 298238
+rect -1468 298109 -1377 298227
+rect -1259 298109 240 298227
+rect -1468 298067 240 298109
+rect -1468 297949 -1377 298067
+rect -1259 297949 240 298067
+rect -1468 297938 240 297949
+rect 291760 298227 293430 298238
+rect 291760 298109 293221 298227
+rect 293339 298109 293430 298227
+rect 291760 298067 293430 298109
+rect 291760 297949 293221 298067
+rect 293339 297949 293430 298067
+rect 291760 297938 293430 297949
+rect -1468 297937 -1168 297938
+rect 293130 297937 293430 297938
+rect -3818 294638 -3518 294639
+rect 295480 294638 295780 294639
+rect -4288 294627 240 294638
+rect -4288 294509 -3727 294627
+rect -3609 294509 240 294627
+rect -4288 294467 240 294509
+rect -4288 294349 -3727 294467
+rect -3609 294349 240 294467
+rect -4288 294338 240 294349
+rect 291760 294627 296250 294638
+rect 291760 294509 295571 294627
+rect 295689 294509 296250 294627
+rect 291760 294467 296250 294509
+rect 291760 294349 295571 294467
+rect 295689 294349 296250 294467
+rect 291760 294338 296250 294349
+rect -3818 294337 -3518 294338
+rect 295480 294337 295780 294338
+rect -2878 292838 -2578 292839
+rect 294540 292838 294840 292839
+rect -3348 292827 240 292838
+rect -3348 292709 -2787 292827
+rect -2669 292709 240 292827
+rect -3348 292667 240 292709
+rect -3348 292549 -2787 292667
+rect -2669 292549 240 292667
+rect -3348 292538 240 292549
+rect 291760 292827 295310 292838
+rect 291760 292709 294631 292827
+rect 294749 292709 295310 292827
+rect 291760 292667 295310 292709
+rect 291760 292549 294631 292667
+rect 294749 292549 295310 292667
+rect 291760 292538 295310 292549
+rect -2878 292537 -2578 292538
+rect 294540 292537 294840 292538
+rect -1938 291038 -1638 291039
+rect 293600 291038 293900 291039
+rect -2408 291027 240 291038
+rect -2408 290909 -1847 291027
+rect -1729 290909 240 291027
+rect -2408 290867 240 290909
+rect -2408 290749 -1847 290867
+rect -1729 290749 240 290867
+rect -2408 290738 240 290749
+rect 291760 291027 294370 291038
+rect 291760 290909 293691 291027
+rect 293809 290909 294370 291027
+rect 291760 290867 294370 290909
+rect 291760 290749 293691 290867
+rect 293809 290749 294370 290867
+rect 291760 290738 294370 290749
+rect -1938 290737 -1638 290738
+rect 293600 290737 293900 290738
 rect -998 289238 -698 289239
 rect 292660 289238 292960 289239
-rect -1458 289227 240 289238
-rect -1458 289109 -907 289227
+rect -1468 289227 240 289238
+rect -1468 289109 -907 289227
 rect -789 289109 240 289227
-rect -1458 289067 240 289109
-rect -1458 288949 -907 289067
+rect -1468 289067 240 289109
+rect -1468 288949 -907 289067
 rect -789 288949 240 289067
-rect -1458 288938 240 288949
-rect 291760 289227 293420 289238
+rect -1468 288938 240 288949
+rect 291760 289227 293430 289238
 rect 291760 289109 292751 289227
-rect 292869 289109 293420 289227
-rect 291760 289067 293420 289109
+rect 292869 289109 293430 289227
+rect 291760 289067 293430 289109
 rect 291760 288949 292751 289067
-rect 292869 288949 293420 289067
-rect 291760 288938 293420 288949
+rect 292869 288949 293430 289067
+rect 291760 288938 293430 288949
 rect -998 288937 -698 288938
 rect 292660 288937 292960 288938
-rect -4218 285638 -3918 285639
-rect 295880 285638 296180 285639
-rect -4218 285627 240 285638
-rect -4218 285509 -4127 285627
-rect -4009 285509 240 285627
-rect -4218 285467 240 285509
-rect -4218 285349 -4127 285467
-rect -4009 285349 240 285467
-rect -4218 285338 240 285349
-rect 291760 285627 296180 285638
-rect 291760 285509 295971 285627
-rect 296089 285509 296180 285627
-rect 291760 285467 296180 285509
-rect 291760 285349 295971 285467
-rect 296089 285349 296180 285467
-rect 291760 285338 296180 285349
-rect -4218 285337 -3918 285338
-rect 295880 285337 296180 285338
-rect -3298 283838 -2998 283839
-rect 294960 283838 295260 283839
-rect -3298 283827 240 283838
-rect -3298 283709 -3207 283827
-rect -3089 283709 240 283827
-rect -3298 283667 240 283709
-rect -3298 283549 -3207 283667
-rect -3089 283549 240 283667
-rect -3298 283538 240 283549
-rect 291760 283827 295260 283838
-rect 291760 283709 295051 283827
-rect 295169 283709 295260 283827
-rect 291760 283667 295260 283709
-rect 291760 283549 295051 283667
-rect 295169 283549 295260 283667
-rect 291760 283538 295260 283549
-rect -3298 283537 -2998 283538
-rect 294960 283537 295260 283538
-rect -2378 282038 -2078 282039
-rect 294040 282038 294340 282039
-rect -2378 282027 240 282038
-rect -2378 281909 -2287 282027
-rect -2169 281909 240 282027
-rect -2378 281867 240 281909
-rect -2378 281749 -2287 281867
-rect -2169 281749 240 281867
-rect -2378 281738 240 281749
-rect 291760 282027 294340 282038
-rect 291760 281909 294131 282027
-rect 294249 281909 294340 282027
-rect 291760 281867 294340 281909
-rect 291760 281749 294131 281867
-rect 294249 281749 294340 281867
-rect 291760 281738 294340 281749
-rect -2378 281737 -2078 281738
-rect 294040 281737 294340 281738
-rect -1458 280238 -1158 280239
-rect 293120 280238 293420 280239
-rect -1458 280227 240 280238
-rect -1458 280109 -1367 280227
-rect -1249 280109 240 280227
-rect -1458 280067 240 280109
-rect -1458 279949 -1367 280067
-rect -1249 279949 240 280067
-rect -1458 279938 240 279949
-rect 291760 280227 293420 280238
-rect 291760 280109 293211 280227
-rect 293329 280109 293420 280227
-rect 291760 280067 293420 280109
-rect 291760 279949 293211 280067
-rect 293329 279949 293420 280067
-rect 291760 279938 293420 279949
-rect -1458 279937 -1158 279938
-rect 293120 279937 293420 279938
-rect -3758 276638 -3458 276639
-rect 295420 276638 295720 276639
-rect -4218 276627 240 276638
-rect -4218 276509 -3667 276627
-rect -3549 276509 240 276627
-rect -4218 276467 240 276509
-rect -4218 276349 -3667 276467
-rect -3549 276349 240 276467
-rect -4218 276338 240 276349
-rect 291760 276627 296180 276638
-rect 291760 276509 295511 276627
-rect 295629 276509 296180 276627
-rect 291760 276467 296180 276509
-rect 291760 276349 295511 276467
-rect 295629 276349 296180 276467
-rect 291760 276338 296180 276349
-rect -3758 276337 -3458 276338
-rect 295420 276337 295720 276338
-rect -2838 274838 -2538 274839
-rect 294500 274838 294800 274839
-rect -3298 274827 240 274838
-rect -3298 274709 -2747 274827
-rect -2629 274709 240 274827
-rect -3298 274667 240 274709
-rect -3298 274549 -2747 274667
-rect -2629 274549 240 274667
-rect -3298 274538 240 274549
-rect 291760 274827 295260 274838
-rect 291760 274709 294591 274827
-rect 294709 274709 295260 274827
-rect 291760 274667 295260 274709
-rect 291760 274549 294591 274667
-rect 294709 274549 295260 274667
-rect 291760 274538 295260 274549
-rect -2838 274537 -2538 274538
-rect 294500 274537 294800 274538
-rect -1918 273038 -1618 273039
-rect 293580 273038 293880 273039
-rect -2378 273027 240 273038
-rect -2378 272909 -1827 273027
-rect -1709 272909 240 273027
-rect -2378 272867 240 272909
-rect -2378 272749 -1827 272867
-rect -1709 272749 240 272867
-rect -2378 272738 240 272749
-rect 291760 273027 294340 273038
-rect 291760 272909 293671 273027
-rect 293789 272909 294340 273027
-rect 291760 272867 294340 272909
-rect 291760 272749 293671 272867
-rect 293789 272749 294340 272867
-rect 291760 272738 294340 272749
-rect -1918 272737 -1618 272738
-rect 293580 272737 293880 272738
+rect -4288 285638 -3988 285639
+rect 295950 285638 296250 285639
+rect -4288 285627 240 285638
+rect -4288 285509 -4197 285627
+rect -4079 285509 240 285627
+rect -4288 285467 240 285509
+rect -4288 285349 -4197 285467
+rect -4079 285349 240 285467
+rect -4288 285338 240 285349
+rect 291760 285627 296250 285638
+rect 291760 285509 296041 285627
+rect 296159 285509 296250 285627
+rect 291760 285467 296250 285509
+rect 291760 285349 296041 285467
+rect 296159 285349 296250 285467
+rect 291760 285338 296250 285349
+rect -4288 285337 -3988 285338
+rect 295950 285337 296250 285338
+rect -3348 283838 -3048 283839
+rect 295010 283838 295310 283839
+rect -3348 283827 240 283838
+rect -3348 283709 -3257 283827
+rect -3139 283709 240 283827
+rect -3348 283667 240 283709
+rect -3348 283549 -3257 283667
+rect -3139 283549 240 283667
+rect -3348 283538 240 283549
+rect 291760 283827 295310 283838
+rect 291760 283709 295101 283827
+rect 295219 283709 295310 283827
+rect 291760 283667 295310 283709
+rect 291760 283549 295101 283667
+rect 295219 283549 295310 283667
+rect 291760 283538 295310 283549
+rect -3348 283537 -3048 283538
+rect 295010 283537 295310 283538
+rect -2408 282038 -2108 282039
+rect 294070 282038 294370 282039
+rect -2408 282027 240 282038
+rect -2408 281909 -2317 282027
+rect -2199 281909 240 282027
+rect -2408 281867 240 281909
+rect -2408 281749 -2317 281867
+rect -2199 281749 240 281867
+rect -2408 281738 240 281749
+rect 291760 282027 294370 282038
+rect 291760 281909 294161 282027
+rect 294279 281909 294370 282027
+rect 291760 281867 294370 281909
+rect 291760 281749 294161 281867
+rect 294279 281749 294370 281867
+rect 291760 281738 294370 281749
+rect -2408 281737 -2108 281738
+rect 294070 281737 294370 281738
+rect -1468 280238 -1168 280239
+rect 293130 280238 293430 280239
+rect -1468 280227 240 280238
+rect -1468 280109 -1377 280227
+rect -1259 280109 240 280227
+rect -1468 280067 240 280109
+rect -1468 279949 -1377 280067
+rect -1259 279949 240 280067
+rect -1468 279938 240 279949
+rect 291760 280227 293430 280238
+rect 291760 280109 293221 280227
+rect 293339 280109 293430 280227
+rect 291760 280067 293430 280109
+rect 291760 279949 293221 280067
+rect 293339 279949 293430 280067
+rect 291760 279938 293430 279949
+rect -1468 279937 -1168 279938
+rect 293130 279937 293430 279938
+rect -3818 276638 -3518 276639
+rect 295480 276638 295780 276639
+rect -4288 276627 240 276638
+rect -4288 276509 -3727 276627
+rect -3609 276509 240 276627
+rect -4288 276467 240 276509
+rect -4288 276349 -3727 276467
+rect -3609 276349 240 276467
+rect -4288 276338 240 276349
+rect 291760 276627 296250 276638
+rect 291760 276509 295571 276627
+rect 295689 276509 296250 276627
+rect 291760 276467 296250 276509
+rect 291760 276349 295571 276467
+rect 295689 276349 296250 276467
+rect 291760 276338 296250 276349
+rect -3818 276337 -3518 276338
+rect 295480 276337 295780 276338
+rect -2878 274838 -2578 274839
+rect 294540 274838 294840 274839
+rect -3348 274827 240 274838
+rect -3348 274709 -2787 274827
+rect -2669 274709 240 274827
+rect -3348 274667 240 274709
+rect -3348 274549 -2787 274667
+rect -2669 274549 240 274667
+rect -3348 274538 240 274549
+rect 291760 274827 295310 274838
+rect 291760 274709 294631 274827
+rect 294749 274709 295310 274827
+rect 291760 274667 295310 274709
+rect 291760 274549 294631 274667
+rect 294749 274549 295310 274667
+rect 291760 274538 295310 274549
+rect -2878 274537 -2578 274538
+rect 294540 274537 294840 274538
+rect -1938 273038 -1638 273039
+rect 293600 273038 293900 273039
+rect -2408 273027 240 273038
+rect -2408 272909 -1847 273027
+rect -1729 272909 240 273027
+rect -2408 272867 240 272909
+rect -2408 272749 -1847 272867
+rect -1729 272749 240 272867
+rect -2408 272738 240 272749
+rect 291760 273027 294370 273038
+rect 291760 272909 293691 273027
+rect 293809 272909 294370 273027
+rect 291760 272867 294370 272909
+rect 291760 272749 293691 272867
+rect 293809 272749 294370 272867
+rect 291760 272738 294370 272749
+rect -1938 272737 -1638 272738
+rect 293600 272737 293900 272738
 rect -998 271238 -698 271239
 rect 292660 271238 292960 271239
-rect -1458 271227 240 271238
-rect -1458 271109 -907 271227
+rect -1468 271227 240 271238
+rect -1468 271109 -907 271227
 rect -789 271109 240 271227
-rect -1458 271067 240 271109
-rect -1458 270949 -907 271067
+rect -1468 271067 240 271109
+rect -1468 270949 -907 271067
 rect -789 270949 240 271067
-rect -1458 270938 240 270949
-rect 291760 271227 293420 271238
+rect -1468 270938 240 270949
+rect 291760 271227 293430 271238
 rect 291760 271109 292751 271227
-rect 292869 271109 293420 271227
-rect 291760 271067 293420 271109
+rect 292869 271109 293430 271227
+rect 291760 271067 293430 271109
 rect 291760 270949 292751 271067
-rect 292869 270949 293420 271067
-rect 291760 270938 293420 270949
+rect 292869 270949 293430 271067
+rect 291760 270938 293430 270949
 rect -998 270937 -698 270938
 rect 292660 270937 292960 270938
-rect -4218 267638 -3918 267639
-rect 295880 267638 296180 267639
-rect -4218 267627 240 267638
-rect -4218 267509 -4127 267627
-rect -4009 267509 240 267627
-rect -4218 267467 240 267509
-rect -4218 267349 -4127 267467
-rect -4009 267349 240 267467
-rect -4218 267338 240 267349
-rect 291760 267627 296180 267638
-rect 291760 267509 295971 267627
-rect 296089 267509 296180 267627
-rect 291760 267467 296180 267509
-rect 291760 267349 295971 267467
-rect 296089 267349 296180 267467
-rect 291760 267338 296180 267349
-rect -4218 267337 -3918 267338
-rect 295880 267337 296180 267338
-rect -3298 265838 -2998 265839
-rect 294960 265838 295260 265839
-rect -3298 265827 240 265838
-rect -3298 265709 -3207 265827
-rect -3089 265709 240 265827
-rect -3298 265667 240 265709
-rect -3298 265549 -3207 265667
-rect -3089 265549 240 265667
-rect -3298 265538 240 265549
-rect 291760 265827 295260 265838
-rect 291760 265709 295051 265827
-rect 295169 265709 295260 265827
-rect 291760 265667 295260 265709
-rect 291760 265549 295051 265667
-rect 295169 265549 295260 265667
-rect 291760 265538 295260 265549
-rect -3298 265537 -2998 265538
-rect 294960 265537 295260 265538
-rect -2378 264038 -2078 264039
-rect 294040 264038 294340 264039
-rect -2378 264027 240 264038
-rect -2378 263909 -2287 264027
-rect -2169 263909 240 264027
-rect -2378 263867 240 263909
-rect -2378 263749 -2287 263867
-rect -2169 263749 240 263867
-rect -2378 263738 240 263749
-rect 291760 264027 294340 264038
-rect 291760 263909 294131 264027
-rect 294249 263909 294340 264027
-rect 291760 263867 294340 263909
-rect 291760 263749 294131 263867
-rect 294249 263749 294340 263867
-rect 291760 263738 294340 263749
-rect -2378 263737 -2078 263738
-rect 294040 263737 294340 263738
-rect -1458 262238 -1158 262239
-rect 293120 262238 293420 262239
-rect -1458 262227 240 262238
-rect -1458 262109 -1367 262227
-rect -1249 262109 240 262227
-rect -1458 262067 240 262109
-rect -1458 261949 -1367 262067
-rect -1249 261949 240 262067
-rect -1458 261938 240 261949
-rect 291760 262227 293420 262238
-rect 291760 262109 293211 262227
-rect 293329 262109 293420 262227
-rect 291760 262067 293420 262109
-rect 291760 261949 293211 262067
-rect 293329 261949 293420 262067
-rect 291760 261938 293420 261949
-rect -1458 261937 -1158 261938
-rect 293120 261937 293420 261938
-rect -3758 258638 -3458 258639
-rect 295420 258638 295720 258639
-rect -4218 258627 240 258638
-rect -4218 258509 -3667 258627
-rect -3549 258509 240 258627
-rect -4218 258467 240 258509
-rect -4218 258349 -3667 258467
-rect -3549 258349 240 258467
-rect -4218 258338 240 258349
-rect 291760 258627 296180 258638
-rect 291760 258509 295511 258627
-rect 295629 258509 296180 258627
-rect 291760 258467 296180 258509
-rect 291760 258349 295511 258467
-rect 295629 258349 296180 258467
-rect 291760 258338 296180 258349
-rect -3758 258337 -3458 258338
-rect 295420 258337 295720 258338
-rect -2838 256838 -2538 256839
-rect 294500 256838 294800 256839
-rect -3298 256827 240 256838
-rect -3298 256709 -2747 256827
-rect -2629 256709 240 256827
-rect -3298 256667 240 256709
-rect -3298 256549 -2747 256667
-rect -2629 256549 240 256667
-rect -3298 256538 240 256549
-rect 291760 256827 295260 256838
-rect 291760 256709 294591 256827
-rect 294709 256709 295260 256827
-rect 291760 256667 295260 256709
-rect 291760 256549 294591 256667
-rect 294709 256549 295260 256667
-rect 291760 256538 295260 256549
-rect -2838 256537 -2538 256538
-rect 294500 256537 294800 256538
-rect -1918 255038 -1618 255039
-rect 293580 255038 293880 255039
-rect -2378 255027 240 255038
-rect -2378 254909 -1827 255027
-rect -1709 254909 240 255027
-rect -2378 254867 240 254909
-rect -2378 254749 -1827 254867
-rect -1709 254749 240 254867
-rect -2378 254738 240 254749
-rect 291760 255027 294340 255038
-rect 291760 254909 293671 255027
-rect 293789 254909 294340 255027
-rect 291760 254867 294340 254909
-rect 291760 254749 293671 254867
-rect 293789 254749 294340 254867
-rect 291760 254738 294340 254749
-rect -1918 254737 -1618 254738
-rect 293580 254737 293880 254738
+rect -4288 267638 -3988 267639
+rect 295950 267638 296250 267639
+rect -4288 267627 240 267638
+rect -4288 267509 -4197 267627
+rect -4079 267509 240 267627
+rect -4288 267467 240 267509
+rect -4288 267349 -4197 267467
+rect -4079 267349 240 267467
+rect -4288 267338 240 267349
+rect 291760 267627 296250 267638
+rect 291760 267509 296041 267627
+rect 296159 267509 296250 267627
+rect 291760 267467 296250 267509
+rect 291760 267349 296041 267467
+rect 296159 267349 296250 267467
+rect 291760 267338 296250 267349
+rect -4288 267337 -3988 267338
+rect 295950 267337 296250 267338
+rect -3348 265838 -3048 265839
+rect 295010 265838 295310 265839
+rect -3348 265827 240 265838
+rect -3348 265709 -3257 265827
+rect -3139 265709 240 265827
+rect -3348 265667 240 265709
+rect -3348 265549 -3257 265667
+rect -3139 265549 240 265667
+rect -3348 265538 240 265549
+rect 291760 265827 295310 265838
+rect 291760 265709 295101 265827
+rect 295219 265709 295310 265827
+rect 291760 265667 295310 265709
+rect 291760 265549 295101 265667
+rect 295219 265549 295310 265667
+rect 291760 265538 295310 265549
+rect -3348 265537 -3048 265538
+rect 295010 265537 295310 265538
+rect -2408 264038 -2108 264039
+rect 294070 264038 294370 264039
+rect -2408 264027 240 264038
+rect -2408 263909 -2317 264027
+rect -2199 263909 240 264027
+rect -2408 263867 240 263909
+rect -2408 263749 -2317 263867
+rect -2199 263749 240 263867
+rect -2408 263738 240 263749
+rect 291760 264027 294370 264038
+rect 291760 263909 294161 264027
+rect 294279 263909 294370 264027
+rect 291760 263867 294370 263909
+rect 291760 263749 294161 263867
+rect 294279 263749 294370 263867
+rect 291760 263738 294370 263749
+rect -2408 263737 -2108 263738
+rect 294070 263737 294370 263738
+rect -1468 262238 -1168 262239
+rect 293130 262238 293430 262239
+rect -1468 262227 240 262238
+rect -1468 262109 -1377 262227
+rect -1259 262109 240 262227
+rect -1468 262067 240 262109
+rect -1468 261949 -1377 262067
+rect -1259 261949 240 262067
+rect -1468 261938 240 261949
+rect 291760 262227 293430 262238
+rect 291760 262109 293221 262227
+rect 293339 262109 293430 262227
+rect 291760 262067 293430 262109
+rect 291760 261949 293221 262067
+rect 293339 261949 293430 262067
+rect 291760 261938 293430 261949
+rect -1468 261937 -1168 261938
+rect 293130 261937 293430 261938
+rect -3818 258638 -3518 258639
+rect 295480 258638 295780 258639
+rect -4288 258627 240 258638
+rect -4288 258509 -3727 258627
+rect -3609 258509 240 258627
+rect -4288 258467 240 258509
+rect -4288 258349 -3727 258467
+rect -3609 258349 240 258467
+rect -4288 258338 240 258349
+rect 291760 258627 296250 258638
+rect 291760 258509 295571 258627
+rect 295689 258509 296250 258627
+rect 291760 258467 296250 258509
+rect 291760 258349 295571 258467
+rect 295689 258349 296250 258467
+rect 291760 258338 296250 258349
+rect -3818 258337 -3518 258338
+rect 295480 258337 295780 258338
+rect -2878 256838 -2578 256839
+rect 294540 256838 294840 256839
+rect -3348 256827 240 256838
+rect -3348 256709 -2787 256827
+rect -2669 256709 240 256827
+rect -3348 256667 240 256709
+rect -3348 256549 -2787 256667
+rect -2669 256549 240 256667
+rect -3348 256538 240 256549
+rect 291760 256827 295310 256838
+rect 291760 256709 294631 256827
+rect 294749 256709 295310 256827
+rect 291760 256667 295310 256709
+rect 291760 256549 294631 256667
+rect 294749 256549 295310 256667
+rect 291760 256538 295310 256549
+rect -2878 256537 -2578 256538
+rect 294540 256537 294840 256538
+rect -1938 255038 -1638 255039
+rect 293600 255038 293900 255039
+rect -2408 255027 240 255038
+rect -2408 254909 -1847 255027
+rect -1729 254909 240 255027
+rect -2408 254867 240 254909
+rect -2408 254749 -1847 254867
+rect -1729 254749 240 254867
+rect -2408 254738 240 254749
+rect 291760 255027 294370 255038
+rect 291760 254909 293691 255027
+rect 293809 254909 294370 255027
+rect 291760 254867 294370 254909
+rect 291760 254749 293691 254867
+rect 293809 254749 294370 254867
+rect 291760 254738 294370 254749
+rect -1938 254737 -1638 254738
+rect 293600 254737 293900 254738
 rect -998 253238 -698 253239
 rect 292660 253238 292960 253239
-rect -1458 253227 240 253238
-rect -1458 253109 -907 253227
+rect -1468 253227 240 253238
+rect -1468 253109 -907 253227
 rect -789 253109 240 253227
-rect -1458 253067 240 253109
-rect -1458 252949 -907 253067
+rect -1468 253067 240 253109
+rect -1468 252949 -907 253067
 rect -789 252949 240 253067
-rect -1458 252938 240 252949
-rect 291760 253227 293420 253238
+rect -1468 252938 240 252949
+rect 291760 253227 293430 253238
 rect 291760 253109 292751 253227
-rect 292869 253109 293420 253227
-rect 291760 253067 293420 253109
+rect 292869 253109 293430 253227
+rect 291760 253067 293430 253109
 rect 291760 252949 292751 253067
-rect 292869 252949 293420 253067
-rect 291760 252938 293420 252949
+rect 292869 252949 293430 253067
+rect 291760 252938 293430 252949
 rect -998 252937 -698 252938
 rect 292660 252937 292960 252938
-rect -4218 249638 -3918 249639
-rect 295880 249638 296180 249639
-rect -4218 249627 240 249638
-rect -4218 249509 -4127 249627
-rect -4009 249509 240 249627
-rect -4218 249467 240 249509
-rect -4218 249349 -4127 249467
-rect -4009 249349 240 249467
-rect -4218 249338 240 249349
-rect 291760 249627 296180 249638
-rect 291760 249509 295971 249627
-rect 296089 249509 296180 249627
-rect 291760 249467 296180 249509
-rect 291760 249349 295971 249467
-rect 296089 249349 296180 249467
-rect 291760 249338 296180 249349
-rect -4218 249337 -3918 249338
-rect 295880 249337 296180 249338
-rect -3298 247838 -2998 247839
-rect 294960 247838 295260 247839
-rect -3298 247827 240 247838
-rect -3298 247709 -3207 247827
-rect -3089 247709 240 247827
-rect -3298 247667 240 247709
-rect -3298 247549 -3207 247667
-rect -3089 247549 240 247667
-rect -3298 247538 240 247549
-rect 291760 247827 295260 247838
-rect 291760 247709 295051 247827
-rect 295169 247709 295260 247827
-rect 291760 247667 295260 247709
-rect 291760 247549 295051 247667
-rect 295169 247549 295260 247667
-rect 291760 247538 295260 247549
-rect -3298 247537 -2998 247538
-rect 294960 247537 295260 247538
-rect -2378 246038 -2078 246039
-rect 294040 246038 294340 246039
-rect -2378 246027 240 246038
-rect -2378 245909 -2287 246027
-rect -2169 245909 240 246027
-rect -2378 245867 240 245909
-rect -2378 245749 -2287 245867
-rect -2169 245749 240 245867
-rect -2378 245738 240 245749
-rect 291760 246027 294340 246038
-rect 291760 245909 294131 246027
-rect 294249 245909 294340 246027
-rect 291760 245867 294340 245909
-rect 291760 245749 294131 245867
-rect 294249 245749 294340 245867
-rect 291760 245738 294340 245749
-rect -2378 245737 -2078 245738
-rect 294040 245737 294340 245738
-rect -1458 244238 -1158 244239
-rect 293120 244238 293420 244239
-rect -1458 244227 240 244238
-rect -1458 244109 -1367 244227
-rect -1249 244109 240 244227
-rect -1458 244067 240 244109
-rect -1458 243949 -1367 244067
-rect -1249 243949 240 244067
-rect -1458 243938 240 243949
-rect 291760 244227 293420 244238
-rect 291760 244109 293211 244227
-rect 293329 244109 293420 244227
-rect 291760 244067 293420 244109
-rect 291760 243949 293211 244067
-rect 293329 243949 293420 244067
-rect 291760 243938 293420 243949
-rect -1458 243937 -1158 243938
-rect 293120 243937 293420 243938
-rect -3758 240638 -3458 240639
-rect 295420 240638 295720 240639
-rect -4218 240627 240 240638
-rect -4218 240509 -3667 240627
-rect -3549 240509 240 240627
-rect -4218 240467 240 240509
-rect -4218 240349 -3667 240467
-rect -3549 240349 240 240467
-rect -4218 240338 240 240349
-rect 291760 240627 296180 240638
-rect 291760 240509 295511 240627
-rect 295629 240509 296180 240627
-rect 291760 240467 296180 240509
-rect 291760 240349 295511 240467
-rect 295629 240349 296180 240467
-rect 291760 240338 296180 240349
-rect -3758 240337 -3458 240338
-rect 295420 240337 295720 240338
-rect -2838 238838 -2538 238839
-rect 294500 238838 294800 238839
-rect -3298 238827 240 238838
-rect -3298 238709 -2747 238827
-rect -2629 238709 240 238827
-rect -3298 238667 240 238709
-rect -3298 238549 -2747 238667
-rect -2629 238549 240 238667
-rect -3298 238538 240 238549
-rect 291760 238827 295260 238838
-rect 291760 238709 294591 238827
-rect 294709 238709 295260 238827
-rect 291760 238667 295260 238709
-rect 291760 238549 294591 238667
-rect 294709 238549 295260 238667
-rect 291760 238538 295260 238549
-rect -2838 238537 -2538 238538
-rect 294500 238537 294800 238538
-rect -1918 237038 -1618 237039
-rect 293580 237038 293880 237039
-rect -2378 237027 240 237038
-rect -2378 236909 -1827 237027
-rect -1709 236909 240 237027
-rect -2378 236867 240 236909
-rect -2378 236749 -1827 236867
-rect -1709 236749 240 236867
-rect -2378 236738 240 236749
-rect 291760 237027 294340 237038
-rect 291760 236909 293671 237027
-rect 293789 236909 294340 237027
-rect 291760 236867 294340 236909
-rect 291760 236749 293671 236867
-rect 293789 236749 294340 236867
-rect 291760 236738 294340 236749
-rect -1918 236737 -1618 236738
-rect 293580 236737 293880 236738
+rect -4288 249638 -3988 249639
+rect 295950 249638 296250 249639
+rect -4288 249627 240 249638
+rect -4288 249509 -4197 249627
+rect -4079 249509 240 249627
+rect -4288 249467 240 249509
+rect -4288 249349 -4197 249467
+rect -4079 249349 240 249467
+rect -4288 249338 240 249349
+rect 291760 249627 296250 249638
+rect 291760 249509 296041 249627
+rect 296159 249509 296250 249627
+rect 291760 249467 296250 249509
+rect 291760 249349 296041 249467
+rect 296159 249349 296250 249467
+rect 291760 249338 296250 249349
+rect -4288 249337 -3988 249338
+rect 295950 249337 296250 249338
+rect -3348 247838 -3048 247839
+rect 295010 247838 295310 247839
+rect -3348 247827 240 247838
+rect -3348 247709 -3257 247827
+rect -3139 247709 240 247827
+rect -3348 247667 240 247709
+rect -3348 247549 -3257 247667
+rect -3139 247549 240 247667
+rect -3348 247538 240 247549
+rect 291760 247827 295310 247838
+rect 291760 247709 295101 247827
+rect 295219 247709 295310 247827
+rect 291760 247667 295310 247709
+rect 291760 247549 295101 247667
+rect 295219 247549 295310 247667
+rect 291760 247538 295310 247549
+rect -3348 247537 -3048 247538
+rect 295010 247537 295310 247538
+rect -2408 246038 -2108 246039
+rect 294070 246038 294370 246039
+rect -2408 246027 240 246038
+rect -2408 245909 -2317 246027
+rect -2199 245909 240 246027
+rect -2408 245867 240 245909
+rect -2408 245749 -2317 245867
+rect -2199 245749 240 245867
+rect -2408 245738 240 245749
+rect 291760 246027 294370 246038
+rect 291760 245909 294161 246027
+rect 294279 245909 294370 246027
+rect 291760 245867 294370 245909
+rect 291760 245749 294161 245867
+rect 294279 245749 294370 245867
+rect 291760 245738 294370 245749
+rect -2408 245737 -2108 245738
+rect 294070 245737 294370 245738
+rect -1468 244238 -1168 244239
+rect 293130 244238 293430 244239
+rect -1468 244227 240 244238
+rect -1468 244109 -1377 244227
+rect -1259 244109 240 244227
+rect -1468 244067 240 244109
+rect -1468 243949 -1377 244067
+rect -1259 243949 240 244067
+rect -1468 243938 240 243949
+rect 291760 244227 293430 244238
+rect 291760 244109 293221 244227
+rect 293339 244109 293430 244227
+rect 291760 244067 293430 244109
+rect 291760 243949 293221 244067
+rect 293339 243949 293430 244067
+rect 291760 243938 293430 243949
+rect -1468 243937 -1168 243938
+rect 293130 243937 293430 243938
+rect -3818 240638 -3518 240639
+rect 295480 240638 295780 240639
+rect -4288 240627 240 240638
+rect -4288 240509 -3727 240627
+rect -3609 240509 240 240627
+rect -4288 240467 240 240509
+rect -4288 240349 -3727 240467
+rect -3609 240349 240 240467
+rect -4288 240338 240 240349
+rect 291760 240627 296250 240638
+rect 291760 240509 295571 240627
+rect 295689 240509 296250 240627
+rect 291760 240467 296250 240509
+rect 291760 240349 295571 240467
+rect 295689 240349 296250 240467
+rect 291760 240338 296250 240349
+rect -3818 240337 -3518 240338
+rect 295480 240337 295780 240338
+rect -2878 238838 -2578 238839
+rect 294540 238838 294840 238839
+rect -3348 238827 240 238838
+rect -3348 238709 -2787 238827
+rect -2669 238709 240 238827
+rect -3348 238667 240 238709
+rect -3348 238549 -2787 238667
+rect -2669 238549 240 238667
+rect -3348 238538 240 238549
+rect 291760 238827 295310 238838
+rect 291760 238709 294631 238827
+rect 294749 238709 295310 238827
+rect 291760 238667 295310 238709
+rect 291760 238549 294631 238667
+rect 294749 238549 295310 238667
+rect 291760 238538 295310 238549
+rect -2878 238537 -2578 238538
+rect 294540 238537 294840 238538
+rect -1938 237038 -1638 237039
+rect 293600 237038 293900 237039
+rect -2408 237027 240 237038
+rect -2408 236909 -1847 237027
+rect -1729 236909 240 237027
+rect -2408 236867 240 236909
+rect -2408 236749 -1847 236867
+rect -1729 236749 240 236867
+rect -2408 236738 240 236749
+rect 291760 237027 294370 237038
+rect 291760 236909 293691 237027
+rect 293809 236909 294370 237027
+rect 291760 236867 294370 236909
+rect 291760 236749 293691 236867
+rect 293809 236749 294370 236867
+rect 291760 236738 294370 236749
+rect -1938 236737 -1638 236738
+rect 293600 236737 293900 236738
 rect -998 235238 -698 235239
 rect 292660 235238 292960 235239
-rect -1458 235227 240 235238
-rect -1458 235109 -907 235227
+rect -1468 235227 240 235238
+rect -1468 235109 -907 235227
 rect -789 235109 240 235227
-rect -1458 235067 240 235109
-rect -1458 234949 -907 235067
+rect -1468 235067 240 235109
+rect -1468 234949 -907 235067
 rect -789 234949 240 235067
-rect -1458 234938 240 234949
-rect 291760 235227 293420 235238
+rect -1468 234938 240 234949
+rect 291760 235227 293430 235238
 rect 291760 235109 292751 235227
-rect 292869 235109 293420 235227
-rect 291760 235067 293420 235109
+rect 292869 235109 293430 235227
+rect 291760 235067 293430 235109
 rect 291760 234949 292751 235067
-rect 292869 234949 293420 235067
-rect 291760 234938 293420 234949
+rect 292869 234949 293430 235067
+rect 291760 234938 293430 234949
 rect -998 234937 -698 234938
 rect 292660 234937 292960 234938
-rect -4218 231638 -3918 231639
-rect 295880 231638 296180 231639
-rect -4218 231627 240 231638
-rect -4218 231509 -4127 231627
-rect -4009 231509 240 231627
-rect -4218 231467 240 231509
-rect -4218 231349 -4127 231467
-rect -4009 231349 240 231467
-rect -4218 231338 240 231349
-rect 291760 231627 296180 231638
-rect 291760 231509 295971 231627
-rect 296089 231509 296180 231627
-rect 291760 231467 296180 231509
-rect 291760 231349 295971 231467
-rect 296089 231349 296180 231467
-rect 291760 231338 296180 231349
-rect -4218 231337 -3918 231338
-rect 295880 231337 296180 231338
-rect -3298 229838 -2998 229839
-rect 294960 229838 295260 229839
-rect -3298 229827 240 229838
-rect -3298 229709 -3207 229827
-rect -3089 229709 240 229827
-rect -3298 229667 240 229709
-rect -3298 229549 -3207 229667
-rect -3089 229549 240 229667
-rect -3298 229538 240 229549
-rect 291760 229827 295260 229838
-rect 291760 229709 295051 229827
-rect 295169 229709 295260 229827
-rect 291760 229667 295260 229709
-rect 291760 229549 295051 229667
-rect 295169 229549 295260 229667
-rect 291760 229538 295260 229549
-rect -3298 229537 -2998 229538
-rect 294960 229537 295260 229538
-rect -2378 228038 -2078 228039
-rect 294040 228038 294340 228039
-rect -2378 228027 240 228038
-rect -2378 227909 -2287 228027
-rect -2169 227909 240 228027
-rect -2378 227867 240 227909
-rect -2378 227749 -2287 227867
-rect -2169 227749 240 227867
-rect -2378 227738 240 227749
-rect 291760 228027 294340 228038
-rect 291760 227909 294131 228027
-rect 294249 227909 294340 228027
-rect 291760 227867 294340 227909
-rect 291760 227749 294131 227867
-rect 294249 227749 294340 227867
-rect 291760 227738 294340 227749
-rect -2378 227737 -2078 227738
-rect 294040 227737 294340 227738
-rect -1458 226238 -1158 226239
-rect 293120 226238 293420 226239
-rect -1458 226227 240 226238
-rect -1458 226109 -1367 226227
-rect -1249 226109 240 226227
-rect -1458 226067 240 226109
-rect -1458 225949 -1367 226067
-rect -1249 225949 240 226067
-rect -1458 225938 240 225949
-rect 291760 226227 293420 226238
-rect 291760 226109 293211 226227
-rect 293329 226109 293420 226227
-rect 291760 226067 293420 226109
-rect 291760 225949 293211 226067
-rect 293329 225949 293420 226067
-rect 291760 225938 293420 225949
-rect -1458 225937 -1158 225938
-rect 293120 225937 293420 225938
-rect -3758 222638 -3458 222639
-rect 295420 222638 295720 222639
-rect -4218 222627 240 222638
-rect -4218 222509 -3667 222627
-rect -3549 222509 240 222627
-rect -4218 222467 240 222509
-rect -4218 222349 -3667 222467
-rect -3549 222349 240 222467
-rect -4218 222338 240 222349
-rect 291760 222627 296180 222638
-rect 291760 222509 295511 222627
-rect 295629 222509 296180 222627
-rect 291760 222467 296180 222509
-rect 291760 222349 295511 222467
-rect 295629 222349 296180 222467
-rect 291760 222338 296180 222349
-rect -3758 222337 -3458 222338
-rect 295420 222337 295720 222338
-rect -2838 220838 -2538 220839
-rect 294500 220838 294800 220839
-rect -3298 220827 240 220838
-rect -3298 220709 -2747 220827
-rect -2629 220709 240 220827
-rect -3298 220667 240 220709
-rect -3298 220549 -2747 220667
-rect -2629 220549 240 220667
-rect -3298 220538 240 220549
-rect 291760 220827 295260 220838
-rect 291760 220709 294591 220827
-rect 294709 220709 295260 220827
-rect 291760 220667 295260 220709
-rect 291760 220549 294591 220667
-rect 294709 220549 295260 220667
-rect 291760 220538 295260 220549
-rect -2838 220537 -2538 220538
-rect 294500 220537 294800 220538
-rect -1918 219038 -1618 219039
-rect 293580 219038 293880 219039
-rect -2378 219027 240 219038
-rect -2378 218909 -1827 219027
-rect -1709 218909 240 219027
-rect -2378 218867 240 218909
-rect -2378 218749 -1827 218867
-rect -1709 218749 240 218867
-rect -2378 218738 240 218749
-rect 291760 219027 294340 219038
-rect 291760 218909 293671 219027
-rect 293789 218909 294340 219027
-rect 291760 218867 294340 218909
-rect 291760 218749 293671 218867
-rect 293789 218749 294340 218867
-rect 291760 218738 294340 218749
-rect -1918 218737 -1618 218738
-rect 293580 218737 293880 218738
+rect -4288 231638 -3988 231639
+rect 295950 231638 296250 231639
+rect -4288 231627 240 231638
+rect -4288 231509 -4197 231627
+rect -4079 231509 240 231627
+rect -4288 231467 240 231509
+rect -4288 231349 -4197 231467
+rect -4079 231349 240 231467
+rect -4288 231338 240 231349
+rect 291760 231627 296250 231638
+rect 291760 231509 296041 231627
+rect 296159 231509 296250 231627
+rect 291760 231467 296250 231509
+rect 291760 231349 296041 231467
+rect 296159 231349 296250 231467
+rect 291760 231338 296250 231349
+rect -4288 231337 -3988 231338
+rect 295950 231337 296250 231338
+rect -3348 229838 -3048 229839
+rect 295010 229838 295310 229839
+rect -3348 229827 240 229838
+rect -3348 229709 -3257 229827
+rect -3139 229709 240 229827
+rect -3348 229667 240 229709
+rect -3348 229549 -3257 229667
+rect -3139 229549 240 229667
+rect -3348 229538 240 229549
+rect 291760 229827 295310 229838
+rect 291760 229709 295101 229827
+rect 295219 229709 295310 229827
+rect 291760 229667 295310 229709
+rect 291760 229549 295101 229667
+rect 295219 229549 295310 229667
+rect 291760 229538 295310 229549
+rect -3348 229537 -3048 229538
+rect 295010 229537 295310 229538
+rect -2408 228038 -2108 228039
+rect 294070 228038 294370 228039
+rect -2408 228027 240 228038
+rect -2408 227909 -2317 228027
+rect -2199 227909 240 228027
+rect -2408 227867 240 227909
+rect -2408 227749 -2317 227867
+rect -2199 227749 240 227867
+rect -2408 227738 240 227749
+rect 291760 228027 294370 228038
+rect 291760 227909 294161 228027
+rect 294279 227909 294370 228027
+rect 291760 227867 294370 227909
+rect 291760 227749 294161 227867
+rect 294279 227749 294370 227867
+rect 291760 227738 294370 227749
+rect -2408 227737 -2108 227738
+rect 294070 227737 294370 227738
+rect -1468 226238 -1168 226239
+rect 293130 226238 293430 226239
+rect -1468 226227 240 226238
+rect -1468 226109 -1377 226227
+rect -1259 226109 240 226227
+rect -1468 226067 240 226109
+rect -1468 225949 -1377 226067
+rect -1259 225949 240 226067
+rect -1468 225938 240 225949
+rect 291760 226227 293430 226238
+rect 291760 226109 293221 226227
+rect 293339 226109 293430 226227
+rect 291760 226067 293430 226109
+rect 291760 225949 293221 226067
+rect 293339 225949 293430 226067
+rect 291760 225938 293430 225949
+rect -1468 225937 -1168 225938
+rect 293130 225937 293430 225938
+rect -3818 222638 -3518 222639
+rect 295480 222638 295780 222639
+rect -4288 222627 240 222638
+rect -4288 222509 -3727 222627
+rect -3609 222509 240 222627
+rect -4288 222467 240 222509
+rect -4288 222349 -3727 222467
+rect -3609 222349 240 222467
+rect -4288 222338 240 222349
+rect 291760 222627 296250 222638
+rect 291760 222509 295571 222627
+rect 295689 222509 296250 222627
+rect 291760 222467 296250 222509
+rect 291760 222349 295571 222467
+rect 295689 222349 296250 222467
+rect 291760 222338 296250 222349
+rect -3818 222337 -3518 222338
+rect 295480 222337 295780 222338
+rect -2878 220838 -2578 220839
+rect 294540 220838 294840 220839
+rect -3348 220827 240 220838
+rect -3348 220709 -2787 220827
+rect -2669 220709 240 220827
+rect -3348 220667 240 220709
+rect -3348 220549 -2787 220667
+rect -2669 220549 240 220667
+rect -3348 220538 240 220549
+rect 291760 220827 295310 220838
+rect 291760 220709 294631 220827
+rect 294749 220709 295310 220827
+rect 291760 220667 295310 220709
+rect 291760 220549 294631 220667
+rect 294749 220549 295310 220667
+rect 291760 220538 295310 220549
+rect -2878 220537 -2578 220538
+rect 294540 220537 294840 220538
+rect -1938 219038 -1638 219039
+rect 293600 219038 293900 219039
+rect -2408 219027 240 219038
+rect -2408 218909 -1847 219027
+rect -1729 218909 240 219027
+rect -2408 218867 240 218909
+rect -2408 218749 -1847 218867
+rect -1729 218749 240 218867
+rect -2408 218738 240 218749
+rect 291760 219027 294370 219038
+rect 291760 218909 293691 219027
+rect 293809 218909 294370 219027
+rect 291760 218867 294370 218909
+rect 291760 218749 293691 218867
+rect 293809 218749 294370 218867
+rect 291760 218738 294370 218749
+rect -1938 218737 -1638 218738
+rect 293600 218737 293900 218738
 rect -998 217238 -698 217239
 rect 292660 217238 292960 217239
-rect -1458 217227 240 217238
-rect -1458 217109 -907 217227
+rect -1468 217227 240 217238
+rect -1468 217109 -907 217227
 rect -789 217109 240 217227
-rect -1458 217067 240 217109
-rect -1458 216949 -907 217067
+rect -1468 217067 240 217109
+rect -1468 216949 -907 217067
 rect -789 216949 240 217067
-rect -1458 216938 240 216949
-rect 291760 217227 293420 217238
+rect -1468 216938 240 216949
+rect 291760 217227 293430 217238
 rect 291760 217109 292751 217227
-rect 292869 217109 293420 217227
-rect 291760 217067 293420 217109
+rect 292869 217109 293430 217227
+rect 291760 217067 293430 217109
 rect 291760 216949 292751 217067
-rect 292869 216949 293420 217067
-rect 291760 216938 293420 216949
+rect 292869 216949 293430 217067
+rect 291760 216938 293430 216949
 rect -998 216937 -698 216938
 rect 292660 216937 292960 216938
-rect -4218 213638 -3918 213639
-rect 295880 213638 296180 213639
-rect -4218 213627 240 213638
-rect -4218 213509 -4127 213627
-rect -4009 213509 240 213627
-rect -4218 213467 240 213509
-rect -4218 213349 -4127 213467
-rect -4009 213349 240 213467
-rect -4218 213338 240 213349
-rect 291760 213627 296180 213638
-rect 291760 213509 295971 213627
-rect 296089 213509 296180 213627
-rect 291760 213467 296180 213509
-rect 291760 213349 295971 213467
-rect 296089 213349 296180 213467
-rect 291760 213338 296180 213349
-rect -4218 213337 -3918 213338
-rect 295880 213337 296180 213338
-rect -3298 211838 -2998 211839
-rect 294960 211838 295260 211839
-rect -3298 211827 240 211838
-rect -3298 211709 -3207 211827
-rect -3089 211709 240 211827
-rect -3298 211667 240 211709
-rect -3298 211549 -3207 211667
-rect -3089 211549 240 211667
-rect -3298 211538 240 211549
-rect 291760 211827 295260 211838
-rect 291760 211709 295051 211827
-rect 295169 211709 295260 211827
-rect 291760 211667 295260 211709
-rect 291760 211549 295051 211667
-rect 295169 211549 295260 211667
-rect 291760 211538 295260 211549
-rect -3298 211537 -2998 211538
-rect 294960 211537 295260 211538
-rect -2378 210038 -2078 210039
-rect 294040 210038 294340 210039
-rect -2378 210027 240 210038
-rect -2378 209909 -2287 210027
-rect -2169 209909 240 210027
-rect -2378 209867 240 209909
-rect -2378 209749 -2287 209867
-rect -2169 209749 240 209867
-rect -2378 209738 240 209749
-rect 291760 210027 294340 210038
-rect 291760 209909 294131 210027
-rect 294249 209909 294340 210027
-rect 291760 209867 294340 209909
-rect 291760 209749 294131 209867
-rect 294249 209749 294340 209867
-rect 291760 209738 294340 209749
-rect -2378 209737 -2078 209738
-rect 294040 209737 294340 209738
-rect -1458 208238 -1158 208239
-rect 293120 208238 293420 208239
-rect -1458 208227 240 208238
-rect -1458 208109 -1367 208227
-rect -1249 208109 240 208227
-rect -1458 208067 240 208109
-rect -1458 207949 -1367 208067
-rect -1249 207949 240 208067
-rect -1458 207938 240 207949
-rect 291760 208227 293420 208238
-rect 291760 208109 293211 208227
-rect 293329 208109 293420 208227
-rect 291760 208067 293420 208109
-rect 291760 207949 293211 208067
-rect 293329 207949 293420 208067
-rect 291760 207938 293420 207949
-rect -1458 207937 -1158 207938
-rect 293120 207937 293420 207938
-rect -3758 204638 -3458 204639
-rect 295420 204638 295720 204639
-rect -4218 204627 240 204638
-rect -4218 204509 -3667 204627
-rect -3549 204509 240 204627
-rect -4218 204467 240 204509
-rect -4218 204349 -3667 204467
-rect -3549 204349 240 204467
-rect -4218 204338 240 204349
-rect 291760 204627 296180 204638
-rect 291760 204509 295511 204627
-rect 295629 204509 296180 204627
-rect 291760 204467 296180 204509
-rect 291760 204349 295511 204467
-rect 295629 204349 296180 204467
-rect 291760 204338 296180 204349
-rect -3758 204337 -3458 204338
-rect 295420 204337 295720 204338
-rect -2838 202838 -2538 202839
-rect 294500 202838 294800 202839
-rect -3298 202827 240 202838
-rect -3298 202709 -2747 202827
-rect -2629 202709 240 202827
-rect -3298 202667 240 202709
-rect -3298 202549 -2747 202667
-rect -2629 202549 240 202667
-rect -3298 202538 240 202549
-rect 291760 202827 295260 202838
-rect 291760 202709 294591 202827
-rect 294709 202709 295260 202827
-rect 291760 202667 295260 202709
-rect 291760 202549 294591 202667
-rect 294709 202549 295260 202667
-rect 291760 202538 295260 202549
-rect -2838 202537 -2538 202538
-rect 294500 202537 294800 202538
-rect -1918 201038 -1618 201039
-rect 293580 201038 293880 201039
-rect -2378 201027 240 201038
-rect -2378 200909 -1827 201027
-rect -1709 200909 240 201027
-rect -2378 200867 240 200909
-rect -2378 200749 -1827 200867
-rect -1709 200749 240 200867
-rect -2378 200738 240 200749
-rect 291760 201027 294340 201038
-rect 291760 200909 293671 201027
-rect 293789 200909 294340 201027
-rect 291760 200867 294340 200909
-rect 291760 200749 293671 200867
-rect 293789 200749 294340 200867
-rect 291760 200738 294340 200749
-rect -1918 200737 -1618 200738
-rect 293580 200737 293880 200738
+rect -4288 213638 -3988 213639
+rect 295950 213638 296250 213639
+rect -4288 213627 240 213638
+rect -4288 213509 -4197 213627
+rect -4079 213509 240 213627
+rect -4288 213467 240 213509
+rect -4288 213349 -4197 213467
+rect -4079 213349 240 213467
+rect -4288 213338 240 213349
+rect 291760 213627 296250 213638
+rect 291760 213509 296041 213627
+rect 296159 213509 296250 213627
+rect 291760 213467 296250 213509
+rect 291760 213349 296041 213467
+rect 296159 213349 296250 213467
+rect 291760 213338 296250 213349
+rect -4288 213337 -3988 213338
+rect 295950 213337 296250 213338
+rect -3348 211838 -3048 211839
+rect 295010 211838 295310 211839
+rect -3348 211827 240 211838
+rect -3348 211709 -3257 211827
+rect -3139 211709 240 211827
+rect -3348 211667 240 211709
+rect -3348 211549 -3257 211667
+rect -3139 211549 240 211667
+rect -3348 211538 240 211549
+rect 291760 211827 295310 211838
+rect 291760 211709 295101 211827
+rect 295219 211709 295310 211827
+rect 291760 211667 295310 211709
+rect 291760 211549 295101 211667
+rect 295219 211549 295310 211667
+rect 291760 211538 295310 211549
+rect -3348 211537 -3048 211538
+rect 295010 211537 295310 211538
+rect -2408 210038 -2108 210039
+rect 294070 210038 294370 210039
+rect -2408 210027 240 210038
+rect -2408 209909 -2317 210027
+rect -2199 209909 240 210027
+rect -2408 209867 240 209909
+rect -2408 209749 -2317 209867
+rect -2199 209749 240 209867
+rect -2408 209738 240 209749
+rect 291760 210027 294370 210038
+rect 291760 209909 294161 210027
+rect 294279 209909 294370 210027
+rect 291760 209867 294370 209909
+rect 291760 209749 294161 209867
+rect 294279 209749 294370 209867
+rect 291760 209738 294370 209749
+rect -2408 209737 -2108 209738
+rect 294070 209737 294370 209738
+rect -1468 208238 -1168 208239
+rect 293130 208238 293430 208239
+rect -1468 208227 240 208238
+rect -1468 208109 -1377 208227
+rect -1259 208109 240 208227
+rect -1468 208067 240 208109
+rect -1468 207949 -1377 208067
+rect -1259 207949 240 208067
+rect -1468 207938 240 207949
+rect 291760 208227 293430 208238
+rect 291760 208109 293221 208227
+rect 293339 208109 293430 208227
+rect 291760 208067 293430 208109
+rect 291760 207949 293221 208067
+rect 293339 207949 293430 208067
+rect 291760 207938 293430 207949
+rect -1468 207937 -1168 207938
+rect 293130 207937 293430 207938
+rect -3818 204638 -3518 204639
+rect 295480 204638 295780 204639
+rect -4288 204627 240 204638
+rect -4288 204509 -3727 204627
+rect -3609 204509 240 204627
+rect -4288 204467 240 204509
+rect -4288 204349 -3727 204467
+rect -3609 204349 240 204467
+rect -4288 204338 240 204349
+rect 291760 204627 296250 204638
+rect 291760 204509 295571 204627
+rect 295689 204509 296250 204627
+rect 291760 204467 296250 204509
+rect 291760 204349 295571 204467
+rect 295689 204349 296250 204467
+rect 291760 204338 296250 204349
+rect -3818 204337 -3518 204338
+rect 295480 204337 295780 204338
+rect -2878 202838 -2578 202839
+rect 294540 202838 294840 202839
+rect -3348 202827 240 202838
+rect -3348 202709 -2787 202827
+rect -2669 202709 240 202827
+rect -3348 202667 240 202709
+rect -3348 202549 -2787 202667
+rect -2669 202549 240 202667
+rect -3348 202538 240 202549
+rect 291760 202827 295310 202838
+rect 291760 202709 294631 202827
+rect 294749 202709 295310 202827
+rect 291760 202667 295310 202709
+rect 291760 202549 294631 202667
+rect 294749 202549 295310 202667
+rect 291760 202538 295310 202549
+rect -2878 202537 -2578 202538
+rect 294540 202537 294840 202538
+rect -1938 201038 -1638 201039
+rect 293600 201038 293900 201039
+rect -2408 201027 240 201038
+rect -2408 200909 -1847 201027
+rect -1729 200909 240 201027
+rect -2408 200867 240 200909
+rect -2408 200749 -1847 200867
+rect -1729 200749 240 200867
+rect -2408 200738 240 200749
+rect 291760 201027 294370 201038
+rect 291760 200909 293691 201027
+rect 293809 200909 294370 201027
+rect 291760 200867 294370 200909
+rect 291760 200749 293691 200867
+rect 293809 200749 294370 200867
+rect 291760 200738 294370 200749
+rect -1938 200737 -1638 200738
+rect 293600 200737 293900 200738
 rect -998 199238 -698 199239
 rect 292660 199238 292960 199239
-rect -1458 199227 240 199238
-rect -1458 199109 -907 199227
+rect -1468 199227 240 199238
+rect -1468 199109 -907 199227
 rect -789 199109 240 199227
-rect -1458 199067 240 199109
-rect -1458 198949 -907 199067
+rect -1468 199067 240 199109
+rect -1468 198949 -907 199067
 rect -789 198949 240 199067
-rect -1458 198938 240 198949
-rect 291760 199227 293420 199238
+rect -1468 198938 240 198949
+rect 291760 199227 293430 199238
 rect 291760 199109 292751 199227
-rect 292869 199109 293420 199227
-rect 291760 199067 293420 199109
+rect 292869 199109 293430 199227
+rect 291760 199067 293430 199109
 rect 291760 198949 292751 199067
-rect 292869 198949 293420 199067
-rect 291760 198938 293420 198949
+rect 292869 198949 293430 199067
+rect 291760 198938 293430 198949
 rect -998 198937 -698 198938
 rect 292660 198937 292960 198938
-rect -4218 195638 -3918 195639
-rect 295880 195638 296180 195639
-rect -4218 195627 240 195638
-rect -4218 195509 -4127 195627
-rect -4009 195509 240 195627
-rect -4218 195467 240 195509
-rect -4218 195349 -4127 195467
-rect -4009 195349 240 195467
-rect -4218 195338 240 195349
-rect 291760 195627 296180 195638
-rect 291760 195509 295971 195627
-rect 296089 195509 296180 195627
-rect 291760 195467 296180 195509
-rect 291760 195349 295971 195467
-rect 296089 195349 296180 195467
-rect 291760 195338 296180 195349
-rect -4218 195337 -3918 195338
-rect 295880 195337 296180 195338
-rect -3298 193838 -2998 193839
-rect 294960 193838 295260 193839
-rect -3298 193827 240 193838
-rect -3298 193709 -3207 193827
-rect -3089 193709 240 193827
-rect -3298 193667 240 193709
-rect -3298 193549 -3207 193667
-rect -3089 193549 240 193667
-rect -3298 193538 240 193549
-rect 291760 193827 295260 193838
-rect 291760 193709 295051 193827
-rect 295169 193709 295260 193827
-rect 291760 193667 295260 193709
-rect 291760 193549 295051 193667
-rect 295169 193549 295260 193667
-rect 291760 193538 295260 193549
-rect -3298 193537 -2998 193538
-rect 294960 193537 295260 193538
-rect -2378 192038 -2078 192039
-rect 294040 192038 294340 192039
-rect -2378 192027 240 192038
-rect -2378 191909 -2287 192027
-rect -2169 191909 240 192027
-rect -2378 191867 240 191909
-rect -2378 191749 -2287 191867
-rect -2169 191749 240 191867
-rect -2378 191738 240 191749
-rect 291760 192027 294340 192038
-rect 291760 191909 294131 192027
-rect 294249 191909 294340 192027
-rect 291760 191867 294340 191909
-rect 291760 191749 294131 191867
-rect 294249 191749 294340 191867
-rect 291760 191738 294340 191749
-rect -2378 191737 -2078 191738
-rect 294040 191737 294340 191738
-rect -1458 190238 -1158 190239
-rect 293120 190238 293420 190239
-rect -1458 190227 240 190238
-rect -1458 190109 -1367 190227
-rect -1249 190109 240 190227
-rect -1458 190067 240 190109
-rect -1458 189949 -1367 190067
-rect -1249 189949 240 190067
-rect -1458 189938 240 189949
-rect 291760 190227 293420 190238
-rect 291760 190109 293211 190227
-rect 293329 190109 293420 190227
-rect 291760 190067 293420 190109
-rect 291760 189949 293211 190067
-rect 293329 189949 293420 190067
-rect 291760 189938 293420 189949
-rect -1458 189937 -1158 189938
-rect 293120 189937 293420 189938
-rect -3758 186638 -3458 186639
-rect 295420 186638 295720 186639
-rect -4218 186627 240 186638
-rect -4218 186509 -3667 186627
-rect -3549 186509 240 186627
-rect -4218 186467 240 186509
-rect -4218 186349 -3667 186467
-rect -3549 186349 240 186467
-rect -4218 186338 240 186349
-rect 291760 186627 296180 186638
-rect 291760 186509 295511 186627
-rect 295629 186509 296180 186627
-rect 291760 186467 296180 186509
-rect 291760 186349 295511 186467
-rect 295629 186349 296180 186467
-rect 291760 186338 296180 186349
-rect -3758 186337 -3458 186338
-rect 295420 186337 295720 186338
-rect -2838 184838 -2538 184839
-rect 294500 184838 294800 184839
-rect -3298 184827 240 184838
-rect -3298 184709 -2747 184827
-rect -2629 184709 240 184827
-rect -3298 184667 240 184709
-rect -3298 184549 -2747 184667
-rect -2629 184549 240 184667
-rect -3298 184538 240 184549
-rect 291760 184827 295260 184838
-rect 291760 184709 294591 184827
-rect 294709 184709 295260 184827
-rect 291760 184667 295260 184709
-rect 291760 184549 294591 184667
-rect 294709 184549 295260 184667
-rect 291760 184538 295260 184549
-rect -2838 184537 -2538 184538
-rect 294500 184537 294800 184538
-rect -1918 183038 -1618 183039
-rect 293580 183038 293880 183039
-rect -2378 183027 240 183038
-rect -2378 182909 -1827 183027
-rect -1709 182909 240 183027
-rect -2378 182867 240 182909
-rect -2378 182749 -1827 182867
-rect -1709 182749 240 182867
-rect -2378 182738 240 182749
-rect 291760 183027 294340 183038
-rect 291760 182909 293671 183027
-rect 293789 182909 294340 183027
-rect 291760 182867 294340 182909
-rect 291760 182749 293671 182867
-rect 293789 182749 294340 182867
-rect 291760 182738 294340 182749
-rect -1918 182737 -1618 182738
-rect 293580 182737 293880 182738
+rect -4288 195638 -3988 195639
+rect 295950 195638 296250 195639
+rect -4288 195627 240 195638
+rect -4288 195509 -4197 195627
+rect -4079 195509 240 195627
+rect -4288 195467 240 195509
+rect -4288 195349 -4197 195467
+rect -4079 195349 240 195467
+rect -4288 195338 240 195349
+rect 291760 195627 296250 195638
+rect 291760 195509 296041 195627
+rect 296159 195509 296250 195627
+rect 291760 195467 296250 195509
+rect 291760 195349 296041 195467
+rect 296159 195349 296250 195467
+rect 291760 195338 296250 195349
+rect -4288 195337 -3988 195338
+rect 295950 195337 296250 195338
+rect -3348 193838 -3048 193839
+rect 295010 193838 295310 193839
+rect -3348 193827 240 193838
+rect -3348 193709 -3257 193827
+rect -3139 193709 240 193827
+rect -3348 193667 240 193709
+rect -3348 193549 -3257 193667
+rect -3139 193549 240 193667
+rect -3348 193538 240 193549
+rect 291760 193827 295310 193838
+rect 291760 193709 295101 193827
+rect 295219 193709 295310 193827
+rect 291760 193667 295310 193709
+rect 291760 193549 295101 193667
+rect 295219 193549 295310 193667
+rect 291760 193538 295310 193549
+rect -3348 193537 -3048 193538
+rect 295010 193537 295310 193538
+rect -2408 192038 -2108 192039
+rect 294070 192038 294370 192039
+rect -2408 192027 240 192038
+rect -2408 191909 -2317 192027
+rect -2199 191909 240 192027
+rect -2408 191867 240 191909
+rect -2408 191749 -2317 191867
+rect -2199 191749 240 191867
+rect -2408 191738 240 191749
+rect 291760 192027 294370 192038
+rect 291760 191909 294161 192027
+rect 294279 191909 294370 192027
+rect 291760 191867 294370 191909
+rect 291760 191749 294161 191867
+rect 294279 191749 294370 191867
+rect 291760 191738 294370 191749
+rect -2408 191737 -2108 191738
+rect 294070 191737 294370 191738
+rect -1468 190238 -1168 190239
+rect 293130 190238 293430 190239
+rect -1468 190227 240 190238
+rect -1468 190109 -1377 190227
+rect -1259 190109 240 190227
+rect -1468 190067 240 190109
+rect -1468 189949 -1377 190067
+rect -1259 189949 240 190067
+rect -1468 189938 240 189949
+rect 291760 190227 293430 190238
+rect 291760 190109 293221 190227
+rect 293339 190109 293430 190227
+rect 291760 190067 293430 190109
+rect 291760 189949 293221 190067
+rect 293339 189949 293430 190067
+rect 291760 189938 293430 189949
+rect -1468 189937 -1168 189938
+rect 293130 189937 293430 189938
+rect -3818 186638 -3518 186639
+rect 295480 186638 295780 186639
+rect -4288 186627 240 186638
+rect -4288 186509 -3727 186627
+rect -3609 186509 240 186627
+rect -4288 186467 240 186509
+rect -4288 186349 -3727 186467
+rect -3609 186349 240 186467
+rect -4288 186338 240 186349
+rect 291760 186627 296250 186638
+rect 291760 186509 295571 186627
+rect 295689 186509 296250 186627
+rect 291760 186467 296250 186509
+rect 291760 186349 295571 186467
+rect 295689 186349 296250 186467
+rect 291760 186338 296250 186349
+rect -3818 186337 -3518 186338
+rect 295480 186337 295780 186338
+rect -2878 184838 -2578 184839
+rect 294540 184838 294840 184839
+rect -3348 184827 240 184838
+rect -3348 184709 -2787 184827
+rect -2669 184709 240 184827
+rect -3348 184667 240 184709
+rect -3348 184549 -2787 184667
+rect -2669 184549 240 184667
+rect -3348 184538 240 184549
+rect 291760 184827 295310 184838
+rect 291760 184709 294631 184827
+rect 294749 184709 295310 184827
+rect 291760 184667 295310 184709
+rect 291760 184549 294631 184667
+rect 294749 184549 295310 184667
+rect 291760 184538 295310 184549
+rect -2878 184537 -2578 184538
+rect 294540 184537 294840 184538
+rect -1938 183038 -1638 183039
+rect 293600 183038 293900 183039
+rect -2408 183027 240 183038
+rect -2408 182909 -1847 183027
+rect -1729 182909 240 183027
+rect -2408 182867 240 182909
+rect -2408 182749 -1847 182867
+rect -1729 182749 240 182867
+rect -2408 182738 240 182749
+rect 291760 183027 294370 183038
+rect 291760 182909 293691 183027
+rect 293809 182909 294370 183027
+rect 291760 182867 294370 182909
+rect 291760 182749 293691 182867
+rect 293809 182749 294370 182867
+rect 291760 182738 294370 182749
+rect -1938 182737 -1638 182738
+rect 293600 182737 293900 182738
 rect -998 181238 -698 181239
 rect 292660 181238 292960 181239
-rect -1458 181227 240 181238
-rect -1458 181109 -907 181227
+rect -1468 181227 240 181238
+rect -1468 181109 -907 181227
 rect -789 181109 240 181227
-rect -1458 181067 240 181109
-rect -1458 180949 -907 181067
+rect -1468 181067 240 181109
+rect -1468 180949 -907 181067
 rect -789 180949 240 181067
-rect -1458 180938 240 180949
-rect 291760 181227 293420 181238
+rect -1468 180938 240 180949
+rect 291760 181227 293430 181238
 rect 291760 181109 292751 181227
-rect 292869 181109 293420 181227
-rect 291760 181067 293420 181109
+rect 292869 181109 293430 181227
+rect 291760 181067 293430 181109
 rect 291760 180949 292751 181067
-rect 292869 180949 293420 181067
-rect 291760 180938 293420 180949
+rect 292869 180949 293430 181067
+rect 291760 180938 293430 180949
 rect -998 180937 -698 180938
 rect 292660 180937 292960 180938
-rect -4218 177638 -3918 177639
-rect 295880 177638 296180 177639
-rect -4218 177627 240 177638
-rect -4218 177509 -4127 177627
-rect -4009 177509 240 177627
-rect -4218 177467 240 177509
-rect -4218 177349 -4127 177467
-rect -4009 177349 240 177467
-rect -4218 177338 240 177349
-rect 291760 177627 296180 177638
-rect 291760 177509 295971 177627
-rect 296089 177509 296180 177627
-rect 291760 177467 296180 177509
-rect 291760 177349 295971 177467
-rect 296089 177349 296180 177467
-rect 291760 177338 296180 177349
-rect -4218 177337 -3918 177338
-rect 295880 177337 296180 177338
-rect -3298 175838 -2998 175839
-rect 294960 175838 295260 175839
-rect -3298 175827 240 175838
-rect -3298 175709 -3207 175827
-rect -3089 175709 240 175827
-rect -3298 175667 240 175709
-rect -3298 175549 -3207 175667
-rect -3089 175549 240 175667
-rect -3298 175538 240 175549
-rect 291760 175827 295260 175838
-rect 291760 175709 295051 175827
-rect 295169 175709 295260 175827
-rect 291760 175667 295260 175709
-rect 291760 175549 295051 175667
-rect 295169 175549 295260 175667
-rect 291760 175538 295260 175549
-rect -3298 175537 -2998 175538
-rect 294960 175537 295260 175538
-rect -2378 174038 -2078 174039
-rect 294040 174038 294340 174039
-rect -2378 174027 240 174038
-rect -2378 173909 -2287 174027
-rect -2169 173909 240 174027
-rect -2378 173867 240 173909
-rect -2378 173749 -2287 173867
-rect -2169 173749 240 173867
-rect -2378 173738 240 173749
-rect 291760 174027 294340 174038
-rect 291760 173909 294131 174027
-rect 294249 173909 294340 174027
-rect 291760 173867 294340 173909
-rect 291760 173749 294131 173867
-rect 294249 173749 294340 173867
-rect 291760 173738 294340 173749
-rect -2378 173737 -2078 173738
-rect 294040 173737 294340 173738
-rect -1458 172238 -1158 172239
-rect 293120 172238 293420 172239
-rect -1458 172227 240 172238
-rect -1458 172109 -1367 172227
-rect -1249 172109 240 172227
-rect -1458 172067 240 172109
-rect -1458 171949 -1367 172067
-rect -1249 171949 240 172067
-rect -1458 171938 240 171949
-rect 291760 172227 293420 172238
-rect 291760 172109 293211 172227
-rect 293329 172109 293420 172227
-rect 291760 172067 293420 172109
-rect 291760 171949 293211 172067
-rect 293329 171949 293420 172067
-rect 291760 171938 293420 171949
-rect -1458 171937 -1158 171938
-rect 293120 171937 293420 171938
-rect -3758 168638 -3458 168639
-rect 295420 168638 295720 168639
-rect -4218 168627 240 168638
-rect -4218 168509 -3667 168627
-rect -3549 168509 240 168627
-rect -4218 168467 240 168509
-rect -4218 168349 -3667 168467
-rect -3549 168349 240 168467
-rect -4218 168338 240 168349
-rect 291760 168627 296180 168638
-rect 291760 168509 295511 168627
-rect 295629 168509 296180 168627
-rect 291760 168467 296180 168509
-rect 291760 168349 295511 168467
-rect 295629 168349 296180 168467
-rect 291760 168338 296180 168349
-rect -3758 168337 -3458 168338
-rect 295420 168337 295720 168338
-rect -2838 166838 -2538 166839
-rect 294500 166838 294800 166839
-rect -3298 166827 240 166838
-rect -3298 166709 -2747 166827
-rect -2629 166709 240 166827
-rect -3298 166667 240 166709
-rect -3298 166549 -2747 166667
-rect -2629 166549 240 166667
-rect -3298 166538 240 166549
-rect 291760 166827 295260 166838
-rect 291760 166709 294591 166827
-rect 294709 166709 295260 166827
-rect 291760 166667 295260 166709
-rect 291760 166549 294591 166667
-rect 294709 166549 295260 166667
-rect 291760 166538 295260 166549
-rect -2838 166537 -2538 166538
-rect 294500 166537 294800 166538
-rect -1918 165038 -1618 165039
-rect 293580 165038 293880 165039
-rect -2378 165027 240 165038
-rect -2378 164909 -1827 165027
-rect -1709 164909 240 165027
-rect -2378 164867 240 164909
-rect -2378 164749 -1827 164867
-rect -1709 164749 240 164867
-rect -2378 164738 240 164749
-rect 291760 165027 294340 165038
-rect 291760 164909 293671 165027
-rect 293789 164909 294340 165027
-rect 291760 164867 294340 164909
-rect 291760 164749 293671 164867
-rect 293789 164749 294340 164867
-rect 291760 164738 294340 164749
-rect -1918 164737 -1618 164738
-rect 293580 164737 293880 164738
+rect -4288 177638 -3988 177639
+rect 295950 177638 296250 177639
+rect -4288 177627 240 177638
+rect -4288 177509 -4197 177627
+rect -4079 177509 240 177627
+rect -4288 177467 240 177509
+rect -4288 177349 -4197 177467
+rect -4079 177349 240 177467
+rect -4288 177338 240 177349
+rect 291760 177627 296250 177638
+rect 291760 177509 296041 177627
+rect 296159 177509 296250 177627
+rect 291760 177467 296250 177509
+rect 291760 177349 296041 177467
+rect 296159 177349 296250 177467
+rect 291760 177338 296250 177349
+rect -4288 177337 -3988 177338
+rect 295950 177337 296250 177338
+rect -3348 175838 -3048 175839
+rect 295010 175838 295310 175839
+rect -3348 175827 240 175838
+rect -3348 175709 -3257 175827
+rect -3139 175709 240 175827
+rect -3348 175667 240 175709
+rect -3348 175549 -3257 175667
+rect -3139 175549 240 175667
+rect -3348 175538 240 175549
+rect 291760 175827 295310 175838
+rect 291760 175709 295101 175827
+rect 295219 175709 295310 175827
+rect 291760 175667 295310 175709
+rect 291760 175549 295101 175667
+rect 295219 175549 295310 175667
+rect 291760 175538 295310 175549
+rect -3348 175537 -3048 175538
+rect 295010 175537 295310 175538
+rect -2408 174038 -2108 174039
+rect 294070 174038 294370 174039
+rect -2408 174027 240 174038
+rect -2408 173909 -2317 174027
+rect -2199 173909 240 174027
+rect -2408 173867 240 173909
+rect -2408 173749 -2317 173867
+rect -2199 173749 240 173867
+rect -2408 173738 240 173749
+rect 291760 174027 294370 174038
+rect 291760 173909 294161 174027
+rect 294279 173909 294370 174027
+rect 291760 173867 294370 173909
+rect 291760 173749 294161 173867
+rect 294279 173749 294370 173867
+rect 291760 173738 294370 173749
+rect -2408 173737 -2108 173738
+rect 294070 173737 294370 173738
+rect -1468 172238 -1168 172239
+rect 293130 172238 293430 172239
+rect -1468 172227 240 172238
+rect -1468 172109 -1377 172227
+rect -1259 172109 240 172227
+rect -1468 172067 240 172109
+rect -1468 171949 -1377 172067
+rect -1259 171949 240 172067
+rect -1468 171938 240 171949
+rect 291760 172227 293430 172238
+rect 291760 172109 293221 172227
+rect 293339 172109 293430 172227
+rect 291760 172067 293430 172109
+rect 291760 171949 293221 172067
+rect 293339 171949 293430 172067
+rect 291760 171938 293430 171949
+rect -1468 171937 -1168 171938
+rect 293130 171937 293430 171938
+rect -3818 168638 -3518 168639
+rect 295480 168638 295780 168639
+rect -4288 168627 240 168638
+rect -4288 168509 -3727 168627
+rect -3609 168509 240 168627
+rect -4288 168467 240 168509
+rect -4288 168349 -3727 168467
+rect -3609 168349 240 168467
+rect -4288 168338 240 168349
+rect 291760 168627 296250 168638
+rect 291760 168509 295571 168627
+rect 295689 168509 296250 168627
+rect 291760 168467 296250 168509
+rect 291760 168349 295571 168467
+rect 295689 168349 296250 168467
+rect 291760 168338 296250 168349
+rect -3818 168337 -3518 168338
+rect 295480 168337 295780 168338
+rect -2878 166838 -2578 166839
+rect 294540 166838 294840 166839
+rect -3348 166827 240 166838
+rect -3348 166709 -2787 166827
+rect -2669 166709 240 166827
+rect -3348 166667 240 166709
+rect -3348 166549 -2787 166667
+rect -2669 166549 240 166667
+rect -3348 166538 240 166549
+rect 291760 166827 295310 166838
+rect 291760 166709 294631 166827
+rect 294749 166709 295310 166827
+rect 291760 166667 295310 166709
+rect 291760 166549 294631 166667
+rect 294749 166549 295310 166667
+rect 291760 166538 295310 166549
+rect -2878 166537 -2578 166538
+rect 294540 166537 294840 166538
+rect -1938 165038 -1638 165039
+rect 293600 165038 293900 165039
+rect -2408 165027 240 165038
+rect -2408 164909 -1847 165027
+rect -1729 164909 240 165027
+rect -2408 164867 240 164909
+rect -2408 164749 -1847 164867
+rect -1729 164749 240 164867
+rect -2408 164738 240 164749
+rect 291760 165027 294370 165038
+rect 291760 164909 293691 165027
+rect 293809 164909 294370 165027
+rect 291760 164867 294370 164909
+rect 291760 164749 293691 164867
+rect 293809 164749 294370 164867
+rect 291760 164738 294370 164749
+rect -1938 164737 -1638 164738
+rect 293600 164737 293900 164738
 rect -998 163238 -698 163239
 rect 292660 163238 292960 163239
-rect -1458 163227 240 163238
-rect -1458 163109 -907 163227
+rect -1468 163227 240 163238
+rect -1468 163109 -907 163227
 rect -789 163109 240 163227
-rect -1458 163067 240 163109
-rect -1458 162949 -907 163067
+rect -1468 163067 240 163109
+rect -1468 162949 -907 163067
 rect -789 162949 240 163067
-rect -1458 162938 240 162949
-rect 291760 163227 293420 163238
+rect -1468 162938 240 162949
+rect 291760 163227 293430 163238
 rect 291760 163109 292751 163227
-rect 292869 163109 293420 163227
-rect 291760 163067 293420 163109
+rect 292869 163109 293430 163227
+rect 291760 163067 293430 163109
 rect 291760 162949 292751 163067
-rect 292869 162949 293420 163067
-rect 291760 162938 293420 162949
+rect 292869 162949 293430 163067
+rect 291760 162938 293430 162949
 rect -998 162937 -698 162938
 rect 292660 162937 292960 162938
-rect -4218 159638 -3918 159639
-rect 295880 159638 296180 159639
-rect -4218 159627 240 159638
-rect -4218 159509 -4127 159627
-rect -4009 159509 240 159627
-rect -4218 159467 240 159509
-rect -4218 159349 -4127 159467
-rect -4009 159349 240 159467
-rect -4218 159338 240 159349
-rect 291760 159627 296180 159638
-rect 291760 159509 295971 159627
-rect 296089 159509 296180 159627
-rect 291760 159467 296180 159509
-rect 291760 159349 295971 159467
-rect 296089 159349 296180 159467
-rect 291760 159338 296180 159349
-rect -4218 159337 -3918 159338
-rect 295880 159337 296180 159338
-rect -3298 157838 -2998 157839
-rect 294960 157838 295260 157839
-rect -3298 157827 240 157838
-rect -3298 157709 -3207 157827
-rect -3089 157709 240 157827
-rect -3298 157667 240 157709
-rect -3298 157549 -3207 157667
-rect -3089 157549 240 157667
-rect -3298 157538 240 157549
-rect 291760 157827 295260 157838
-rect 291760 157709 295051 157827
-rect 295169 157709 295260 157827
-rect 291760 157667 295260 157709
-rect 291760 157549 295051 157667
-rect 295169 157549 295260 157667
-rect 291760 157538 295260 157549
-rect -3298 157537 -2998 157538
-rect 294960 157537 295260 157538
-rect -2378 156038 -2078 156039
-rect 294040 156038 294340 156039
-rect -2378 156027 240 156038
-rect -2378 155909 -2287 156027
-rect -2169 155909 240 156027
-rect -2378 155867 240 155909
-rect -2378 155749 -2287 155867
-rect -2169 155749 240 155867
-rect -2378 155738 240 155749
-rect 291760 156027 294340 156038
-rect 291760 155909 294131 156027
-rect 294249 155909 294340 156027
-rect 291760 155867 294340 155909
-rect 291760 155749 294131 155867
-rect 294249 155749 294340 155867
-rect 291760 155738 294340 155749
-rect -2378 155737 -2078 155738
-rect 294040 155737 294340 155738
-rect -1458 154238 -1158 154239
-rect 293120 154238 293420 154239
-rect -1458 154227 240 154238
-rect -1458 154109 -1367 154227
-rect -1249 154109 240 154227
-rect -1458 154067 240 154109
-rect -1458 153949 -1367 154067
-rect -1249 153949 240 154067
-rect -1458 153938 240 153949
-rect 291760 154227 293420 154238
-rect 291760 154109 293211 154227
-rect 293329 154109 293420 154227
-rect 291760 154067 293420 154109
-rect 291760 153949 293211 154067
-rect 293329 153949 293420 154067
-rect 291760 153938 293420 153949
-rect -1458 153937 -1158 153938
-rect 293120 153937 293420 153938
-rect -3758 150638 -3458 150639
-rect 295420 150638 295720 150639
-rect -4218 150627 240 150638
-rect -4218 150509 -3667 150627
-rect -3549 150509 240 150627
-rect -4218 150467 240 150509
-rect -4218 150349 -3667 150467
-rect -3549 150349 240 150467
-rect -4218 150338 240 150349
-rect 291760 150627 296180 150638
-rect 291760 150509 295511 150627
-rect 295629 150509 296180 150627
-rect 291760 150467 296180 150509
-rect 291760 150349 295511 150467
-rect 295629 150349 296180 150467
-rect 291760 150338 296180 150349
-rect -3758 150337 -3458 150338
-rect 295420 150337 295720 150338
-rect -2838 148838 -2538 148839
-rect 294500 148838 294800 148839
-rect -3298 148827 240 148838
-rect -3298 148709 -2747 148827
-rect -2629 148709 240 148827
-rect -3298 148667 240 148709
-rect -3298 148549 -2747 148667
-rect -2629 148549 240 148667
-rect -3298 148538 240 148549
-rect 291760 148827 295260 148838
-rect 291760 148709 294591 148827
-rect 294709 148709 295260 148827
-rect 291760 148667 295260 148709
-rect 291760 148549 294591 148667
-rect 294709 148549 295260 148667
-rect 291760 148538 295260 148549
-rect -2838 148537 -2538 148538
-rect 294500 148537 294800 148538
-rect -1918 147038 -1618 147039
-rect 293580 147038 293880 147039
-rect -2378 147027 240 147038
-rect -2378 146909 -1827 147027
-rect -1709 146909 240 147027
-rect -2378 146867 240 146909
-rect -2378 146749 -1827 146867
-rect -1709 146749 240 146867
-rect -2378 146738 240 146749
-rect 291760 147027 294340 147038
-rect 291760 146909 293671 147027
-rect 293789 146909 294340 147027
-rect 291760 146867 294340 146909
-rect 291760 146749 293671 146867
-rect 293789 146749 294340 146867
-rect 291760 146738 294340 146749
-rect -1918 146737 -1618 146738
-rect 293580 146737 293880 146738
+rect -4288 159638 -3988 159639
+rect 295950 159638 296250 159639
+rect -4288 159627 240 159638
+rect -4288 159509 -4197 159627
+rect -4079 159509 240 159627
+rect -4288 159467 240 159509
+rect -4288 159349 -4197 159467
+rect -4079 159349 240 159467
+rect -4288 159338 240 159349
+rect 291760 159627 296250 159638
+rect 291760 159509 296041 159627
+rect 296159 159509 296250 159627
+rect 291760 159467 296250 159509
+rect 291760 159349 296041 159467
+rect 296159 159349 296250 159467
+rect 291760 159338 296250 159349
+rect -4288 159337 -3988 159338
+rect 295950 159337 296250 159338
+rect -3348 157838 -3048 157839
+rect 295010 157838 295310 157839
+rect -3348 157827 240 157838
+rect -3348 157709 -3257 157827
+rect -3139 157709 240 157827
+rect -3348 157667 240 157709
+rect -3348 157549 -3257 157667
+rect -3139 157549 240 157667
+rect -3348 157538 240 157549
+rect 291760 157827 295310 157838
+rect 291760 157709 295101 157827
+rect 295219 157709 295310 157827
+rect 291760 157667 295310 157709
+rect 291760 157549 295101 157667
+rect 295219 157549 295310 157667
+rect 291760 157538 295310 157549
+rect -3348 157537 -3048 157538
+rect 295010 157537 295310 157538
+rect -2408 156038 -2108 156039
+rect 294070 156038 294370 156039
+rect -2408 156027 240 156038
+rect -2408 155909 -2317 156027
+rect -2199 155909 240 156027
+rect -2408 155867 240 155909
+rect -2408 155749 -2317 155867
+rect -2199 155749 240 155867
+rect -2408 155738 240 155749
+rect 291760 156027 294370 156038
+rect 291760 155909 294161 156027
+rect 294279 155909 294370 156027
+rect 291760 155867 294370 155909
+rect 291760 155749 294161 155867
+rect 294279 155749 294370 155867
+rect 291760 155738 294370 155749
+rect -2408 155737 -2108 155738
+rect 294070 155737 294370 155738
+rect -1468 154238 -1168 154239
+rect 293130 154238 293430 154239
+rect -1468 154227 240 154238
+rect -1468 154109 -1377 154227
+rect -1259 154109 240 154227
+rect -1468 154067 240 154109
+rect -1468 153949 -1377 154067
+rect -1259 153949 240 154067
+rect -1468 153938 240 153949
+rect 291760 154227 293430 154238
+rect 291760 154109 293221 154227
+rect 293339 154109 293430 154227
+rect 291760 154067 293430 154109
+rect 291760 153949 293221 154067
+rect 293339 153949 293430 154067
+rect 291760 153938 293430 153949
+rect -1468 153937 -1168 153938
+rect 293130 153937 293430 153938
+rect -3818 150638 -3518 150639
+rect 295480 150638 295780 150639
+rect -4288 150627 240 150638
+rect -4288 150509 -3727 150627
+rect -3609 150509 240 150627
+rect -4288 150467 240 150509
+rect -4288 150349 -3727 150467
+rect -3609 150349 240 150467
+rect -4288 150338 240 150349
+rect 291760 150627 296250 150638
+rect 291760 150509 295571 150627
+rect 295689 150509 296250 150627
+rect 291760 150467 296250 150509
+rect 291760 150349 295571 150467
+rect 295689 150349 296250 150467
+rect 291760 150338 296250 150349
+rect -3818 150337 -3518 150338
+rect 295480 150337 295780 150338
+rect -2878 148838 -2578 148839
+rect 294540 148838 294840 148839
+rect -3348 148827 240 148838
+rect -3348 148709 -2787 148827
+rect -2669 148709 240 148827
+rect -3348 148667 240 148709
+rect -3348 148549 -2787 148667
+rect -2669 148549 240 148667
+rect -3348 148538 240 148549
+rect 291760 148827 295310 148838
+rect 291760 148709 294631 148827
+rect 294749 148709 295310 148827
+rect 291760 148667 295310 148709
+rect 291760 148549 294631 148667
+rect 294749 148549 295310 148667
+rect 291760 148538 295310 148549
+rect -2878 148537 -2578 148538
+rect 294540 148537 294840 148538
+rect -1938 147038 -1638 147039
+rect 293600 147038 293900 147039
+rect -2408 147027 240 147038
+rect -2408 146909 -1847 147027
+rect -1729 146909 240 147027
+rect -2408 146867 240 146909
+rect -2408 146749 -1847 146867
+rect -1729 146749 240 146867
+rect -2408 146738 240 146749
+rect 291760 147027 294370 147038
+rect 291760 146909 293691 147027
+rect 293809 146909 294370 147027
+rect 291760 146867 294370 146909
+rect 291760 146749 293691 146867
+rect 293809 146749 294370 146867
+rect 291760 146738 294370 146749
+rect -1938 146737 -1638 146738
+rect 293600 146737 293900 146738
 rect -998 145238 -698 145239
 rect 292660 145238 292960 145239
-rect -1458 145227 240 145238
-rect -1458 145109 -907 145227
+rect -1468 145227 240 145238
+rect -1468 145109 -907 145227
 rect -789 145109 240 145227
-rect -1458 145067 240 145109
-rect -1458 144949 -907 145067
+rect -1468 145067 240 145109
+rect -1468 144949 -907 145067
 rect -789 144949 240 145067
-rect -1458 144938 240 144949
-rect 291760 145227 293420 145238
+rect -1468 144938 240 144949
+rect 291760 145227 293430 145238
 rect 291760 145109 292751 145227
-rect 292869 145109 293420 145227
-rect 291760 145067 293420 145109
+rect 292869 145109 293430 145227
+rect 291760 145067 293430 145109
 rect 291760 144949 292751 145067
-rect 292869 144949 293420 145067
-rect 291760 144938 293420 144949
+rect 292869 144949 293430 145067
+rect 291760 144938 293430 144949
 rect -998 144937 -698 144938
 rect 292660 144937 292960 144938
-rect -4218 141638 -3918 141639
-rect 295880 141638 296180 141639
-rect -4218 141627 240 141638
-rect -4218 141509 -4127 141627
-rect -4009 141509 240 141627
-rect -4218 141467 240 141509
-rect -4218 141349 -4127 141467
-rect -4009 141349 240 141467
-rect -4218 141338 240 141349
-rect 291760 141627 296180 141638
-rect 291760 141509 295971 141627
-rect 296089 141509 296180 141627
-rect 291760 141467 296180 141509
-rect 291760 141349 295971 141467
-rect 296089 141349 296180 141467
-rect 291760 141338 296180 141349
-rect -4218 141337 -3918 141338
-rect 295880 141337 296180 141338
-rect -3298 139838 -2998 139839
-rect 294960 139838 295260 139839
-rect -3298 139827 240 139838
-rect -3298 139709 -3207 139827
-rect -3089 139709 240 139827
-rect -3298 139667 240 139709
-rect -3298 139549 -3207 139667
-rect -3089 139549 240 139667
-rect -3298 139538 240 139549
-rect 291760 139827 295260 139838
-rect 291760 139709 295051 139827
-rect 295169 139709 295260 139827
-rect 291760 139667 295260 139709
-rect 291760 139549 295051 139667
-rect 295169 139549 295260 139667
-rect 291760 139538 295260 139549
-rect -3298 139537 -2998 139538
-rect 294960 139537 295260 139538
-rect -2378 138038 -2078 138039
-rect 294040 138038 294340 138039
-rect -2378 138027 240 138038
-rect -2378 137909 -2287 138027
-rect -2169 137909 240 138027
-rect -2378 137867 240 137909
-rect -2378 137749 -2287 137867
-rect -2169 137749 240 137867
-rect -2378 137738 240 137749
-rect 291760 138027 294340 138038
-rect 291760 137909 294131 138027
-rect 294249 137909 294340 138027
-rect 291760 137867 294340 137909
-rect 291760 137749 294131 137867
-rect 294249 137749 294340 137867
-rect 291760 137738 294340 137749
-rect -2378 137737 -2078 137738
-rect 294040 137737 294340 137738
-rect -1458 136238 -1158 136239
-rect 293120 136238 293420 136239
-rect -1458 136227 240 136238
-rect -1458 136109 -1367 136227
-rect -1249 136109 240 136227
-rect -1458 136067 240 136109
-rect -1458 135949 -1367 136067
-rect -1249 135949 240 136067
-rect -1458 135938 240 135949
-rect 291760 136227 293420 136238
-rect 291760 136109 293211 136227
-rect 293329 136109 293420 136227
-rect 291760 136067 293420 136109
-rect 291760 135949 293211 136067
-rect 293329 135949 293420 136067
-rect 291760 135938 293420 135949
-rect -1458 135937 -1158 135938
-rect 293120 135937 293420 135938
-rect -3758 132638 -3458 132639
-rect 295420 132638 295720 132639
-rect -4218 132627 240 132638
-rect -4218 132509 -3667 132627
-rect -3549 132509 240 132627
-rect -4218 132467 240 132509
-rect -4218 132349 -3667 132467
-rect -3549 132349 240 132467
-rect -4218 132338 240 132349
-rect 291760 132627 296180 132638
-rect 291760 132509 295511 132627
-rect 295629 132509 296180 132627
-rect 291760 132467 296180 132509
-rect 291760 132349 295511 132467
-rect 295629 132349 296180 132467
-rect 291760 132338 296180 132349
-rect -3758 132337 -3458 132338
-rect 295420 132337 295720 132338
-rect -2838 130838 -2538 130839
-rect 294500 130838 294800 130839
-rect -3298 130827 240 130838
-rect -3298 130709 -2747 130827
-rect -2629 130709 240 130827
-rect -3298 130667 240 130709
-rect -3298 130549 -2747 130667
-rect -2629 130549 240 130667
-rect -3298 130538 240 130549
-rect 291760 130827 295260 130838
-rect 291760 130709 294591 130827
-rect 294709 130709 295260 130827
-rect 291760 130667 295260 130709
-rect 291760 130549 294591 130667
-rect 294709 130549 295260 130667
-rect 291760 130538 295260 130549
-rect -2838 130537 -2538 130538
-rect 294500 130537 294800 130538
-rect -1918 129038 -1618 129039
-rect 293580 129038 293880 129039
-rect -2378 129027 240 129038
-rect -2378 128909 -1827 129027
-rect -1709 128909 240 129027
-rect -2378 128867 240 128909
-rect -2378 128749 -1827 128867
-rect -1709 128749 240 128867
-rect -2378 128738 240 128749
-rect 291760 129027 294340 129038
-rect 291760 128909 293671 129027
-rect 293789 128909 294340 129027
-rect 291760 128867 294340 128909
-rect 291760 128749 293671 128867
-rect 293789 128749 294340 128867
-rect 291760 128738 294340 128749
-rect -1918 128737 -1618 128738
-rect 293580 128737 293880 128738
+rect -4288 141638 -3988 141639
+rect 295950 141638 296250 141639
+rect -4288 141627 240 141638
+rect -4288 141509 -4197 141627
+rect -4079 141509 240 141627
+rect -4288 141467 240 141509
+rect -4288 141349 -4197 141467
+rect -4079 141349 240 141467
+rect -4288 141338 240 141349
+rect 291760 141627 296250 141638
+rect 291760 141509 296041 141627
+rect 296159 141509 296250 141627
+rect 291760 141467 296250 141509
+rect 291760 141349 296041 141467
+rect 296159 141349 296250 141467
+rect 291760 141338 296250 141349
+rect -4288 141337 -3988 141338
+rect 295950 141337 296250 141338
+rect -3348 139838 -3048 139839
+rect 295010 139838 295310 139839
+rect -3348 139827 240 139838
+rect -3348 139709 -3257 139827
+rect -3139 139709 240 139827
+rect -3348 139667 240 139709
+rect -3348 139549 -3257 139667
+rect -3139 139549 240 139667
+rect -3348 139538 240 139549
+rect 291760 139827 295310 139838
+rect 291760 139709 295101 139827
+rect 295219 139709 295310 139827
+rect 291760 139667 295310 139709
+rect 291760 139549 295101 139667
+rect 295219 139549 295310 139667
+rect 291760 139538 295310 139549
+rect -3348 139537 -3048 139538
+rect 295010 139537 295310 139538
+rect -2408 138038 -2108 138039
+rect 294070 138038 294370 138039
+rect -2408 138027 240 138038
+rect -2408 137909 -2317 138027
+rect -2199 137909 240 138027
+rect -2408 137867 240 137909
+rect -2408 137749 -2317 137867
+rect -2199 137749 240 137867
+rect -2408 137738 240 137749
+rect 291760 138027 294370 138038
+rect 291760 137909 294161 138027
+rect 294279 137909 294370 138027
+rect 291760 137867 294370 137909
+rect 291760 137749 294161 137867
+rect 294279 137749 294370 137867
+rect 291760 137738 294370 137749
+rect -2408 137737 -2108 137738
+rect 294070 137737 294370 137738
+rect -1468 136238 -1168 136239
+rect 293130 136238 293430 136239
+rect -1468 136227 240 136238
+rect -1468 136109 -1377 136227
+rect -1259 136109 240 136227
+rect -1468 136067 240 136109
+rect -1468 135949 -1377 136067
+rect -1259 135949 240 136067
+rect -1468 135938 240 135949
+rect 291760 136227 293430 136238
+rect 291760 136109 293221 136227
+rect 293339 136109 293430 136227
+rect 291760 136067 293430 136109
+rect 291760 135949 293221 136067
+rect 293339 135949 293430 136067
+rect 291760 135938 293430 135949
+rect -1468 135937 -1168 135938
+rect 293130 135937 293430 135938
+rect -3818 132638 -3518 132639
+rect 295480 132638 295780 132639
+rect -4288 132627 240 132638
+rect -4288 132509 -3727 132627
+rect -3609 132509 240 132627
+rect -4288 132467 240 132509
+rect -4288 132349 -3727 132467
+rect -3609 132349 240 132467
+rect -4288 132338 240 132349
+rect 291760 132627 296250 132638
+rect 291760 132509 295571 132627
+rect 295689 132509 296250 132627
+rect 291760 132467 296250 132509
+rect 291760 132349 295571 132467
+rect 295689 132349 296250 132467
+rect 291760 132338 296250 132349
+rect -3818 132337 -3518 132338
+rect 295480 132337 295780 132338
+rect -2878 130838 -2578 130839
+rect 294540 130838 294840 130839
+rect -3348 130827 240 130838
+rect -3348 130709 -2787 130827
+rect -2669 130709 240 130827
+rect -3348 130667 240 130709
+rect -3348 130549 -2787 130667
+rect -2669 130549 240 130667
+rect -3348 130538 240 130549
+rect 291760 130827 295310 130838
+rect 291760 130709 294631 130827
+rect 294749 130709 295310 130827
+rect 291760 130667 295310 130709
+rect 291760 130549 294631 130667
+rect 294749 130549 295310 130667
+rect 291760 130538 295310 130549
+rect -2878 130537 -2578 130538
+rect 294540 130537 294840 130538
+rect -1938 129038 -1638 129039
+rect 293600 129038 293900 129039
+rect -2408 129027 240 129038
+rect -2408 128909 -1847 129027
+rect -1729 128909 240 129027
+rect -2408 128867 240 128909
+rect -2408 128749 -1847 128867
+rect -1729 128749 240 128867
+rect -2408 128738 240 128749
+rect 291760 129027 294370 129038
+rect 291760 128909 293691 129027
+rect 293809 128909 294370 129027
+rect 291760 128867 294370 128909
+rect 291760 128749 293691 128867
+rect 293809 128749 294370 128867
+rect 291760 128738 294370 128749
+rect -1938 128737 -1638 128738
+rect 293600 128737 293900 128738
 rect -998 127238 -698 127239
 rect 292660 127238 292960 127239
-rect -1458 127227 240 127238
-rect -1458 127109 -907 127227
+rect -1468 127227 240 127238
+rect -1468 127109 -907 127227
 rect -789 127109 240 127227
-rect -1458 127067 240 127109
-rect -1458 126949 -907 127067
+rect -1468 127067 240 127109
+rect -1468 126949 -907 127067
 rect -789 126949 240 127067
-rect -1458 126938 240 126949
-rect 291760 127227 293420 127238
+rect -1468 126938 240 126949
+rect 291760 127227 293430 127238
 rect 291760 127109 292751 127227
-rect 292869 127109 293420 127227
-rect 291760 127067 293420 127109
+rect 292869 127109 293430 127227
+rect 291760 127067 293430 127109
 rect 291760 126949 292751 127067
-rect 292869 126949 293420 127067
-rect 291760 126938 293420 126949
+rect 292869 126949 293430 127067
+rect 291760 126938 293430 126949
 rect -998 126937 -698 126938
 rect 292660 126937 292960 126938
-rect -4218 123638 -3918 123639
-rect 295880 123638 296180 123639
-rect -4218 123627 240 123638
-rect -4218 123509 -4127 123627
-rect -4009 123509 240 123627
-rect -4218 123467 240 123509
-rect -4218 123349 -4127 123467
-rect -4009 123349 240 123467
-rect -4218 123338 240 123349
-rect 291760 123627 296180 123638
-rect 291760 123509 295971 123627
-rect 296089 123509 296180 123627
-rect 291760 123467 296180 123509
-rect 291760 123349 295971 123467
-rect 296089 123349 296180 123467
-rect 291760 123338 296180 123349
-rect -4218 123337 -3918 123338
-rect 295880 123337 296180 123338
-rect -3298 121838 -2998 121839
-rect 294960 121838 295260 121839
-rect -3298 121827 240 121838
-rect -3298 121709 -3207 121827
-rect -3089 121709 240 121827
-rect -3298 121667 240 121709
-rect -3298 121549 -3207 121667
-rect -3089 121549 240 121667
-rect -3298 121538 240 121549
-rect 291760 121827 295260 121838
-rect 291760 121709 295051 121827
-rect 295169 121709 295260 121827
-rect 291760 121667 295260 121709
-rect 291760 121549 295051 121667
-rect 295169 121549 295260 121667
-rect 291760 121538 295260 121549
-rect -3298 121537 -2998 121538
-rect 294960 121537 295260 121538
-rect -2378 120038 -2078 120039
-rect 294040 120038 294340 120039
-rect -2378 120027 240 120038
-rect -2378 119909 -2287 120027
-rect -2169 119909 240 120027
-rect -2378 119867 240 119909
-rect -2378 119749 -2287 119867
-rect -2169 119749 240 119867
-rect -2378 119738 240 119749
-rect 291760 120027 294340 120038
-rect 291760 119909 294131 120027
-rect 294249 119909 294340 120027
-rect 291760 119867 294340 119909
-rect 291760 119749 294131 119867
-rect 294249 119749 294340 119867
-rect 291760 119738 294340 119749
-rect -2378 119737 -2078 119738
-rect 294040 119737 294340 119738
-rect -1458 118238 -1158 118239
-rect 293120 118238 293420 118239
-rect -1458 118227 240 118238
-rect -1458 118109 -1367 118227
-rect -1249 118109 240 118227
-rect -1458 118067 240 118109
-rect -1458 117949 -1367 118067
-rect -1249 117949 240 118067
-rect -1458 117938 240 117949
-rect 291760 118227 293420 118238
-rect 291760 118109 293211 118227
-rect 293329 118109 293420 118227
-rect 291760 118067 293420 118109
-rect 291760 117949 293211 118067
-rect 293329 117949 293420 118067
-rect 291760 117938 293420 117949
-rect -1458 117937 -1158 117938
-rect 293120 117937 293420 117938
-rect -3758 114638 -3458 114639
-rect 295420 114638 295720 114639
-rect -4218 114627 240 114638
-rect -4218 114509 -3667 114627
-rect -3549 114509 240 114627
-rect -4218 114467 240 114509
-rect -4218 114349 -3667 114467
-rect -3549 114349 240 114467
-rect -4218 114338 240 114349
-rect 291760 114627 296180 114638
-rect 291760 114509 295511 114627
-rect 295629 114509 296180 114627
-rect 291760 114467 296180 114509
-rect 291760 114349 295511 114467
-rect 295629 114349 296180 114467
-rect 291760 114338 296180 114349
-rect -3758 114337 -3458 114338
-rect 295420 114337 295720 114338
-rect -2838 112838 -2538 112839
-rect 294500 112838 294800 112839
-rect -3298 112827 240 112838
-rect -3298 112709 -2747 112827
-rect -2629 112709 240 112827
-rect -3298 112667 240 112709
-rect -3298 112549 -2747 112667
-rect -2629 112549 240 112667
-rect -3298 112538 240 112549
-rect 291760 112827 295260 112838
-rect 291760 112709 294591 112827
-rect 294709 112709 295260 112827
-rect 291760 112667 295260 112709
-rect 291760 112549 294591 112667
-rect 294709 112549 295260 112667
-rect 291760 112538 295260 112549
-rect -2838 112537 -2538 112538
-rect 294500 112537 294800 112538
-rect -1918 111038 -1618 111039
-rect 293580 111038 293880 111039
-rect -2378 111027 240 111038
-rect -2378 110909 -1827 111027
-rect -1709 110909 240 111027
-rect -2378 110867 240 110909
-rect -2378 110749 -1827 110867
-rect -1709 110749 240 110867
-rect -2378 110738 240 110749
-rect 291760 111027 294340 111038
-rect 291760 110909 293671 111027
-rect 293789 110909 294340 111027
-rect 291760 110867 294340 110909
-rect 291760 110749 293671 110867
-rect 293789 110749 294340 110867
-rect 291760 110738 294340 110749
-rect -1918 110737 -1618 110738
-rect 293580 110737 293880 110738
+rect -4288 123638 -3988 123639
+rect 295950 123638 296250 123639
+rect -4288 123627 240 123638
+rect -4288 123509 -4197 123627
+rect -4079 123509 240 123627
+rect -4288 123467 240 123509
+rect -4288 123349 -4197 123467
+rect -4079 123349 240 123467
+rect -4288 123338 240 123349
+rect 291760 123627 296250 123638
+rect 291760 123509 296041 123627
+rect 296159 123509 296250 123627
+rect 291760 123467 296250 123509
+rect 291760 123349 296041 123467
+rect 296159 123349 296250 123467
+rect 291760 123338 296250 123349
+rect -4288 123337 -3988 123338
+rect 295950 123337 296250 123338
+rect -3348 121838 -3048 121839
+rect 295010 121838 295310 121839
+rect -3348 121827 240 121838
+rect -3348 121709 -3257 121827
+rect -3139 121709 240 121827
+rect -3348 121667 240 121709
+rect -3348 121549 -3257 121667
+rect -3139 121549 240 121667
+rect -3348 121538 240 121549
+rect 291760 121827 295310 121838
+rect 291760 121709 295101 121827
+rect 295219 121709 295310 121827
+rect 291760 121667 295310 121709
+rect 291760 121549 295101 121667
+rect 295219 121549 295310 121667
+rect 291760 121538 295310 121549
+rect -3348 121537 -3048 121538
+rect 295010 121537 295310 121538
+rect -2408 120038 -2108 120039
+rect 294070 120038 294370 120039
+rect -2408 120027 240 120038
+rect -2408 119909 -2317 120027
+rect -2199 119909 240 120027
+rect -2408 119867 240 119909
+rect -2408 119749 -2317 119867
+rect -2199 119749 240 119867
+rect -2408 119738 240 119749
+rect 291760 120027 294370 120038
+rect 291760 119909 294161 120027
+rect 294279 119909 294370 120027
+rect 291760 119867 294370 119909
+rect 291760 119749 294161 119867
+rect 294279 119749 294370 119867
+rect 291760 119738 294370 119749
+rect -2408 119737 -2108 119738
+rect 294070 119737 294370 119738
+rect -1468 118238 -1168 118239
+rect 293130 118238 293430 118239
+rect -1468 118227 240 118238
+rect -1468 118109 -1377 118227
+rect -1259 118109 240 118227
+rect -1468 118067 240 118109
+rect -1468 117949 -1377 118067
+rect -1259 117949 240 118067
+rect -1468 117938 240 117949
+rect 291760 118227 293430 118238
+rect 291760 118109 293221 118227
+rect 293339 118109 293430 118227
+rect 291760 118067 293430 118109
+rect 291760 117949 293221 118067
+rect 293339 117949 293430 118067
+rect 291760 117938 293430 117949
+rect -1468 117937 -1168 117938
+rect 293130 117937 293430 117938
+rect -3818 114638 -3518 114639
+rect 295480 114638 295780 114639
+rect -4288 114627 240 114638
+rect -4288 114509 -3727 114627
+rect -3609 114509 240 114627
+rect -4288 114467 240 114509
+rect -4288 114349 -3727 114467
+rect -3609 114349 240 114467
+rect -4288 114338 240 114349
+rect 291760 114627 296250 114638
+rect 291760 114509 295571 114627
+rect 295689 114509 296250 114627
+rect 291760 114467 296250 114509
+rect 291760 114349 295571 114467
+rect 295689 114349 296250 114467
+rect 291760 114338 296250 114349
+rect -3818 114337 -3518 114338
+rect 295480 114337 295780 114338
+rect -2878 112838 -2578 112839
+rect 294540 112838 294840 112839
+rect -3348 112827 240 112838
+rect -3348 112709 -2787 112827
+rect -2669 112709 240 112827
+rect -3348 112667 240 112709
+rect -3348 112549 -2787 112667
+rect -2669 112549 240 112667
+rect -3348 112538 240 112549
+rect 291760 112827 295310 112838
+rect 291760 112709 294631 112827
+rect 294749 112709 295310 112827
+rect 291760 112667 295310 112709
+rect 291760 112549 294631 112667
+rect 294749 112549 295310 112667
+rect 291760 112538 295310 112549
+rect -2878 112537 -2578 112538
+rect 294540 112537 294840 112538
+rect -1938 111038 -1638 111039
+rect 293600 111038 293900 111039
+rect -2408 111027 240 111038
+rect -2408 110909 -1847 111027
+rect -1729 110909 240 111027
+rect -2408 110867 240 110909
+rect -2408 110749 -1847 110867
+rect -1729 110749 240 110867
+rect -2408 110738 240 110749
+rect 291760 111027 294370 111038
+rect 291760 110909 293691 111027
+rect 293809 110909 294370 111027
+rect 291760 110867 294370 110909
+rect 291760 110749 293691 110867
+rect 293809 110749 294370 110867
+rect 291760 110738 294370 110749
+rect -1938 110737 -1638 110738
+rect 293600 110737 293900 110738
 rect -998 109238 -698 109239
 rect 292660 109238 292960 109239
-rect -1458 109227 240 109238
-rect -1458 109109 -907 109227
+rect -1468 109227 240 109238
+rect -1468 109109 -907 109227
 rect -789 109109 240 109227
-rect -1458 109067 240 109109
-rect -1458 108949 -907 109067
+rect -1468 109067 240 109109
+rect -1468 108949 -907 109067
 rect -789 108949 240 109067
-rect -1458 108938 240 108949
-rect 291760 109227 293420 109238
+rect -1468 108938 240 108949
+rect 291760 109227 293430 109238
 rect 291760 109109 292751 109227
-rect 292869 109109 293420 109227
-rect 291760 109067 293420 109109
+rect 292869 109109 293430 109227
+rect 291760 109067 293430 109109
 rect 291760 108949 292751 109067
-rect 292869 108949 293420 109067
-rect 291760 108938 293420 108949
+rect 292869 108949 293430 109067
+rect 291760 108938 293430 108949
 rect -998 108937 -698 108938
 rect 292660 108937 292960 108938
-rect -4218 105638 -3918 105639
-rect 295880 105638 296180 105639
-rect -4218 105627 240 105638
-rect -4218 105509 -4127 105627
-rect -4009 105509 240 105627
-rect -4218 105467 240 105509
-rect -4218 105349 -4127 105467
-rect -4009 105349 240 105467
-rect -4218 105338 240 105349
-rect 291760 105627 296180 105638
-rect 291760 105509 295971 105627
-rect 296089 105509 296180 105627
-rect 291760 105467 296180 105509
-rect 291760 105349 295971 105467
-rect 296089 105349 296180 105467
-rect 291760 105338 296180 105349
-rect -4218 105337 -3918 105338
-rect 295880 105337 296180 105338
-rect -3298 103838 -2998 103839
-rect 294960 103838 295260 103839
-rect -3298 103827 240 103838
-rect -3298 103709 -3207 103827
-rect -3089 103709 240 103827
-rect -3298 103667 240 103709
-rect -3298 103549 -3207 103667
-rect -3089 103549 240 103667
-rect -3298 103538 240 103549
-rect 291760 103827 295260 103838
-rect 291760 103709 295051 103827
-rect 295169 103709 295260 103827
-rect 291760 103667 295260 103709
-rect 291760 103549 295051 103667
-rect 295169 103549 295260 103667
-rect 291760 103538 295260 103549
-rect -3298 103537 -2998 103538
-rect 294960 103537 295260 103538
-rect -2378 102038 -2078 102039
-rect 294040 102038 294340 102039
-rect -2378 102027 240 102038
-rect -2378 101909 -2287 102027
-rect -2169 101909 240 102027
-rect -2378 101867 240 101909
-rect -2378 101749 -2287 101867
-rect -2169 101749 240 101867
-rect -2378 101738 240 101749
-rect 291760 102027 294340 102038
-rect 291760 101909 294131 102027
-rect 294249 101909 294340 102027
-rect 291760 101867 294340 101909
-rect 291760 101749 294131 101867
-rect 294249 101749 294340 101867
-rect 291760 101738 294340 101749
-rect -2378 101737 -2078 101738
-rect 294040 101737 294340 101738
-rect -1458 100238 -1158 100239
-rect 293120 100238 293420 100239
-rect -1458 100227 240 100238
-rect -1458 100109 -1367 100227
-rect -1249 100109 240 100227
-rect -1458 100067 240 100109
-rect -1458 99949 -1367 100067
-rect -1249 99949 240 100067
-rect -1458 99938 240 99949
-rect 291760 100227 293420 100238
-rect 291760 100109 293211 100227
-rect 293329 100109 293420 100227
-rect 291760 100067 293420 100109
-rect 291760 99949 293211 100067
-rect 293329 99949 293420 100067
-rect 291760 99938 293420 99949
-rect -1458 99937 -1158 99938
-rect 293120 99937 293420 99938
-rect -3758 96638 -3458 96639
-rect 295420 96638 295720 96639
-rect -4218 96627 240 96638
-rect -4218 96509 -3667 96627
-rect -3549 96509 240 96627
-rect -4218 96467 240 96509
-rect -4218 96349 -3667 96467
-rect -3549 96349 240 96467
-rect -4218 96338 240 96349
-rect 291760 96627 296180 96638
-rect 291760 96509 295511 96627
-rect 295629 96509 296180 96627
-rect 291760 96467 296180 96509
-rect 291760 96349 295511 96467
-rect 295629 96349 296180 96467
-rect 291760 96338 296180 96349
-rect -3758 96337 -3458 96338
-rect 295420 96337 295720 96338
-rect -2838 94838 -2538 94839
-rect 294500 94838 294800 94839
-rect -3298 94827 240 94838
-rect -3298 94709 -2747 94827
-rect -2629 94709 240 94827
-rect -3298 94667 240 94709
-rect -3298 94549 -2747 94667
-rect -2629 94549 240 94667
-rect -3298 94538 240 94549
-rect 291760 94827 295260 94838
-rect 291760 94709 294591 94827
-rect 294709 94709 295260 94827
-rect 291760 94667 295260 94709
-rect 291760 94549 294591 94667
-rect 294709 94549 295260 94667
-rect 291760 94538 295260 94549
-rect -2838 94537 -2538 94538
-rect 294500 94537 294800 94538
-rect -1918 93038 -1618 93039
-rect 293580 93038 293880 93039
-rect -2378 93027 240 93038
-rect -2378 92909 -1827 93027
-rect -1709 92909 240 93027
-rect -2378 92867 240 92909
-rect -2378 92749 -1827 92867
-rect -1709 92749 240 92867
-rect -2378 92738 240 92749
-rect 291760 93027 294340 93038
-rect 291760 92909 293671 93027
-rect 293789 92909 294340 93027
-rect 291760 92867 294340 92909
-rect 291760 92749 293671 92867
-rect 293789 92749 294340 92867
-rect 291760 92738 294340 92749
-rect -1918 92737 -1618 92738
-rect 293580 92737 293880 92738
+rect -4288 105638 -3988 105639
+rect 295950 105638 296250 105639
+rect -4288 105627 240 105638
+rect -4288 105509 -4197 105627
+rect -4079 105509 240 105627
+rect -4288 105467 240 105509
+rect -4288 105349 -4197 105467
+rect -4079 105349 240 105467
+rect -4288 105338 240 105349
+rect 291760 105627 296250 105638
+rect 291760 105509 296041 105627
+rect 296159 105509 296250 105627
+rect 291760 105467 296250 105509
+rect 291760 105349 296041 105467
+rect 296159 105349 296250 105467
+rect 291760 105338 296250 105349
+rect -4288 105337 -3988 105338
+rect 295950 105337 296250 105338
+rect -3348 103838 -3048 103839
+rect 295010 103838 295310 103839
+rect -3348 103827 240 103838
+rect -3348 103709 -3257 103827
+rect -3139 103709 240 103827
+rect -3348 103667 240 103709
+rect -3348 103549 -3257 103667
+rect -3139 103549 240 103667
+rect -3348 103538 240 103549
+rect 291760 103827 295310 103838
+rect 291760 103709 295101 103827
+rect 295219 103709 295310 103827
+rect 291760 103667 295310 103709
+rect 291760 103549 295101 103667
+rect 295219 103549 295310 103667
+rect 291760 103538 295310 103549
+rect -3348 103537 -3048 103538
+rect 295010 103537 295310 103538
+rect -2408 102038 -2108 102039
+rect 294070 102038 294370 102039
+rect -2408 102027 240 102038
+rect -2408 101909 -2317 102027
+rect -2199 101909 240 102027
+rect -2408 101867 240 101909
+rect -2408 101749 -2317 101867
+rect -2199 101749 240 101867
+rect -2408 101738 240 101749
+rect 291760 102027 294370 102038
+rect 291760 101909 294161 102027
+rect 294279 101909 294370 102027
+rect 291760 101867 294370 101909
+rect 291760 101749 294161 101867
+rect 294279 101749 294370 101867
+rect 291760 101738 294370 101749
+rect -2408 101737 -2108 101738
+rect 294070 101737 294370 101738
+rect -1468 100238 -1168 100239
+rect 293130 100238 293430 100239
+rect -1468 100227 240 100238
+rect -1468 100109 -1377 100227
+rect -1259 100109 240 100227
+rect -1468 100067 240 100109
+rect -1468 99949 -1377 100067
+rect -1259 99949 240 100067
+rect -1468 99938 240 99949
+rect 291760 100227 293430 100238
+rect 291760 100109 293221 100227
+rect 293339 100109 293430 100227
+rect 291760 100067 293430 100109
+rect 291760 99949 293221 100067
+rect 293339 99949 293430 100067
+rect 291760 99938 293430 99949
+rect -1468 99937 -1168 99938
+rect 293130 99937 293430 99938
+rect -3818 96638 -3518 96639
+rect 295480 96638 295780 96639
+rect -4288 96627 240 96638
+rect -4288 96509 -3727 96627
+rect -3609 96509 240 96627
+rect -4288 96467 240 96509
+rect -4288 96349 -3727 96467
+rect -3609 96349 240 96467
+rect -4288 96338 240 96349
+rect 291760 96627 296250 96638
+rect 291760 96509 295571 96627
+rect 295689 96509 296250 96627
+rect 291760 96467 296250 96509
+rect 291760 96349 295571 96467
+rect 295689 96349 296250 96467
+rect 291760 96338 296250 96349
+rect -3818 96337 -3518 96338
+rect 295480 96337 295780 96338
+rect -2878 94838 -2578 94839
+rect 294540 94838 294840 94839
+rect -3348 94827 240 94838
+rect -3348 94709 -2787 94827
+rect -2669 94709 240 94827
+rect -3348 94667 240 94709
+rect -3348 94549 -2787 94667
+rect -2669 94549 240 94667
+rect -3348 94538 240 94549
+rect 291760 94827 295310 94838
+rect 291760 94709 294631 94827
+rect 294749 94709 295310 94827
+rect 291760 94667 295310 94709
+rect 291760 94549 294631 94667
+rect 294749 94549 295310 94667
+rect 291760 94538 295310 94549
+rect -2878 94537 -2578 94538
+rect 294540 94537 294840 94538
+rect -1938 93038 -1638 93039
+rect 293600 93038 293900 93039
+rect -2408 93027 240 93038
+rect -2408 92909 -1847 93027
+rect -1729 92909 240 93027
+rect -2408 92867 240 92909
+rect -2408 92749 -1847 92867
+rect -1729 92749 240 92867
+rect -2408 92738 240 92749
+rect 291760 93027 294370 93038
+rect 291760 92909 293691 93027
+rect 293809 92909 294370 93027
+rect 291760 92867 294370 92909
+rect 291760 92749 293691 92867
+rect 293809 92749 294370 92867
+rect 291760 92738 294370 92749
+rect -1938 92737 -1638 92738
+rect 293600 92737 293900 92738
 rect -998 91238 -698 91239
 rect 292660 91238 292960 91239
-rect -1458 91227 240 91238
-rect -1458 91109 -907 91227
+rect -1468 91227 240 91238
+rect -1468 91109 -907 91227
 rect -789 91109 240 91227
-rect -1458 91067 240 91109
-rect -1458 90949 -907 91067
+rect -1468 91067 240 91109
+rect -1468 90949 -907 91067
 rect -789 90949 240 91067
-rect -1458 90938 240 90949
-rect 291760 91227 293420 91238
+rect -1468 90938 240 90949
+rect 291760 91227 293430 91238
 rect 291760 91109 292751 91227
-rect 292869 91109 293420 91227
-rect 291760 91067 293420 91109
+rect 292869 91109 293430 91227
+rect 291760 91067 293430 91109
 rect 291760 90949 292751 91067
-rect 292869 90949 293420 91067
-rect 291760 90938 293420 90949
+rect 292869 90949 293430 91067
+rect 291760 90938 293430 90949
 rect -998 90937 -698 90938
 rect 292660 90937 292960 90938
-rect -4218 87638 -3918 87639
-rect 295880 87638 296180 87639
-rect -4218 87627 240 87638
-rect -4218 87509 -4127 87627
-rect -4009 87509 240 87627
-rect -4218 87467 240 87509
-rect -4218 87349 -4127 87467
-rect -4009 87349 240 87467
-rect -4218 87338 240 87349
-rect 291760 87627 296180 87638
-rect 291760 87509 295971 87627
-rect 296089 87509 296180 87627
-rect 291760 87467 296180 87509
-rect 291760 87349 295971 87467
-rect 296089 87349 296180 87467
-rect 291760 87338 296180 87349
-rect -4218 87337 -3918 87338
-rect 295880 87337 296180 87338
-rect -3298 85838 -2998 85839
-rect 294960 85838 295260 85839
-rect -3298 85827 240 85838
-rect -3298 85709 -3207 85827
-rect -3089 85709 240 85827
-rect -3298 85667 240 85709
-rect -3298 85549 -3207 85667
-rect -3089 85549 240 85667
-rect -3298 85538 240 85549
-rect 291760 85827 295260 85838
-rect 291760 85709 295051 85827
-rect 295169 85709 295260 85827
-rect 291760 85667 295260 85709
-rect 291760 85549 295051 85667
-rect 295169 85549 295260 85667
-rect 291760 85538 295260 85549
-rect -3298 85537 -2998 85538
-rect 294960 85537 295260 85538
-rect -2378 84038 -2078 84039
-rect 294040 84038 294340 84039
-rect -2378 84027 240 84038
-rect -2378 83909 -2287 84027
-rect -2169 83909 240 84027
-rect -2378 83867 240 83909
-rect -2378 83749 -2287 83867
-rect -2169 83749 240 83867
-rect -2378 83738 240 83749
-rect 291760 84027 294340 84038
-rect 291760 83909 294131 84027
-rect 294249 83909 294340 84027
-rect 291760 83867 294340 83909
-rect 291760 83749 294131 83867
-rect 294249 83749 294340 83867
-rect 291760 83738 294340 83749
-rect -2378 83737 -2078 83738
-rect 294040 83737 294340 83738
-rect -1458 82238 -1158 82239
-rect 293120 82238 293420 82239
-rect -1458 82227 240 82238
-rect -1458 82109 -1367 82227
-rect -1249 82109 240 82227
-rect -1458 82067 240 82109
-rect -1458 81949 -1367 82067
-rect -1249 81949 240 82067
-rect -1458 81938 240 81949
-rect 291760 82227 293420 82238
-rect 291760 82109 293211 82227
-rect 293329 82109 293420 82227
-rect 291760 82067 293420 82109
-rect 291760 81949 293211 82067
-rect 293329 81949 293420 82067
-rect 291760 81938 293420 81949
-rect -1458 81937 -1158 81938
-rect 293120 81937 293420 81938
-rect -3758 78638 -3458 78639
-rect 295420 78638 295720 78639
-rect -4218 78627 240 78638
-rect -4218 78509 -3667 78627
-rect -3549 78509 240 78627
-rect -4218 78467 240 78509
-rect -4218 78349 -3667 78467
-rect -3549 78349 240 78467
-rect -4218 78338 240 78349
-rect 291760 78627 296180 78638
-rect 291760 78509 295511 78627
-rect 295629 78509 296180 78627
-rect 291760 78467 296180 78509
-rect 291760 78349 295511 78467
-rect 295629 78349 296180 78467
-rect 291760 78338 296180 78349
-rect -3758 78337 -3458 78338
-rect 295420 78337 295720 78338
-rect -2838 76838 -2538 76839
-rect 294500 76838 294800 76839
-rect -3298 76827 240 76838
-rect -3298 76709 -2747 76827
-rect -2629 76709 240 76827
-rect -3298 76667 240 76709
-rect -3298 76549 -2747 76667
-rect -2629 76549 240 76667
-rect -3298 76538 240 76549
-rect 291760 76827 295260 76838
-rect 291760 76709 294591 76827
-rect 294709 76709 295260 76827
-rect 291760 76667 295260 76709
-rect 291760 76549 294591 76667
-rect 294709 76549 295260 76667
-rect 291760 76538 295260 76549
-rect -2838 76537 -2538 76538
-rect 294500 76537 294800 76538
-rect -1918 75038 -1618 75039
-rect 293580 75038 293880 75039
-rect -2378 75027 240 75038
-rect -2378 74909 -1827 75027
-rect -1709 74909 240 75027
-rect -2378 74867 240 74909
-rect -2378 74749 -1827 74867
-rect -1709 74749 240 74867
-rect -2378 74738 240 74749
-rect 291760 75027 294340 75038
-rect 291760 74909 293671 75027
-rect 293789 74909 294340 75027
-rect 291760 74867 294340 74909
-rect 291760 74749 293671 74867
-rect 293789 74749 294340 74867
-rect 291760 74738 294340 74749
-rect -1918 74737 -1618 74738
-rect 293580 74737 293880 74738
+rect -4288 87638 -3988 87639
+rect 295950 87638 296250 87639
+rect -4288 87627 240 87638
+rect -4288 87509 -4197 87627
+rect -4079 87509 240 87627
+rect -4288 87467 240 87509
+rect -4288 87349 -4197 87467
+rect -4079 87349 240 87467
+rect -4288 87338 240 87349
+rect 291760 87627 296250 87638
+rect 291760 87509 296041 87627
+rect 296159 87509 296250 87627
+rect 291760 87467 296250 87509
+rect 291760 87349 296041 87467
+rect 296159 87349 296250 87467
+rect 291760 87338 296250 87349
+rect -4288 87337 -3988 87338
+rect 295950 87337 296250 87338
+rect -3348 85838 -3048 85839
+rect 295010 85838 295310 85839
+rect -3348 85827 240 85838
+rect -3348 85709 -3257 85827
+rect -3139 85709 240 85827
+rect -3348 85667 240 85709
+rect -3348 85549 -3257 85667
+rect -3139 85549 240 85667
+rect -3348 85538 240 85549
+rect 291760 85827 295310 85838
+rect 291760 85709 295101 85827
+rect 295219 85709 295310 85827
+rect 291760 85667 295310 85709
+rect 291760 85549 295101 85667
+rect 295219 85549 295310 85667
+rect 291760 85538 295310 85549
+rect -3348 85537 -3048 85538
+rect 295010 85537 295310 85538
+rect -2408 84038 -2108 84039
+rect 294070 84038 294370 84039
+rect -2408 84027 240 84038
+rect -2408 83909 -2317 84027
+rect -2199 83909 240 84027
+rect -2408 83867 240 83909
+rect -2408 83749 -2317 83867
+rect -2199 83749 240 83867
+rect -2408 83738 240 83749
+rect 291760 84027 294370 84038
+rect 291760 83909 294161 84027
+rect 294279 83909 294370 84027
+rect 291760 83867 294370 83909
+rect 291760 83749 294161 83867
+rect 294279 83749 294370 83867
+rect 291760 83738 294370 83749
+rect -2408 83737 -2108 83738
+rect 294070 83737 294370 83738
+rect -1468 82238 -1168 82239
+rect 293130 82238 293430 82239
+rect -1468 82227 240 82238
+rect -1468 82109 -1377 82227
+rect -1259 82109 240 82227
+rect -1468 82067 240 82109
+rect -1468 81949 -1377 82067
+rect -1259 81949 240 82067
+rect -1468 81938 240 81949
+rect 291760 82227 293430 82238
+rect 291760 82109 293221 82227
+rect 293339 82109 293430 82227
+rect 291760 82067 293430 82109
+rect 291760 81949 293221 82067
+rect 293339 81949 293430 82067
+rect 291760 81938 293430 81949
+rect -1468 81937 -1168 81938
+rect 293130 81937 293430 81938
+rect -3818 78638 -3518 78639
+rect 295480 78638 295780 78639
+rect -4288 78627 240 78638
+rect -4288 78509 -3727 78627
+rect -3609 78509 240 78627
+rect -4288 78467 240 78509
+rect -4288 78349 -3727 78467
+rect -3609 78349 240 78467
+rect -4288 78338 240 78349
+rect 291760 78627 296250 78638
+rect 291760 78509 295571 78627
+rect 295689 78509 296250 78627
+rect 291760 78467 296250 78509
+rect 291760 78349 295571 78467
+rect 295689 78349 296250 78467
+rect 291760 78338 296250 78349
+rect -3818 78337 -3518 78338
+rect 295480 78337 295780 78338
+rect -2878 76838 -2578 76839
+rect 294540 76838 294840 76839
+rect -3348 76827 240 76838
+rect -3348 76709 -2787 76827
+rect -2669 76709 240 76827
+rect -3348 76667 240 76709
+rect -3348 76549 -2787 76667
+rect -2669 76549 240 76667
+rect -3348 76538 240 76549
+rect 291760 76827 295310 76838
+rect 291760 76709 294631 76827
+rect 294749 76709 295310 76827
+rect 291760 76667 295310 76709
+rect 291760 76549 294631 76667
+rect 294749 76549 295310 76667
+rect 291760 76538 295310 76549
+rect -2878 76537 -2578 76538
+rect 294540 76537 294840 76538
+rect -1938 75038 -1638 75039
+rect 293600 75038 293900 75039
+rect -2408 75027 240 75038
+rect -2408 74909 -1847 75027
+rect -1729 74909 240 75027
+rect -2408 74867 240 74909
+rect -2408 74749 -1847 74867
+rect -1729 74749 240 74867
+rect -2408 74738 240 74749
+rect 291760 75027 294370 75038
+rect 291760 74909 293691 75027
+rect 293809 74909 294370 75027
+rect 291760 74867 294370 74909
+rect 291760 74749 293691 74867
+rect 293809 74749 294370 74867
+rect 291760 74738 294370 74749
+rect -1938 74737 -1638 74738
+rect 293600 74737 293900 74738
 rect -998 73238 -698 73239
 rect 292660 73238 292960 73239
-rect -1458 73227 240 73238
-rect -1458 73109 -907 73227
+rect -1468 73227 240 73238
+rect -1468 73109 -907 73227
 rect -789 73109 240 73227
-rect -1458 73067 240 73109
-rect -1458 72949 -907 73067
+rect -1468 73067 240 73109
+rect -1468 72949 -907 73067
 rect -789 72949 240 73067
-rect -1458 72938 240 72949
-rect 291760 73227 293420 73238
+rect -1468 72938 240 72949
+rect 291760 73227 293430 73238
 rect 291760 73109 292751 73227
-rect 292869 73109 293420 73227
-rect 291760 73067 293420 73109
+rect 292869 73109 293430 73227
+rect 291760 73067 293430 73109
 rect 291760 72949 292751 73067
-rect 292869 72949 293420 73067
-rect 291760 72938 293420 72949
+rect 292869 72949 293430 73067
+rect 291760 72938 293430 72949
 rect -998 72937 -698 72938
 rect 292660 72937 292960 72938
-rect -4218 69638 -3918 69639
-rect 295880 69638 296180 69639
-rect -4218 69627 240 69638
-rect -4218 69509 -4127 69627
-rect -4009 69509 240 69627
-rect -4218 69467 240 69509
-rect -4218 69349 -4127 69467
-rect -4009 69349 240 69467
-rect -4218 69338 240 69349
-rect 291760 69627 296180 69638
-rect 291760 69509 295971 69627
-rect 296089 69509 296180 69627
-rect 291760 69467 296180 69509
-rect 291760 69349 295971 69467
-rect 296089 69349 296180 69467
-rect 291760 69338 296180 69349
-rect -4218 69337 -3918 69338
-rect 295880 69337 296180 69338
-rect -3298 67838 -2998 67839
-rect 294960 67838 295260 67839
-rect -3298 67827 240 67838
-rect -3298 67709 -3207 67827
-rect -3089 67709 240 67827
-rect -3298 67667 240 67709
-rect -3298 67549 -3207 67667
-rect -3089 67549 240 67667
-rect -3298 67538 240 67549
-rect 291760 67827 295260 67838
-rect 291760 67709 295051 67827
-rect 295169 67709 295260 67827
-rect 291760 67667 295260 67709
-rect 291760 67549 295051 67667
-rect 295169 67549 295260 67667
-rect 291760 67538 295260 67549
-rect -3298 67537 -2998 67538
-rect 294960 67537 295260 67538
-rect -2378 66038 -2078 66039
-rect 294040 66038 294340 66039
-rect -2378 66027 240 66038
-rect -2378 65909 -2287 66027
-rect -2169 65909 240 66027
-rect -2378 65867 240 65909
-rect -2378 65749 -2287 65867
-rect -2169 65749 240 65867
-rect -2378 65738 240 65749
-rect 291760 66027 294340 66038
-rect 291760 65909 294131 66027
-rect 294249 65909 294340 66027
-rect 291760 65867 294340 65909
-rect 291760 65749 294131 65867
-rect 294249 65749 294340 65867
-rect 291760 65738 294340 65749
-rect -2378 65737 -2078 65738
-rect 294040 65737 294340 65738
-rect -1458 64238 -1158 64239
-rect 293120 64238 293420 64239
-rect -1458 64227 240 64238
-rect -1458 64109 -1367 64227
-rect -1249 64109 240 64227
-rect -1458 64067 240 64109
-rect -1458 63949 -1367 64067
-rect -1249 63949 240 64067
-rect -1458 63938 240 63949
-rect 291760 64227 293420 64238
-rect 291760 64109 293211 64227
-rect 293329 64109 293420 64227
-rect 291760 64067 293420 64109
-rect 291760 63949 293211 64067
-rect 293329 63949 293420 64067
-rect 291760 63938 293420 63949
-rect -1458 63937 -1158 63938
-rect 293120 63937 293420 63938
-rect -3758 60638 -3458 60639
-rect 295420 60638 295720 60639
-rect -4218 60627 240 60638
-rect -4218 60509 -3667 60627
-rect -3549 60509 240 60627
-rect -4218 60467 240 60509
-rect -4218 60349 -3667 60467
-rect -3549 60349 240 60467
-rect -4218 60338 240 60349
-rect 291760 60627 296180 60638
-rect 291760 60509 295511 60627
-rect 295629 60509 296180 60627
-rect 291760 60467 296180 60509
-rect 291760 60349 295511 60467
-rect 295629 60349 296180 60467
-rect 291760 60338 296180 60349
-rect -3758 60337 -3458 60338
-rect 295420 60337 295720 60338
-rect -2838 58838 -2538 58839
-rect 294500 58838 294800 58839
-rect -3298 58827 240 58838
-rect -3298 58709 -2747 58827
-rect -2629 58709 240 58827
-rect -3298 58667 240 58709
-rect -3298 58549 -2747 58667
-rect -2629 58549 240 58667
-rect -3298 58538 240 58549
-rect 291760 58827 295260 58838
-rect 291760 58709 294591 58827
-rect 294709 58709 295260 58827
-rect 291760 58667 295260 58709
-rect 291760 58549 294591 58667
-rect 294709 58549 295260 58667
-rect 291760 58538 295260 58549
-rect -2838 58537 -2538 58538
-rect 294500 58537 294800 58538
-rect -1918 57038 -1618 57039
-rect 293580 57038 293880 57039
-rect -2378 57027 240 57038
-rect -2378 56909 -1827 57027
-rect -1709 56909 240 57027
-rect -2378 56867 240 56909
-rect -2378 56749 -1827 56867
-rect -1709 56749 240 56867
-rect -2378 56738 240 56749
-rect 291760 57027 294340 57038
-rect 291760 56909 293671 57027
-rect 293789 56909 294340 57027
-rect 291760 56867 294340 56909
-rect 291760 56749 293671 56867
-rect 293789 56749 294340 56867
-rect 291760 56738 294340 56749
-rect -1918 56737 -1618 56738
-rect 293580 56737 293880 56738
+rect -4288 69638 -3988 69639
+rect 295950 69638 296250 69639
+rect -4288 69627 240 69638
+rect -4288 69509 -4197 69627
+rect -4079 69509 240 69627
+rect -4288 69467 240 69509
+rect -4288 69349 -4197 69467
+rect -4079 69349 240 69467
+rect -4288 69338 240 69349
+rect 291760 69627 296250 69638
+rect 291760 69509 296041 69627
+rect 296159 69509 296250 69627
+rect 291760 69467 296250 69509
+rect 291760 69349 296041 69467
+rect 296159 69349 296250 69467
+rect 291760 69338 296250 69349
+rect -4288 69337 -3988 69338
+rect 295950 69337 296250 69338
+rect -3348 67838 -3048 67839
+rect 295010 67838 295310 67839
+rect -3348 67827 240 67838
+rect -3348 67709 -3257 67827
+rect -3139 67709 240 67827
+rect -3348 67667 240 67709
+rect -3348 67549 -3257 67667
+rect -3139 67549 240 67667
+rect -3348 67538 240 67549
+rect 291760 67827 295310 67838
+rect 291760 67709 295101 67827
+rect 295219 67709 295310 67827
+rect 291760 67667 295310 67709
+rect 291760 67549 295101 67667
+rect 295219 67549 295310 67667
+rect 291760 67538 295310 67549
+rect -3348 67537 -3048 67538
+rect 295010 67537 295310 67538
+rect -2408 66038 -2108 66039
+rect 294070 66038 294370 66039
+rect -2408 66027 240 66038
+rect -2408 65909 -2317 66027
+rect -2199 65909 240 66027
+rect -2408 65867 240 65909
+rect -2408 65749 -2317 65867
+rect -2199 65749 240 65867
+rect -2408 65738 240 65749
+rect 291760 66027 294370 66038
+rect 291760 65909 294161 66027
+rect 294279 65909 294370 66027
+rect 291760 65867 294370 65909
+rect 291760 65749 294161 65867
+rect 294279 65749 294370 65867
+rect 291760 65738 294370 65749
+rect -2408 65737 -2108 65738
+rect 294070 65737 294370 65738
+rect -1468 64238 -1168 64239
+rect 293130 64238 293430 64239
+rect -1468 64227 240 64238
+rect -1468 64109 -1377 64227
+rect -1259 64109 240 64227
+rect -1468 64067 240 64109
+rect -1468 63949 -1377 64067
+rect -1259 63949 240 64067
+rect -1468 63938 240 63949
+rect 291760 64227 293430 64238
+rect 291760 64109 293221 64227
+rect 293339 64109 293430 64227
+rect 291760 64067 293430 64109
+rect 291760 63949 293221 64067
+rect 293339 63949 293430 64067
+rect 291760 63938 293430 63949
+rect -1468 63937 -1168 63938
+rect 293130 63937 293430 63938
+rect -3818 60638 -3518 60639
+rect 295480 60638 295780 60639
+rect -4288 60627 240 60638
+rect -4288 60509 -3727 60627
+rect -3609 60509 240 60627
+rect -4288 60467 240 60509
+rect -4288 60349 -3727 60467
+rect -3609 60349 240 60467
+rect -4288 60338 240 60349
+rect 291760 60627 296250 60638
+rect 291760 60509 295571 60627
+rect 295689 60509 296250 60627
+rect 291760 60467 296250 60509
+rect 291760 60349 295571 60467
+rect 295689 60349 296250 60467
+rect 291760 60338 296250 60349
+rect -3818 60337 -3518 60338
+rect 295480 60337 295780 60338
+rect -2878 58838 -2578 58839
+rect 294540 58838 294840 58839
+rect -3348 58827 240 58838
+rect -3348 58709 -2787 58827
+rect -2669 58709 240 58827
+rect -3348 58667 240 58709
+rect -3348 58549 -2787 58667
+rect -2669 58549 240 58667
+rect -3348 58538 240 58549
+rect 291760 58827 295310 58838
+rect 291760 58709 294631 58827
+rect 294749 58709 295310 58827
+rect 291760 58667 295310 58709
+rect 291760 58549 294631 58667
+rect 294749 58549 295310 58667
+rect 291760 58538 295310 58549
+rect -2878 58537 -2578 58538
+rect 294540 58537 294840 58538
+rect -1938 57038 -1638 57039
+rect 293600 57038 293900 57039
+rect -2408 57027 240 57038
+rect -2408 56909 -1847 57027
+rect -1729 56909 240 57027
+rect -2408 56867 240 56909
+rect -2408 56749 -1847 56867
+rect -1729 56749 240 56867
+rect -2408 56738 240 56749
+rect 291760 57027 294370 57038
+rect 291760 56909 293691 57027
+rect 293809 56909 294370 57027
+rect 291760 56867 294370 56909
+rect 291760 56749 293691 56867
+rect 293809 56749 294370 56867
+rect 291760 56738 294370 56749
+rect -1938 56737 -1638 56738
+rect 293600 56737 293900 56738
 rect -998 55238 -698 55239
 rect 292660 55238 292960 55239
-rect -1458 55227 240 55238
-rect -1458 55109 -907 55227
+rect -1468 55227 240 55238
+rect -1468 55109 -907 55227
 rect -789 55109 240 55227
-rect -1458 55067 240 55109
-rect -1458 54949 -907 55067
+rect -1468 55067 240 55109
+rect -1468 54949 -907 55067
 rect -789 54949 240 55067
-rect -1458 54938 240 54949
-rect 291760 55227 293420 55238
+rect -1468 54938 240 54949
+rect 291760 55227 293430 55238
 rect 291760 55109 292751 55227
-rect 292869 55109 293420 55227
-rect 291760 55067 293420 55109
+rect 292869 55109 293430 55227
+rect 291760 55067 293430 55109
 rect 291760 54949 292751 55067
-rect 292869 54949 293420 55067
-rect 291760 54938 293420 54949
+rect 292869 54949 293430 55067
+rect 291760 54938 293430 54949
 rect -998 54937 -698 54938
 rect 292660 54937 292960 54938
-rect -4218 51638 -3918 51639
-rect 295880 51638 296180 51639
-rect -4218 51627 240 51638
-rect -4218 51509 -4127 51627
-rect -4009 51509 240 51627
-rect -4218 51467 240 51509
-rect -4218 51349 -4127 51467
-rect -4009 51349 240 51467
-rect -4218 51338 240 51349
-rect 291760 51627 296180 51638
-rect 291760 51509 295971 51627
-rect 296089 51509 296180 51627
-rect 291760 51467 296180 51509
-rect 291760 51349 295971 51467
-rect 296089 51349 296180 51467
-rect 291760 51338 296180 51349
-rect -4218 51337 -3918 51338
-rect 295880 51337 296180 51338
-rect -3298 49838 -2998 49839
-rect 294960 49838 295260 49839
-rect -3298 49827 240 49838
-rect -3298 49709 -3207 49827
-rect -3089 49709 240 49827
-rect -3298 49667 240 49709
-rect -3298 49549 -3207 49667
-rect -3089 49549 240 49667
-rect -3298 49538 240 49549
-rect 291760 49827 295260 49838
-rect 291760 49709 295051 49827
-rect 295169 49709 295260 49827
-rect 291760 49667 295260 49709
-rect 291760 49549 295051 49667
-rect 295169 49549 295260 49667
-rect 291760 49538 295260 49549
-rect -3298 49537 -2998 49538
-rect 294960 49537 295260 49538
-rect -2378 48038 -2078 48039
-rect 294040 48038 294340 48039
-rect -2378 48027 240 48038
-rect -2378 47909 -2287 48027
-rect -2169 47909 240 48027
-rect -2378 47867 240 47909
-rect -2378 47749 -2287 47867
-rect -2169 47749 240 47867
-rect -2378 47738 240 47749
-rect 291760 48027 294340 48038
-rect 291760 47909 294131 48027
-rect 294249 47909 294340 48027
-rect 291760 47867 294340 47909
-rect 291760 47749 294131 47867
-rect 294249 47749 294340 47867
-rect 291760 47738 294340 47749
-rect -2378 47737 -2078 47738
-rect 294040 47737 294340 47738
-rect -1458 46238 -1158 46239
-rect 293120 46238 293420 46239
-rect -1458 46227 240 46238
-rect -1458 46109 -1367 46227
-rect -1249 46109 240 46227
-rect -1458 46067 240 46109
-rect -1458 45949 -1367 46067
-rect -1249 45949 240 46067
-rect -1458 45938 240 45949
-rect 291760 46227 293420 46238
-rect 291760 46109 293211 46227
-rect 293329 46109 293420 46227
-rect 291760 46067 293420 46109
-rect 291760 45949 293211 46067
-rect 293329 45949 293420 46067
-rect 291760 45938 293420 45949
-rect -1458 45937 -1158 45938
-rect 293120 45937 293420 45938
-rect -3758 42638 -3458 42639
-rect 295420 42638 295720 42639
-rect -4218 42627 240 42638
-rect -4218 42509 -3667 42627
-rect -3549 42509 240 42627
-rect -4218 42467 240 42509
-rect -4218 42349 -3667 42467
-rect -3549 42349 240 42467
-rect -4218 42338 240 42349
-rect 291760 42627 296180 42638
-rect 291760 42509 295511 42627
-rect 295629 42509 296180 42627
-rect 291760 42467 296180 42509
-rect 291760 42349 295511 42467
-rect 295629 42349 296180 42467
-rect 291760 42338 296180 42349
-rect -3758 42337 -3458 42338
-rect 295420 42337 295720 42338
-rect -2838 40838 -2538 40839
-rect 294500 40838 294800 40839
-rect -3298 40827 240 40838
-rect -3298 40709 -2747 40827
-rect -2629 40709 240 40827
-rect -3298 40667 240 40709
-rect -3298 40549 -2747 40667
-rect -2629 40549 240 40667
-rect -3298 40538 240 40549
-rect 291760 40827 295260 40838
-rect 291760 40709 294591 40827
-rect 294709 40709 295260 40827
-rect 291760 40667 295260 40709
-rect 291760 40549 294591 40667
-rect 294709 40549 295260 40667
-rect 291760 40538 295260 40549
-rect -2838 40537 -2538 40538
-rect 294500 40537 294800 40538
-rect -1918 39038 -1618 39039
-rect 293580 39038 293880 39039
-rect -2378 39027 240 39038
-rect -2378 38909 -1827 39027
-rect -1709 38909 240 39027
-rect -2378 38867 240 38909
-rect -2378 38749 -1827 38867
-rect -1709 38749 240 38867
-rect -2378 38738 240 38749
-rect 291760 39027 294340 39038
-rect 291760 38909 293671 39027
-rect 293789 38909 294340 39027
-rect 291760 38867 294340 38909
-rect 291760 38749 293671 38867
-rect 293789 38749 294340 38867
-rect 291760 38738 294340 38749
-rect -1918 38737 -1618 38738
-rect 293580 38737 293880 38738
+rect -4288 51638 -3988 51639
+rect 295950 51638 296250 51639
+rect -4288 51627 240 51638
+rect -4288 51509 -4197 51627
+rect -4079 51509 240 51627
+rect -4288 51467 240 51509
+rect -4288 51349 -4197 51467
+rect -4079 51349 240 51467
+rect -4288 51338 240 51349
+rect 291760 51627 296250 51638
+rect 291760 51509 296041 51627
+rect 296159 51509 296250 51627
+rect 291760 51467 296250 51509
+rect 291760 51349 296041 51467
+rect 296159 51349 296250 51467
+rect 291760 51338 296250 51349
+rect -4288 51337 -3988 51338
+rect 295950 51337 296250 51338
+rect -3348 49838 -3048 49839
+rect 295010 49838 295310 49839
+rect -3348 49827 240 49838
+rect -3348 49709 -3257 49827
+rect -3139 49709 240 49827
+rect -3348 49667 240 49709
+rect -3348 49549 -3257 49667
+rect -3139 49549 240 49667
+rect -3348 49538 240 49549
+rect 291760 49827 295310 49838
+rect 291760 49709 295101 49827
+rect 295219 49709 295310 49827
+rect 291760 49667 295310 49709
+rect 291760 49549 295101 49667
+rect 295219 49549 295310 49667
+rect 291760 49538 295310 49549
+rect -3348 49537 -3048 49538
+rect 295010 49537 295310 49538
+rect -2408 48038 -2108 48039
+rect 294070 48038 294370 48039
+rect -2408 48027 240 48038
+rect -2408 47909 -2317 48027
+rect -2199 47909 240 48027
+rect -2408 47867 240 47909
+rect -2408 47749 -2317 47867
+rect -2199 47749 240 47867
+rect -2408 47738 240 47749
+rect 291760 48027 294370 48038
+rect 291760 47909 294161 48027
+rect 294279 47909 294370 48027
+rect 291760 47867 294370 47909
+rect 291760 47749 294161 47867
+rect 294279 47749 294370 47867
+rect 291760 47738 294370 47749
+rect -2408 47737 -2108 47738
+rect 294070 47737 294370 47738
+rect -1468 46238 -1168 46239
+rect 293130 46238 293430 46239
+rect -1468 46227 240 46238
+rect -1468 46109 -1377 46227
+rect -1259 46109 240 46227
+rect -1468 46067 240 46109
+rect -1468 45949 -1377 46067
+rect -1259 45949 240 46067
+rect -1468 45938 240 45949
+rect 291760 46227 293430 46238
+rect 291760 46109 293221 46227
+rect 293339 46109 293430 46227
+rect 291760 46067 293430 46109
+rect 291760 45949 293221 46067
+rect 293339 45949 293430 46067
+rect 291760 45938 293430 45949
+rect -1468 45937 -1168 45938
+rect 293130 45937 293430 45938
+rect -3818 42638 -3518 42639
+rect 295480 42638 295780 42639
+rect -4288 42627 240 42638
+rect -4288 42509 -3727 42627
+rect -3609 42509 240 42627
+rect -4288 42467 240 42509
+rect -4288 42349 -3727 42467
+rect -3609 42349 240 42467
+rect -4288 42338 240 42349
+rect 291760 42627 296250 42638
+rect 291760 42509 295571 42627
+rect 295689 42509 296250 42627
+rect 291760 42467 296250 42509
+rect 291760 42349 295571 42467
+rect 295689 42349 296250 42467
+rect 291760 42338 296250 42349
+rect -3818 42337 -3518 42338
+rect 295480 42337 295780 42338
+rect -2878 40838 -2578 40839
+rect 294540 40838 294840 40839
+rect -3348 40827 240 40838
+rect -3348 40709 -2787 40827
+rect -2669 40709 240 40827
+rect -3348 40667 240 40709
+rect -3348 40549 -2787 40667
+rect -2669 40549 240 40667
+rect -3348 40538 240 40549
+rect 291760 40827 295310 40838
+rect 291760 40709 294631 40827
+rect 294749 40709 295310 40827
+rect 291760 40667 295310 40709
+rect 291760 40549 294631 40667
+rect 294749 40549 295310 40667
+rect 291760 40538 295310 40549
+rect -2878 40537 -2578 40538
+rect 294540 40537 294840 40538
+rect -1938 39038 -1638 39039
+rect 293600 39038 293900 39039
+rect -2408 39027 240 39038
+rect -2408 38909 -1847 39027
+rect -1729 38909 240 39027
+rect -2408 38867 240 38909
+rect -2408 38749 -1847 38867
+rect -1729 38749 240 38867
+rect -2408 38738 240 38749
+rect 291760 39027 294370 39038
+rect 291760 38909 293691 39027
+rect 293809 38909 294370 39027
+rect 291760 38867 294370 38909
+rect 291760 38749 293691 38867
+rect 293809 38749 294370 38867
+rect 291760 38738 294370 38749
+rect -1938 38737 -1638 38738
+rect 293600 38737 293900 38738
 rect -998 37238 -698 37239
 rect 292660 37238 292960 37239
-rect -1458 37227 240 37238
-rect -1458 37109 -907 37227
+rect -1468 37227 240 37238
+rect -1468 37109 -907 37227
 rect -789 37109 240 37227
-rect -1458 37067 240 37109
-rect -1458 36949 -907 37067
+rect -1468 37067 240 37109
+rect -1468 36949 -907 37067
 rect -789 36949 240 37067
-rect -1458 36938 240 36949
-rect 291760 37227 293420 37238
+rect -1468 36938 240 36949
+rect 291760 37227 293430 37238
 rect 291760 37109 292751 37227
-rect 292869 37109 293420 37227
-rect 291760 37067 293420 37109
+rect 292869 37109 293430 37227
+rect 291760 37067 293430 37109
 rect 291760 36949 292751 37067
-rect 292869 36949 293420 37067
-rect 291760 36938 293420 36949
+rect 292869 36949 293430 37067
+rect 291760 36938 293430 36949
 rect -998 36937 -698 36938
 rect 292660 36937 292960 36938
-rect -4218 33638 -3918 33639
-rect 295880 33638 296180 33639
-rect -4218 33627 240 33638
-rect -4218 33509 -4127 33627
-rect -4009 33509 240 33627
-rect -4218 33467 240 33509
-rect -4218 33349 -4127 33467
-rect -4009 33349 240 33467
-rect -4218 33338 240 33349
-rect 291760 33627 296180 33638
-rect 291760 33509 295971 33627
-rect 296089 33509 296180 33627
-rect 291760 33467 296180 33509
-rect 291760 33349 295971 33467
-rect 296089 33349 296180 33467
-rect 291760 33338 296180 33349
-rect -4218 33337 -3918 33338
-rect 295880 33337 296180 33338
-rect -3298 31838 -2998 31839
-rect 294960 31838 295260 31839
-rect -3298 31827 240 31838
-rect -3298 31709 -3207 31827
-rect -3089 31709 240 31827
-rect -3298 31667 240 31709
-rect -3298 31549 -3207 31667
-rect -3089 31549 240 31667
-rect -3298 31538 240 31549
-rect 291760 31827 295260 31838
-rect 291760 31709 295051 31827
-rect 295169 31709 295260 31827
-rect 291760 31667 295260 31709
-rect 291760 31549 295051 31667
-rect 295169 31549 295260 31667
-rect 291760 31538 295260 31549
-rect -3298 31537 -2998 31538
-rect 294960 31537 295260 31538
-rect -2378 30038 -2078 30039
-rect 294040 30038 294340 30039
-rect -2378 30027 240 30038
-rect -2378 29909 -2287 30027
-rect -2169 29909 240 30027
-rect -2378 29867 240 29909
-rect -2378 29749 -2287 29867
-rect -2169 29749 240 29867
-rect -2378 29738 240 29749
-rect 291760 30027 294340 30038
-rect 291760 29909 294131 30027
-rect 294249 29909 294340 30027
-rect 291760 29867 294340 29909
-rect 291760 29749 294131 29867
-rect 294249 29749 294340 29867
-rect 291760 29738 294340 29749
-rect -2378 29737 -2078 29738
-rect 294040 29737 294340 29738
-rect -1458 28238 -1158 28239
-rect 293120 28238 293420 28239
-rect -1458 28227 240 28238
-rect -1458 28109 -1367 28227
-rect -1249 28109 240 28227
-rect -1458 28067 240 28109
-rect -1458 27949 -1367 28067
-rect -1249 27949 240 28067
-rect -1458 27938 240 27949
-rect 291760 28227 293420 28238
-rect 291760 28109 293211 28227
-rect 293329 28109 293420 28227
-rect 291760 28067 293420 28109
-rect 291760 27949 293211 28067
-rect 293329 27949 293420 28067
-rect 291760 27938 293420 27949
-rect -1458 27937 -1158 27938
-rect 293120 27937 293420 27938
-rect -3758 24638 -3458 24639
-rect 295420 24638 295720 24639
-rect -4218 24627 240 24638
-rect -4218 24509 -3667 24627
-rect -3549 24509 240 24627
-rect -4218 24467 240 24509
-rect -4218 24349 -3667 24467
-rect -3549 24349 240 24467
-rect -4218 24338 240 24349
-rect 291760 24627 296180 24638
-rect 291760 24509 295511 24627
-rect 295629 24509 296180 24627
-rect 291760 24467 296180 24509
-rect 291760 24349 295511 24467
-rect 295629 24349 296180 24467
-rect 291760 24338 296180 24349
-rect -3758 24337 -3458 24338
-rect 295420 24337 295720 24338
-rect -2838 22838 -2538 22839
-rect 294500 22838 294800 22839
-rect -3298 22827 240 22838
-rect -3298 22709 -2747 22827
-rect -2629 22709 240 22827
-rect -3298 22667 240 22709
-rect -3298 22549 -2747 22667
-rect -2629 22549 240 22667
-rect -3298 22538 240 22549
-rect 291760 22827 295260 22838
-rect 291760 22709 294591 22827
-rect 294709 22709 295260 22827
-rect 291760 22667 295260 22709
-rect 291760 22549 294591 22667
-rect 294709 22549 295260 22667
-rect 291760 22538 295260 22549
-rect -2838 22537 -2538 22538
-rect 294500 22537 294800 22538
-rect -1918 21038 -1618 21039
-rect 293580 21038 293880 21039
-rect -2378 21027 240 21038
-rect -2378 20909 -1827 21027
-rect -1709 20909 240 21027
-rect -2378 20867 240 20909
-rect -2378 20749 -1827 20867
-rect -1709 20749 240 20867
-rect -2378 20738 240 20749
-rect 291760 21027 294340 21038
-rect 291760 20909 293671 21027
-rect 293789 20909 294340 21027
-rect 291760 20867 294340 20909
-rect 291760 20749 293671 20867
-rect 293789 20749 294340 20867
-rect 291760 20738 294340 20749
-rect -1918 20737 -1618 20738
-rect 293580 20737 293880 20738
+rect -4288 33638 -3988 33639
+rect 295950 33638 296250 33639
+rect -4288 33627 240 33638
+rect -4288 33509 -4197 33627
+rect -4079 33509 240 33627
+rect -4288 33467 240 33509
+rect -4288 33349 -4197 33467
+rect -4079 33349 240 33467
+rect -4288 33338 240 33349
+rect 291760 33627 296250 33638
+rect 291760 33509 296041 33627
+rect 296159 33509 296250 33627
+rect 291760 33467 296250 33509
+rect 291760 33349 296041 33467
+rect 296159 33349 296250 33467
+rect 291760 33338 296250 33349
+rect -4288 33337 -3988 33338
+rect 295950 33337 296250 33338
+rect -3348 31838 -3048 31839
+rect 295010 31838 295310 31839
+rect -3348 31827 240 31838
+rect -3348 31709 -3257 31827
+rect -3139 31709 240 31827
+rect -3348 31667 240 31709
+rect -3348 31549 -3257 31667
+rect -3139 31549 240 31667
+rect -3348 31538 240 31549
+rect 291760 31827 295310 31838
+rect 291760 31709 295101 31827
+rect 295219 31709 295310 31827
+rect 291760 31667 295310 31709
+rect 291760 31549 295101 31667
+rect 295219 31549 295310 31667
+rect 291760 31538 295310 31549
+rect -3348 31537 -3048 31538
+rect 295010 31537 295310 31538
+rect -2408 30038 -2108 30039
+rect 294070 30038 294370 30039
+rect -2408 30027 240 30038
+rect -2408 29909 -2317 30027
+rect -2199 29909 240 30027
+rect -2408 29867 240 29909
+rect -2408 29749 -2317 29867
+rect -2199 29749 240 29867
+rect -2408 29738 240 29749
+rect 291760 30027 294370 30038
+rect 291760 29909 294161 30027
+rect 294279 29909 294370 30027
+rect 291760 29867 294370 29909
+rect 291760 29749 294161 29867
+rect 294279 29749 294370 29867
+rect 291760 29738 294370 29749
+rect -2408 29737 -2108 29738
+rect 294070 29737 294370 29738
+rect -1468 28238 -1168 28239
+rect 293130 28238 293430 28239
+rect -1468 28227 240 28238
+rect -1468 28109 -1377 28227
+rect -1259 28109 240 28227
+rect -1468 28067 240 28109
+rect -1468 27949 -1377 28067
+rect -1259 27949 240 28067
+rect -1468 27938 240 27949
+rect 291760 28227 293430 28238
+rect 291760 28109 293221 28227
+rect 293339 28109 293430 28227
+rect 291760 28067 293430 28109
+rect 291760 27949 293221 28067
+rect 293339 27949 293430 28067
+rect 291760 27938 293430 27949
+rect -1468 27937 -1168 27938
+rect 293130 27937 293430 27938
+rect -3818 24638 -3518 24639
+rect 295480 24638 295780 24639
+rect -4288 24627 240 24638
+rect -4288 24509 -3727 24627
+rect -3609 24509 240 24627
+rect -4288 24467 240 24509
+rect -4288 24349 -3727 24467
+rect -3609 24349 240 24467
+rect -4288 24338 240 24349
+rect 291760 24627 296250 24638
+rect 291760 24509 295571 24627
+rect 295689 24509 296250 24627
+rect 291760 24467 296250 24509
+rect 291760 24349 295571 24467
+rect 295689 24349 296250 24467
+rect 291760 24338 296250 24349
+rect -3818 24337 -3518 24338
+rect 295480 24337 295780 24338
+rect -2878 22838 -2578 22839
+rect 294540 22838 294840 22839
+rect -3348 22827 240 22838
+rect -3348 22709 -2787 22827
+rect -2669 22709 240 22827
+rect -3348 22667 240 22709
+rect -3348 22549 -2787 22667
+rect -2669 22549 240 22667
+rect -3348 22538 240 22549
+rect 291760 22827 295310 22838
+rect 291760 22709 294631 22827
+rect 294749 22709 295310 22827
+rect 291760 22667 295310 22709
+rect 291760 22549 294631 22667
+rect 294749 22549 295310 22667
+rect 291760 22538 295310 22549
+rect -2878 22537 -2578 22538
+rect 294540 22537 294840 22538
+rect -1938 21038 -1638 21039
+rect 293600 21038 293900 21039
+rect -2408 21027 240 21038
+rect -2408 20909 -1847 21027
+rect -1729 20909 240 21027
+rect -2408 20867 240 20909
+rect -2408 20749 -1847 20867
+rect -1729 20749 240 20867
+rect -2408 20738 240 20749
+rect 291760 21027 294370 21038
+rect 291760 20909 293691 21027
+rect 293809 20909 294370 21027
+rect 291760 20867 294370 20909
+rect 291760 20749 293691 20867
+rect 293809 20749 294370 20867
+rect 291760 20738 294370 20749
+rect -1938 20737 -1638 20738
+rect 293600 20737 293900 20738
 rect -998 19238 -698 19239
 rect 292660 19238 292960 19239
-rect -1458 19227 240 19238
-rect -1458 19109 -907 19227
+rect -1468 19227 240 19238
+rect -1468 19109 -907 19227
 rect -789 19109 240 19227
-rect -1458 19067 240 19109
-rect -1458 18949 -907 19067
+rect -1468 19067 240 19109
+rect -1468 18949 -907 19067
 rect -789 18949 240 19067
-rect -1458 18938 240 18949
-rect 291760 19227 293420 19238
+rect -1468 18938 240 18949
+rect 291760 19227 293430 19238
 rect 291760 19109 292751 19227
-rect 292869 19109 293420 19227
-rect 291760 19067 293420 19109
+rect 292869 19109 293430 19227
+rect 291760 19067 293430 19109
 rect 291760 18949 292751 19067
-rect 292869 18949 293420 19067
-rect 291760 18938 293420 18949
+rect 292869 18949 293430 19067
+rect 291760 18938 293430 18949
 rect -998 18937 -698 18938
 rect 292660 18937 292960 18938
-rect -4218 15638 -3918 15639
-rect 295880 15638 296180 15639
-rect -4218 15627 240 15638
-rect -4218 15509 -4127 15627
-rect -4009 15509 240 15627
-rect -4218 15467 240 15509
-rect -4218 15349 -4127 15467
-rect -4009 15349 240 15467
-rect -4218 15338 240 15349
-rect 291760 15627 296180 15638
-rect 291760 15509 295971 15627
-rect 296089 15509 296180 15627
-rect 291760 15467 296180 15509
-rect 291760 15349 295971 15467
-rect 296089 15349 296180 15467
-rect 291760 15338 296180 15349
-rect -4218 15337 -3918 15338
-rect 295880 15337 296180 15338
-rect -3298 13838 -2998 13839
-rect 294960 13838 295260 13839
-rect -3298 13827 240 13838
-rect -3298 13709 -3207 13827
-rect -3089 13709 240 13827
-rect -3298 13667 240 13709
-rect -3298 13549 -3207 13667
-rect -3089 13549 240 13667
-rect -3298 13538 240 13549
-rect 291760 13827 295260 13838
-rect 291760 13709 295051 13827
-rect 295169 13709 295260 13827
-rect 291760 13667 295260 13709
-rect 291760 13549 295051 13667
-rect 295169 13549 295260 13667
-rect 291760 13538 295260 13549
-rect -3298 13537 -2998 13538
-rect 294960 13537 295260 13538
-rect -2378 12038 -2078 12039
-rect 294040 12038 294340 12039
-rect -2378 12027 240 12038
-rect -2378 11909 -2287 12027
-rect -2169 11909 240 12027
-rect -2378 11867 240 11909
-rect -2378 11749 -2287 11867
-rect -2169 11749 240 11867
-rect -2378 11738 240 11749
-rect 291760 12027 294340 12038
-rect 291760 11909 294131 12027
-rect 294249 11909 294340 12027
-rect 291760 11867 294340 11909
-rect 291760 11749 294131 11867
-rect 294249 11749 294340 11867
-rect 291760 11738 294340 11749
-rect -2378 11737 -2078 11738
-rect 294040 11737 294340 11738
-rect -1458 10238 -1158 10239
-rect 293120 10238 293420 10239
-rect -1458 10227 240 10238
-rect -1458 10109 -1367 10227
-rect -1249 10109 240 10227
-rect -1458 10067 240 10109
-rect -1458 9949 -1367 10067
-rect -1249 9949 240 10067
-rect -1458 9938 240 9949
-rect 291760 10227 293420 10238
-rect 291760 10109 293211 10227
-rect 293329 10109 293420 10227
-rect 291760 10067 293420 10109
-rect 291760 9949 293211 10067
-rect 293329 9949 293420 10067
-rect 291760 9938 293420 9949
-rect -1458 9937 -1158 9938
-rect 293120 9937 293420 9938
-rect -3758 6638 -3458 6639
-rect 295420 6638 295720 6639
-rect -4218 6627 240 6638
-rect -4218 6509 -3667 6627
-rect -3549 6509 240 6627
-rect -4218 6467 240 6509
-rect -4218 6349 -3667 6467
-rect -3549 6349 240 6467
-rect -4218 6338 240 6349
-rect 291760 6627 296180 6638
-rect 291760 6509 295511 6627
-rect 295629 6509 296180 6627
-rect 291760 6467 296180 6509
-rect 291760 6349 295511 6467
-rect 295629 6349 296180 6467
-rect 291760 6338 296180 6349
-rect -3758 6337 -3458 6338
-rect 295420 6337 295720 6338
-rect -2838 4838 -2538 4839
-rect 294500 4838 294800 4839
-rect -3298 4827 240 4838
-rect -3298 4709 -2747 4827
-rect -2629 4709 240 4827
-rect -3298 4667 240 4709
-rect -3298 4549 -2747 4667
-rect -2629 4549 240 4667
-rect -3298 4538 240 4549
-rect 291760 4827 295260 4838
-rect 291760 4709 294591 4827
-rect 294709 4709 295260 4827
-rect 291760 4667 295260 4709
-rect 291760 4549 294591 4667
-rect 294709 4549 295260 4667
-rect 291760 4538 295260 4549
-rect -2838 4537 -2538 4538
-rect 294500 4537 294800 4538
-rect -1918 3038 -1618 3039
-rect 293580 3038 293880 3039
-rect -2378 3027 240 3038
-rect -2378 2909 -1827 3027
-rect -1709 2909 240 3027
-rect -2378 2867 240 2909
-rect -2378 2749 -1827 2867
-rect -1709 2749 240 2867
-rect -2378 2738 240 2749
-rect 291760 3027 294340 3038
-rect 291760 2909 293671 3027
-rect 293789 2909 294340 3027
-rect 291760 2867 294340 2909
-rect 291760 2749 293671 2867
-rect 293789 2749 294340 2867
-rect 291760 2738 294340 2749
-rect -1918 2737 -1618 2738
-rect 293580 2737 293880 2738
+rect -4288 15638 -3988 15639
+rect 295950 15638 296250 15639
+rect -4288 15627 240 15638
+rect -4288 15509 -4197 15627
+rect -4079 15509 240 15627
+rect -4288 15467 240 15509
+rect -4288 15349 -4197 15467
+rect -4079 15349 240 15467
+rect -4288 15338 240 15349
+rect 291760 15627 296250 15638
+rect 291760 15509 296041 15627
+rect 296159 15509 296250 15627
+rect 291760 15467 296250 15509
+rect 291760 15349 296041 15467
+rect 296159 15349 296250 15467
+rect 291760 15338 296250 15349
+rect -4288 15337 -3988 15338
+rect 295950 15337 296250 15338
+rect -3348 13838 -3048 13839
+rect 295010 13838 295310 13839
+rect -3348 13827 240 13838
+rect -3348 13709 -3257 13827
+rect -3139 13709 240 13827
+rect -3348 13667 240 13709
+rect -3348 13549 -3257 13667
+rect -3139 13549 240 13667
+rect -3348 13538 240 13549
+rect 291760 13827 295310 13838
+rect 291760 13709 295101 13827
+rect 295219 13709 295310 13827
+rect 291760 13667 295310 13709
+rect 291760 13549 295101 13667
+rect 295219 13549 295310 13667
+rect 291760 13538 295310 13549
+rect -3348 13537 -3048 13538
+rect 295010 13537 295310 13538
+rect -2408 12038 -2108 12039
+rect 294070 12038 294370 12039
+rect -2408 12027 240 12038
+rect -2408 11909 -2317 12027
+rect -2199 11909 240 12027
+rect -2408 11867 240 11909
+rect -2408 11749 -2317 11867
+rect -2199 11749 240 11867
+rect -2408 11738 240 11749
+rect 291760 12027 294370 12038
+rect 291760 11909 294161 12027
+rect 294279 11909 294370 12027
+rect 291760 11867 294370 11909
+rect 291760 11749 294161 11867
+rect 294279 11749 294370 11867
+rect 291760 11738 294370 11749
+rect -2408 11737 -2108 11738
+rect 294070 11737 294370 11738
+rect -1468 10238 -1168 10239
+rect 293130 10238 293430 10239
+rect -1468 10227 240 10238
+rect -1468 10109 -1377 10227
+rect -1259 10109 240 10227
+rect -1468 10067 240 10109
+rect -1468 9949 -1377 10067
+rect -1259 9949 240 10067
+rect -1468 9938 240 9949
+rect 291760 10227 293430 10238
+rect 291760 10109 293221 10227
+rect 293339 10109 293430 10227
+rect 291760 10067 293430 10109
+rect 291760 9949 293221 10067
+rect 293339 9949 293430 10067
+rect 291760 9938 293430 9949
+rect -1468 9937 -1168 9938
+rect 293130 9937 293430 9938
+rect -3818 6638 -3518 6639
+rect 295480 6638 295780 6639
+rect -4288 6627 240 6638
+rect -4288 6509 -3727 6627
+rect -3609 6509 240 6627
+rect -4288 6467 240 6509
+rect -4288 6349 -3727 6467
+rect -3609 6349 240 6467
+rect -4288 6338 240 6349
+rect 291760 6627 296250 6638
+rect 291760 6509 295571 6627
+rect 295689 6509 296250 6627
+rect 291760 6467 296250 6509
+rect 291760 6349 295571 6467
+rect 295689 6349 296250 6467
+rect 291760 6338 296250 6349
+rect -3818 6337 -3518 6338
+rect 295480 6337 295780 6338
+rect -2878 4838 -2578 4839
+rect 294540 4838 294840 4839
+rect -3348 4827 240 4838
+rect -3348 4709 -2787 4827
+rect -2669 4709 240 4827
+rect -3348 4667 240 4709
+rect -3348 4549 -2787 4667
+rect -2669 4549 240 4667
+rect -3348 4538 240 4549
+rect 291760 4827 295310 4838
+rect 291760 4709 294631 4827
+rect 294749 4709 295310 4827
+rect 291760 4667 295310 4709
+rect 291760 4549 294631 4667
+rect 294749 4549 295310 4667
+rect 291760 4538 295310 4549
+rect -2878 4537 -2578 4538
+rect 294540 4537 294840 4538
+rect -1938 3038 -1638 3039
+rect 293600 3038 293900 3039
+rect -2408 3027 240 3038
+rect -2408 2909 -1847 3027
+rect -1729 2909 240 3027
+rect -2408 2867 240 2909
+rect -2408 2749 -1847 2867
+rect -1729 2749 240 2867
+rect -2408 2738 240 2749
+rect 291760 3027 294370 3038
+rect 291760 2909 293691 3027
+rect 293809 2909 294370 3027
+rect 291760 2867 294370 2909
+rect 291760 2749 293691 2867
+rect 293809 2749 294370 2867
+rect 291760 2738 294370 2749
+rect -1938 2737 -1638 2738
+rect 293600 2737 293900 2738
 rect -998 1238 -698 1239
 rect 292660 1238 292960 1239
-rect -1458 1227 240 1238
-rect -1458 1109 -907 1227
+rect -1468 1227 240 1238
+rect -1468 1109 -907 1227
 rect -789 1109 240 1227
-rect -1458 1067 240 1109
-rect -1458 949 -907 1067
+rect -1468 1067 240 1109
+rect -1468 949 -907 1067
 rect -789 949 240 1067
-rect -1458 938 240 949
-rect 291760 1227 293420 1238
+rect -1468 938 240 949
+rect 291760 1227 293430 1238
 rect 291760 1109 292751 1227
-rect 292869 1109 293420 1227
-rect 291760 1067 293420 1109
+rect 292869 1109 293430 1227
+rect 291760 1067 293430 1109
 rect 291760 949 292751 1067
-rect 292869 949 293420 1067
-rect 291760 938 293420 949
+rect 292869 949 293430 1067
+rect 291760 938 293430 949
 rect -998 937 -698 938
 rect 292660 937 292960 938
 rect -998 -162 -698 -161
@@ -9265,549 +9265,549 @@
 rect 270402 -463 270702 -462
 rect 288402 -463 288702 -462
 rect 292660 -463 292960 -462
-rect -1458 -622 -1158 -621
-rect 9402 -622 9702 -621
-rect 27402 -622 27702 -621
-rect 45402 -622 45702 -621
-rect 63402 -622 63702 -621
-rect 81402 -622 81702 -621
-rect 99402 -622 99702 -621
-rect 117402 -622 117702 -621
-rect 135402 -622 135702 -621
-rect 153402 -622 153702 -621
-rect 171402 -622 171702 -621
-rect 189402 -622 189702 -621
-rect 207402 -622 207702 -621
-rect 225402 -622 225702 -621
-rect 243402 -622 243702 -621
-rect 261402 -622 261702 -621
-rect 279402 -622 279702 -621
-rect 293120 -622 293420 -621
-rect -1458 -633 293420 -622
-rect -1458 -751 -1367 -633
-rect -1249 -751 9493 -633
-rect 9611 -751 27493 -633
-rect 27611 -751 45493 -633
-rect 45611 -751 63493 -633
-rect 63611 -751 81493 -633
-rect 81611 -751 99493 -633
-rect 99611 -751 117493 -633
-rect 117611 -751 135493 -633
-rect 135611 -751 153493 -633
-rect 153611 -751 171493 -633
-rect 171611 -751 189493 -633
-rect 189611 -751 207493 -633
-rect 207611 -751 225493 -633
-rect 225611 -751 243493 -633
-rect 243611 -751 261493 -633
-rect 261611 -751 279493 -633
-rect 279611 -751 293211 -633
-rect 293329 -751 293420 -633
-rect -1458 -793 293420 -751
-rect -1458 -911 -1367 -793
-rect -1249 -911 9493 -793
-rect 9611 -911 27493 -793
-rect 27611 -911 45493 -793
-rect 45611 -911 63493 -793
-rect 63611 -911 81493 -793
-rect 81611 -911 99493 -793
-rect 99611 -911 117493 -793
-rect 117611 -911 135493 -793
-rect 135611 -911 153493 -793
-rect 153611 -911 171493 -793
-rect 171611 -911 189493 -793
-rect 189611 -911 207493 -793
-rect 207611 -911 225493 -793
-rect 225611 -911 243493 -793
-rect 243611 -911 261493 -793
-rect 261611 -911 279493 -793
-rect 279611 -911 293211 -793
-rect 293329 -911 293420 -793
-rect -1458 -922 293420 -911
-rect -1458 -923 -1158 -922
-rect 9402 -923 9702 -922
-rect 27402 -923 27702 -922
-rect 45402 -923 45702 -922
-rect 63402 -923 63702 -922
-rect 81402 -923 81702 -922
-rect 99402 -923 99702 -922
-rect 117402 -923 117702 -922
-rect 135402 -923 135702 -922
-rect 153402 -923 153702 -922
-rect 171402 -923 171702 -922
-rect 189402 -923 189702 -922
-rect 207402 -923 207702 -922
-rect 225402 -923 225702 -922
-rect 243402 -923 243702 -922
-rect 261402 -923 261702 -922
-rect 279402 -923 279702 -922
-rect 293120 -923 293420 -922
-rect -1918 -1082 -1618 -1081
-rect 2202 -1082 2502 -1081
-rect 20202 -1082 20502 -1081
-rect 38202 -1082 38502 -1081
-rect 56202 -1082 56502 -1081
-rect 74202 -1082 74502 -1081
-rect 92202 -1082 92502 -1081
-rect 110202 -1082 110502 -1081
-rect 128202 -1082 128502 -1081
-rect 146202 -1082 146502 -1081
-rect 164202 -1082 164502 -1081
-rect 182202 -1082 182502 -1081
-rect 200202 -1082 200502 -1081
-rect 218202 -1082 218502 -1081
-rect 236202 -1082 236502 -1081
-rect 254202 -1082 254502 -1081
-rect 272202 -1082 272502 -1081
-rect 290202 -1082 290502 -1081
-rect 293580 -1082 293880 -1081
-rect -1918 -1093 293880 -1082
-rect -1918 -1211 -1827 -1093
-rect -1709 -1211 2293 -1093
-rect 2411 -1211 20293 -1093
-rect 20411 -1211 38293 -1093
-rect 38411 -1211 56293 -1093
-rect 56411 -1211 74293 -1093
-rect 74411 -1211 92293 -1093
-rect 92411 -1211 110293 -1093
-rect 110411 -1211 128293 -1093
-rect 128411 -1211 146293 -1093
-rect 146411 -1211 164293 -1093
-rect 164411 -1211 182293 -1093
-rect 182411 -1211 200293 -1093
-rect 200411 -1211 218293 -1093
-rect 218411 -1211 236293 -1093
-rect 236411 -1211 254293 -1093
-rect 254411 -1211 272293 -1093
-rect 272411 -1211 290293 -1093
-rect 290411 -1211 293671 -1093
-rect 293789 -1211 293880 -1093
-rect -1918 -1253 293880 -1211
-rect -1918 -1371 -1827 -1253
-rect -1709 -1371 2293 -1253
-rect 2411 -1371 20293 -1253
-rect 20411 -1371 38293 -1253
-rect 38411 -1371 56293 -1253
-rect 56411 -1371 74293 -1253
-rect 74411 -1371 92293 -1253
-rect 92411 -1371 110293 -1253
-rect 110411 -1371 128293 -1253
-rect 128411 -1371 146293 -1253
-rect 146411 -1371 164293 -1253
-rect 164411 -1371 182293 -1253
-rect 182411 -1371 200293 -1253
-rect 200411 -1371 218293 -1253
-rect 218411 -1371 236293 -1253
-rect 236411 -1371 254293 -1253
-rect 254411 -1371 272293 -1253
-rect 272411 -1371 290293 -1253
-rect 290411 -1371 293671 -1253
-rect 293789 -1371 293880 -1253
-rect -1918 -1382 293880 -1371
-rect -1918 -1383 -1618 -1382
-rect 2202 -1383 2502 -1382
-rect 20202 -1383 20502 -1382
-rect 38202 -1383 38502 -1382
-rect 56202 -1383 56502 -1382
-rect 74202 -1383 74502 -1382
-rect 92202 -1383 92502 -1382
-rect 110202 -1383 110502 -1382
-rect 128202 -1383 128502 -1382
-rect 146202 -1383 146502 -1382
-rect 164202 -1383 164502 -1382
-rect 182202 -1383 182502 -1382
-rect 200202 -1383 200502 -1382
-rect 218202 -1383 218502 -1382
-rect 236202 -1383 236502 -1382
-rect 254202 -1383 254502 -1382
-rect 272202 -1383 272502 -1382
-rect 290202 -1383 290502 -1382
-rect 293580 -1383 293880 -1382
-rect -2378 -1542 -2078 -1541
-rect 11202 -1542 11502 -1541
-rect 29202 -1542 29502 -1541
-rect 47202 -1542 47502 -1541
-rect 65202 -1542 65502 -1541
-rect 83202 -1542 83502 -1541
-rect 101202 -1542 101502 -1541
-rect 119202 -1542 119502 -1541
-rect 137202 -1542 137502 -1541
-rect 155202 -1542 155502 -1541
-rect 173202 -1542 173502 -1541
-rect 191202 -1542 191502 -1541
-rect 209202 -1542 209502 -1541
-rect 227202 -1542 227502 -1541
-rect 245202 -1542 245502 -1541
-rect 263202 -1542 263502 -1541
-rect 281202 -1542 281502 -1541
-rect 294040 -1542 294340 -1541
-rect -2378 -1553 294340 -1542
-rect -2378 -1671 -2287 -1553
-rect -2169 -1671 11293 -1553
-rect 11411 -1671 29293 -1553
-rect 29411 -1671 47293 -1553
-rect 47411 -1671 65293 -1553
-rect 65411 -1671 83293 -1553
-rect 83411 -1671 101293 -1553
-rect 101411 -1671 119293 -1553
-rect 119411 -1671 137293 -1553
-rect 137411 -1671 155293 -1553
-rect 155411 -1671 173293 -1553
-rect 173411 -1671 191293 -1553
-rect 191411 -1671 209293 -1553
-rect 209411 -1671 227293 -1553
-rect 227411 -1671 245293 -1553
-rect 245411 -1671 263293 -1553
-rect 263411 -1671 281293 -1553
-rect 281411 -1671 294131 -1553
-rect 294249 -1671 294340 -1553
-rect -2378 -1713 294340 -1671
-rect -2378 -1831 -2287 -1713
-rect -2169 -1831 11293 -1713
-rect 11411 -1831 29293 -1713
-rect 29411 -1831 47293 -1713
-rect 47411 -1831 65293 -1713
-rect 65411 -1831 83293 -1713
-rect 83411 -1831 101293 -1713
-rect 101411 -1831 119293 -1713
-rect 119411 -1831 137293 -1713
-rect 137411 -1831 155293 -1713
-rect 155411 -1831 173293 -1713
-rect 173411 -1831 191293 -1713
-rect 191411 -1831 209293 -1713
-rect 209411 -1831 227293 -1713
-rect 227411 -1831 245293 -1713
-rect 245411 -1831 263293 -1713
-rect 263411 -1831 281293 -1713
-rect 281411 -1831 294131 -1713
-rect 294249 -1831 294340 -1713
-rect -2378 -1842 294340 -1831
-rect -2378 -1843 -2078 -1842
-rect 11202 -1843 11502 -1842
-rect 29202 -1843 29502 -1842
-rect 47202 -1843 47502 -1842
-rect 65202 -1843 65502 -1842
-rect 83202 -1843 83502 -1842
-rect 101202 -1843 101502 -1842
-rect 119202 -1843 119502 -1842
-rect 137202 -1843 137502 -1842
-rect 155202 -1843 155502 -1842
-rect 173202 -1843 173502 -1842
-rect 191202 -1843 191502 -1842
-rect 209202 -1843 209502 -1842
-rect 227202 -1843 227502 -1842
-rect 245202 -1843 245502 -1842
-rect 263202 -1843 263502 -1842
-rect 281202 -1843 281502 -1842
-rect 294040 -1843 294340 -1842
-rect -2838 -2002 -2538 -2001
-rect 4002 -2002 4302 -2001
-rect 22002 -2002 22302 -2001
-rect 40002 -2002 40302 -2001
-rect 58002 -2002 58302 -2001
-rect 76002 -2002 76302 -2001
-rect 94002 -2002 94302 -2001
-rect 112002 -2002 112302 -2001
-rect 130002 -2002 130302 -2001
-rect 148002 -2002 148302 -2001
-rect 166002 -2002 166302 -2001
-rect 184002 -2002 184302 -2001
-rect 202002 -2002 202302 -2001
-rect 220002 -2002 220302 -2001
-rect 238002 -2002 238302 -2001
-rect 256002 -2002 256302 -2001
-rect 274002 -2002 274302 -2001
-rect 294500 -2002 294800 -2001
-rect -2838 -2013 294800 -2002
-rect -2838 -2131 -2747 -2013
-rect -2629 -2131 4093 -2013
-rect 4211 -2131 22093 -2013
-rect 22211 -2131 40093 -2013
-rect 40211 -2131 58093 -2013
-rect 58211 -2131 76093 -2013
-rect 76211 -2131 94093 -2013
-rect 94211 -2131 112093 -2013
-rect 112211 -2131 130093 -2013
-rect 130211 -2131 148093 -2013
-rect 148211 -2131 166093 -2013
-rect 166211 -2131 184093 -2013
-rect 184211 -2131 202093 -2013
-rect 202211 -2131 220093 -2013
-rect 220211 -2131 238093 -2013
-rect 238211 -2131 256093 -2013
-rect 256211 -2131 274093 -2013
-rect 274211 -2131 294591 -2013
-rect 294709 -2131 294800 -2013
-rect -2838 -2173 294800 -2131
-rect -2838 -2291 -2747 -2173
-rect -2629 -2291 4093 -2173
-rect 4211 -2291 22093 -2173
-rect 22211 -2291 40093 -2173
-rect 40211 -2291 58093 -2173
-rect 58211 -2291 76093 -2173
-rect 76211 -2291 94093 -2173
-rect 94211 -2291 112093 -2173
-rect 112211 -2291 130093 -2173
-rect 130211 -2291 148093 -2173
-rect 148211 -2291 166093 -2173
-rect 166211 -2291 184093 -2173
-rect 184211 -2291 202093 -2173
-rect 202211 -2291 220093 -2173
-rect 220211 -2291 238093 -2173
-rect 238211 -2291 256093 -2173
-rect 256211 -2291 274093 -2173
-rect 274211 -2291 294591 -2173
-rect 294709 -2291 294800 -2173
-rect -2838 -2302 294800 -2291
-rect -2838 -2303 -2538 -2302
-rect 4002 -2303 4302 -2302
-rect 22002 -2303 22302 -2302
-rect 40002 -2303 40302 -2302
-rect 58002 -2303 58302 -2302
-rect 76002 -2303 76302 -2302
-rect 94002 -2303 94302 -2302
-rect 112002 -2303 112302 -2302
-rect 130002 -2303 130302 -2302
-rect 148002 -2303 148302 -2302
-rect 166002 -2303 166302 -2302
-rect 184002 -2303 184302 -2302
-rect 202002 -2303 202302 -2302
-rect 220002 -2303 220302 -2302
-rect 238002 -2303 238302 -2302
-rect 256002 -2303 256302 -2302
-rect 274002 -2303 274302 -2302
-rect 294500 -2303 294800 -2302
-rect -3298 -2462 -2998 -2461
-rect 13002 -2462 13302 -2461
-rect 31002 -2462 31302 -2461
-rect 49002 -2462 49302 -2461
-rect 67002 -2462 67302 -2461
-rect 85002 -2462 85302 -2461
-rect 103002 -2462 103302 -2461
-rect 121002 -2462 121302 -2461
-rect 139002 -2462 139302 -2461
-rect 157002 -2462 157302 -2461
-rect 175002 -2462 175302 -2461
-rect 193002 -2462 193302 -2461
-rect 211002 -2462 211302 -2461
-rect 229002 -2462 229302 -2461
-rect 247002 -2462 247302 -2461
-rect 265002 -2462 265302 -2461
-rect 283002 -2462 283302 -2461
-rect 294960 -2462 295260 -2461
-rect -3298 -2473 295260 -2462
-rect -3298 -2591 -3207 -2473
-rect -3089 -2591 13093 -2473
-rect 13211 -2591 31093 -2473
-rect 31211 -2591 49093 -2473
-rect 49211 -2591 67093 -2473
-rect 67211 -2591 85093 -2473
-rect 85211 -2591 103093 -2473
-rect 103211 -2591 121093 -2473
-rect 121211 -2591 139093 -2473
-rect 139211 -2591 157093 -2473
-rect 157211 -2591 175093 -2473
-rect 175211 -2591 193093 -2473
-rect 193211 -2591 211093 -2473
-rect 211211 -2591 229093 -2473
-rect 229211 -2591 247093 -2473
-rect 247211 -2591 265093 -2473
-rect 265211 -2591 283093 -2473
-rect 283211 -2591 295051 -2473
-rect 295169 -2591 295260 -2473
-rect -3298 -2633 295260 -2591
-rect -3298 -2751 -3207 -2633
-rect -3089 -2751 13093 -2633
-rect 13211 -2751 31093 -2633
-rect 31211 -2751 49093 -2633
-rect 49211 -2751 67093 -2633
-rect 67211 -2751 85093 -2633
-rect 85211 -2751 103093 -2633
-rect 103211 -2751 121093 -2633
-rect 121211 -2751 139093 -2633
-rect 139211 -2751 157093 -2633
-rect 157211 -2751 175093 -2633
-rect 175211 -2751 193093 -2633
-rect 193211 -2751 211093 -2633
-rect 211211 -2751 229093 -2633
-rect 229211 -2751 247093 -2633
-rect 247211 -2751 265093 -2633
-rect 265211 -2751 283093 -2633
-rect 283211 -2751 295051 -2633
-rect 295169 -2751 295260 -2633
-rect -3298 -2762 295260 -2751
-rect -3298 -2763 -2998 -2762
-rect 13002 -2763 13302 -2762
-rect 31002 -2763 31302 -2762
-rect 49002 -2763 49302 -2762
-rect 67002 -2763 67302 -2762
-rect 85002 -2763 85302 -2762
-rect 103002 -2763 103302 -2762
-rect 121002 -2763 121302 -2762
-rect 139002 -2763 139302 -2762
-rect 157002 -2763 157302 -2762
-rect 175002 -2763 175302 -2762
-rect 193002 -2763 193302 -2762
-rect 211002 -2763 211302 -2762
-rect 229002 -2763 229302 -2762
-rect 247002 -2763 247302 -2762
-rect 265002 -2763 265302 -2762
-rect 283002 -2763 283302 -2762
-rect 294960 -2763 295260 -2762
-rect -3758 -2922 -3458 -2921
-rect 5802 -2922 6102 -2921
-rect 23802 -2922 24102 -2921
-rect 41802 -2922 42102 -2921
-rect 59802 -2922 60102 -2921
-rect 77802 -2922 78102 -2921
-rect 95802 -2922 96102 -2921
-rect 113802 -2922 114102 -2921
-rect 131802 -2922 132102 -2921
-rect 149802 -2922 150102 -2921
-rect 167802 -2922 168102 -2921
-rect 185802 -2922 186102 -2921
-rect 203802 -2922 204102 -2921
-rect 221802 -2922 222102 -2921
-rect 239802 -2922 240102 -2921
-rect 257802 -2922 258102 -2921
-rect 275802 -2922 276102 -2921
-rect 295420 -2922 295720 -2921
-rect -3758 -2933 295720 -2922
-rect -3758 -3051 -3667 -2933
-rect -3549 -3051 5893 -2933
-rect 6011 -3051 23893 -2933
-rect 24011 -3051 41893 -2933
-rect 42011 -3051 59893 -2933
-rect 60011 -3051 77893 -2933
-rect 78011 -3051 95893 -2933
-rect 96011 -3051 113893 -2933
-rect 114011 -3051 131893 -2933
-rect 132011 -3051 149893 -2933
-rect 150011 -3051 167893 -2933
-rect 168011 -3051 185893 -2933
-rect 186011 -3051 203893 -2933
-rect 204011 -3051 221893 -2933
-rect 222011 -3051 239893 -2933
-rect 240011 -3051 257893 -2933
-rect 258011 -3051 275893 -2933
-rect 276011 -3051 295511 -2933
-rect 295629 -3051 295720 -2933
-rect -3758 -3093 295720 -3051
-rect -3758 -3211 -3667 -3093
-rect -3549 -3211 5893 -3093
-rect 6011 -3211 23893 -3093
-rect 24011 -3211 41893 -3093
-rect 42011 -3211 59893 -3093
-rect 60011 -3211 77893 -3093
-rect 78011 -3211 95893 -3093
-rect 96011 -3211 113893 -3093
-rect 114011 -3211 131893 -3093
-rect 132011 -3211 149893 -3093
-rect 150011 -3211 167893 -3093
-rect 168011 -3211 185893 -3093
-rect 186011 -3211 203893 -3093
-rect 204011 -3211 221893 -3093
-rect 222011 -3211 239893 -3093
-rect 240011 -3211 257893 -3093
-rect 258011 -3211 275893 -3093
-rect 276011 -3211 295511 -3093
-rect 295629 -3211 295720 -3093
-rect -3758 -3222 295720 -3211
-rect -3758 -3223 -3458 -3222
-rect 5802 -3223 6102 -3222
-rect 23802 -3223 24102 -3222
-rect 41802 -3223 42102 -3222
-rect 59802 -3223 60102 -3222
-rect 77802 -3223 78102 -3222
-rect 95802 -3223 96102 -3222
-rect 113802 -3223 114102 -3222
-rect 131802 -3223 132102 -3222
-rect 149802 -3223 150102 -3222
-rect 167802 -3223 168102 -3222
-rect 185802 -3223 186102 -3222
-rect 203802 -3223 204102 -3222
-rect 221802 -3223 222102 -3222
-rect 239802 -3223 240102 -3222
-rect 257802 -3223 258102 -3222
-rect 275802 -3223 276102 -3222
-rect 295420 -3223 295720 -3222
-rect -4218 -3382 -3918 -3381
-rect 14802 -3382 15102 -3381
-rect 32802 -3382 33102 -3381
-rect 50802 -3382 51102 -3381
-rect 68802 -3382 69102 -3381
-rect 86802 -3382 87102 -3381
-rect 104802 -3382 105102 -3381
-rect 122802 -3382 123102 -3381
-rect 140802 -3382 141102 -3381
-rect 158802 -3382 159102 -3381
-rect 176802 -3382 177102 -3381
-rect 194802 -3382 195102 -3381
-rect 212802 -3382 213102 -3381
-rect 230802 -3382 231102 -3381
-rect 248802 -3382 249102 -3381
-rect 266802 -3382 267102 -3381
-rect 284802 -3382 285102 -3381
-rect 295880 -3382 296180 -3381
-rect -4218 -3393 296180 -3382
-rect -4218 -3511 -4127 -3393
-rect -4009 -3511 14893 -3393
-rect 15011 -3511 32893 -3393
-rect 33011 -3511 50893 -3393
-rect 51011 -3511 68893 -3393
-rect 69011 -3511 86893 -3393
-rect 87011 -3511 104893 -3393
-rect 105011 -3511 122893 -3393
-rect 123011 -3511 140893 -3393
-rect 141011 -3511 158893 -3393
-rect 159011 -3511 176893 -3393
-rect 177011 -3511 194893 -3393
-rect 195011 -3511 212893 -3393
-rect 213011 -3511 230893 -3393
-rect 231011 -3511 248893 -3393
-rect 249011 -3511 266893 -3393
-rect 267011 -3511 284893 -3393
-rect 285011 -3511 295971 -3393
-rect 296089 -3511 296180 -3393
-rect -4218 -3553 296180 -3511
-rect -4218 -3671 -4127 -3553
-rect -4009 -3671 14893 -3553
-rect 15011 -3671 32893 -3553
-rect 33011 -3671 50893 -3553
-rect 51011 -3671 68893 -3553
-rect 69011 -3671 86893 -3553
-rect 87011 -3671 104893 -3553
-rect 105011 -3671 122893 -3553
-rect 123011 -3671 140893 -3553
-rect 141011 -3671 158893 -3553
-rect 159011 -3671 176893 -3553
-rect 177011 -3671 194893 -3553
-rect 195011 -3671 212893 -3553
-rect 213011 -3671 230893 -3553
-rect 231011 -3671 248893 -3553
-rect 249011 -3671 266893 -3553
-rect 267011 -3671 284893 -3553
-rect 285011 -3671 295971 -3553
-rect 296089 -3671 296180 -3553
-rect -4218 -3682 296180 -3671
-rect -4218 -3683 -3918 -3682
-rect 14802 -3683 15102 -3682
-rect 32802 -3683 33102 -3682
-rect 50802 -3683 51102 -3682
-rect 68802 -3683 69102 -3682
-rect 86802 -3683 87102 -3682
-rect 104802 -3683 105102 -3682
-rect 122802 -3683 123102 -3682
-rect 140802 -3683 141102 -3682
-rect 158802 -3683 159102 -3682
-rect 176802 -3683 177102 -3682
-rect 194802 -3683 195102 -3682
-rect 212802 -3683 213102 -3682
-rect 230802 -3683 231102 -3682
-rect 248802 -3683 249102 -3682
-rect 266802 -3683 267102 -3682
-rect 284802 -3683 285102 -3682
-rect 295880 -3683 296180 -3682
+rect -1468 -632 -1168 -631
+rect 9402 -632 9702 -631
+rect 27402 -632 27702 -631
+rect 45402 -632 45702 -631
+rect 63402 -632 63702 -631
+rect 81402 -632 81702 -631
+rect 99402 -632 99702 -631
+rect 117402 -632 117702 -631
+rect 135402 -632 135702 -631
+rect 153402 -632 153702 -631
+rect 171402 -632 171702 -631
+rect 189402 -632 189702 -631
+rect 207402 -632 207702 -631
+rect 225402 -632 225702 -631
+rect 243402 -632 243702 -631
+rect 261402 -632 261702 -631
+rect 279402 -632 279702 -631
+rect 293130 -632 293430 -631
+rect -1468 -643 293430 -632
+rect -1468 -761 -1377 -643
+rect -1259 -761 9493 -643
+rect 9611 -761 27493 -643
+rect 27611 -761 45493 -643
+rect 45611 -761 63493 -643
+rect 63611 -761 81493 -643
+rect 81611 -761 99493 -643
+rect 99611 -761 117493 -643
+rect 117611 -761 135493 -643
+rect 135611 -761 153493 -643
+rect 153611 -761 171493 -643
+rect 171611 -761 189493 -643
+rect 189611 -761 207493 -643
+rect 207611 -761 225493 -643
+rect 225611 -761 243493 -643
+rect 243611 -761 261493 -643
+rect 261611 -761 279493 -643
+rect 279611 -761 293221 -643
+rect 293339 -761 293430 -643
+rect -1468 -803 293430 -761
+rect -1468 -921 -1377 -803
+rect -1259 -921 9493 -803
+rect 9611 -921 27493 -803
+rect 27611 -921 45493 -803
+rect 45611 -921 63493 -803
+rect 63611 -921 81493 -803
+rect 81611 -921 99493 -803
+rect 99611 -921 117493 -803
+rect 117611 -921 135493 -803
+rect 135611 -921 153493 -803
+rect 153611 -921 171493 -803
+rect 171611 -921 189493 -803
+rect 189611 -921 207493 -803
+rect 207611 -921 225493 -803
+rect 225611 -921 243493 -803
+rect 243611 -921 261493 -803
+rect 261611 -921 279493 -803
+rect 279611 -921 293221 -803
+rect 293339 -921 293430 -803
+rect -1468 -932 293430 -921
+rect -1468 -933 -1168 -932
+rect 9402 -933 9702 -932
+rect 27402 -933 27702 -932
+rect 45402 -933 45702 -932
+rect 63402 -933 63702 -932
+rect 81402 -933 81702 -932
+rect 99402 -933 99702 -932
+rect 117402 -933 117702 -932
+rect 135402 -933 135702 -932
+rect 153402 -933 153702 -932
+rect 171402 -933 171702 -932
+rect 189402 -933 189702 -932
+rect 207402 -933 207702 -932
+rect 225402 -933 225702 -932
+rect 243402 -933 243702 -932
+rect 261402 -933 261702 -932
+rect 279402 -933 279702 -932
+rect 293130 -933 293430 -932
+rect -1938 -1102 -1638 -1101
+rect 2202 -1102 2502 -1101
+rect 20202 -1102 20502 -1101
+rect 38202 -1102 38502 -1101
+rect 56202 -1102 56502 -1101
+rect 74202 -1102 74502 -1101
+rect 92202 -1102 92502 -1101
+rect 110202 -1102 110502 -1101
+rect 128202 -1102 128502 -1101
+rect 146202 -1102 146502 -1101
+rect 164202 -1102 164502 -1101
+rect 182202 -1102 182502 -1101
+rect 200202 -1102 200502 -1101
+rect 218202 -1102 218502 -1101
+rect 236202 -1102 236502 -1101
+rect 254202 -1102 254502 -1101
+rect 272202 -1102 272502 -1101
+rect 290202 -1102 290502 -1101
+rect 293600 -1102 293900 -1101
+rect -1938 -1113 293900 -1102
+rect -1938 -1231 -1847 -1113
+rect -1729 -1231 2293 -1113
+rect 2411 -1231 20293 -1113
+rect 20411 -1231 38293 -1113
+rect 38411 -1231 56293 -1113
+rect 56411 -1231 74293 -1113
+rect 74411 -1231 92293 -1113
+rect 92411 -1231 110293 -1113
+rect 110411 -1231 128293 -1113
+rect 128411 -1231 146293 -1113
+rect 146411 -1231 164293 -1113
+rect 164411 -1231 182293 -1113
+rect 182411 -1231 200293 -1113
+rect 200411 -1231 218293 -1113
+rect 218411 -1231 236293 -1113
+rect 236411 -1231 254293 -1113
+rect 254411 -1231 272293 -1113
+rect 272411 -1231 290293 -1113
+rect 290411 -1231 293691 -1113
+rect 293809 -1231 293900 -1113
+rect -1938 -1273 293900 -1231
+rect -1938 -1391 -1847 -1273
+rect -1729 -1391 2293 -1273
+rect 2411 -1391 20293 -1273
+rect 20411 -1391 38293 -1273
+rect 38411 -1391 56293 -1273
+rect 56411 -1391 74293 -1273
+rect 74411 -1391 92293 -1273
+rect 92411 -1391 110293 -1273
+rect 110411 -1391 128293 -1273
+rect 128411 -1391 146293 -1273
+rect 146411 -1391 164293 -1273
+rect 164411 -1391 182293 -1273
+rect 182411 -1391 200293 -1273
+rect 200411 -1391 218293 -1273
+rect 218411 -1391 236293 -1273
+rect 236411 -1391 254293 -1273
+rect 254411 -1391 272293 -1273
+rect 272411 -1391 290293 -1273
+rect 290411 -1391 293691 -1273
+rect 293809 -1391 293900 -1273
+rect -1938 -1402 293900 -1391
+rect -1938 -1403 -1638 -1402
+rect 2202 -1403 2502 -1402
+rect 20202 -1403 20502 -1402
+rect 38202 -1403 38502 -1402
+rect 56202 -1403 56502 -1402
+rect 74202 -1403 74502 -1402
+rect 92202 -1403 92502 -1402
+rect 110202 -1403 110502 -1402
+rect 128202 -1403 128502 -1402
+rect 146202 -1403 146502 -1402
+rect 164202 -1403 164502 -1402
+rect 182202 -1403 182502 -1402
+rect 200202 -1403 200502 -1402
+rect 218202 -1403 218502 -1402
+rect 236202 -1403 236502 -1402
+rect 254202 -1403 254502 -1402
+rect 272202 -1403 272502 -1402
+rect 290202 -1403 290502 -1402
+rect 293600 -1403 293900 -1402
+rect -2408 -1572 -2108 -1571
+rect 11202 -1572 11502 -1571
+rect 29202 -1572 29502 -1571
+rect 47202 -1572 47502 -1571
+rect 65202 -1572 65502 -1571
+rect 83202 -1572 83502 -1571
+rect 101202 -1572 101502 -1571
+rect 119202 -1572 119502 -1571
+rect 137202 -1572 137502 -1571
+rect 155202 -1572 155502 -1571
+rect 173202 -1572 173502 -1571
+rect 191202 -1572 191502 -1571
+rect 209202 -1572 209502 -1571
+rect 227202 -1572 227502 -1571
+rect 245202 -1572 245502 -1571
+rect 263202 -1572 263502 -1571
+rect 281202 -1572 281502 -1571
+rect 294070 -1572 294370 -1571
+rect -2408 -1583 294370 -1572
+rect -2408 -1701 -2317 -1583
+rect -2199 -1701 11293 -1583
+rect 11411 -1701 29293 -1583
+rect 29411 -1701 47293 -1583
+rect 47411 -1701 65293 -1583
+rect 65411 -1701 83293 -1583
+rect 83411 -1701 101293 -1583
+rect 101411 -1701 119293 -1583
+rect 119411 -1701 137293 -1583
+rect 137411 -1701 155293 -1583
+rect 155411 -1701 173293 -1583
+rect 173411 -1701 191293 -1583
+rect 191411 -1701 209293 -1583
+rect 209411 -1701 227293 -1583
+rect 227411 -1701 245293 -1583
+rect 245411 -1701 263293 -1583
+rect 263411 -1701 281293 -1583
+rect 281411 -1701 294161 -1583
+rect 294279 -1701 294370 -1583
+rect -2408 -1743 294370 -1701
+rect -2408 -1861 -2317 -1743
+rect -2199 -1861 11293 -1743
+rect 11411 -1861 29293 -1743
+rect 29411 -1861 47293 -1743
+rect 47411 -1861 65293 -1743
+rect 65411 -1861 83293 -1743
+rect 83411 -1861 101293 -1743
+rect 101411 -1861 119293 -1743
+rect 119411 -1861 137293 -1743
+rect 137411 -1861 155293 -1743
+rect 155411 -1861 173293 -1743
+rect 173411 -1861 191293 -1743
+rect 191411 -1861 209293 -1743
+rect 209411 -1861 227293 -1743
+rect 227411 -1861 245293 -1743
+rect 245411 -1861 263293 -1743
+rect 263411 -1861 281293 -1743
+rect 281411 -1861 294161 -1743
+rect 294279 -1861 294370 -1743
+rect -2408 -1872 294370 -1861
+rect -2408 -1873 -2108 -1872
+rect 11202 -1873 11502 -1872
+rect 29202 -1873 29502 -1872
+rect 47202 -1873 47502 -1872
+rect 65202 -1873 65502 -1872
+rect 83202 -1873 83502 -1872
+rect 101202 -1873 101502 -1872
+rect 119202 -1873 119502 -1872
+rect 137202 -1873 137502 -1872
+rect 155202 -1873 155502 -1872
+rect 173202 -1873 173502 -1872
+rect 191202 -1873 191502 -1872
+rect 209202 -1873 209502 -1872
+rect 227202 -1873 227502 -1872
+rect 245202 -1873 245502 -1872
+rect 263202 -1873 263502 -1872
+rect 281202 -1873 281502 -1872
+rect 294070 -1873 294370 -1872
+rect -2878 -2042 -2578 -2041
+rect 4002 -2042 4302 -2041
+rect 22002 -2042 22302 -2041
+rect 40002 -2042 40302 -2041
+rect 58002 -2042 58302 -2041
+rect 76002 -2042 76302 -2041
+rect 94002 -2042 94302 -2041
+rect 112002 -2042 112302 -2041
+rect 130002 -2042 130302 -2041
+rect 148002 -2042 148302 -2041
+rect 166002 -2042 166302 -2041
+rect 184002 -2042 184302 -2041
+rect 202002 -2042 202302 -2041
+rect 220002 -2042 220302 -2041
+rect 238002 -2042 238302 -2041
+rect 256002 -2042 256302 -2041
+rect 274002 -2042 274302 -2041
+rect 294540 -2042 294840 -2041
+rect -2878 -2053 294840 -2042
+rect -2878 -2171 -2787 -2053
+rect -2669 -2171 4093 -2053
+rect 4211 -2171 22093 -2053
+rect 22211 -2171 40093 -2053
+rect 40211 -2171 58093 -2053
+rect 58211 -2171 76093 -2053
+rect 76211 -2171 94093 -2053
+rect 94211 -2171 112093 -2053
+rect 112211 -2171 130093 -2053
+rect 130211 -2171 148093 -2053
+rect 148211 -2171 166093 -2053
+rect 166211 -2171 184093 -2053
+rect 184211 -2171 202093 -2053
+rect 202211 -2171 220093 -2053
+rect 220211 -2171 238093 -2053
+rect 238211 -2171 256093 -2053
+rect 256211 -2171 274093 -2053
+rect 274211 -2171 294631 -2053
+rect 294749 -2171 294840 -2053
+rect -2878 -2213 294840 -2171
+rect -2878 -2331 -2787 -2213
+rect -2669 -2331 4093 -2213
+rect 4211 -2331 22093 -2213
+rect 22211 -2331 40093 -2213
+rect 40211 -2331 58093 -2213
+rect 58211 -2331 76093 -2213
+rect 76211 -2331 94093 -2213
+rect 94211 -2331 112093 -2213
+rect 112211 -2331 130093 -2213
+rect 130211 -2331 148093 -2213
+rect 148211 -2331 166093 -2213
+rect 166211 -2331 184093 -2213
+rect 184211 -2331 202093 -2213
+rect 202211 -2331 220093 -2213
+rect 220211 -2331 238093 -2213
+rect 238211 -2331 256093 -2213
+rect 256211 -2331 274093 -2213
+rect 274211 -2331 294631 -2213
+rect 294749 -2331 294840 -2213
+rect -2878 -2342 294840 -2331
+rect -2878 -2343 -2578 -2342
+rect 4002 -2343 4302 -2342
+rect 22002 -2343 22302 -2342
+rect 40002 -2343 40302 -2342
+rect 58002 -2343 58302 -2342
+rect 76002 -2343 76302 -2342
+rect 94002 -2343 94302 -2342
+rect 112002 -2343 112302 -2342
+rect 130002 -2343 130302 -2342
+rect 148002 -2343 148302 -2342
+rect 166002 -2343 166302 -2342
+rect 184002 -2343 184302 -2342
+rect 202002 -2343 202302 -2342
+rect 220002 -2343 220302 -2342
+rect 238002 -2343 238302 -2342
+rect 256002 -2343 256302 -2342
+rect 274002 -2343 274302 -2342
+rect 294540 -2343 294840 -2342
+rect -3348 -2512 -3048 -2511
+rect 13002 -2512 13302 -2511
+rect 31002 -2512 31302 -2511
+rect 49002 -2512 49302 -2511
+rect 67002 -2512 67302 -2511
+rect 85002 -2512 85302 -2511
+rect 103002 -2512 103302 -2511
+rect 121002 -2512 121302 -2511
+rect 139002 -2512 139302 -2511
+rect 157002 -2512 157302 -2511
+rect 175002 -2512 175302 -2511
+rect 193002 -2512 193302 -2511
+rect 211002 -2512 211302 -2511
+rect 229002 -2512 229302 -2511
+rect 247002 -2512 247302 -2511
+rect 265002 -2512 265302 -2511
+rect 283002 -2512 283302 -2511
+rect 295010 -2512 295310 -2511
+rect -3348 -2523 295310 -2512
+rect -3348 -2641 -3257 -2523
+rect -3139 -2641 13093 -2523
+rect 13211 -2641 31093 -2523
+rect 31211 -2641 49093 -2523
+rect 49211 -2641 67093 -2523
+rect 67211 -2641 85093 -2523
+rect 85211 -2641 103093 -2523
+rect 103211 -2641 121093 -2523
+rect 121211 -2641 139093 -2523
+rect 139211 -2641 157093 -2523
+rect 157211 -2641 175093 -2523
+rect 175211 -2641 193093 -2523
+rect 193211 -2641 211093 -2523
+rect 211211 -2641 229093 -2523
+rect 229211 -2641 247093 -2523
+rect 247211 -2641 265093 -2523
+rect 265211 -2641 283093 -2523
+rect 283211 -2641 295101 -2523
+rect 295219 -2641 295310 -2523
+rect -3348 -2683 295310 -2641
+rect -3348 -2801 -3257 -2683
+rect -3139 -2801 13093 -2683
+rect 13211 -2801 31093 -2683
+rect 31211 -2801 49093 -2683
+rect 49211 -2801 67093 -2683
+rect 67211 -2801 85093 -2683
+rect 85211 -2801 103093 -2683
+rect 103211 -2801 121093 -2683
+rect 121211 -2801 139093 -2683
+rect 139211 -2801 157093 -2683
+rect 157211 -2801 175093 -2683
+rect 175211 -2801 193093 -2683
+rect 193211 -2801 211093 -2683
+rect 211211 -2801 229093 -2683
+rect 229211 -2801 247093 -2683
+rect 247211 -2801 265093 -2683
+rect 265211 -2801 283093 -2683
+rect 283211 -2801 295101 -2683
+rect 295219 -2801 295310 -2683
+rect -3348 -2812 295310 -2801
+rect -3348 -2813 -3048 -2812
+rect 13002 -2813 13302 -2812
+rect 31002 -2813 31302 -2812
+rect 49002 -2813 49302 -2812
+rect 67002 -2813 67302 -2812
+rect 85002 -2813 85302 -2812
+rect 103002 -2813 103302 -2812
+rect 121002 -2813 121302 -2812
+rect 139002 -2813 139302 -2812
+rect 157002 -2813 157302 -2812
+rect 175002 -2813 175302 -2812
+rect 193002 -2813 193302 -2812
+rect 211002 -2813 211302 -2812
+rect 229002 -2813 229302 -2812
+rect 247002 -2813 247302 -2812
+rect 265002 -2813 265302 -2812
+rect 283002 -2813 283302 -2812
+rect 295010 -2813 295310 -2812
+rect -3818 -2982 -3518 -2981
+rect 5802 -2982 6102 -2981
+rect 23802 -2982 24102 -2981
+rect 41802 -2982 42102 -2981
+rect 59802 -2982 60102 -2981
+rect 77802 -2982 78102 -2981
+rect 95802 -2982 96102 -2981
+rect 113802 -2982 114102 -2981
+rect 131802 -2982 132102 -2981
+rect 149802 -2982 150102 -2981
+rect 167802 -2982 168102 -2981
+rect 185802 -2982 186102 -2981
+rect 203802 -2982 204102 -2981
+rect 221802 -2982 222102 -2981
+rect 239802 -2982 240102 -2981
+rect 257802 -2982 258102 -2981
+rect 275802 -2982 276102 -2981
+rect 295480 -2982 295780 -2981
+rect -3818 -2993 295780 -2982
+rect -3818 -3111 -3727 -2993
+rect -3609 -3111 5893 -2993
+rect 6011 -3111 23893 -2993
+rect 24011 -3111 41893 -2993
+rect 42011 -3111 59893 -2993
+rect 60011 -3111 77893 -2993
+rect 78011 -3111 95893 -2993
+rect 96011 -3111 113893 -2993
+rect 114011 -3111 131893 -2993
+rect 132011 -3111 149893 -2993
+rect 150011 -3111 167893 -2993
+rect 168011 -3111 185893 -2993
+rect 186011 -3111 203893 -2993
+rect 204011 -3111 221893 -2993
+rect 222011 -3111 239893 -2993
+rect 240011 -3111 257893 -2993
+rect 258011 -3111 275893 -2993
+rect 276011 -3111 295571 -2993
+rect 295689 -3111 295780 -2993
+rect -3818 -3153 295780 -3111
+rect -3818 -3271 -3727 -3153
+rect -3609 -3271 5893 -3153
+rect 6011 -3271 23893 -3153
+rect 24011 -3271 41893 -3153
+rect 42011 -3271 59893 -3153
+rect 60011 -3271 77893 -3153
+rect 78011 -3271 95893 -3153
+rect 96011 -3271 113893 -3153
+rect 114011 -3271 131893 -3153
+rect 132011 -3271 149893 -3153
+rect 150011 -3271 167893 -3153
+rect 168011 -3271 185893 -3153
+rect 186011 -3271 203893 -3153
+rect 204011 -3271 221893 -3153
+rect 222011 -3271 239893 -3153
+rect 240011 -3271 257893 -3153
+rect 258011 -3271 275893 -3153
+rect 276011 -3271 295571 -3153
+rect 295689 -3271 295780 -3153
+rect -3818 -3282 295780 -3271
+rect -3818 -3283 -3518 -3282
+rect 5802 -3283 6102 -3282
+rect 23802 -3283 24102 -3282
+rect 41802 -3283 42102 -3282
+rect 59802 -3283 60102 -3282
+rect 77802 -3283 78102 -3282
+rect 95802 -3283 96102 -3282
+rect 113802 -3283 114102 -3282
+rect 131802 -3283 132102 -3282
+rect 149802 -3283 150102 -3282
+rect 167802 -3283 168102 -3282
+rect 185802 -3283 186102 -3282
+rect 203802 -3283 204102 -3282
+rect 221802 -3283 222102 -3282
+rect 239802 -3283 240102 -3282
+rect 257802 -3283 258102 -3282
+rect 275802 -3283 276102 -3282
+rect 295480 -3283 295780 -3282
+rect -4288 -3452 -3988 -3451
+rect 14802 -3452 15102 -3451
+rect 32802 -3452 33102 -3451
+rect 50802 -3452 51102 -3451
+rect 68802 -3452 69102 -3451
+rect 86802 -3452 87102 -3451
+rect 104802 -3452 105102 -3451
+rect 122802 -3452 123102 -3451
+rect 140802 -3452 141102 -3451
+rect 158802 -3452 159102 -3451
+rect 176802 -3452 177102 -3451
+rect 194802 -3452 195102 -3451
+rect 212802 -3452 213102 -3451
+rect 230802 -3452 231102 -3451
+rect 248802 -3452 249102 -3451
+rect 266802 -3452 267102 -3451
+rect 284802 -3452 285102 -3451
+rect 295950 -3452 296250 -3451
+rect -4288 -3463 296250 -3452
+rect -4288 -3581 -4197 -3463
+rect -4079 -3581 14893 -3463
+rect 15011 -3581 32893 -3463
+rect 33011 -3581 50893 -3463
+rect 51011 -3581 68893 -3463
+rect 69011 -3581 86893 -3463
+rect 87011 -3581 104893 -3463
+rect 105011 -3581 122893 -3463
+rect 123011 -3581 140893 -3463
+rect 141011 -3581 158893 -3463
+rect 159011 -3581 176893 -3463
+rect 177011 -3581 194893 -3463
+rect 195011 -3581 212893 -3463
+rect 213011 -3581 230893 -3463
+rect 231011 -3581 248893 -3463
+rect 249011 -3581 266893 -3463
+rect 267011 -3581 284893 -3463
+rect 285011 -3581 296041 -3463
+rect 296159 -3581 296250 -3463
+rect -4288 -3623 296250 -3581
+rect -4288 -3741 -4197 -3623
+rect -4079 -3741 14893 -3623
+rect 15011 -3741 32893 -3623
+rect 33011 -3741 50893 -3623
+rect 51011 -3741 68893 -3623
+rect 69011 -3741 86893 -3623
+rect 87011 -3741 104893 -3623
+rect 105011 -3741 122893 -3623
+rect 123011 -3741 140893 -3623
+rect 141011 -3741 158893 -3623
+rect 159011 -3741 176893 -3623
+rect 177011 -3741 194893 -3623
+rect 195011 -3741 212893 -3623
+rect 213011 -3741 230893 -3623
+rect 231011 -3741 248893 -3623
+rect 249011 -3741 266893 -3623
+rect 267011 -3741 284893 -3623
+rect 285011 -3741 296041 -3623
+rect 296159 -3741 296250 -3623
+rect -4288 -3752 296250 -3741
+rect -4288 -3753 -3988 -3752
+rect 14802 -3753 15102 -3752
+rect 32802 -3753 33102 -3752
+rect 50802 -3753 51102 -3752
+rect 68802 -3753 69102 -3752
+rect 86802 -3753 87102 -3752
+rect 104802 -3753 105102 -3752
+rect 122802 -3753 123102 -3752
+rect 140802 -3753 141102 -3752
+rect 158802 -3753 159102 -3752
+rect 176802 -3753 177102 -3752
+rect 194802 -3753 195102 -3752
+rect 212802 -3753 213102 -3752
+rect 230802 -3753 231102 -3752
+rect 248802 -3753 249102 -3752
+rect 266802 -3753 267102 -3752
+rect 284802 -3753 285102 -3752
+rect 295950 -3753 296250 -3752
 << labels >>
 rlabel metal3 s 291760 2898 292480 3018 4 analog_io[0]
 port 1 nsew
@@ -11081,25 +11081,1217 @@
 port 635 nsew
 rlabel metal2 s 3215 -480 3271 240 4 wbs_we_i
 port 636 nsew
+rlabel metal4 s 288402 351760 288702 352900 4 vccd1
+port 637 nsew
+rlabel metal4 s 270402 351760 270702 352900 4 vccd1
+port 637 nsew
+rlabel metal4 s 252402 351760 252702 352900 4 vccd1
+port 637 nsew
+rlabel metal4 s 234402 351760 234702 352900 4 vccd1
+port 637 nsew
+rlabel metal4 s 216402 351760 216702 352900 4 vccd1
+port 637 nsew
+rlabel metal4 s 198402 351760 198702 352900 4 vccd1
+port 637 nsew
+rlabel metal4 s 180402 351760 180702 352900 4 vccd1
+port 637 nsew
+rlabel metal4 s 162402 351760 162702 352900 4 vccd1
+port 637 nsew
+rlabel metal4 s 144402 351760 144702 352900 4 vccd1
+port 637 nsew
+rlabel metal4 s 126402 351760 126702 352900 4 vccd1
+port 637 nsew
+rlabel metal4 s 108402 351760 108702 352900 4 vccd1
+port 637 nsew
+rlabel metal4 s 90402 351760 90702 352900 4 vccd1
+port 637 nsew
+rlabel metal4 s 72402 351760 72702 352900 4 vccd1
+port 637 nsew
+rlabel metal4 s 54402 351760 54702 352900 4 vccd1
+port 637 nsew
+rlabel metal4 s 36402 351760 36702 352900 4 vccd1
+port 637 nsew
+rlabel metal4 s 18402 351760 18702 352900 4 vccd1
+port 637 nsew
+rlabel metal4 s 402 351760 702 352900 4 vccd1
+port 637 nsew
+rlabel metal4 s 292660 -462 292960 352430 4 vccd1
+port 637 nsew
+rlabel metal4 s -998 -462 -698 352430 4 vccd1
+port 637 nsew
+rlabel metal4 s 288402 -932 288702 240 4 vccd1
+port 637 nsew
+rlabel metal4 s 270402 -932 270702 240 4 vccd1
+port 637 nsew
+rlabel metal4 s 252402 -932 252702 240 4 vccd1
+port 637 nsew
+rlabel metal4 s 234402 -932 234702 240 4 vccd1
+port 637 nsew
+rlabel metal4 s 216402 -932 216702 240 4 vccd1
+port 637 nsew
+rlabel metal4 s 198402 -932 198702 240 4 vccd1
+port 637 nsew
+rlabel metal4 s 180402 -932 180702 240 4 vccd1
+port 637 nsew
+rlabel metal4 s 162402 -932 162702 240 4 vccd1
+port 637 nsew
+rlabel metal4 s 144402 -932 144702 240 4 vccd1
+port 637 nsew
+rlabel metal4 s 126402 -932 126702 240 4 vccd1
+port 637 nsew
+rlabel metal4 s 108402 -932 108702 240 4 vccd1
+port 637 nsew
+rlabel metal4 s 90402 -932 90702 240 4 vccd1
+port 637 nsew
+rlabel metal4 s 72402 -932 72702 240 4 vccd1
+port 637 nsew
+rlabel metal4 s 54402 -932 54702 240 4 vccd1
+port 637 nsew
+rlabel metal4 s 36402 -932 36702 240 4 vccd1
+port 637 nsew
+rlabel metal4 s 18402 -932 18702 240 4 vccd1
+port 637 nsew
+rlabel metal4 s 402 -932 702 240 4 vccd1
+port 637 nsew
+rlabel metal5 s -998 352130 292960 352430 4 vccd1
+port 637 nsew
+rlabel metal5 s 291760 342938 293430 343238 4 vccd1
+port 637 nsew
+rlabel metal5 s -1468 342938 240 343238 4 vccd1
+port 637 nsew
+rlabel metal5 s 291760 324938 293430 325238 4 vccd1
+port 637 nsew
+rlabel metal5 s -1468 324938 240 325238 4 vccd1
+port 637 nsew
+rlabel metal5 s 291760 306938 293430 307238 4 vccd1
+port 637 nsew
+rlabel metal5 s -1468 306938 240 307238 4 vccd1
+port 637 nsew
+rlabel metal5 s 291760 288938 293430 289238 4 vccd1
+port 637 nsew
+rlabel metal5 s -1468 288938 240 289238 4 vccd1
+port 637 nsew
+rlabel metal5 s 291760 270938 293430 271238 4 vccd1
+port 637 nsew
+rlabel metal5 s -1468 270938 240 271238 4 vccd1
+port 637 nsew
+rlabel metal5 s 291760 252938 293430 253238 4 vccd1
+port 637 nsew
+rlabel metal5 s -1468 252938 240 253238 4 vccd1
+port 637 nsew
+rlabel metal5 s 291760 234938 293430 235238 4 vccd1
+port 637 nsew
+rlabel metal5 s -1468 234938 240 235238 4 vccd1
+port 637 nsew
+rlabel metal5 s 291760 216938 293430 217238 4 vccd1
+port 637 nsew
+rlabel metal5 s -1468 216938 240 217238 4 vccd1
+port 637 nsew
+rlabel metal5 s 291760 198938 293430 199238 4 vccd1
+port 637 nsew
+rlabel metal5 s -1468 198938 240 199238 4 vccd1
+port 637 nsew
+rlabel metal5 s 291760 180938 293430 181238 4 vccd1
+port 637 nsew
+rlabel metal5 s -1468 180938 240 181238 4 vccd1
+port 637 nsew
+rlabel metal5 s 291760 162938 293430 163238 4 vccd1
+port 637 nsew
+rlabel metal5 s -1468 162938 240 163238 4 vccd1
+port 637 nsew
+rlabel metal5 s 291760 144938 293430 145238 4 vccd1
+port 637 nsew
+rlabel metal5 s -1468 144938 240 145238 4 vccd1
+port 637 nsew
+rlabel metal5 s 291760 126938 293430 127238 4 vccd1
+port 637 nsew
+rlabel metal5 s -1468 126938 240 127238 4 vccd1
+port 637 nsew
+rlabel metal5 s 291760 108938 293430 109238 4 vccd1
+port 637 nsew
+rlabel metal5 s -1468 108938 240 109238 4 vccd1
+port 637 nsew
+rlabel metal5 s 291760 90938 293430 91238 4 vccd1
+port 637 nsew
+rlabel metal5 s -1468 90938 240 91238 4 vccd1
+port 637 nsew
+rlabel metal5 s 291760 72938 293430 73238 4 vccd1
+port 637 nsew
+rlabel metal5 s -1468 72938 240 73238 4 vccd1
+port 637 nsew
+rlabel metal5 s 291760 54938 293430 55238 4 vccd1
+port 637 nsew
+rlabel metal5 s -1468 54938 240 55238 4 vccd1
+port 637 nsew
+rlabel metal5 s 291760 36938 293430 37238 4 vccd1
+port 637 nsew
+rlabel metal5 s -1468 36938 240 37238 4 vccd1
+port 637 nsew
+rlabel metal5 s 291760 18938 293430 19238 4 vccd1
+port 637 nsew
+rlabel metal5 s -1468 18938 240 19238 4 vccd1
+port 637 nsew
+rlabel metal5 s 291760 938 293430 1238 4 vccd1
+port 637 nsew
+rlabel metal5 s -1468 938 240 1238 4 vccd1
+port 637 nsew
 rlabel metal5 s -998 -462 292960 -162 4 vccd1
 port 637 nsew
-rlabel metal5 s -1458 -922 293420 -622 4 vssd1
+rlabel metal4 s 293130 -932 293430 352900 4 vssd1
 port 638 nsew
-rlabel metal5 s -1918 -1382 293880 -1082 4 vccd2
+rlabel metal4 s 279402 351760 279702 352900 4 vssd1
+port 638 nsew
+rlabel metal4 s 261402 351760 261702 352900 4 vssd1
+port 638 nsew
+rlabel metal4 s 243402 351760 243702 352900 4 vssd1
+port 638 nsew
+rlabel metal4 s 225402 351760 225702 352900 4 vssd1
+port 638 nsew
+rlabel metal4 s 207402 351760 207702 352900 4 vssd1
+port 638 nsew
+rlabel metal4 s 189402 351760 189702 352900 4 vssd1
+port 638 nsew
+rlabel metal4 s 171402 351760 171702 352900 4 vssd1
+port 638 nsew
+rlabel metal4 s 153402 351760 153702 352900 4 vssd1
+port 638 nsew
+rlabel metal4 s 135402 351760 135702 352900 4 vssd1
+port 638 nsew
+rlabel metal4 s 117402 351760 117702 352900 4 vssd1
+port 638 nsew
+rlabel metal4 s 99402 351760 99702 352900 4 vssd1
+port 638 nsew
+rlabel metal4 s 81402 351760 81702 352900 4 vssd1
+port 638 nsew
+rlabel metal4 s 63402 351760 63702 352900 4 vssd1
+port 638 nsew
+rlabel metal4 s 45402 351760 45702 352900 4 vssd1
+port 638 nsew
+rlabel metal4 s 27402 351760 27702 352900 4 vssd1
+port 638 nsew
+rlabel metal4 s 9402 351760 9702 352900 4 vssd1
+port 638 nsew
+rlabel metal4 s -1468 -932 -1168 352900 4 vssd1
+port 638 nsew
+rlabel metal4 s 279402 -932 279702 240 4 vssd1
+port 638 nsew
+rlabel metal4 s 261402 -932 261702 240 4 vssd1
+port 638 nsew
+rlabel metal4 s 243402 -932 243702 240 4 vssd1
+port 638 nsew
+rlabel metal4 s 225402 -932 225702 240 4 vssd1
+port 638 nsew
+rlabel metal4 s 207402 -932 207702 240 4 vssd1
+port 638 nsew
+rlabel metal4 s 189402 -932 189702 240 4 vssd1
+port 638 nsew
+rlabel metal4 s 171402 -932 171702 240 4 vssd1
+port 638 nsew
+rlabel metal4 s 153402 -932 153702 240 4 vssd1
+port 638 nsew
+rlabel metal4 s 135402 -932 135702 240 4 vssd1
+port 638 nsew
+rlabel metal4 s 117402 -932 117702 240 4 vssd1
+port 638 nsew
+rlabel metal4 s 99402 -932 99702 240 4 vssd1
+port 638 nsew
+rlabel metal4 s 81402 -932 81702 240 4 vssd1
+port 638 nsew
+rlabel metal4 s 63402 -932 63702 240 4 vssd1
+port 638 nsew
+rlabel metal4 s 45402 -932 45702 240 4 vssd1
+port 638 nsew
+rlabel metal4 s 27402 -932 27702 240 4 vssd1
+port 638 nsew
+rlabel metal4 s 9402 -932 9702 240 4 vssd1
+port 638 nsew
+rlabel metal5 s -1468 352600 293430 352900 4 vssd1
+port 638 nsew
+rlabel metal5 s 291760 333938 293430 334238 4 vssd1
+port 638 nsew
+rlabel metal5 s -1468 333938 240 334238 4 vssd1
+port 638 nsew
+rlabel metal5 s 291760 315938 293430 316238 4 vssd1
+port 638 nsew
+rlabel metal5 s -1468 315938 240 316238 4 vssd1
+port 638 nsew
+rlabel metal5 s 291760 297938 293430 298238 4 vssd1
+port 638 nsew
+rlabel metal5 s -1468 297938 240 298238 4 vssd1
+port 638 nsew
+rlabel metal5 s 291760 279938 293430 280238 4 vssd1
+port 638 nsew
+rlabel metal5 s -1468 279938 240 280238 4 vssd1
+port 638 nsew
+rlabel metal5 s 291760 261938 293430 262238 4 vssd1
+port 638 nsew
+rlabel metal5 s -1468 261938 240 262238 4 vssd1
+port 638 nsew
+rlabel metal5 s 291760 243938 293430 244238 4 vssd1
+port 638 nsew
+rlabel metal5 s -1468 243938 240 244238 4 vssd1
+port 638 nsew
+rlabel metal5 s 291760 225938 293430 226238 4 vssd1
+port 638 nsew
+rlabel metal5 s -1468 225938 240 226238 4 vssd1
+port 638 nsew
+rlabel metal5 s 291760 207938 293430 208238 4 vssd1
+port 638 nsew
+rlabel metal5 s -1468 207938 240 208238 4 vssd1
+port 638 nsew
+rlabel metal5 s 291760 189938 293430 190238 4 vssd1
+port 638 nsew
+rlabel metal5 s -1468 189938 240 190238 4 vssd1
+port 638 nsew
+rlabel metal5 s 291760 171938 293430 172238 4 vssd1
+port 638 nsew
+rlabel metal5 s -1468 171938 240 172238 4 vssd1
+port 638 nsew
+rlabel metal5 s 291760 153938 293430 154238 4 vssd1
+port 638 nsew
+rlabel metal5 s -1468 153938 240 154238 4 vssd1
+port 638 nsew
+rlabel metal5 s 291760 135938 293430 136238 4 vssd1
+port 638 nsew
+rlabel metal5 s -1468 135938 240 136238 4 vssd1
+port 638 nsew
+rlabel metal5 s 291760 117938 293430 118238 4 vssd1
+port 638 nsew
+rlabel metal5 s -1468 117938 240 118238 4 vssd1
+port 638 nsew
+rlabel metal5 s 291760 99938 293430 100238 4 vssd1
+port 638 nsew
+rlabel metal5 s -1468 99938 240 100238 4 vssd1
+port 638 nsew
+rlabel metal5 s 291760 81938 293430 82238 4 vssd1
+port 638 nsew
+rlabel metal5 s -1468 81938 240 82238 4 vssd1
+port 638 nsew
+rlabel metal5 s 291760 63938 293430 64238 4 vssd1
+port 638 nsew
+rlabel metal5 s -1468 63938 240 64238 4 vssd1
+port 638 nsew
+rlabel metal5 s 291760 45938 293430 46238 4 vssd1
+port 638 nsew
+rlabel metal5 s -1468 45938 240 46238 4 vssd1
+port 638 nsew
+rlabel metal5 s 291760 27938 293430 28238 4 vssd1
+port 638 nsew
+rlabel metal5 s -1468 27938 240 28238 4 vssd1
+port 638 nsew
+rlabel metal5 s 291760 9938 293430 10238 4 vssd1
+port 638 nsew
+rlabel metal5 s -1468 9938 240 10238 4 vssd1
+port 638 nsew
+rlabel metal5 s -1468 -932 293430 -632 4 vssd1
+port 638 nsew
+rlabel metal4 s 290202 351760 290502 353840 4 vccd2
 port 639 nsew
-rlabel metal5 s -2378 -1842 294340 -1542 4 vssd2
+rlabel metal4 s 272202 351760 272502 353840 4 vccd2
+port 639 nsew
+rlabel metal4 s 254202 351760 254502 353840 4 vccd2
+port 639 nsew
+rlabel metal4 s 236202 351760 236502 353840 4 vccd2
+port 639 nsew
+rlabel metal4 s 218202 351760 218502 353840 4 vccd2
+port 639 nsew
+rlabel metal4 s 200202 351760 200502 353840 4 vccd2
+port 639 nsew
+rlabel metal4 s 182202 351760 182502 353840 4 vccd2
+port 639 nsew
+rlabel metal4 s 164202 351760 164502 353840 4 vccd2
+port 639 nsew
+rlabel metal4 s 146202 351760 146502 353840 4 vccd2
+port 639 nsew
+rlabel metal4 s 128202 351760 128502 353840 4 vccd2
+port 639 nsew
+rlabel metal4 s 110202 351760 110502 353840 4 vccd2
+port 639 nsew
+rlabel metal4 s 92202 351760 92502 353840 4 vccd2
+port 639 nsew
+rlabel metal4 s 74202 351760 74502 353840 4 vccd2
+port 639 nsew
+rlabel metal4 s 56202 351760 56502 353840 4 vccd2
+port 639 nsew
+rlabel metal4 s 38202 351760 38502 353840 4 vccd2
+port 639 nsew
+rlabel metal4 s 20202 351760 20502 353840 4 vccd2
+port 639 nsew
+rlabel metal4 s 2202 351760 2502 353840 4 vccd2
+port 639 nsew
+rlabel metal4 s 293600 -1402 293900 353370 4 vccd2
+port 639 nsew
+rlabel metal4 s -1938 -1402 -1638 353370 4 vccd2
+port 639 nsew
+rlabel metal4 s 290202 -1872 290502 240 4 vccd2
+port 639 nsew
+rlabel metal4 s 272202 -1872 272502 240 4 vccd2
+port 639 nsew
+rlabel metal4 s 254202 -1872 254502 240 4 vccd2
+port 639 nsew
+rlabel metal4 s 236202 -1872 236502 240 4 vccd2
+port 639 nsew
+rlabel metal4 s 218202 -1872 218502 240 4 vccd2
+port 639 nsew
+rlabel metal4 s 200202 -1872 200502 240 4 vccd2
+port 639 nsew
+rlabel metal4 s 182202 -1872 182502 240 4 vccd2
+port 639 nsew
+rlabel metal4 s 164202 -1872 164502 240 4 vccd2
+port 639 nsew
+rlabel metal4 s 146202 -1872 146502 240 4 vccd2
+port 639 nsew
+rlabel metal4 s 128202 -1872 128502 240 4 vccd2
+port 639 nsew
+rlabel metal4 s 110202 -1872 110502 240 4 vccd2
+port 639 nsew
+rlabel metal4 s 92202 -1872 92502 240 4 vccd2
+port 639 nsew
+rlabel metal4 s 74202 -1872 74502 240 4 vccd2
+port 639 nsew
+rlabel metal4 s 56202 -1872 56502 240 4 vccd2
+port 639 nsew
+rlabel metal4 s 38202 -1872 38502 240 4 vccd2
+port 639 nsew
+rlabel metal4 s 20202 -1872 20502 240 4 vccd2
+port 639 nsew
+rlabel metal4 s 2202 -1872 2502 240 4 vccd2
+port 639 nsew
+rlabel metal5 s -1938 353070 293900 353370 4 vccd2
+port 639 nsew
+rlabel metal5 s 291760 344738 294370 345038 4 vccd2
+port 639 nsew
+rlabel metal5 s -2408 344738 240 345038 4 vccd2
+port 639 nsew
+rlabel metal5 s 291760 326738 294370 327038 4 vccd2
+port 639 nsew
+rlabel metal5 s -2408 326738 240 327038 4 vccd2
+port 639 nsew
+rlabel metal5 s 291760 308738 294370 309038 4 vccd2
+port 639 nsew
+rlabel metal5 s -2408 308738 240 309038 4 vccd2
+port 639 nsew
+rlabel metal5 s 291760 290738 294370 291038 4 vccd2
+port 639 nsew
+rlabel metal5 s -2408 290738 240 291038 4 vccd2
+port 639 nsew
+rlabel metal5 s 291760 272738 294370 273038 4 vccd2
+port 639 nsew
+rlabel metal5 s -2408 272738 240 273038 4 vccd2
+port 639 nsew
+rlabel metal5 s 291760 254738 294370 255038 4 vccd2
+port 639 nsew
+rlabel metal5 s -2408 254738 240 255038 4 vccd2
+port 639 nsew
+rlabel metal5 s 291760 236738 294370 237038 4 vccd2
+port 639 nsew
+rlabel metal5 s -2408 236738 240 237038 4 vccd2
+port 639 nsew
+rlabel metal5 s 291760 218738 294370 219038 4 vccd2
+port 639 nsew
+rlabel metal5 s -2408 218738 240 219038 4 vccd2
+port 639 nsew
+rlabel metal5 s 291760 200738 294370 201038 4 vccd2
+port 639 nsew
+rlabel metal5 s -2408 200738 240 201038 4 vccd2
+port 639 nsew
+rlabel metal5 s 291760 182738 294370 183038 4 vccd2
+port 639 nsew
+rlabel metal5 s -2408 182738 240 183038 4 vccd2
+port 639 nsew
+rlabel metal5 s 291760 164738 294370 165038 4 vccd2
+port 639 nsew
+rlabel metal5 s -2408 164738 240 165038 4 vccd2
+port 639 nsew
+rlabel metal5 s 291760 146738 294370 147038 4 vccd2
+port 639 nsew
+rlabel metal5 s -2408 146738 240 147038 4 vccd2
+port 639 nsew
+rlabel metal5 s 291760 128738 294370 129038 4 vccd2
+port 639 nsew
+rlabel metal5 s -2408 128738 240 129038 4 vccd2
+port 639 nsew
+rlabel metal5 s 291760 110738 294370 111038 4 vccd2
+port 639 nsew
+rlabel metal5 s -2408 110738 240 111038 4 vccd2
+port 639 nsew
+rlabel metal5 s 291760 92738 294370 93038 4 vccd2
+port 639 nsew
+rlabel metal5 s -2408 92738 240 93038 4 vccd2
+port 639 nsew
+rlabel metal5 s 291760 74738 294370 75038 4 vccd2
+port 639 nsew
+rlabel metal5 s -2408 74738 240 75038 4 vccd2
+port 639 nsew
+rlabel metal5 s 291760 56738 294370 57038 4 vccd2
+port 639 nsew
+rlabel metal5 s -2408 56738 240 57038 4 vccd2
+port 639 nsew
+rlabel metal5 s 291760 38738 294370 39038 4 vccd2
+port 639 nsew
+rlabel metal5 s -2408 38738 240 39038 4 vccd2
+port 639 nsew
+rlabel metal5 s 291760 20738 294370 21038 4 vccd2
+port 639 nsew
+rlabel metal5 s -2408 20738 240 21038 4 vccd2
+port 639 nsew
+rlabel metal5 s 291760 2738 294370 3038 4 vccd2
+port 639 nsew
+rlabel metal5 s -2408 2738 240 3038 4 vccd2
+port 639 nsew
+rlabel metal5 s -1938 -1402 293900 -1102 4 vccd2
+port 639 nsew
+rlabel metal4 s 294070 -1872 294370 353840 4 vssd2
 port 640 nsew
-rlabel metal5 s -2838 -2302 294800 -2002 4 vdda1
+rlabel metal4 s 281202 351760 281502 353840 4 vssd2
+port 640 nsew
+rlabel metal4 s 263202 351760 263502 353840 4 vssd2
+port 640 nsew
+rlabel metal4 s 245202 351760 245502 353840 4 vssd2
+port 640 nsew
+rlabel metal4 s 227202 351760 227502 353840 4 vssd2
+port 640 nsew
+rlabel metal4 s 209202 351760 209502 353840 4 vssd2
+port 640 nsew
+rlabel metal4 s 191202 351760 191502 353840 4 vssd2
+port 640 nsew
+rlabel metal4 s 173202 351760 173502 353840 4 vssd2
+port 640 nsew
+rlabel metal4 s 155202 351760 155502 353840 4 vssd2
+port 640 nsew
+rlabel metal4 s 137202 351760 137502 353840 4 vssd2
+port 640 nsew
+rlabel metal4 s 119202 351760 119502 353840 4 vssd2
+port 640 nsew
+rlabel metal4 s 101202 351760 101502 353840 4 vssd2
+port 640 nsew
+rlabel metal4 s 83202 351760 83502 353840 4 vssd2
+port 640 nsew
+rlabel metal4 s 65202 351760 65502 353840 4 vssd2
+port 640 nsew
+rlabel metal4 s 47202 351760 47502 353840 4 vssd2
+port 640 nsew
+rlabel metal4 s 29202 351760 29502 353840 4 vssd2
+port 640 nsew
+rlabel metal4 s 11202 351760 11502 353840 4 vssd2
+port 640 nsew
+rlabel metal4 s -2408 -1872 -2108 353840 4 vssd2
+port 640 nsew
+rlabel metal4 s 281202 -1872 281502 240 4 vssd2
+port 640 nsew
+rlabel metal4 s 263202 -1872 263502 240 4 vssd2
+port 640 nsew
+rlabel metal4 s 245202 -1872 245502 240 4 vssd2
+port 640 nsew
+rlabel metal4 s 227202 -1872 227502 240 4 vssd2
+port 640 nsew
+rlabel metal4 s 209202 -1872 209502 240 4 vssd2
+port 640 nsew
+rlabel metal4 s 191202 -1872 191502 240 4 vssd2
+port 640 nsew
+rlabel metal4 s 173202 -1872 173502 240 4 vssd2
+port 640 nsew
+rlabel metal4 s 155202 -1872 155502 240 4 vssd2
+port 640 nsew
+rlabel metal4 s 137202 -1872 137502 240 4 vssd2
+port 640 nsew
+rlabel metal4 s 119202 -1872 119502 240 4 vssd2
+port 640 nsew
+rlabel metal4 s 101202 -1872 101502 240 4 vssd2
+port 640 nsew
+rlabel metal4 s 83202 -1872 83502 240 4 vssd2
+port 640 nsew
+rlabel metal4 s 65202 -1872 65502 240 4 vssd2
+port 640 nsew
+rlabel metal4 s 47202 -1872 47502 240 4 vssd2
+port 640 nsew
+rlabel metal4 s 29202 -1872 29502 240 4 vssd2
+port 640 nsew
+rlabel metal4 s 11202 -1872 11502 240 4 vssd2
+port 640 nsew
+rlabel metal5 s -2408 353540 294370 353840 4 vssd2
+port 640 nsew
+rlabel metal5 s 291760 335738 294370 336038 4 vssd2
+port 640 nsew
+rlabel metal5 s -2408 335738 240 336038 4 vssd2
+port 640 nsew
+rlabel metal5 s 291760 317738 294370 318038 4 vssd2
+port 640 nsew
+rlabel metal5 s -2408 317738 240 318038 4 vssd2
+port 640 nsew
+rlabel metal5 s 291760 299738 294370 300038 4 vssd2
+port 640 nsew
+rlabel metal5 s -2408 299738 240 300038 4 vssd2
+port 640 nsew
+rlabel metal5 s 291760 281738 294370 282038 4 vssd2
+port 640 nsew
+rlabel metal5 s -2408 281738 240 282038 4 vssd2
+port 640 nsew
+rlabel metal5 s 291760 263738 294370 264038 4 vssd2
+port 640 nsew
+rlabel metal5 s -2408 263738 240 264038 4 vssd2
+port 640 nsew
+rlabel metal5 s 291760 245738 294370 246038 4 vssd2
+port 640 nsew
+rlabel metal5 s -2408 245738 240 246038 4 vssd2
+port 640 nsew
+rlabel metal5 s 291760 227738 294370 228038 4 vssd2
+port 640 nsew
+rlabel metal5 s -2408 227738 240 228038 4 vssd2
+port 640 nsew
+rlabel metal5 s 291760 209738 294370 210038 4 vssd2
+port 640 nsew
+rlabel metal5 s -2408 209738 240 210038 4 vssd2
+port 640 nsew
+rlabel metal5 s 291760 191738 294370 192038 4 vssd2
+port 640 nsew
+rlabel metal5 s -2408 191738 240 192038 4 vssd2
+port 640 nsew
+rlabel metal5 s 291760 173738 294370 174038 4 vssd2
+port 640 nsew
+rlabel metal5 s -2408 173738 240 174038 4 vssd2
+port 640 nsew
+rlabel metal5 s 291760 155738 294370 156038 4 vssd2
+port 640 nsew
+rlabel metal5 s -2408 155738 240 156038 4 vssd2
+port 640 nsew
+rlabel metal5 s 291760 137738 294370 138038 4 vssd2
+port 640 nsew
+rlabel metal5 s -2408 137738 240 138038 4 vssd2
+port 640 nsew
+rlabel metal5 s 291760 119738 294370 120038 4 vssd2
+port 640 nsew
+rlabel metal5 s -2408 119738 240 120038 4 vssd2
+port 640 nsew
+rlabel metal5 s 291760 101738 294370 102038 4 vssd2
+port 640 nsew
+rlabel metal5 s -2408 101738 240 102038 4 vssd2
+port 640 nsew
+rlabel metal5 s 291760 83738 294370 84038 4 vssd2
+port 640 nsew
+rlabel metal5 s -2408 83738 240 84038 4 vssd2
+port 640 nsew
+rlabel metal5 s 291760 65738 294370 66038 4 vssd2
+port 640 nsew
+rlabel metal5 s -2408 65738 240 66038 4 vssd2
+port 640 nsew
+rlabel metal5 s 291760 47738 294370 48038 4 vssd2
+port 640 nsew
+rlabel metal5 s -2408 47738 240 48038 4 vssd2
+port 640 nsew
+rlabel metal5 s 291760 29738 294370 30038 4 vssd2
+port 640 nsew
+rlabel metal5 s -2408 29738 240 30038 4 vssd2
+port 640 nsew
+rlabel metal5 s 291760 11738 294370 12038 4 vssd2
+port 640 nsew
+rlabel metal5 s -2408 11738 240 12038 4 vssd2
+port 640 nsew
+rlabel metal5 s -2408 -1872 294370 -1572 4 vssd2
+port 640 nsew
+rlabel metal4 s 274002 351760 274302 354780 4 vdda1
 port 641 nsew
-rlabel metal5 s -3298 -2762 295260 -2462 4 vssa1
+rlabel metal4 s 256002 351760 256302 354780 4 vdda1
+port 641 nsew
+rlabel metal4 s 238002 351760 238302 354780 4 vdda1
+port 641 nsew
+rlabel metal4 s 220002 351760 220302 354780 4 vdda1
+port 641 nsew
+rlabel metal4 s 202002 351760 202302 354780 4 vdda1
+port 641 nsew
+rlabel metal4 s 184002 351760 184302 354780 4 vdda1
+port 641 nsew
+rlabel metal4 s 166002 351760 166302 354780 4 vdda1
+port 641 nsew
+rlabel metal4 s 148002 351760 148302 354780 4 vdda1
+port 641 nsew
+rlabel metal4 s 130002 351760 130302 354780 4 vdda1
+port 641 nsew
+rlabel metal4 s 112002 351760 112302 354780 4 vdda1
+port 641 nsew
+rlabel metal4 s 94002 351760 94302 354780 4 vdda1
+port 641 nsew
+rlabel metal4 s 76002 351760 76302 354780 4 vdda1
+port 641 nsew
+rlabel metal4 s 58002 351760 58302 354780 4 vdda1
+port 641 nsew
+rlabel metal4 s 40002 351760 40302 354780 4 vdda1
+port 641 nsew
+rlabel metal4 s 22002 351760 22302 354780 4 vdda1
+port 641 nsew
+rlabel metal4 s 4002 351760 4302 354780 4 vdda1
+port 641 nsew
+rlabel metal4 s 294540 -2342 294840 354310 4 vdda1
+port 641 nsew
+rlabel metal4 s -2878 -2342 -2578 354310 4 vdda1
+port 641 nsew
+rlabel metal4 s 274002 -2812 274302 240 4 vdda1
+port 641 nsew
+rlabel metal4 s 256002 -2812 256302 240 4 vdda1
+port 641 nsew
+rlabel metal4 s 238002 -2812 238302 240 4 vdda1
+port 641 nsew
+rlabel metal4 s 220002 -2812 220302 240 4 vdda1
+port 641 nsew
+rlabel metal4 s 202002 -2812 202302 240 4 vdda1
+port 641 nsew
+rlabel metal4 s 184002 -2812 184302 240 4 vdda1
+port 641 nsew
+rlabel metal4 s 166002 -2812 166302 240 4 vdda1
+port 641 nsew
+rlabel metal4 s 148002 -2812 148302 240 4 vdda1
+port 641 nsew
+rlabel metal4 s 130002 -2812 130302 240 4 vdda1
+port 641 nsew
+rlabel metal4 s 112002 -2812 112302 240 4 vdda1
+port 641 nsew
+rlabel metal4 s 94002 -2812 94302 240 4 vdda1
+port 641 nsew
+rlabel metal4 s 76002 -2812 76302 240 4 vdda1
+port 641 nsew
+rlabel metal4 s 58002 -2812 58302 240 4 vdda1
+port 641 nsew
+rlabel metal4 s 40002 -2812 40302 240 4 vdda1
+port 641 nsew
+rlabel metal4 s 22002 -2812 22302 240 4 vdda1
+port 641 nsew
+rlabel metal4 s 4002 -2812 4302 240 4 vdda1
+port 641 nsew
+rlabel metal5 s -2878 354010 294840 354310 4 vdda1
+port 641 nsew
+rlabel metal5 s 291760 346538 295310 346838 4 vdda1
+port 641 nsew
+rlabel metal5 s -3348 346538 240 346838 4 vdda1
+port 641 nsew
+rlabel metal5 s 291760 328538 295310 328838 4 vdda1
+port 641 nsew
+rlabel metal5 s -3348 328538 240 328838 4 vdda1
+port 641 nsew
+rlabel metal5 s 291760 310538 295310 310838 4 vdda1
+port 641 nsew
+rlabel metal5 s -3348 310538 240 310838 4 vdda1
+port 641 nsew
+rlabel metal5 s 291760 292538 295310 292838 4 vdda1
+port 641 nsew
+rlabel metal5 s -3348 292538 240 292838 4 vdda1
+port 641 nsew
+rlabel metal5 s 291760 274538 295310 274838 4 vdda1
+port 641 nsew
+rlabel metal5 s -3348 274538 240 274838 4 vdda1
+port 641 nsew
+rlabel metal5 s 291760 256538 295310 256838 4 vdda1
+port 641 nsew
+rlabel metal5 s -3348 256538 240 256838 4 vdda1
+port 641 nsew
+rlabel metal5 s 291760 238538 295310 238838 4 vdda1
+port 641 nsew
+rlabel metal5 s -3348 238538 240 238838 4 vdda1
+port 641 nsew
+rlabel metal5 s 291760 220538 295310 220838 4 vdda1
+port 641 nsew
+rlabel metal5 s -3348 220538 240 220838 4 vdda1
+port 641 nsew
+rlabel metal5 s 291760 202538 295310 202838 4 vdda1
+port 641 nsew
+rlabel metal5 s -3348 202538 240 202838 4 vdda1
+port 641 nsew
+rlabel metal5 s 291760 184538 295310 184838 4 vdda1
+port 641 nsew
+rlabel metal5 s -3348 184538 240 184838 4 vdda1
+port 641 nsew
+rlabel metal5 s 291760 166538 295310 166838 4 vdda1
+port 641 nsew
+rlabel metal5 s -3348 166538 240 166838 4 vdda1
+port 641 nsew
+rlabel metal5 s 291760 148538 295310 148838 4 vdda1
+port 641 nsew
+rlabel metal5 s -3348 148538 240 148838 4 vdda1
+port 641 nsew
+rlabel metal5 s 291760 130538 295310 130838 4 vdda1
+port 641 nsew
+rlabel metal5 s -3348 130538 240 130838 4 vdda1
+port 641 nsew
+rlabel metal5 s 291760 112538 295310 112838 4 vdda1
+port 641 nsew
+rlabel metal5 s -3348 112538 240 112838 4 vdda1
+port 641 nsew
+rlabel metal5 s 291760 94538 295310 94838 4 vdda1
+port 641 nsew
+rlabel metal5 s -3348 94538 240 94838 4 vdda1
+port 641 nsew
+rlabel metal5 s 291760 76538 295310 76838 4 vdda1
+port 641 nsew
+rlabel metal5 s -3348 76538 240 76838 4 vdda1
+port 641 nsew
+rlabel metal5 s 291760 58538 295310 58838 4 vdda1
+port 641 nsew
+rlabel metal5 s -3348 58538 240 58838 4 vdda1
+port 641 nsew
+rlabel metal5 s 291760 40538 295310 40838 4 vdda1
+port 641 nsew
+rlabel metal5 s -3348 40538 240 40838 4 vdda1
+port 641 nsew
+rlabel metal5 s 291760 22538 295310 22838 4 vdda1
+port 641 nsew
+rlabel metal5 s -3348 22538 240 22838 4 vdda1
+port 641 nsew
+rlabel metal5 s 291760 4538 295310 4838 4 vdda1
+port 641 nsew
+rlabel metal5 s -3348 4538 240 4838 4 vdda1
+port 641 nsew
+rlabel metal5 s -2878 -2342 294840 -2042 4 vdda1
+port 641 nsew
+rlabel metal4 s 295010 -2812 295310 354780 4 vssa1
 port 642 nsew
-rlabel metal5 s -3758 -3222 295720 -2922 4 vdda2
+rlabel metal4 s 283002 351760 283302 354780 4 vssa1
+port 642 nsew
+rlabel metal4 s 265002 351760 265302 354780 4 vssa1
+port 642 nsew
+rlabel metal4 s 247002 351760 247302 354780 4 vssa1
+port 642 nsew
+rlabel metal4 s 229002 351760 229302 354780 4 vssa1
+port 642 nsew
+rlabel metal4 s 211002 351760 211302 354780 4 vssa1
+port 642 nsew
+rlabel metal4 s 193002 351760 193302 354780 4 vssa1
+port 642 nsew
+rlabel metal4 s 175002 351760 175302 354780 4 vssa1
+port 642 nsew
+rlabel metal4 s 157002 351760 157302 354780 4 vssa1
+port 642 nsew
+rlabel metal4 s 139002 351760 139302 354780 4 vssa1
+port 642 nsew
+rlabel metal4 s 121002 351760 121302 354780 4 vssa1
+port 642 nsew
+rlabel metal4 s 103002 351760 103302 354780 4 vssa1
+port 642 nsew
+rlabel metal4 s 85002 351760 85302 354780 4 vssa1
+port 642 nsew
+rlabel metal4 s 67002 351760 67302 354780 4 vssa1
+port 642 nsew
+rlabel metal4 s 49002 351760 49302 354780 4 vssa1
+port 642 nsew
+rlabel metal4 s 31002 351760 31302 354780 4 vssa1
+port 642 nsew
+rlabel metal4 s 13002 351760 13302 354780 4 vssa1
+port 642 nsew
+rlabel metal4 s -3348 -2812 -3048 354780 4 vssa1
+port 642 nsew
+rlabel metal4 s 283002 -2812 283302 240 4 vssa1
+port 642 nsew
+rlabel metal4 s 265002 -2812 265302 240 4 vssa1
+port 642 nsew
+rlabel metal4 s 247002 -2812 247302 240 4 vssa1
+port 642 nsew
+rlabel metal4 s 229002 -2812 229302 240 4 vssa1
+port 642 nsew
+rlabel metal4 s 211002 -2812 211302 240 4 vssa1
+port 642 nsew
+rlabel metal4 s 193002 -2812 193302 240 4 vssa1
+port 642 nsew
+rlabel metal4 s 175002 -2812 175302 240 4 vssa1
+port 642 nsew
+rlabel metal4 s 157002 -2812 157302 240 4 vssa1
+port 642 nsew
+rlabel metal4 s 139002 -2812 139302 240 4 vssa1
+port 642 nsew
+rlabel metal4 s 121002 -2812 121302 240 4 vssa1
+port 642 nsew
+rlabel metal4 s 103002 -2812 103302 240 4 vssa1
+port 642 nsew
+rlabel metal4 s 85002 -2812 85302 240 4 vssa1
+port 642 nsew
+rlabel metal4 s 67002 -2812 67302 240 4 vssa1
+port 642 nsew
+rlabel metal4 s 49002 -2812 49302 240 4 vssa1
+port 642 nsew
+rlabel metal4 s 31002 -2812 31302 240 4 vssa1
+port 642 nsew
+rlabel metal4 s 13002 -2812 13302 240 4 vssa1
+port 642 nsew
+rlabel metal5 s -3348 354480 295310 354780 4 vssa1
+port 642 nsew
+rlabel metal5 s 291760 337538 295310 337838 4 vssa1
+port 642 nsew
+rlabel metal5 s -3348 337538 240 337838 4 vssa1
+port 642 nsew
+rlabel metal5 s 291760 319538 295310 319838 4 vssa1
+port 642 nsew
+rlabel metal5 s -3348 319538 240 319838 4 vssa1
+port 642 nsew
+rlabel metal5 s 291760 301538 295310 301838 4 vssa1
+port 642 nsew
+rlabel metal5 s -3348 301538 240 301838 4 vssa1
+port 642 nsew
+rlabel metal5 s 291760 283538 295310 283838 4 vssa1
+port 642 nsew
+rlabel metal5 s -3348 283538 240 283838 4 vssa1
+port 642 nsew
+rlabel metal5 s 291760 265538 295310 265838 4 vssa1
+port 642 nsew
+rlabel metal5 s -3348 265538 240 265838 4 vssa1
+port 642 nsew
+rlabel metal5 s 291760 247538 295310 247838 4 vssa1
+port 642 nsew
+rlabel metal5 s -3348 247538 240 247838 4 vssa1
+port 642 nsew
+rlabel metal5 s 291760 229538 295310 229838 4 vssa1
+port 642 nsew
+rlabel metal5 s -3348 229538 240 229838 4 vssa1
+port 642 nsew
+rlabel metal5 s 291760 211538 295310 211838 4 vssa1
+port 642 nsew
+rlabel metal5 s -3348 211538 240 211838 4 vssa1
+port 642 nsew
+rlabel metal5 s 291760 193538 295310 193838 4 vssa1
+port 642 nsew
+rlabel metal5 s -3348 193538 240 193838 4 vssa1
+port 642 nsew
+rlabel metal5 s 291760 175538 295310 175838 4 vssa1
+port 642 nsew
+rlabel metal5 s -3348 175538 240 175838 4 vssa1
+port 642 nsew
+rlabel metal5 s 291760 157538 295310 157838 4 vssa1
+port 642 nsew
+rlabel metal5 s -3348 157538 240 157838 4 vssa1
+port 642 nsew
+rlabel metal5 s 291760 139538 295310 139838 4 vssa1
+port 642 nsew
+rlabel metal5 s -3348 139538 240 139838 4 vssa1
+port 642 nsew
+rlabel metal5 s 291760 121538 295310 121838 4 vssa1
+port 642 nsew
+rlabel metal5 s -3348 121538 240 121838 4 vssa1
+port 642 nsew
+rlabel metal5 s 291760 103538 295310 103838 4 vssa1
+port 642 nsew
+rlabel metal5 s -3348 103538 240 103838 4 vssa1
+port 642 nsew
+rlabel metal5 s 291760 85538 295310 85838 4 vssa1
+port 642 nsew
+rlabel metal5 s -3348 85538 240 85838 4 vssa1
+port 642 nsew
+rlabel metal5 s 291760 67538 295310 67838 4 vssa1
+port 642 nsew
+rlabel metal5 s -3348 67538 240 67838 4 vssa1
+port 642 nsew
+rlabel metal5 s 291760 49538 295310 49838 4 vssa1
+port 642 nsew
+rlabel metal5 s -3348 49538 240 49838 4 vssa1
+port 642 nsew
+rlabel metal5 s 291760 31538 295310 31838 4 vssa1
+port 642 nsew
+rlabel metal5 s -3348 31538 240 31838 4 vssa1
+port 642 nsew
+rlabel metal5 s 291760 13538 295310 13838 4 vssa1
+port 642 nsew
+rlabel metal5 s -3348 13538 240 13838 4 vssa1
+port 642 nsew
+rlabel metal5 s -3348 -2812 295310 -2512 4 vssa1
+port 642 nsew
+rlabel metal4 s 275802 351760 276102 355720 4 vdda2
 port 643 nsew
-rlabel metal5 s -4218 -3682 296180 -3382 4 vssa2
+rlabel metal4 s 257802 351760 258102 355720 4 vdda2
+port 643 nsew
+rlabel metal4 s 239802 351760 240102 355720 4 vdda2
+port 643 nsew
+rlabel metal4 s 221802 351760 222102 355720 4 vdda2
+port 643 nsew
+rlabel metal4 s 203802 351760 204102 355720 4 vdda2
+port 643 nsew
+rlabel metal4 s 185802 351760 186102 355720 4 vdda2
+port 643 nsew
+rlabel metal4 s 167802 351760 168102 355720 4 vdda2
+port 643 nsew
+rlabel metal4 s 149802 351760 150102 355720 4 vdda2
+port 643 nsew
+rlabel metal4 s 131802 351760 132102 355720 4 vdda2
+port 643 nsew
+rlabel metal4 s 113802 351760 114102 355720 4 vdda2
+port 643 nsew
+rlabel metal4 s 95802 351760 96102 355720 4 vdda2
+port 643 nsew
+rlabel metal4 s 77802 351760 78102 355720 4 vdda2
+port 643 nsew
+rlabel metal4 s 59802 351760 60102 355720 4 vdda2
+port 643 nsew
+rlabel metal4 s 41802 351760 42102 355720 4 vdda2
+port 643 nsew
+rlabel metal4 s 23802 351760 24102 355720 4 vdda2
+port 643 nsew
+rlabel metal4 s 5802 351760 6102 355720 4 vdda2
+port 643 nsew
+rlabel metal4 s 295480 -3282 295780 355250 4 vdda2
+port 643 nsew
+rlabel metal4 s -3818 -3282 -3518 355250 4 vdda2
+port 643 nsew
+rlabel metal4 s 275802 -3752 276102 240 4 vdda2
+port 643 nsew
+rlabel metal4 s 257802 -3752 258102 240 4 vdda2
+port 643 nsew
+rlabel metal4 s 239802 -3752 240102 240 4 vdda2
+port 643 nsew
+rlabel metal4 s 221802 -3752 222102 240 4 vdda2
+port 643 nsew
+rlabel metal4 s 203802 -3752 204102 240 4 vdda2
+port 643 nsew
+rlabel metal4 s 185802 -3752 186102 240 4 vdda2
+port 643 nsew
+rlabel metal4 s 167802 -3752 168102 240 4 vdda2
+port 643 nsew
+rlabel metal4 s 149802 -3752 150102 240 4 vdda2
+port 643 nsew
+rlabel metal4 s 131802 -3752 132102 240 4 vdda2
+port 643 nsew
+rlabel metal4 s 113802 -3752 114102 240 4 vdda2
+port 643 nsew
+rlabel metal4 s 95802 -3752 96102 240 4 vdda2
+port 643 nsew
+rlabel metal4 s 77802 -3752 78102 240 4 vdda2
+port 643 nsew
+rlabel metal4 s 59802 -3752 60102 240 4 vdda2
+port 643 nsew
+rlabel metal4 s 41802 -3752 42102 240 4 vdda2
+port 643 nsew
+rlabel metal4 s 23802 -3752 24102 240 4 vdda2
+port 643 nsew
+rlabel metal4 s 5802 -3752 6102 240 4 vdda2
+port 643 nsew
+rlabel metal5 s -3818 354950 295780 355250 4 vdda2
+port 643 nsew
+rlabel metal5 s 291760 348338 296250 348638 4 vdda2
+port 643 nsew
+rlabel metal5 s -4288 348338 240 348638 4 vdda2
+port 643 nsew
+rlabel metal5 s 291760 330338 296250 330638 4 vdda2
+port 643 nsew
+rlabel metal5 s -4288 330338 240 330638 4 vdda2
+port 643 nsew
+rlabel metal5 s 291760 312338 296250 312638 4 vdda2
+port 643 nsew
+rlabel metal5 s -4288 312338 240 312638 4 vdda2
+port 643 nsew
+rlabel metal5 s 291760 294338 296250 294638 4 vdda2
+port 643 nsew
+rlabel metal5 s -4288 294338 240 294638 4 vdda2
+port 643 nsew
+rlabel metal5 s 291760 276338 296250 276638 4 vdda2
+port 643 nsew
+rlabel metal5 s -4288 276338 240 276638 4 vdda2
+port 643 nsew
+rlabel metal5 s 291760 258338 296250 258638 4 vdda2
+port 643 nsew
+rlabel metal5 s -4288 258338 240 258638 4 vdda2
+port 643 nsew
+rlabel metal5 s 291760 240338 296250 240638 4 vdda2
+port 643 nsew
+rlabel metal5 s -4288 240338 240 240638 4 vdda2
+port 643 nsew
+rlabel metal5 s 291760 222338 296250 222638 4 vdda2
+port 643 nsew
+rlabel metal5 s -4288 222338 240 222638 4 vdda2
+port 643 nsew
+rlabel metal5 s 291760 204338 296250 204638 4 vdda2
+port 643 nsew
+rlabel metal5 s -4288 204338 240 204638 4 vdda2
+port 643 nsew
+rlabel metal5 s 291760 186338 296250 186638 4 vdda2
+port 643 nsew
+rlabel metal5 s -4288 186338 240 186638 4 vdda2
+port 643 nsew
+rlabel metal5 s 291760 168338 296250 168638 4 vdda2
+port 643 nsew
+rlabel metal5 s -4288 168338 240 168638 4 vdda2
+port 643 nsew
+rlabel metal5 s 291760 150338 296250 150638 4 vdda2
+port 643 nsew
+rlabel metal5 s -4288 150338 240 150638 4 vdda2
+port 643 nsew
+rlabel metal5 s 291760 132338 296250 132638 4 vdda2
+port 643 nsew
+rlabel metal5 s -4288 132338 240 132638 4 vdda2
+port 643 nsew
+rlabel metal5 s 291760 114338 296250 114638 4 vdda2
+port 643 nsew
+rlabel metal5 s -4288 114338 240 114638 4 vdda2
+port 643 nsew
+rlabel metal5 s 291760 96338 296250 96638 4 vdda2
+port 643 nsew
+rlabel metal5 s -4288 96338 240 96638 4 vdda2
+port 643 nsew
+rlabel metal5 s 291760 78338 296250 78638 4 vdda2
+port 643 nsew
+rlabel metal5 s -4288 78338 240 78638 4 vdda2
+port 643 nsew
+rlabel metal5 s 291760 60338 296250 60638 4 vdda2
+port 643 nsew
+rlabel metal5 s -4288 60338 240 60638 4 vdda2
+port 643 nsew
+rlabel metal5 s 291760 42338 296250 42638 4 vdda2
+port 643 nsew
+rlabel metal5 s -4288 42338 240 42638 4 vdda2
+port 643 nsew
+rlabel metal5 s 291760 24338 296250 24638 4 vdda2
+port 643 nsew
+rlabel metal5 s -4288 24338 240 24638 4 vdda2
+port 643 nsew
+rlabel metal5 s 291760 6338 296250 6638 4 vdda2
+port 643 nsew
+rlabel metal5 s -4288 6338 240 6638 4 vdda2
+port 643 nsew
+rlabel metal5 s -3818 -3282 295780 -2982 4 vdda2
+port 643 nsew
+rlabel metal4 s 295950 -3752 296250 355720 4 vssa2
+port 644 nsew
+rlabel metal4 s 284802 351760 285102 355720 4 vssa2
+port 644 nsew
+rlabel metal4 s 266802 351760 267102 355720 4 vssa2
+port 644 nsew
+rlabel metal4 s 248802 351760 249102 355720 4 vssa2
+port 644 nsew
+rlabel metal4 s 230802 351760 231102 355720 4 vssa2
+port 644 nsew
+rlabel metal4 s 212802 351760 213102 355720 4 vssa2
+port 644 nsew
+rlabel metal4 s 194802 351760 195102 355720 4 vssa2
+port 644 nsew
+rlabel metal4 s 176802 351760 177102 355720 4 vssa2
+port 644 nsew
+rlabel metal4 s 158802 351760 159102 355720 4 vssa2
+port 644 nsew
+rlabel metal4 s 140802 351760 141102 355720 4 vssa2
+port 644 nsew
+rlabel metal4 s 122802 351760 123102 355720 4 vssa2
+port 644 nsew
+rlabel metal4 s 104802 351760 105102 355720 4 vssa2
+port 644 nsew
+rlabel metal4 s 86802 351760 87102 355720 4 vssa2
+port 644 nsew
+rlabel metal4 s 68802 351760 69102 355720 4 vssa2
+port 644 nsew
+rlabel metal4 s 50802 351760 51102 355720 4 vssa2
+port 644 nsew
+rlabel metal4 s 32802 351760 33102 355720 4 vssa2
+port 644 nsew
+rlabel metal4 s 14802 351760 15102 355720 4 vssa2
+port 644 nsew
+rlabel metal4 s -4288 -3752 -3988 355720 4 vssa2
+port 644 nsew
+rlabel metal4 s 284802 -3752 285102 240 4 vssa2
+port 644 nsew
+rlabel metal4 s 266802 -3752 267102 240 4 vssa2
+port 644 nsew
+rlabel metal4 s 248802 -3752 249102 240 4 vssa2
+port 644 nsew
+rlabel metal4 s 230802 -3752 231102 240 4 vssa2
+port 644 nsew
+rlabel metal4 s 212802 -3752 213102 240 4 vssa2
+port 644 nsew
+rlabel metal4 s 194802 -3752 195102 240 4 vssa2
+port 644 nsew
+rlabel metal4 s 176802 -3752 177102 240 4 vssa2
+port 644 nsew
+rlabel metal4 s 158802 -3752 159102 240 4 vssa2
+port 644 nsew
+rlabel metal4 s 140802 -3752 141102 240 4 vssa2
+port 644 nsew
+rlabel metal4 s 122802 -3752 123102 240 4 vssa2
+port 644 nsew
+rlabel metal4 s 104802 -3752 105102 240 4 vssa2
+port 644 nsew
+rlabel metal4 s 86802 -3752 87102 240 4 vssa2
+port 644 nsew
+rlabel metal4 s 68802 -3752 69102 240 4 vssa2
+port 644 nsew
+rlabel metal4 s 50802 -3752 51102 240 4 vssa2
+port 644 nsew
+rlabel metal4 s 32802 -3752 33102 240 4 vssa2
+port 644 nsew
+rlabel metal4 s 14802 -3752 15102 240 4 vssa2
+port 644 nsew
+rlabel metal5 s -4288 355420 296250 355720 4 vssa2
+port 644 nsew
+rlabel metal5 s 291760 339338 296250 339638 4 vssa2
+port 644 nsew
+rlabel metal5 s -4288 339338 240 339638 4 vssa2
+port 644 nsew
+rlabel metal5 s 291760 321338 296250 321638 4 vssa2
+port 644 nsew
+rlabel metal5 s -4288 321338 240 321638 4 vssa2
+port 644 nsew
+rlabel metal5 s 291760 303338 296250 303638 4 vssa2
+port 644 nsew
+rlabel metal5 s -4288 303338 240 303638 4 vssa2
+port 644 nsew
+rlabel metal5 s 291760 285338 296250 285638 4 vssa2
+port 644 nsew
+rlabel metal5 s -4288 285338 240 285638 4 vssa2
+port 644 nsew
+rlabel metal5 s 291760 267338 296250 267638 4 vssa2
+port 644 nsew
+rlabel metal5 s -4288 267338 240 267638 4 vssa2
+port 644 nsew
+rlabel metal5 s 291760 249338 296250 249638 4 vssa2
+port 644 nsew
+rlabel metal5 s -4288 249338 240 249638 4 vssa2
+port 644 nsew
+rlabel metal5 s 291760 231338 296250 231638 4 vssa2
+port 644 nsew
+rlabel metal5 s -4288 231338 240 231638 4 vssa2
+port 644 nsew
+rlabel metal5 s 291760 213338 296250 213638 4 vssa2
+port 644 nsew
+rlabel metal5 s -4288 213338 240 213638 4 vssa2
+port 644 nsew
+rlabel metal5 s 291760 195338 296250 195638 4 vssa2
+port 644 nsew
+rlabel metal5 s -4288 195338 240 195638 4 vssa2
+port 644 nsew
+rlabel metal5 s 291760 177338 296250 177638 4 vssa2
+port 644 nsew
+rlabel metal5 s -4288 177338 240 177638 4 vssa2
+port 644 nsew
+rlabel metal5 s 291760 159338 296250 159638 4 vssa2
+port 644 nsew
+rlabel metal5 s -4288 159338 240 159638 4 vssa2
+port 644 nsew
+rlabel metal5 s 291760 141338 296250 141638 4 vssa2
+port 644 nsew
+rlabel metal5 s -4288 141338 240 141638 4 vssa2
+port 644 nsew
+rlabel metal5 s 291760 123338 296250 123638 4 vssa2
+port 644 nsew
+rlabel metal5 s -4288 123338 240 123638 4 vssa2
+port 644 nsew
+rlabel metal5 s 291760 105338 296250 105638 4 vssa2
+port 644 nsew
+rlabel metal5 s -4288 105338 240 105638 4 vssa2
+port 644 nsew
+rlabel metal5 s 291760 87338 296250 87638 4 vssa2
+port 644 nsew
+rlabel metal5 s -4288 87338 240 87638 4 vssa2
+port 644 nsew
+rlabel metal5 s 291760 69338 296250 69638 4 vssa2
+port 644 nsew
+rlabel metal5 s -4288 69338 240 69638 4 vssa2
+port 644 nsew
+rlabel metal5 s 291760 51338 296250 51638 4 vssa2
+port 644 nsew
+rlabel metal5 s -4288 51338 240 51638 4 vssa2
+port 644 nsew
+rlabel metal5 s 291760 33338 296250 33638 4 vssa2
+port 644 nsew
+rlabel metal5 s -4288 33338 240 33638 4 vssa2
+port 644 nsew
+rlabel metal5 s 291760 15338 296250 15638 4 vssa2
+port 644 nsew
+rlabel metal5 s -4288 15338 240 15638 4 vssa2
+port 644 nsew
+rlabel metal5 s -4288 -3752 296250 -3452 4 vssa2
 port 644 nsew
 << properties >>
 string FIXED_BBOX 0 0 292000 352000
 string GDS_FILE /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/results/magic/user_project_wrapper.gds
-string GDS_END 306838
+string GDS_END 370014
 string GDS_START 130
 << end >>
diff --git a/openlane/user_project_wrapper_empty/gen_pdn.tcl b/openlane/user_project_wrapper_empty/gen_pdn.tcl
new file mode 100644
index 0000000..df1cb09
--- /dev/null
+++ b/openlane/user_project_wrapper_empty/gen_pdn.tcl
@@ -0,0 +1,32 @@
+read_lef $::env(MERGED_LEF_UNPADDED)
+read_def $::env(CURRENT_DEF)
+
+set ::env(_SPACING) 1.7
+set ::env(_WIDTH) 3
+
+set power_domains [list {vccd1 vssd1} {vccd2 vssd2} {vdda1 vssa1} {vdda2 vssa2}]
+
+set ::env(_VDD_NET_NAME) vccd1
+set ::env(_GND_NET_NAME) vssd1
+set ::env(_V_OFFSET) 14
+set ::env(_H_OFFSET) $::env(_V_OFFSET)
+set ::env(_V_PITCH) 180
+set ::env(_H_PITCH) 180
+set ::env(_V_PDN_OFFSET) 0
+set ::env(_H_PDN_OFFSET) 0
+
+foreach domain $power_domains {
+	set ::env(_VDD_NET_NAME) [lindex $domain 0]
+	set ::env(_GND_NET_NAME) [lindex $domain 1]
+
+	pdngen $::env(PDN_CFG) -verbose
+
+	set ::env(_V_OFFSET) \
+		[expr $::env(_V_OFFSET) + 2*($::env(_WIDTH)+$::env(_SPACING))]
+	set ::env(_H_OFFSET) \
+		[expr $::env(_H_OFFSET) + 2*($::env(_WIDTH)+$::env(_SPACING))]
+	set ::env(_V_PDN_OFFSET) [expr $::env(_V_PDN_OFFSET)+6*$::env(_WIDTH)]
+	set ::env(_H_PDN_OFFSET) [expr $::env(_H_PDN_OFFSET)+6*$::env(_WIDTH)]
+}
+
+write_def $::env(pdn_tmp_file_tag).def
diff --git a/openlane/user_project_wrapper_empty/interactive.tcl b/openlane/user_project_wrapper_empty/interactive.tcl
index 8ba77c8..6f1c146 100644
--- a/openlane/user_project_wrapper_empty/interactive.tcl
+++ b/openlane/user_project_wrapper_empty/interactive.tcl
@@ -21,32 +21,9 @@
 	-fixed 1 \
 	-layerNames "met1 met2 met3 met4 met5"
 
-set ::env(_SPACING) 1.6
-set ::env(_WIDTH) 3
+exec -ignorestderr openroad -exit $script_dir/gen_pdn.tcl
 
-set power_domains [list {vccd1 vssd1} {vccd2 vssd2} {vdda1 vssa1} {vdda2 vssa2}]
-
-set ::env(_VDD_NET_NAME) vccd1
-set ::env(_GND_NET_NAME) vssd1
-set ::env(_V_OFFSET) 14
-set ::env(_H_OFFSET) $::env(_V_OFFSET)
-set ::env(_V_PITCH) 180
-set ::env(_H_PITCH) 180
-set ::env(_V_PDN_OFFSET) 0
-set ::env(_H_PDN_OFFSET) 0
-
-foreach domain $power_domains {
-	set ::env(_VDD_NET_NAME) [lindex $domain 0]
-	set ::env(_GND_NET_NAME) [lindex $domain 1]
-	gen_pdn
-
-	set ::env(_V_OFFSET) \
-		[expr $::env(_V_OFFSET) + 2*($::env(_WIDTH)+$::env(_SPACING))]
-	set ::env(_H_OFFSET) \
-		[expr $::env(_H_OFFSET) + 2*($::env(_WIDTH)+$::env(_SPACING))]
-	set ::env(_V_PDN_OFFSET) [expr $::env(_V_PDN_OFFSET)+6*$::env(_WIDTH)]
-	set ::env(_H_PDN_OFFSET) [expr $::env(_H_PDN_OFFSET)+6*$::env(_WIDTH)]
-}
+set_def $::env(pdn_tmp_file_tag).def
 
 # making it "empty"
 remove_nets -input $::env(CURRENT_DEF)
@@ -71,4 +48,4 @@
 	< $::env(magic_result_file_tag).lef \
 	| python3 $::env(OPENLANE_ROOT)/scripts/obs.py {*}$::env(DIE_AREA) \
 	> $::env(magic_result_file_tag).obstructed.lef
-file copy $::env(magic_result_file_tag).obstructed.lef $save_path/lef
+file copy -force $::env(magic_result_file_tag).obstructed.lef $save_path/lef
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/cmds.log b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/cmds.log
deleted file mode 100644
index 71af659..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/cmds.log
+++ /dev/null
@@ -1,36 +0,0 @@
-Thu Nov 26 18:33:59 UTC 2020 - Executing "/openLANE_flow/scripts/mergeLef.py -i /home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd.tlef {/home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_fd_sc_hd.lef /home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_ef_sc_hd__fakediode_2.lef} -o /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/merged_unpadded.lef |& tee >&@stdout"
-
-Thu Nov 26 18:33:59 UTC 2020 - Executing "/openLANE_flow/scripts/mergeLef.py -i /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/merged_unpadded.lef /project/openlane/user_project_wrapper_empty/../../lef/user_proj_example.lef -o /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/merged_unpadded.lef |& tee >&@stdout"
-
-Thu Nov 26 18:33:59 UTC 2020 - Executing "/openLANE_flow/scripts/libtrim.pl /home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib /home/xrex/usr/devel/pdks/sky130A/libs.tech/openlane/sky130_fd_sc_hd/no_synth.cells > /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/trimmed.lib"
-
-Thu Nov 26 18:33:59 UTC 2020 - Executing "yosys -c /openLANE_flow/scripts/synth_top.tcl -l /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/synthesis/yosys.log |& tee >&@stdout"
-
-Thu Nov 26 18:33:59 UTC 2020 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/project\/openlane\/user_project_wrapper_empty\/runs\/user_project_wrapper\/results\/synthesis\/user_project_wrapper.synthesis.v/} /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/config.tcl"
-
-Thu Nov 26 18:33:59 UTC 2020 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 0/} /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/config.tcl"
-
-Thu Nov 26 18:33:59 UTC 2020 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_floorplan.tcl |& tee >&@stdout /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/floorplan/verilog2def.openroad.log"
-
-Thu Nov 26 18:34:00 UTC 2020 - Executing "python3 /openLANE_flow/scripts/io_place.py --input-lef /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/merged.lef --input-def /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/verilog2def_openroad.def --config /project/openlane/user_project_wrapper_empty/pin_order.cfg --hor-layer 4 --ver-layer 3 --ver-width-mult 4 --hor-width-mult 4 --hor-extension 4.8 --ver-extension 4.8 --length 2.4 -o /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/ioPlacer.def |& tee /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/floorplan/place_io_ol.log >&@stdout"
-
-Thu Nov 26 18:34:00 UTC 2020 - Executing "python3 /openLANE_flow/scripts/addObstruction.py -d /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/ioPlacer.def -l /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/merged_unpadded.lef -obs core_obs -ln met1 met2 met3 met4 met5 -px 2.4 -py 2.4 -sw 2915.2 -sh 3515.2 -db 1000 -f"
-
-Thu Nov 26 18:34:01 UTC 2020 - Executing "openroad -exit /openLANE_flow/scripts/new_pdn.tcl |& tee >&@stdout /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/floorplan/pdn.log"
-
-Thu Nov 26 18:34:01 UTC 2020 - Executing "openroad -exit /openLANE_flow/scripts/new_pdn.tcl |& tee >&@stdout /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/floorplan/pdn.log"
-
-Thu Nov 26 18:34:02 UTC 2020 - Executing "openroad -exit /openLANE_flow/scripts/new_pdn.tcl |& tee >&@stdout /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/floorplan/pdn.log"
-
-Thu Nov 26 18:34:02 UTC 2020 - Executing "openroad -exit /openLANE_flow/scripts/new_pdn.tcl |& tee >&@stdout /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/floorplan/pdn.log"
-
-Thu Nov 26 18:34:03 UTC 2020 - Executing "/openLANE_flow/scripts/remove_nets.sh /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/pdn.def"
-
-Thu Nov 26 18:34:03 UTC 2020 - Executing "/openLANE_flow/scripts/remove_components.sh /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/pdn.def"
-
-Thu Nov 26 18:34:03 UTC 2020 - Executing "magic -noconsole -dnull -rcfile /home/xrex/usr/devel/pdks/sky130A/libs.tech/magic/sky130A.magicrc /openLANE_flow/scripts/magic.tcl </dev/null |& tee >&@stdout /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/magic/magic.log"
-
-Thu Nov 26 18:34:04 UTC 2020 - Executing "magic -noconsole -dnull -rcfile /home/xrex/usr/devel/pdks/sky130A/libs.tech/magic/sky130A.magicrc /openLANE_flow/scripts/magic_mag.tcl </dev/null |& tee >&@stdout /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/magic/magic.log"
-
-Thu Nov 26 18:34:05 UTC 2020 - Executing "magic -noconsole -dnull -rcfile /home/xrex/usr/devel/pdks/sky130A/libs.tech/magic/sky130A.magicrc /openLANE_flow/scripts/magic_maglef.tcl </dev/null |& tee >&@stdout /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/magic/magic.maglef.log"
-
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/config.tcl b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/config.tcl
deleted file mode 100644
index add4d70..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/config.tcl
+++ /dev/null
@@ -1,84 +0,0 @@
-# General config
-set ::env(PDK) "sky130A"
-set ::env(STD_CELL_LIBRARY) "sky130_fd_sc_hd"
-set ::env(PDK_ROOT) "/home/xrex/usr/devel/pdks"
-set ::env(CELL_PAD) "8"
-set ::env(MERGED_LEF) "/project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/merged.lef"
-set ::env(MERGED_LEF_UNPADDED) "/project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/merged_unpadded.lef"
-set ::env(TRACKS_INFO_FILE) "/project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/tracks_copy.info"
-set ::env(TECH_LEF) "/home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd.tlef"
-# Design config
-set ::env(CLOCK_PERIOD) "10"
-# Synthesis config
-set ::env(LIB_SYNTH) "/project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/trimmed.lib"
-set ::env(LIB_SYNTH_COMPLETE) "/home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib"
-set ::env(SYNTH_DRIVING_CELL) "sky130_fd_sc_hd__inv_8"
-set ::env(SYNTH_CAP_LOAD) "17.65"
-set ::env(SYNTH_MAX_FANOUT) "5"
-set ::env(SYNTH_NO_FLAT) "0"
-set ::env(SYNTH_MAX_TRAN) "[expr {0.1*10}]"
-set ::env(LIB_FASTEST) "/home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ff_n40C_1v95.lib"
-set ::env(LIB_SLOWEST) "/home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ss_100C_1v60.lib"
-set ::env(LIB_TYPICAL) "/home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib"
-set ::env(SYNTH_SCRIPT) "/openLANE_flow/scripts/synth.tcl"
-set ::env(SYNTH_STRATEGY) "2"
-set ::env(CLOCK_BUFFER_FANOUT) "16"
-set ::env(BASE_SDC_FILE) "/openLANE_flow/scripts/base.sdc"
-# Floorplan config
-set ::env(FP_CORE_UTIL) "50"
-set ::env(FP_ASPECT_RATIO) "1"
-set ::env(FP_CORE_MARGIN) "0"
-set ::env(FP_IO_HMETAL) "4"
-set ::env(FP_IO_VMETAL) "3"
-set ::env(FP_WELLTAP_CELL) "sky130_fd_sc_hd__tapvpwrvgnd_1"
-set ::env(FP_ENDCAP_CELL) "sky130_fd_sc_hd__decap_3"
-set ::env(FP_PDN_VOFFSET) "16.32"
-set ::env(FP_PDN_VPITCH) "153.6"
-set ::env(FP_PDN_HOFFSET) "16.65"
-set ::env(FP_PDN_HPITCH) "153.18"
-set ::env(FP_TAPCELL_DIST) "14"
-# Placement config
-set ::env(PL_TARGET_DENSITY) "0.55"
-set ::env(PL_TIME_DRIVEN) "0"
-set ::env(PL_LIB) "/home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib"
-set ::env(PL_BASIC_PLACEMENT) "0"
-set ::env(PL_SKIP_INITIAL_PLACEMENT) "0"
-set ::env(PL_OPENPHYSYN_OPTIMIZATIONS) "0"
-set ::env(PSN_ENABLE_RESIZING) "1"
-set ::env(PSN_ENABLE_PIN_SWAP) "1"
-set ::env(PL_RESIZER_OVERBUFFER) "0"
-# CTS config
-set ::env(CTS_TARGET_SKEW) "200"
-set ::env(CTS_ROOT_BUFFER) "sky130_fd_sc_hd__clkbuf_16"
-set ::env(CTS_TECH_DIR) "N/A"
-set ::env(CTS_TOLERANCE) "100"
-# Routing config
-set ::env(GLB_RT_MAXLAYER) "6"
-set ::env(GLB_RT_ADJUSTMENT) "0"
-set ::env(GLB_RT_L1_ADJUSTMENT) "0.99"
-set ::env(GLB_RT_L2_ADJUSTMENT) "0"
-set ::env(GLB_RT_MINLAYER) "1"
-set ::env(GLB_RT_MAXLAYER) "6"
-set ::env(GLB_RT_UNIDIRECTIONAL) "1"
-set ::env(GLB_RT_ALLOW_CONGESTION) "0"
-set ::env(GLB_RT_OVERFLOW_ITERS) "50"
-set ::env(GLB_RT_TILES) "15"
-set ::env(GLB_RT_ESTIMATE_PARASITICS) "0"
-set ::env(GLB_RT_MAX_DIODE_INS_ITERS) "1"
-set ::env(DIODE_PADDING) "2"
-set ::env(SPEF_WIRE_MODEL) "PI"
-set ::env(SPEF_EDGE_CAP_FACTOR) "1"
-# Flow control config
-set ::env(RUN_SIMPLE_CTS) "0"
-set ::env(RUN_ROUTING_DETAILED) "1"
-set ::env(CLOCK_TREE_SYNTH) "1"
-set ::env(LEC_ENABLE) "0"
-set ::env(FILL_INSERTION) "1"
-set ::env(DIODE_INSERTION_STRATEGY) "0"
-set ::env(CHECK_ASSIGN_STATEMENTS) "0"
-set ::env(CHECK_UNMAPPED_CELLS) "1"
-set ::env(USE_ARC_ANTENNA_CHECK) "1"
-set ::env(RUN_SPEF_EXTRACTION) "1"
-set ::env(CURRENT_DEF) /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/pdn.def
-set ::env(CURRENT_NETLIST) /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/synthesis/user_project_wrapper.synthesis.v
-set ::env(PREV_NETLIST) 0
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/floorplan/pdn.log b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/floorplan/pdn.log
deleted file mode 100644
index 6d4311d..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/floorplan/pdn.log
+++ /dev/null
@@ -1,48 +0,0 @@
-OpenROAD 0.9.0 d03ebfc244
-This program is licensed under the BSD-3 license. See the LICENSE file for details. 
-Components of this program may be licensed under more restrictive licenses which must be honored.
-Error: cannot open '/.openroad'.
-Notice 0: Reading LEF file:  /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/merged_unpadded.lef
-Notice 0:     Created 13 technology layers
-Notice 0:     Created 25 technology vias
-Notice 0:     Created 440 library cells
-Notice 0: Finished LEF file:  /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/merged_unpadded.lef
-Notice 0: 
-Reading DEF file: /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/pdn.def
-Notice 0: Design: user_project_wrapper
-Notice 0:     Created 642 pins.
-Notice 0:     Created 2 components and 606 component-terminals.
-Notice 0:     Created 6 special nets and 0 connections.
-Notice 0:     Created 636 nets and 604 connections.
-Notice 0: Finished DEF file: /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/pdn.def
-[INFO] [PDNG-0016] Power Delivery Network Generator: Generating PDN
-[INFO] [PDNG-0016]   config: /project/openlane/user_project_wrapper_empty/pdn.tcl
-[INFO] [PDNG-0008] Design Name is user_project_wrapper
-[INFO] [PDNG-0009] Reading technology data
-[ERROR] [PDNG-0037] Cannot find pin vdda2 on instance obs_core_obs (obs_core_obs)
-[ERROR] [PDNG-0037] Cannot find pin vssa2 on instance obs_core_obs (obs_core_obs)
-[ERROR] [PDNG-0037] Cannot find pin vdda2 on instance mprj (user_proj_example)
-[ERROR] [PDNG-0037] Cannot find pin vssa2 on instance mprj (user_proj_example)
-[INFO] [PDNG-0011] ****** INFO ******
-Type: stdcell, grid
-    Core Rings
-      Layer: met5 -  width: 3.000  spacing: 1.600  core_offset: 41.600 
-      Layer: met4 -  width: 3.000  spacing: 1.600  core_offset: 41.600 
-    Stdcell Rails
-    Straps
-      Layer: met4 -  width: 3.000  pitch: 180.000  offset: 54.000 
-      Layer: met5 -  width: 3.000  pitch: 180.000  offset: 54.000 
-    Connect: {met4 met5}
-Type: macro, macro_1
-    Instance: obs_core_obs
-    Straps
-    Connect: 
-Type: macro, macro_2
-    Straps
-    Connect: 
-[INFO] [PDNG-0012] **** END INFO ****
-[INFO] [PDNG-0013] Inserting stdcell grid - grid
-[INFO] [PDNG-0010] Inserting macro grid for 2 macros
-[INFO] [PDNG-0034]   - grid for instance obs_core_obs
-[INFO] [PDNG-0034]   - grid for instance mprj
-[INFO] [PDNG-0015] Writing to database
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/floorplan/pdn_runtime.txt b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/floorplan/pdn_runtime.txt
deleted file mode 100644
index 8123d3f..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/floorplan/pdn_runtime.txt
+++ /dev/null
@@ -1,4 +0,0 @@
-0h0m0s534ms
-0h0m0s542ms
-0h0m0s538ms
-0h0m0s554ms
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/floorplan/place_io_ol.log b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/floorplan/place_io_ol.log
deleted file mode 100644
index 65c3f6e..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/floorplan/place_io_ol.log
+++ /dev/null
@@ -1,15 +0,0 @@
-Notice 0: Reading LEF file:  /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/merged.lef
-Notice 0:     Created 13 technology layers
-Notice 0:     Created 25 technology vias
-Notice 0:     Created 439 library cells
-Notice 0: Finished LEF file:  /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/merged.lef
-Notice 0: 
-Reading DEF file: /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/verilog2def_openroad.def
-Notice 0: Design: user_project_wrapper
-Notice 0:     Created 636 pins.
-Notice 0:     Created 1 components and 606 component-terminals.
-Notice 0:     Created 636 nets and 604 connections.
-Notice 0: Finished DEF file: /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/verilog2def_openroad.def
-Top-level design name: user_project_wrapper
-Block boundaries: 0 0 2920000 3520000
-Writing /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/ioPlacer.def
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/floorplan/verilog2def.openroad.log b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/floorplan/verilog2def.openroad.log
deleted file mode 100644
index 769a1e7..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/floorplan/verilog2def.openroad.log
+++ /dev/null
@@ -1,20 +0,0 @@
-OpenROAD 0.9.0 d03ebfc244
-This program is licensed under the BSD-3 license. See the LICENSE file for details. 
-Components of this program may be licensed under more restrictive licenses which must be honored.
-Error: cannot open '/.openroad'.
-Warning: /home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib, line 31 default_operating_condition tt_025C_1v80 not found.
-Notice 0: Reading LEF file:  /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/merged_unpadded.lef
-Notice 0:     Created 13 technology layers
-Notice 0:     Created 25 technology vias
-Notice 0:     Created 439 library cells
-Notice 0: Finished LEF file:  /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/merged_unpadded.lef
-Warning: /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/synthesis/user_project_wrapper.synthesis.v, line 30 instance mprj port vccd1 not found.
-Warning: /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/synthesis/user_project_wrapper.synthesis.v, line 30 instance mprj port vccd2 not found.
-Warning: /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/synthesis/user_project_wrapper.synthesis.v, line 30 instance mprj port vdda1 not found.
-Warning: /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/synthesis/user_project_wrapper.synthesis.v, line 30 instance mprj port vdda2 not found.
-Warning: /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/synthesis/user_project_wrapper.synthesis.v, line 30 instance mprj port vssa1 not found.
-Warning: /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/synthesis/user_project_wrapper.synthesis.v, line 30 instance mprj port vssa2 not found.
-Warning: /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/synthesis/user_project_wrapper.synthesis.v, line 30 instance mprj port vssd1 not found.
-Warning: /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/synthesis/user_project_wrapper.synthesis.v, line 30 instance mprj port vssd2 not found.
-Warning: LEF master user_proj_example has no liberty cell.
-Info: Added 1286 rows of 6323 sites.
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/floorplan/verilog2def_openroad_runtime.txt b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/floorplan/verilog2def_openroad_runtime.txt
deleted file mode 100644
index ef345ae..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/floorplan/verilog2def_openroad_runtime.txt
+++ /dev/null
@@ -1 +0,0 @@
-0h0m0s748ms
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/magic/magic.log b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/magic/magic.log
deleted file mode 100644
index 1ce5825..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/magic/magic.log
+++ /dev/null
@@ -1,19 +0,0 @@
-
-Magic 8.3 revision 87 - Compiled on Mon Nov 23 17:53:03 UTC 2020.
-Starting magic under Tcl interpreter
-Using the terminal as the console.
-Using NULL graphics device.
-Processing system .magicrc file
-Sourcing design .magicrc for technology sky130A ...
-2 Magic internal units = 1 Lambda
-Input style sky130: scaleFactor=2, multiplier=2
-Scaled tech values by 2 / 1 to match internal grid scaling
-Loading sky130A Device Generator Menu ...
-Loading "/openLANE_flow/scripts/magic_mag.tcl" from command line.
-Box tool must be present
-[INFO]: Saving .mag view With BBox Values: 
-Warning: Calma reading is not undoable!  I hope that's OK.
-Library written using GDS-II Release 3.0
-Library name: user_project_wrapper
-Reading "user_project_wrapper".
-[INFO]: MAGIC TAPEOUT STEP DONE
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/magic/magic.maglef.log b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/magic/magic.maglef.log
deleted file mode 100644
index 79386f1..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/magic/magic.maglef.log
+++ /dev/null
@@ -1,33 +0,0 @@
-
-Magic 8.3 revision 87 - Compiled on Mon Nov 23 17:53:03 UTC 2020.
-Starting magic under Tcl interpreter
-Using the terminal as the console.
-Using NULL graphics device.
-Processing system .magicrc file
-Sourcing design .magicrc for technology sky130A ...
-2 Magic internal units = 1 Lambda
-Input style sky130: scaleFactor=2, multiplier=2
-Scaled tech values by 2 / 1 to match internal grid scaling
-Loading sky130A Device Generator Menu ...
-Loading "/openLANE_flow/scripts/magic_maglef.tcl" from command line.
-Reading LEF data from file /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/user_project_wrapper.lef.
-This action cannot be undone.
-LEF read, Line 4502 (Error): Don't know how to parse layer "m4m5_pr_c"
-LEF read, Line 4502 (Error): Try adding this name to the LEF techfile section
-LEF read, Line 4898 (Error): Don't know how to parse layer "m4m5_pr_c"
-LEF read, Line 4898 (Error): Try adding this name to the LEF techfile section
-LEF read, Line 5278 (Error): Don't know how to parse layer "m4m5_pr_c"
-LEF read, Line 5278 (Error): Try adding this name to the LEF techfile section
-LEF read, Line 5674 (Error): Don't know how to parse layer "m4m5_pr_c"
-LEF read, Line 5674 (Error): Try adding this name to the LEF techfile section
-LEF read, Line 6052 (Error): Don't know how to parse layer "m4m5_pr_c"
-LEF read, Line 6052 (Error): Try adding this name to the LEF techfile section
-LEF read, Line 6440 (Error): Don't know how to parse layer "m4m5_pr_c"
-LEF read, Line 6440 (Error): Try adding this name to the LEF techfile section
-LEF read, Line 6818 (Error): Don't know how to parse layer "m4m5_pr_c"
-LEF read, Line 6818 (Error): Try adding this name to the LEF techfile section
-LEF read, Line 7206 (Error): Don't know how to parse layer "m4m5_pr_c"
-LEF read, Line 7206 (Error): Try adding this name to the LEF techfile section
-LEF read: Processed 7547 lines.
-LEF Read: encountered 16 errors total.
-[INFO]: DONE GENERATING MAGLEF VIEW
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/synthesis/yosys.log b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/synthesis/yosys.log
deleted file mode 100644
index 10977a2..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/synthesis/yosys.log
+++ /dev/null
@@ -1,115 +0,0 @@
-
- /----------------------------------------------------------------------------\
- |                                                                            |
- |  yosys -- Yosys Open SYnthesis Suite                                       |
- |                                                                            |
- |  Copyright (C) 2012 - 2020  Claire Wolf <claire@symbioticeda.com>          |
- |                                                                            |
- |  Permission to use, copy, modify, and/or distribute this software for any  |
- |  purpose with or without fee is hereby granted, provided that the above    |
- |  copyright notice and this permission notice appear in all copies.         |
- |                                                                            |
- |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
- |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
- |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
- |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
- |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
- |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
- |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
- |                                                                            |
- \----------------------------------------------------------------------------/
-
- Yosys 0.9+3621 (git sha1 84e9fa7, gcc 8.3.1 -fPIC -Os)
-
-[TCL: yosys -import] Command name collision: found pre-existing command `cd' -> skip.
-[TCL: yosys -import] Command name collision: found pre-existing command `eval' -> skip.
-[TCL: yosys -import] Command name collision: found pre-existing command `exec' -> skip.
-[TCL: yosys -import] Command name collision: found pre-existing command `read' -> skip.
-[TCL: yosys -import] Command name collision: found pre-existing command `trace' -> skip.
-
-1. Executing Verilog-2005 frontend: /project/openlane/user_project_wrapper_empty/../../verilog/rtl/defines.v
-Parsing Verilog input from `/project/openlane/user_project_wrapper_empty/../../verilog/rtl/defines.v' to AST representation.
-Successfully finished Verilog frontend.
-
-2. Executing Verilog-2005 frontend: /project/openlane/user_project_wrapper_empty/../../verilog/rtl/user_proj_example.v
-Parsing Verilog input from `/project/openlane/user_project_wrapper_empty/../../verilog/rtl/user_proj_example.v' to AST representation.
-Generating RTLIL representation for module `\user_proj_example'.
-Generating RTLIL representation for module `\counter'.
-Successfully finished Verilog frontend.
-
-3. Executing Verilog-2005 frontend: /project/openlane/user_project_wrapper_empty/../../verilog/rtl/defines.v
-Parsing Verilog input from `/project/openlane/user_project_wrapper_empty/../../verilog/rtl/defines.v' to AST representation.
-Successfully finished Verilog frontend.
-
-4. Executing Verilog-2005 frontend: /project/openlane/user_project_wrapper_empty/../../verilog/rtl/user_project_wrapper.v
-Parsing Verilog input from `/project/openlane/user_project_wrapper_empty/../../verilog/rtl/user_project_wrapper.v' to AST representation.
-Generating RTLIL representation for module `\user_project_wrapper'.
-/project/openlane/user_project_wrapper_empty/../../verilog/rtl/user_project_wrapper.v:68: Warning: Identifier `\vdda1' is implicitly declared.
-/project/openlane/user_project_wrapper_empty/../../verilog/rtl/user_project_wrapper.v:69: Warning: Identifier `\vdda2' is implicitly declared.
-/project/openlane/user_project_wrapper_empty/../../verilog/rtl/user_project_wrapper.v:70: Warning: Identifier `\vssa1' is implicitly declared.
-/project/openlane/user_project_wrapper_empty/../../verilog/rtl/user_project_wrapper.v:71: Warning: Identifier `\vssa2' is implicitly declared.
-/project/openlane/user_project_wrapper_empty/../../verilog/rtl/user_project_wrapper.v:72: Warning: Identifier `\vccd1' is implicitly declared.
-/project/openlane/user_project_wrapper_empty/../../verilog/rtl/user_project_wrapper.v:73: Warning: Identifier `\vccd2' is implicitly declared.
-/project/openlane/user_project_wrapper_empty/../../verilog/rtl/user_project_wrapper.v:74: Warning: Identifier `\vssd1' is implicitly declared.
-/project/openlane/user_project_wrapper_empty/../../verilog/rtl/user_project_wrapper.v:75: Warning: Identifier `\vssd2' is implicitly declared.
-Successfully finished Verilog frontend.
-
-5. Generating Graphviz representation of design.
-Writing dot description to `/project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/synthesis/hierarchy.dot'.
-Dumping module user_project_wrapper to page 1.
-
-6. Executing HIERARCHY pass (managing design hierarchy).
-
-6.1. Analyzing design hierarchy..
-Top module:  \user_project_wrapper
-
-6.2. Analyzing design hierarchy..
-Top module:  \user_project_wrapper
-Removed 0 unused modules.
-
-7. Printing statistics.
-
-=== user_project_wrapper ===
-
-   Number of wires:                 26
-   Number of wire bits:            644
-   Number of public wires:          26
-   Number of public wire bits:     644
-   Number of memories:               0
-   Number of memory bits:            0
-   Number of processes:              0
-   Number of cells:                  1
-     user_proj_example               1
-
-8. Executing SPLITNETS pass (splitting up multi-bit signals).
-
-9. Executing OPT_CLEAN pass (remove unused cells and wires).
-Finding unused cells or wires in module \user_project_wrapper..
-
-10. Executing CHECK pass (checking for obvious problems).
-checking module user_project_wrapper..
-found and reported 0 problems.
-
-11. Printing statistics.
-
-=== user_project_wrapper ===
-
-   Number of wires:                 26
-   Number of wire bits:            644
-   Number of public wires:          26
-   Number of public wire bits:     644
-   Number of memories:               0
-   Number of memory bits:            0
-   Number of processes:              0
-   Number of cells:                  1
-     user_proj_example               1
-
-   Area for cell type \user_proj_example is unknown!
-
-12. Executing Verilog backend.
-Dumping module `\user_project_wrapper'.
-
-Warnings: 8 unique messages, 8 total
-End of script. Logfile hash: 313ab95b89, CPU: user 0.06s system 0.00s, MEM: 13.05 MB peak
-Yosys 0.9+3621 (git sha1 84e9fa7, gcc 8.3.1 -fPIC -Os)
-Time spent: 64% 2x stat (0 sec), 17% 8x read_verilog (0 sec), ...
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/synthesis/yosys_runtime.txt b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/synthesis/yosys_runtime.txt
deleted file mode 100644
index cf80450..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/logs/synthesis/yosys_runtime.txt
+++ /dev/null
@@ -1 +0,0 @@
-0h0m0s65ms
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/reports/floorplan/verilog2def.core_area.rpt b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/reports/floorplan/verilog2def.core_area.rpt
deleted file mode 100644
index c4a50bd..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/reports/floorplan/verilog2def.core_area.rpt
+++ /dev/null
@@ -1 +0,0 @@
-5.5200000000000005 10.88 2914.48 3509.12
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/reports/floorplan/verilog2def.die_area.rpt b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/reports/floorplan/verilog2def.die_area.rpt
deleted file mode 100644
index 17b240c..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/reports/floorplan/verilog2def.die_area.rpt
+++ /dev/null
@@ -1 +0,0 @@
-0 0 2920 3520
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/reports/synthesis/yosys.stat.rpt b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/reports/synthesis/yosys.stat.rpt
deleted file mode 100644
index 4f10540..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/reports/synthesis/yosys.stat.rpt
+++ /dev/null
@@ -1,17 +0,0 @@
-
-11. Printing statistics.
-
-=== user_project_wrapper ===
-
-   Number of wires:                 26
-   Number of wire bits:            644
-   Number of public wires:          26
-   Number of public wire bits:     644
-   Number of memories:               0
-   Number of memory bits:            0
-   Number of processes:              0
-   Number of cells:                  1
-     user_proj_example               1
-
-   Area for cell type \user_proj_example is unknown!
-
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/reports/synthesis/yosys_.chk.rpt b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/reports/synthesis/yosys_.chk.rpt
deleted file mode 100644
index c028384..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/reports/synthesis/yosys_.chk.rpt
+++ /dev/null
@@ -1,4 +0,0 @@
-
-10. Executing CHECK pass (checking for obvious problems).
-checking module user_project_wrapper..
-found and reported 0 problems.
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/reports/synthesis/yosys_synth.stat b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/reports/synthesis/yosys_synth.stat
deleted file mode 100644
index b8aecbc..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/reports/synthesis/yosys_synth.stat
+++ /dev/null
@@ -1,15 +0,0 @@
-
-7. Printing statistics.
-
-=== user_project_wrapper ===
-
-   Number of wires:                 26
-   Number of wire bits:            644
-   Number of public wires:          26
-   Number of public wire bits:     644
-   Number of memories:               0
-   Number of memory bits:            0
-   Number of processes:              0
-   Number of cells:                  1
-     user_proj_example               1
-
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/cts/merged_unpadded.lef b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/cts/merged_unpadded.lef
deleted file mode 120000
index c48782e..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/cts/merged_unpadded.lef
+++ /dev/null
@@ -1 +0,0 @@
-../../tmp/merged_unpadded.lef
\ No newline at end of file
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/floorplan/merged_unpadded.lef b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/floorplan/merged_unpadded.lef
deleted file mode 120000
index c48782e..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/floorplan/merged_unpadded.lef
+++ /dev/null
@@ -1 +0,0 @@
-../../tmp/merged_unpadded.lef
\ No newline at end of file
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/lvs/merged_unpadded.lef b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/lvs/merged_unpadded.lef
deleted file mode 120000
index c48782e..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/lvs/merged_unpadded.lef
+++ /dev/null
@@ -1 +0,0 @@
-../../tmp/merged_unpadded.lef
\ No newline at end of file
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/.magicrc b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/.magicrc
deleted file mode 100644
index 05a1f94..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/.magicrc
+++ /dev/null
@@ -1,75 +0,0 @@
-puts stdout "Sourcing design .magicrc for technology sky130A ..."
-
-# Put grid on 0.005 pitch.  This is important, as some commands don't
-# rescale the grid automatically (such as lef read?).
-
-set scalefac [tech lambda]
-if {[lindex $scalefac 1] < 2} {
-    scalegrid 1 2
-}
-
-# drc off
-drc euclidean on
-
-# Allow override of PDK path from environment variable PDKPATH
-if {[catch {set PDKPATH $env(PDKPATH)}]} {
-    set PDKPATH "/home/xrex/usr/devel/pdks/sky130A"
-}
-
-# loading technology
-tech load $PDKPATH/libs.tech/magic/current/sky130A.tech
-
-# load device generator
-source $PDKPATH/libs.tech/magic/current/sky130A.tcl
-
-# load bind keys (optional)
-# source $PDKPATH/libs.tech/magic/current/sky130A-BindKeys
-
-# set units to lambda grid 
-snap lambda
-
-# set sky130 standard power, ground, and substrate names
-set VDD VPWR
-set GND VGND
-set SUB VSUBS
-
-# Allow override of type of magic library views used, "mag" or "maglef",
-# from environment variable MAGTYPE
-
-if {[catch {set MAGTYPE $env(MAGTYPE)}]} {
-   set MAGTYPE mag
-}
-
-# add path to reference cells
-if {[file isdir ${PDKPATH}/libs.ref/${MAGTYPE}]} {
-    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_pr
-    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_io
-    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hd
-    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hdll
-    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hs
-    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hvl
-    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_lp
-    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_ls
-    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_ms
-    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_osu_sc
-    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_osu_sc_t18
-} else {
-    addpath ${PDKPATH}/libs.ref/sky130_fd_pr/${MAGTYPE}
-    addpath ${PDKPATH}/libs.ref/sky130_fd_io/${MAGTYPE}
-    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hd/${MAGTYPE}
-    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hdll/${MAGTYPE}
-    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hs/${MAGTYPE}
-    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hvl/${MAGTYPE}
-    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_lp/${MAGTYPE}
-    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_ls/${MAGTYPE}
-    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_ms/${MAGTYPE}
-    addpath ${PDKPATH}/libs.ref/sky130_osu_sc/${MAGTYPE}
-    addpath ${PDKPATH}/libs.ref/sky130_osu_sc_t18/${MAGTYPE}
-}
-
-# add path to GDS cells
-
-# add path to IP from catalog.  This procedure defined in the PDK script.
-catch {magic::query_mylib_ip}
-# add path to local IP from user design space.  Defined in the PDK script.
-catch {magic::query_my_projects}
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/merged_unpadded.lef b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/merged_unpadded.lef
deleted file mode 120000
index c48782e..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/merged_unpadded.lef
+++ /dev/null
@@ -1 +0,0 @@
-../../tmp/merged_unpadded.lef
\ No newline at end of file
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/user_project_wrapper.gds b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/user_project_wrapper.gds
deleted file mode 100644
index e08ec20..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/user_project_wrapper.gds
+++ /dev/null
Binary files differ
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/user_project_wrapper.lef b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/user_project_wrapper.lef
deleted file mode 100644
index ac413eb..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/user_project_wrapper.lef
+++ /dev/null
@@ -1,7548 +0,0 @@
-VERSION 5.7 ;
-  NOWIREEXTENSIONATPIN ON ;
-  DIVIDERCHAR "/" ;
-  BUSBITCHARS "[]" ;
-MACRO user_project_wrapper
-  CLASS BLOCK ;
-  FOREIGN user_project_wrapper ;
-  ORIGIN 0.000 0.000 ;
-  SIZE 2920.000 BY 3520.000 ;
-  PIN analog_io[0]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 28.980 2924.800 30.180 ;
-    END
-  END analog_io[0]
-  PIN analog_io[10]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 2374.980 2924.800 2376.180 ;
-    END
-  END analog_io[10]
-  PIN analog_io[11]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 2609.580 2924.800 2610.780 ;
-    END
-  END analog_io[11]
-  PIN analog_io[12]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 2844.180 2924.800 2845.380 ;
-    END
-  END analog_io[12]
-  PIN analog_io[13]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 3078.780 2924.800 3079.980 ;
-    END
-  END analog_io[13]
-  PIN analog_io[14]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 3313.380 2924.800 3314.580 ;
-    END
-  END analog_io[14]
-  PIN analog_io[15]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2879.090 3517.600 2879.650 3524.800 ;
-    END
-  END analog_io[15]
-  PIN analog_io[16]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2554.790 3517.600 2555.350 3524.800 ;
-    END
-  END analog_io[16]
-  PIN analog_io[17]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2230.490 3517.600 2231.050 3524.800 ;
-    END
-  END analog_io[17]
-  PIN analog_io[18]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1905.730 3517.600 1906.290 3524.800 ;
-    END
-  END analog_io[18]
-  PIN analog_io[19]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1581.430 3517.600 1581.990 3524.800 ;
-    END
-  END analog_io[19]
-  PIN analog_io[1]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 263.580 2924.800 264.780 ;
-    END
-  END analog_io[1]
-  PIN analog_io[20]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1257.130 3517.600 1257.690 3524.800 ;
-    END
-  END analog_io[20]
-  PIN analog_io[21]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met2 ;
-        RECT 932.370 3517.600 932.930 3524.800 ;
-    END
-  END analog_io[21]
-  PIN analog_io[22]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met2 ;
-        RECT 608.070 3517.600 608.630 3524.800 ;
-    END
-  END analog_io[22]
-  PIN analog_io[23]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met2 ;
-        RECT 283.770 3517.600 284.330 3524.800 ;
-    END
-  END analog_io[23]
-  PIN analog_io[24]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 3482.700 2.400 3483.900 ;
-    END
-  END analog_io[24]
-  PIN analog_io[25]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 3195.060 2.400 3196.260 ;
-    END
-  END analog_io[25]
-  PIN analog_io[26]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 2908.100 2.400 2909.300 ;
-    END
-  END analog_io[26]
-  PIN analog_io[27]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 2620.460 2.400 2621.660 ;
-    END
-  END analog_io[27]
-  PIN analog_io[28]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 2333.500 2.400 2334.700 ;
-    END
-  END analog_io[28]
-  PIN analog_io[29]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 2045.860 2.400 2047.060 ;
-    END
-  END analog_io[29]
-  PIN analog_io[2]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 498.180 2924.800 499.380 ;
-    END
-  END analog_io[2]
-  PIN analog_io[30]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 1758.900 2.400 1760.100 ;
-    END
-  END analog_io[30]
-  PIN analog_io[3]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 732.780 2924.800 733.980 ;
-    END
-  END analog_io[3]
-  PIN analog_io[4]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 967.380 2924.800 968.580 ;
-    END
-  END analog_io[4]
-  PIN analog_io[5]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 1201.980 2924.800 1203.180 ;
-    END
-  END analog_io[5]
-  PIN analog_io[6]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 1436.580 2924.800 1437.780 ;
-    END
-  END analog_io[6]
-  PIN analog_io[7]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 1671.180 2924.800 1672.380 ;
-    END
-  END analog_io[7]
-  PIN analog_io[8]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 1905.780 2924.800 1906.980 ;
-    END
-  END analog_io[8]
-  PIN analog_io[9]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 2140.380 2924.800 2141.580 ;
-    END
-  END analog_io[9]
-  PIN io_in[0]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 87.460 2924.800 88.660 ;
-    END
-  END io_in[0]
-  PIN io_in[10]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 2433.460 2924.800 2434.660 ;
-    END
-  END io_in[10]
-  PIN io_in[11]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 2668.740 2924.800 2669.940 ;
-    END
-  END io_in[11]
-  PIN io_in[12]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 2903.340 2924.800 2904.540 ;
-    END
-  END io_in[12]
-  PIN io_in[13]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 3137.940 2924.800 3139.140 ;
-    END
-  END io_in[13]
-  PIN io_in[14]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 3372.540 2924.800 3373.740 ;
-    END
-  END io_in[14]
-  PIN io_in[15]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2798.130 3517.600 2798.690 3524.800 ;
-    END
-  END io_in[15]
-  PIN io_in[16]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2473.830 3517.600 2474.390 3524.800 ;
-    END
-  END io_in[16]
-  PIN io_in[17]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2149.070 3517.600 2149.630 3524.800 ;
-    END
-  END io_in[17]
-  PIN io_in[18]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1824.770 3517.600 1825.330 3524.800 ;
-    END
-  END io_in[18]
-  PIN io_in[19]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1500.470 3517.600 1501.030 3524.800 ;
-    END
-  END io_in[19]
-  PIN io_in[1]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 322.060 2924.800 323.260 ;
-    END
-  END io_in[1]
-  PIN io_in[20]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1175.710 3517.600 1176.270 3524.800 ;
-    END
-  END io_in[20]
-  PIN io_in[21]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 851.410 3517.600 851.970 3524.800 ;
-    END
-  END io_in[21]
-  PIN io_in[22]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 527.110 3517.600 527.670 3524.800 ;
-    END
-  END io_in[22]
-  PIN io_in[23]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 202.350 3517.600 202.910 3524.800 ;
-    END
-  END io_in[23]
-  PIN io_in[24]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 3410.620 2.400 3411.820 ;
-    END
-  END io_in[24]
-  PIN io_in[25]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 3123.660 2.400 3124.860 ;
-    END
-  END io_in[25]
-  PIN io_in[26]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 2836.020 2.400 2837.220 ;
-    END
-  END io_in[26]
-  PIN io_in[27]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 2549.060 2.400 2550.260 ;
-    END
-  END io_in[27]
-  PIN io_in[28]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 2261.420 2.400 2262.620 ;
-    END
-  END io_in[28]
-  PIN io_in[29]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 1974.460 2.400 1975.660 ;
-    END
-  END io_in[29]
-  PIN io_in[2]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 556.660 2924.800 557.860 ;
-    END
-  END io_in[2]
-  PIN io_in[30]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 1686.820 2.400 1688.020 ;
-    END
-  END io_in[30]
-  PIN io_in[31]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 1471.260 2.400 1472.460 ;
-    END
-  END io_in[31]
-  PIN io_in[32]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 1255.700 2.400 1256.900 ;
-    END
-  END io_in[32]
-  PIN io_in[33]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 1040.140 2.400 1041.340 ;
-    END
-  END io_in[33]
-  PIN io_in[34]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 824.580 2.400 825.780 ;
-    END
-  END io_in[34]
-  PIN io_in[35]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 609.700 2.400 610.900 ;
-    END
-  END io_in[35]
-  PIN io_in[36]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 394.140 2.400 395.340 ;
-    END
-  END io_in[36]
-  PIN io_in[37]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 178.580 2.400 179.780 ;
-    END
-  END io_in[37]
-  PIN io_in[3]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 791.260 2924.800 792.460 ;
-    END
-  END io_in[3]
-  PIN io_in[4]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 1025.860 2924.800 1027.060 ;
-    END
-  END io_in[4]
-  PIN io_in[5]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 1260.460 2924.800 1261.660 ;
-    END
-  END io_in[5]
-  PIN io_in[6]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 1495.060 2924.800 1496.260 ;
-    END
-  END io_in[6]
-  PIN io_in[7]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 1729.660 2924.800 1730.860 ;
-    END
-  END io_in[7]
-  PIN io_in[8]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 1964.260 2924.800 1965.460 ;
-    END
-  END io_in[8]
-  PIN io_in[9]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 2198.860 2924.800 2200.060 ;
-    END
-  END io_in[9]
-  PIN io_oeb[0]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 204.420 2924.800 205.620 ;
-    END
-  END io_oeb[0]
-  PIN io_oeb[10]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 2551.100 2924.800 2552.300 ;
-    END
-  END io_oeb[10]
-  PIN io_oeb[11]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 2785.700 2924.800 2786.900 ;
-    END
-  END io_oeb[11]
-  PIN io_oeb[12]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 3020.300 2924.800 3021.500 ;
-    END
-  END io_oeb[12]
-  PIN io_oeb[13]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 3254.900 2924.800 3256.100 ;
-    END
-  END io_oeb[13]
-  PIN io_oeb[14]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 3489.500 2924.800 3490.700 ;
-    END
-  END io_oeb[14]
-  PIN io_oeb[15]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2635.750 3517.600 2636.310 3524.800 ;
-    END
-  END io_oeb[15]
-  PIN io_oeb[16]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2311.450 3517.600 2312.010 3524.800 ;
-    END
-  END io_oeb[16]
-  PIN io_oeb[17]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1987.150 3517.600 1987.710 3524.800 ;
-    END
-  END io_oeb[17]
-  PIN io_oeb[18]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1662.390 3517.600 1662.950 3524.800 ;
-    END
-  END io_oeb[18]
-  PIN io_oeb[19]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1338.090 3517.600 1338.650 3524.800 ;
-    END
-  END io_oeb[19]
-  PIN io_oeb[1]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 439.020 2924.800 440.220 ;
-    END
-  END io_oeb[1]
-  PIN io_oeb[20]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1013.790 3517.600 1014.350 3524.800 ;
-    END
-  END io_oeb[20]
-  PIN io_oeb[21]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 689.030 3517.600 689.590 3524.800 ;
-    END
-  END io_oeb[21]
-  PIN io_oeb[22]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 364.730 3517.600 365.290 3524.800 ;
-    END
-  END io_oeb[22]
-  PIN io_oeb[23]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 40.430 3517.600 40.990 3524.800 ;
-    END
-  END io_oeb[23]
-  PIN io_oeb[24]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 3267.140 2.400 3268.340 ;
-    END
-  END io_oeb[24]
-  PIN io_oeb[25]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 2979.500 2.400 2980.700 ;
-    END
-  END io_oeb[25]
-  PIN io_oeb[26]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 2692.540 2.400 2693.740 ;
-    END
-  END io_oeb[26]
-  PIN io_oeb[27]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 2404.900 2.400 2406.100 ;
-    END
-  END io_oeb[27]
-  PIN io_oeb[28]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 2117.940 2.400 2119.140 ;
-    END
-  END io_oeb[28]
-  PIN io_oeb[29]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 1830.300 2.400 1831.500 ;
-    END
-  END io_oeb[29]
-  PIN io_oeb[2]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 673.620 2924.800 674.820 ;
-    END
-  END io_oeb[2]
-  PIN io_oeb[30]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 1543.340 2.400 1544.540 ;
-    END
-  END io_oeb[30]
-  PIN io_oeb[31]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 1327.780 2.400 1328.980 ;
-    END
-  END io_oeb[31]
-  PIN io_oeb[32]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 1112.220 2.400 1113.420 ;
-    END
-  END io_oeb[32]
-  PIN io_oeb[33]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 896.660 2.400 897.860 ;
-    END
-  END io_oeb[33]
-  PIN io_oeb[34]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 681.100 2.400 682.300 ;
-    END
-  END io_oeb[34]
-  PIN io_oeb[35]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 465.540 2.400 466.740 ;
-    END
-  END io_oeb[35]
-  PIN io_oeb[36]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 249.980 2.400 251.180 ;
-    END
-  END io_oeb[36]
-  PIN io_oeb[37]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 35.100 2.400 36.300 ;
-    END
-  END io_oeb[37]
-  PIN io_oeb[3]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 908.900 2924.800 910.100 ;
-    END
-  END io_oeb[3]
-  PIN io_oeb[4]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 1143.500 2924.800 1144.700 ;
-    END
-  END io_oeb[4]
-  PIN io_oeb[5]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 1378.100 2924.800 1379.300 ;
-    END
-  END io_oeb[5]
-  PIN io_oeb[6]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 1612.700 2924.800 1613.900 ;
-    END
-  END io_oeb[6]
-  PIN io_oeb[7]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 1847.300 2924.800 1848.500 ;
-    END
-  END io_oeb[7]
-  PIN io_oeb[8]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 2081.900 2924.800 2083.100 ;
-    END
-  END io_oeb[8]
-  PIN io_oeb[9]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 2316.500 2924.800 2317.700 ;
-    END
-  END io_oeb[9]
-  PIN io_out[0]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 145.940 2924.800 147.140 ;
-    END
-  END io_out[0]
-  PIN io_out[10]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 2492.620 2924.800 2493.820 ;
-    END
-  END io_out[10]
-  PIN io_out[11]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 2727.220 2924.800 2728.420 ;
-    END
-  END io_out[11]
-  PIN io_out[12]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 2961.820 2924.800 2963.020 ;
-    END
-  END io_out[12]
-  PIN io_out[13]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 3196.420 2924.800 3197.620 ;
-    END
-  END io_out[13]
-  PIN io_out[14]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 3431.020 2924.800 3432.220 ;
-    END
-  END io_out[14]
-  PIN io_out[15]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2717.170 3517.600 2717.730 3524.800 ;
-    END
-  END io_out[15]
-  PIN io_out[16]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2392.410 3517.600 2392.970 3524.800 ;
-    END
-  END io_out[16]
-  PIN io_out[17]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2068.110 3517.600 2068.670 3524.800 ;
-    END
-  END io_out[17]
-  PIN io_out[18]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1743.810 3517.600 1744.370 3524.800 ;
-    END
-  END io_out[18]
-  PIN io_out[19]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1419.050 3517.600 1419.610 3524.800 ;
-    END
-  END io_out[19]
-  PIN io_out[1]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 380.540 2924.800 381.740 ;
-    END
-  END io_out[1]
-  PIN io_out[20]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1094.750 3517.600 1095.310 3524.800 ;
-    END
-  END io_out[20]
-  PIN io_out[21]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 770.450 3517.600 771.010 3524.800 ;
-    END
-  END io_out[21]
-  PIN io_out[22]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 445.690 3517.600 446.250 3524.800 ;
-    END
-  END io_out[22]
-  PIN io_out[23]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 121.390 3517.600 121.950 3524.800 ;
-    END
-  END io_out[23]
-  PIN io_out[24]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 3339.220 2.400 3340.420 ;
-    END
-  END io_out[24]
-  PIN io_out[25]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 3051.580 2.400 3052.780 ;
-    END
-  END io_out[25]
-  PIN io_out[26]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 2764.620 2.400 2765.820 ;
-    END
-  END io_out[26]
-  PIN io_out[27]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 2476.980 2.400 2478.180 ;
-    END
-  END io_out[27]
-  PIN io_out[28]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 2189.340 2.400 2190.540 ;
-    END
-  END io_out[28]
-  PIN io_out[29]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 1902.380 2.400 1903.580 ;
-    END
-  END io_out[29]
-  PIN io_out[2]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 615.140 2924.800 616.340 ;
-    END
-  END io_out[2]
-  PIN io_out[30]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 1614.740 2.400 1615.940 ;
-    END
-  END io_out[30]
-  PIN io_out[31]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 1399.860 2.400 1401.060 ;
-    END
-  END io_out[31]
-  PIN io_out[32]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 1184.300 2.400 1185.500 ;
-    END
-  END io_out[32]
-  PIN io_out[33]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 968.740 2.400 969.940 ;
-    END
-  END io_out[33]
-  PIN io_out[34]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 753.180 2.400 754.380 ;
-    END
-  END io_out[34]
-  PIN io_out[35]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 537.620 2.400 538.820 ;
-    END
-  END io_out[35]
-  PIN io_out[36]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 322.060 2.400 323.260 ;
-    END
-  END io_out[36]
-  PIN io_out[37]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800 106.500 2.400 107.700 ;
-    END
-  END io_out[37]
-  PIN io_out[3]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 849.740 2924.800 850.940 ;
-    END
-  END io_out[3]
-  PIN io_out[4]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 1084.340 2924.800 1085.540 ;
-    END
-  END io_out[4]
-  PIN io_out[5]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 1318.940 2924.800 1320.140 ;
-    END
-  END io_out[5]
-  PIN io_out[6]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 1553.540 2924.800 1554.740 ;
-    END
-  END io_out[6]
-  PIN io_out[7]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 1788.820 2924.800 1790.020 ;
-    END
-  END io_out[7]
-  PIN io_out[8]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 2023.420 2924.800 2024.620 ;
-    END
-  END io_out[8]
-  PIN io_out[9]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2917.600 2258.020 2924.800 2259.220 ;
-    END
-  END io_out[9]
-  PIN la_data_in[0]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 632.910 -4.800 633.470 2.400 ;
-    END
-  END la_data_in[0]
-  PIN la_data_in[100]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2417.250 -4.800 2417.810 2.400 ;
-    END
-  END la_data_in[100]
-  PIN la_data_in[101]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2434.730 -4.800 2435.290 2.400 ;
-    END
-  END la_data_in[101]
-  PIN la_data_in[102]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2452.670 -4.800 2453.230 2.400 ;
-    END
-  END la_data_in[102]
-  PIN la_data_in[103]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2470.610 -4.800 2471.170 2.400 ;
-    END
-  END la_data_in[103]
-  PIN la_data_in[104]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2488.550 -4.800 2489.110 2.400 ;
-    END
-  END la_data_in[104]
-  PIN la_data_in[105]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2506.030 -4.800 2506.590 2.400 ;
-    END
-  END la_data_in[105]
-  PIN la_data_in[106]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2523.970 -4.800 2524.530 2.400 ;
-    END
-  END la_data_in[106]
-  PIN la_data_in[107]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2541.910 -4.800 2542.470 2.400 ;
-    END
-  END la_data_in[107]
-  PIN la_data_in[108]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2559.850 -4.800 2560.410 2.400 ;
-    END
-  END la_data_in[108]
-  PIN la_data_in[109]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2577.790 -4.800 2578.350 2.400 ;
-    END
-  END la_data_in[109]
-  PIN la_data_in[10]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 811.390 -4.800 811.950 2.400 ;
-    END
-  END la_data_in[10]
-  PIN la_data_in[110]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2595.270 -4.800 2595.830 2.400 ;
-    END
-  END la_data_in[110]
-  PIN la_data_in[111]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2613.210 -4.800 2613.770 2.400 ;
-    END
-  END la_data_in[111]
-  PIN la_data_in[112]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2631.150 -4.800 2631.710 2.400 ;
-    END
-  END la_data_in[112]
-  PIN la_data_in[113]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2649.090 -4.800 2649.650 2.400 ;
-    END
-  END la_data_in[113]
-  PIN la_data_in[114]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2667.030 -4.800 2667.590 2.400 ;
-    END
-  END la_data_in[114]
-  PIN la_data_in[115]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2684.510 -4.800 2685.070 2.400 ;
-    END
-  END la_data_in[115]
-  PIN la_data_in[116]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2702.450 -4.800 2703.010 2.400 ;
-    END
-  END la_data_in[116]
-  PIN la_data_in[117]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2720.390 -4.800 2720.950 2.400 ;
-    END
-  END la_data_in[117]
-  PIN la_data_in[118]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2738.330 -4.800 2738.890 2.400 ;
-    END
-  END la_data_in[118]
-  PIN la_data_in[119]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2755.810 -4.800 2756.370 2.400 ;
-    END
-  END la_data_in[119]
-  PIN la_data_in[11]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 829.330 -4.800 829.890 2.400 ;
-    END
-  END la_data_in[11]
-  PIN la_data_in[120]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2773.750 -4.800 2774.310 2.400 ;
-    END
-  END la_data_in[120]
-  PIN la_data_in[121]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2791.690 -4.800 2792.250 2.400 ;
-    END
-  END la_data_in[121]
-  PIN la_data_in[122]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2809.630 -4.800 2810.190 2.400 ;
-    END
-  END la_data_in[122]
-  PIN la_data_in[123]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2827.570 -4.800 2828.130 2.400 ;
-    END
-  END la_data_in[123]
-  PIN la_data_in[124]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2845.050 -4.800 2845.610 2.400 ;
-    END
-  END la_data_in[124]
-  PIN la_data_in[125]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2862.990 -4.800 2863.550 2.400 ;
-    END
-  END la_data_in[125]
-  PIN la_data_in[126]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2880.930 -4.800 2881.490 2.400 ;
-    END
-  END la_data_in[126]
-  PIN la_data_in[127]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2898.870 -4.800 2899.430 2.400 ;
-    END
-  END la_data_in[127]
-  PIN la_data_in[12]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 846.810 -4.800 847.370 2.400 ;
-    END
-  END la_data_in[12]
-  PIN la_data_in[13]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 864.750 -4.800 865.310 2.400 ;
-    END
-  END la_data_in[13]
-  PIN la_data_in[14]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 882.690 -4.800 883.250 2.400 ;
-    END
-  END la_data_in[14]
-  PIN la_data_in[15]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 900.630 -4.800 901.190 2.400 ;
-    END
-  END la_data_in[15]
-  PIN la_data_in[16]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 918.570 -4.800 919.130 2.400 ;
-    END
-  END la_data_in[16]
-  PIN la_data_in[17]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 936.050 -4.800 936.610 2.400 ;
-    END
-  END la_data_in[17]
-  PIN la_data_in[18]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 953.990 -4.800 954.550 2.400 ;
-    END
-  END la_data_in[18]
-  PIN la_data_in[19]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 971.930 -4.800 972.490 2.400 ;
-    END
-  END la_data_in[19]
-  PIN la_data_in[1]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 650.850 -4.800 651.410 2.400 ;
-    END
-  END la_data_in[1]
-  PIN la_data_in[20]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 989.870 -4.800 990.430 2.400 ;
-    END
-  END la_data_in[20]
-  PIN la_data_in[21]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1007.350 -4.800 1007.910 2.400 ;
-    END
-  END la_data_in[21]
-  PIN la_data_in[22]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1025.290 -4.800 1025.850 2.400 ;
-    END
-  END la_data_in[22]
-  PIN la_data_in[23]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1043.230 -4.800 1043.790 2.400 ;
-    END
-  END la_data_in[23]
-  PIN la_data_in[24]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1061.170 -4.800 1061.730 2.400 ;
-    END
-  END la_data_in[24]
-  PIN la_data_in[25]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1079.110 -4.800 1079.670 2.400 ;
-    END
-  END la_data_in[25]
-  PIN la_data_in[26]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1096.590 -4.800 1097.150 2.400 ;
-    END
-  END la_data_in[26]
-  PIN la_data_in[27]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1114.530 -4.800 1115.090 2.400 ;
-    END
-  END la_data_in[27]
-  PIN la_data_in[28]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1132.470 -4.800 1133.030 2.400 ;
-    END
-  END la_data_in[28]
-  PIN la_data_in[29]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1150.410 -4.800 1150.970 2.400 ;
-    END
-  END la_data_in[29]
-  PIN la_data_in[2]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 668.790 -4.800 669.350 2.400 ;
-    END
-  END la_data_in[2]
-  PIN la_data_in[30]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1168.350 -4.800 1168.910 2.400 ;
-    END
-  END la_data_in[30]
-  PIN la_data_in[31]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1185.830 -4.800 1186.390 2.400 ;
-    END
-  END la_data_in[31]
-  PIN la_data_in[32]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1203.770 -4.800 1204.330 2.400 ;
-    END
-  END la_data_in[32]
-  PIN la_data_in[33]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1221.710 -4.800 1222.270 2.400 ;
-    END
-  END la_data_in[33]
-  PIN la_data_in[34]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1239.650 -4.800 1240.210 2.400 ;
-    END
-  END la_data_in[34]
-  PIN la_data_in[35]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1257.130 -4.800 1257.690 2.400 ;
-    END
-  END la_data_in[35]
-  PIN la_data_in[36]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1275.070 -4.800 1275.630 2.400 ;
-    END
-  END la_data_in[36]
-  PIN la_data_in[37]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1293.010 -4.800 1293.570 2.400 ;
-    END
-  END la_data_in[37]
-  PIN la_data_in[38]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1310.950 -4.800 1311.510 2.400 ;
-    END
-  END la_data_in[38]
-  PIN la_data_in[39]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1328.890 -4.800 1329.450 2.400 ;
-    END
-  END la_data_in[39]
-  PIN la_data_in[3]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 686.270 -4.800 686.830 2.400 ;
-    END
-  END la_data_in[3]
-  PIN la_data_in[40]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1346.370 -4.800 1346.930 2.400 ;
-    END
-  END la_data_in[40]
-  PIN la_data_in[41]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1364.310 -4.800 1364.870 2.400 ;
-    END
-  END la_data_in[41]
-  PIN la_data_in[42]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1382.250 -4.800 1382.810 2.400 ;
-    END
-  END la_data_in[42]
-  PIN la_data_in[43]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1400.190 -4.800 1400.750 2.400 ;
-    END
-  END la_data_in[43]
-  PIN la_data_in[44]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1418.130 -4.800 1418.690 2.400 ;
-    END
-  END la_data_in[44]
-  PIN la_data_in[45]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1435.610 -4.800 1436.170 2.400 ;
-    END
-  END la_data_in[45]
-  PIN la_data_in[46]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1453.550 -4.800 1454.110 2.400 ;
-    END
-  END la_data_in[46]
-  PIN la_data_in[47]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1471.490 -4.800 1472.050 2.400 ;
-    END
-  END la_data_in[47]
-  PIN la_data_in[48]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1489.430 -4.800 1489.990 2.400 ;
-    END
-  END la_data_in[48]
-  PIN la_data_in[49]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1506.910 -4.800 1507.470 2.400 ;
-    END
-  END la_data_in[49]
-  PIN la_data_in[4]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 704.210 -4.800 704.770 2.400 ;
-    END
-  END la_data_in[4]
-  PIN la_data_in[50]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1524.850 -4.800 1525.410 2.400 ;
-    END
-  END la_data_in[50]
-  PIN la_data_in[51]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1542.790 -4.800 1543.350 2.400 ;
-    END
-  END la_data_in[51]
-  PIN la_data_in[52]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1560.730 -4.800 1561.290 2.400 ;
-    END
-  END la_data_in[52]
-  PIN la_data_in[53]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1578.670 -4.800 1579.230 2.400 ;
-    END
-  END la_data_in[53]
-  PIN la_data_in[54]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1596.150 -4.800 1596.710 2.400 ;
-    END
-  END la_data_in[54]
-  PIN la_data_in[55]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1614.090 -4.800 1614.650 2.400 ;
-    END
-  END la_data_in[55]
-  PIN la_data_in[56]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1632.030 -4.800 1632.590 2.400 ;
-    END
-  END la_data_in[56]
-  PIN la_data_in[57]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1649.970 -4.800 1650.530 2.400 ;
-    END
-  END la_data_in[57]
-  PIN la_data_in[58]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1667.910 -4.800 1668.470 2.400 ;
-    END
-  END la_data_in[58]
-  PIN la_data_in[59]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1685.390 -4.800 1685.950 2.400 ;
-    END
-  END la_data_in[59]
-  PIN la_data_in[5]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 722.150 -4.800 722.710 2.400 ;
-    END
-  END la_data_in[5]
-  PIN la_data_in[60]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1703.330 -4.800 1703.890 2.400 ;
-    END
-  END la_data_in[60]
-  PIN la_data_in[61]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1721.270 -4.800 1721.830 2.400 ;
-    END
-  END la_data_in[61]
-  PIN la_data_in[62]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1739.210 -4.800 1739.770 2.400 ;
-    END
-  END la_data_in[62]
-  PIN la_data_in[63]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1756.690 -4.800 1757.250 2.400 ;
-    END
-  END la_data_in[63]
-  PIN la_data_in[64]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1774.630 -4.800 1775.190 2.400 ;
-    END
-  END la_data_in[64]
-  PIN la_data_in[65]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1792.570 -4.800 1793.130 2.400 ;
-    END
-  END la_data_in[65]
-  PIN la_data_in[66]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1810.510 -4.800 1811.070 2.400 ;
-    END
-  END la_data_in[66]
-  PIN la_data_in[67]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1828.450 -4.800 1829.010 2.400 ;
-    END
-  END la_data_in[67]
-  PIN la_data_in[68]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1845.930 -4.800 1846.490 2.400 ;
-    END
-  END la_data_in[68]
-  PIN la_data_in[69]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1863.870 -4.800 1864.430 2.400 ;
-    END
-  END la_data_in[69]
-  PIN la_data_in[6]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 740.090 -4.800 740.650 2.400 ;
-    END
-  END la_data_in[6]
-  PIN la_data_in[70]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1881.810 -4.800 1882.370 2.400 ;
-    END
-  END la_data_in[70]
-  PIN la_data_in[71]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1899.750 -4.800 1900.310 2.400 ;
-    END
-  END la_data_in[71]
-  PIN la_data_in[72]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1917.690 -4.800 1918.250 2.400 ;
-    END
-  END la_data_in[72]
-  PIN la_data_in[73]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1935.170 -4.800 1935.730 2.400 ;
-    END
-  END la_data_in[73]
-  PIN la_data_in[74]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1953.110 -4.800 1953.670 2.400 ;
-    END
-  END la_data_in[74]
-  PIN la_data_in[75]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1971.050 -4.800 1971.610 2.400 ;
-    END
-  END la_data_in[75]
-  PIN la_data_in[76]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1988.990 -4.800 1989.550 2.400 ;
-    END
-  END la_data_in[76]
-  PIN la_data_in[77]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2006.470 -4.800 2007.030 2.400 ;
-    END
-  END la_data_in[77]
-  PIN la_data_in[78]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2024.410 -4.800 2024.970 2.400 ;
-    END
-  END la_data_in[78]
-  PIN la_data_in[79]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2042.350 -4.800 2042.910 2.400 ;
-    END
-  END la_data_in[79]
-  PIN la_data_in[7]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 757.570 -4.800 758.130 2.400 ;
-    END
-  END la_data_in[7]
-  PIN la_data_in[80]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2060.290 -4.800 2060.850 2.400 ;
-    END
-  END la_data_in[80]
-  PIN la_data_in[81]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2078.230 -4.800 2078.790 2.400 ;
-    END
-  END la_data_in[81]
-  PIN la_data_in[82]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2095.710 -4.800 2096.270 2.400 ;
-    END
-  END la_data_in[82]
-  PIN la_data_in[83]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2113.650 -4.800 2114.210 2.400 ;
-    END
-  END la_data_in[83]
-  PIN la_data_in[84]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2131.590 -4.800 2132.150 2.400 ;
-    END
-  END la_data_in[84]
-  PIN la_data_in[85]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2149.530 -4.800 2150.090 2.400 ;
-    END
-  END la_data_in[85]
-  PIN la_data_in[86]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2167.470 -4.800 2168.030 2.400 ;
-    END
-  END la_data_in[86]
-  PIN la_data_in[87]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2184.950 -4.800 2185.510 2.400 ;
-    END
-  END la_data_in[87]
-  PIN la_data_in[88]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2202.890 -4.800 2203.450 2.400 ;
-    END
-  END la_data_in[88]
-  PIN la_data_in[89]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2220.830 -4.800 2221.390 2.400 ;
-    END
-  END la_data_in[89]
-  PIN la_data_in[8]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 775.510 -4.800 776.070 2.400 ;
-    END
-  END la_data_in[8]
-  PIN la_data_in[90]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2238.770 -4.800 2239.330 2.400 ;
-    END
-  END la_data_in[90]
-  PIN la_data_in[91]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2256.250 -4.800 2256.810 2.400 ;
-    END
-  END la_data_in[91]
-  PIN la_data_in[92]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2274.190 -4.800 2274.750 2.400 ;
-    END
-  END la_data_in[92]
-  PIN la_data_in[93]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2292.130 -4.800 2292.690 2.400 ;
-    END
-  END la_data_in[93]
-  PIN la_data_in[94]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2310.070 -4.800 2310.630 2.400 ;
-    END
-  END la_data_in[94]
-  PIN la_data_in[95]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2328.010 -4.800 2328.570 2.400 ;
-    END
-  END la_data_in[95]
-  PIN la_data_in[96]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2345.490 -4.800 2346.050 2.400 ;
-    END
-  END la_data_in[96]
-  PIN la_data_in[97]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2363.430 -4.800 2363.990 2.400 ;
-    END
-  END la_data_in[97]
-  PIN la_data_in[98]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2381.370 -4.800 2381.930 2.400 ;
-    END
-  END la_data_in[98]
-  PIN la_data_in[99]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2399.310 -4.800 2399.870 2.400 ;
-    END
-  END la_data_in[99]
-  PIN la_data_in[9]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 793.450 -4.800 794.010 2.400 ;
-    END
-  END la_data_in[9]
-  PIN la_data_out[0]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 638.890 -4.800 639.450 2.400 ;
-    END
-  END la_data_out[0]
-  PIN la_data_out[100]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2422.770 -4.800 2423.330 2.400 ;
-    END
-  END la_data_out[100]
-  PIN la_data_out[101]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2440.710 -4.800 2441.270 2.400 ;
-    END
-  END la_data_out[101]
-  PIN la_data_out[102]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2458.650 -4.800 2459.210 2.400 ;
-    END
-  END la_data_out[102]
-  PIN la_data_out[103]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2476.590 -4.800 2477.150 2.400 ;
-    END
-  END la_data_out[103]
-  PIN la_data_out[104]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2494.530 -4.800 2495.090 2.400 ;
-    END
-  END la_data_out[104]
-  PIN la_data_out[105]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2512.010 -4.800 2512.570 2.400 ;
-    END
-  END la_data_out[105]
-  PIN la_data_out[106]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2529.950 -4.800 2530.510 2.400 ;
-    END
-  END la_data_out[106]
-  PIN la_data_out[107]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2547.890 -4.800 2548.450 2.400 ;
-    END
-  END la_data_out[107]
-  PIN la_data_out[108]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2565.830 -4.800 2566.390 2.400 ;
-    END
-  END la_data_out[108]
-  PIN la_data_out[109]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2583.770 -4.800 2584.330 2.400 ;
-    END
-  END la_data_out[109]
-  PIN la_data_out[10]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 817.370 -4.800 817.930 2.400 ;
-    END
-  END la_data_out[10]
-  PIN la_data_out[110]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2601.250 -4.800 2601.810 2.400 ;
-    END
-  END la_data_out[110]
-  PIN la_data_out[111]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2619.190 -4.800 2619.750 2.400 ;
-    END
-  END la_data_out[111]
-  PIN la_data_out[112]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2637.130 -4.800 2637.690 2.400 ;
-    END
-  END la_data_out[112]
-  PIN la_data_out[113]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2655.070 -4.800 2655.630 2.400 ;
-    END
-  END la_data_out[113]
-  PIN la_data_out[114]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2672.550 -4.800 2673.110 2.400 ;
-    END
-  END la_data_out[114]
-  PIN la_data_out[115]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2690.490 -4.800 2691.050 2.400 ;
-    END
-  END la_data_out[115]
-  PIN la_data_out[116]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2708.430 -4.800 2708.990 2.400 ;
-    END
-  END la_data_out[116]
-  PIN la_data_out[117]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2726.370 -4.800 2726.930 2.400 ;
-    END
-  END la_data_out[117]
-  PIN la_data_out[118]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2744.310 -4.800 2744.870 2.400 ;
-    END
-  END la_data_out[118]
-  PIN la_data_out[119]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2761.790 -4.800 2762.350 2.400 ;
-    END
-  END la_data_out[119]
-  PIN la_data_out[11]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 835.310 -4.800 835.870 2.400 ;
-    END
-  END la_data_out[11]
-  PIN la_data_out[120]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2779.730 -4.800 2780.290 2.400 ;
-    END
-  END la_data_out[120]
-  PIN la_data_out[121]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2797.670 -4.800 2798.230 2.400 ;
-    END
-  END la_data_out[121]
-  PIN la_data_out[122]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2815.610 -4.800 2816.170 2.400 ;
-    END
-  END la_data_out[122]
-  PIN la_data_out[123]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2833.550 -4.800 2834.110 2.400 ;
-    END
-  END la_data_out[123]
-  PIN la_data_out[124]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2851.030 -4.800 2851.590 2.400 ;
-    END
-  END la_data_out[124]
-  PIN la_data_out[125]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2868.970 -4.800 2869.530 2.400 ;
-    END
-  END la_data_out[125]
-  PIN la_data_out[126]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2886.910 -4.800 2887.470 2.400 ;
-    END
-  END la_data_out[126]
-  PIN la_data_out[127]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2904.850 -4.800 2905.410 2.400 ;
-    END
-  END la_data_out[127]
-  PIN la_data_out[12]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 852.790 -4.800 853.350 2.400 ;
-    END
-  END la_data_out[12]
-  PIN la_data_out[13]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 870.730 -4.800 871.290 2.400 ;
-    END
-  END la_data_out[13]
-  PIN la_data_out[14]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 888.670 -4.800 889.230 2.400 ;
-    END
-  END la_data_out[14]
-  PIN la_data_out[15]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 906.610 -4.800 907.170 2.400 ;
-    END
-  END la_data_out[15]
-  PIN la_data_out[16]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 924.090 -4.800 924.650 2.400 ;
-    END
-  END la_data_out[16]
-  PIN la_data_out[17]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 942.030 -4.800 942.590 2.400 ;
-    END
-  END la_data_out[17]
-  PIN la_data_out[18]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 959.970 -4.800 960.530 2.400 ;
-    END
-  END la_data_out[18]
-  PIN la_data_out[19]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 977.910 -4.800 978.470 2.400 ;
-    END
-  END la_data_out[19]
-  PIN la_data_out[1]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 656.830 -4.800 657.390 2.400 ;
-    END
-  END la_data_out[1]
-  PIN la_data_out[20]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 995.850 -4.800 996.410 2.400 ;
-    END
-  END la_data_out[20]
-  PIN la_data_out[21]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1013.330 -4.800 1013.890 2.400 ;
-    END
-  END la_data_out[21]
-  PIN la_data_out[22]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1031.270 -4.800 1031.830 2.400 ;
-    END
-  END la_data_out[22]
-  PIN la_data_out[23]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1049.210 -4.800 1049.770 2.400 ;
-    END
-  END la_data_out[23]
-  PIN la_data_out[24]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1067.150 -4.800 1067.710 2.400 ;
-    END
-  END la_data_out[24]
-  PIN la_data_out[25]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1085.090 -4.800 1085.650 2.400 ;
-    END
-  END la_data_out[25]
-  PIN la_data_out[26]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1102.570 -4.800 1103.130 2.400 ;
-    END
-  END la_data_out[26]
-  PIN la_data_out[27]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1120.510 -4.800 1121.070 2.400 ;
-    END
-  END la_data_out[27]
-  PIN la_data_out[28]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1138.450 -4.800 1139.010 2.400 ;
-    END
-  END la_data_out[28]
-  PIN la_data_out[29]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1156.390 -4.800 1156.950 2.400 ;
-    END
-  END la_data_out[29]
-  PIN la_data_out[2]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 674.310 -4.800 674.870 2.400 ;
-    END
-  END la_data_out[2]
-  PIN la_data_out[30]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1173.870 -4.800 1174.430 2.400 ;
-    END
-  END la_data_out[30]
-  PIN la_data_out[31]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1191.810 -4.800 1192.370 2.400 ;
-    END
-  END la_data_out[31]
-  PIN la_data_out[32]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1209.750 -4.800 1210.310 2.400 ;
-    END
-  END la_data_out[32]
-  PIN la_data_out[33]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1227.690 -4.800 1228.250 2.400 ;
-    END
-  END la_data_out[33]
-  PIN la_data_out[34]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1245.630 -4.800 1246.190 2.400 ;
-    END
-  END la_data_out[34]
-  PIN la_data_out[35]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1263.110 -4.800 1263.670 2.400 ;
-    END
-  END la_data_out[35]
-  PIN la_data_out[36]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1281.050 -4.800 1281.610 2.400 ;
-    END
-  END la_data_out[36]
-  PIN la_data_out[37]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1298.990 -4.800 1299.550 2.400 ;
-    END
-  END la_data_out[37]
-  PIN la_data_out[38]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1316.930 -4.800 1317.490 2.400 ;
-    END
-  END la_data_out[38]
-  PIN la_data_out[39]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1334.870 -4.800 1335.430 2.400 ;
-    END
-  END la_data_out[39]
-  PIN la_data_out[3]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 692.250 -4.800 692.810 2.400 ;
-    END
-  END la_data_out[3]
-  PIN la_data_out[40]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1352.350 -4.800 1352.910 2.400 ;
-    END
-  END la_data_out[40]
-  PIN la_data_out[41]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1370.290 -4.800 1370.850 2.400 ;
-    END
-  END la_data_out[41]
-  PIN la_data_out[42]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1388.230 -4.800 1388.790 2.400 ;
-    END
-  END la_data_out[42]
-  PIN la_data_out[43]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1406.170 -4.800 1406.730 2.400 ;
-    END
-  END la_data_out[43]
-  PIN la_data_out[44]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1423.650 -4.800 1424.210 2.400 ;
-    END
-  END la_data_out[44]
-  PIN la_data_out[45]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1441.590 -4.800 1442.150 2.400 ;
-    END
-  END la_data_out[45]
-  PIN la_data_out[46]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1459.530 -4.800 1460.090 2.400 ;
-    END
-  END la_data_out[46]
-  PIN la_data_out[47]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1477.470 -4.800 1478.030 2.400 ;
-    END
-  END la_data_out[47]
-  PIN la_data_out[48]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1495.410 -4.800 1495.970 2.400 ;
-    END
-  END la_data_out[48]
-  PIN la_data_out[49]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1512.890 -4.800 1513.450 2.400 ;
-    END
-  END la_data_out[49]
-  PIN la_data_out[4]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 710.190 -4.800 710.750 2.400 ;
-    END
-  END la_data_out[4]
-  PIN la_data_out[50]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1530.830 -4.800 1531.390 2.400 ;
-    END
-  END la_data_out[50]
-  PIN la_data_out[51]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1548.770 -4.800 1549.330 2.400 ;
-    END
-  END la_data_out[51]
-  PIN la_data_out[52]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1566.710 -4.800 1567.270 2.400 ;
-    END
-  END la_data_out[52]
-  PIN la_data_out[53]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1584.650 -4.800 1585.210 2.400 ;
-    END
-  END la_data_out[53]
-  PIN la_data_out[54]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1602.130 -4.800 1602.690 2.400 ;
-    END
-  END la_data_out[54]
-  PIN la_data_out[55]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1620.070 -4.800 1620.630 2.400 ;
-    END
-  END la_data_out[55]
-  PIN la_data_out[56]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1638.010 -4.800 1638.570 2.400 ;
-    END
-  END la_data_out[56]
-  PIN la_data_out[57]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1655.950 -4.800 1656.510 2.400 ;
-    END
-  END la_data_out[57]
-  PIN la_data_out[58]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1673.430 -4.800 1673.990 2.400 ;
-    END
-  END la_data_out[58]
-  PIN la_data_out[59]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1691.370 -4.800 1691.930 2.400 ;
-    END
-  END la_data_out[59]
-  PIN la_data_out[5]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 728.130 -4.800 728.690 2.400 ;
-    END
-  END la_data_out[5]
-  PIN la_data_out[60]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1709.310 -4.800 1709.870 2.400 ;
-    END
-  END la_data_out[60]
-  PIN la_data_out[61]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1727.250 -4.800 1727.810 2.400 ;
-    END
-  END la_data_out[61]
-  PIN la_data_out[62]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1745.190 -4.800 1745.750 2.400 ;
-    END
-  END la_data_out[62]
-  PIN la_data_out[63]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1762.670 -4.800 1763.230 2.400 ;
-    END
-  END la_data_out[63]
-  PIN la_data_out[64]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1780.610 -4.800 1781.170 2.400 ;
-    END
-  END la_data_out[64]
-  PIN la_data_out[65]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1798.550 -4.800 1799.110 2.400 ;
-    END
-  END la_data_out[65]
-  PIN la_data_out[66]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1816.490 -4.800 1817.050 2.400 ;
-    END
-  END la_data_out[66]
-  PIN la_data_out[67]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1834.430 -4.800 1834.990 2.400 ;
-    END
-  END la_data_out[67]
-  PIN la_data_out[68]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1851.910 -4.800 1852.470 2.400 ;
-    END
-  END la_data_out[68]
-  PIN la_data_out[69]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1869.850 -4.800 1870.410 2.400 ;
-    END
-  END la_data_out[69]
-  PIN la_data_out[6]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 746.070 -4.800 746.630 2.400 ;
-    END
-  END la_data_out[6]
-  PIN la_data_out[70]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1887.790 -4.800 1888.350 2.400 ;
-    END
-  END la_data_out[70]
-  PIN la_data_out[71]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1905.730 -4.800 1906.290 2.400 ;
-    END
-  END la_data_out[71]
-  PIN la_data_out[72]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1923.210 -4.800 1923.770 2.400 ;
-    END
-  END la_data_out[72]
-  PIN la_data_out[73]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1941.150 -4.800 1941.710 2.400 ;
-    END
-  END la_data_out[73]
-  PIN la_data_out[74]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1959.090 -4.800 1959.650 2.400 ;
-    END
-  END la_data_out[74]
-  PIN la_data_out[75]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1977.030 -4.800 1977.590 2.400 ;
-    END
-  END la_data_out[75]
-  PIN la_data_out[76]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1994.970 -4.800 1995.530 2.400 ;
-    END
-  END la_data_out[76]
-  PIN la_data_out[77]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2012.450 -4.800 2013.010 2.400 ;
-    END
-  END la_data_out[77]
-  PIN la_data_out[78]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2030.390 -4.800 2030.950 2.400 ;
-    END
-  END la_data_out[78]
-  PIN la_data_out[79]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2048.330 -4.800 2048.890 2.400 ;
-    END
-  END la_data_out[79]
-  PIN la_data_out[7]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 763.550 -4.800 764.110 2.400 ;
-    END
-  END la_data_out[7]
-  PIN la_data_out[80]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2066.270 -4.800 2066.830 2.400 ;
-    END
-  END la_data_out[80]
-  PIN la_data_out[81]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2084.210 -4.800 2084.770 2.400 ;
-    END
-  END la_data_out[81]
-  PIN la_data_out[82]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2101.690 -4.800 2102.250 2.400 ;
-    END
-  END la_data_out[82]
-  PIN la_data_out[83]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2119.630 -4.800 2120.190 2.400 ;
-    END
-  END la_data_out[83]
-  PIN la_data_out[84]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2137.570 -4.800 2138.130 2.400 ;
-    END
-  END la_data_out[84]
-  PIN la_data_out[85]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2155.510 -4.800 2156.070 2.400 ;
-    END
-  END la_data_out[85]
-  PIN la_data_out[86]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2172.990 -4.800 2173.550 2.400 ;
-    END
-  END la_data_out[86]
-  PIN la_data_out[87]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2190.930 -4.800 2191.490 2.400 ;
-    END
-  END la_data_out[87]
-  PIN la_data_out[88]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2208.870 -4.800 2209.430 2.400 ;
-    END
-  END la_data_out[88]
-  PIN la_data_out[89]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2226.810 -4.800 2227.370 2.400 ;
-    END
-  END la_data_out[89]
-  PIN la_data_out[8]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 781.490 -4.800 782.050 2.400 ;
-    END
-  END la_data_out[8]
-  PIN la_data_out[90]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2244.750 -4.800 2245.310 2.400 ;
-    END
-  END la_data_out[90]
-  PIN la_data_out[91]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2262.230 -4.800 2262.790 2.400 ;
-    END
-  END la_data_out[91]
-  PIN la_data_out[92]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2280.170 -4.800 2280.730 2.400 ;
-    END
-  END la_data_out[92]
-  PIN la_data_out[93]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2298.110 -4.800 2298.670 2.400 ;
-    END
-  END la_data_out[93]
-  PIN la_data_out[94]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2316.050 -4.800 2316.610 2.400 ;
-    END
-  END la_data_out[94]
-  PIN la_data_out[95]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2333.990 -4.800 2334.550 2.400 ;
-    END
-  END la_data_out[95]
-  PIN la_data_out[96]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2351.470 -4.800 2352.030 2.400 ;
-    END
-  END la_data_out[96]
-  PIN la_data_out[97]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2369.410 -4.800 2369.970 2.400 ;
-    END
-  END la_data_out[97]
-  PIN la_data_out[98]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2387.350 -4.800 2387.910 2.400 ;
-    END
-  END la_data_out[98]
-  PIN la_data_out[99]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2405.290 -4.800 2405.850 2.400 ;
-    END
-  END la_data_out[99]
-  PIN la_data_out[9]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 799.430 -4.800 799.990 2.400 ;
-    END
-  END la_data_out[9]
-  PIN la_oen[0]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 644.870 -4.800 645.430 2.400 ;
-    END
-  END la_oen[0]
-  PIN la_oen[100]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2428.750 -4.800 2429.310 2.400 ;
-    END
-  END la_oen[100]
-  PIN la_oen[101]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2446.690 -4.800 2447.250 2.400 ;
-    END
-  END la_oen[101]
-  PIN la_oen[102]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2464.630 -4.800 2465.190 2.400 ;
-    END
-  END la_oen[102]
-  PIN la_oen[103]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2482.570 -4.800 2483.130 2.400 ;
-    END
-  END la_oen[103]
-  PIN la_oen[104]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2500.510 -4.800 2501.070 2.400 ;
-    END
-  END la_oen[104]
-  PIN la_oen[105]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2517.990 -4.800 2518.550 2.400 ;
-    END
-  END la_oen[105]
-  PIN la_oen[106]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2535.930 -4.800 2536.490 2.400 ;
-    END
-  END la_oen[106]
-  PIN la_oen[107]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2553.870 -4.800 2554.430 2.400 ;
-    END
-  END la_oen[107]
-  PIN la_oen[108]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2571.810 -4.800 2572.370 2.400 ;
-    END
-  END la_oen[108]
-  PIN la_oen[109]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2589.290 -4.800 2589.850 2.400 ;
-    END
-  END la_oen[109]
-  PIN la_oen[10]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 823.350 -4.800 823.910 2.400 ;
-    END
-  END la_oen[10]
-  PIN la_oen[110]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2607.230 -4.800 2607.790 2.400 ;
-    END
-  END la_oen[110]
-  PIN la_oen[111]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2625.170 -4.800 2625.730 2.400 ;
-    END
-  END la_oen[111]
-  PIN la_oen[112]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2643.110 -4.800 2643.670 2.400 ;
-    END
-  END la_oen[112]
-  PIN la_oen[113]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2661.050 -4.800 2661.610 2.400 ;
-    END
-  END la_oen[113]
-  PIN la_oen[114]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2678.530 -4.800 2679.090 2.400 ;
-    END
-  END la_oen[114]
-  PIN la_oen[115]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2696.470 -4.800 2697.030 2.400 ;
-    END
-  END la_oen[115]
-  PIN la_oen[116]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2714.410 -4.800 2714.970 2.400 ;
-    END
-  END la_oen[116]
-  PIN la_oen[117]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2732.350 -4.800 2732.910 2.400 ;
-    END
-  END la_oen[117]
-  PIN la_oen[118]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2750.290 -4.800 2750.850 2.400 ;
-    END
-  END la_oen[118]
-  PIN la_oen[119]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2767.770 -4.800 2768.330 2.400 ;
-    END
-  END la_oen[119]
-  PIN la_oen[11]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 840.830 -4.800 841.390 2.400 ;
-    END
-  END la_oen[11]
-  PIN la_oen[120]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2785.710 -4.800 2786.270 2.400 ;
-    END
-  END la_oen[120]
-  PIN la_oen[121]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2803.650 -4.800 2804.210 2.400 ;
-    END
-  END la_oen[121]
-  PIN la_oen[122]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2821.590 -4.800 2822.150 2.400 ;
-    END
-  END la_oen[122]
-  PIN la_oen[123]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2839.070 -4.800 2839.630 2.400 ;
-    END
-  END la_oen[123]
-  PIN la_oen[124]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2857.010 -4.800 2857.570 2.400 ;
-    END
-  END la_oen[124]
-  PIN la_oen[125]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2874.950 -4.800 2875.510 2.400 ;
-    END
-  END la_oen[125]
-  PIN la_oen[126]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2892.890 -4.800 2893.450 2.400 ;
-    END
-  END la_oen[126]
-  PIN la_oen[127]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2910.830 -4.800 2911.390 2.400 ;
-    END
-  END la_oen[127]
-  PIN la_oen[12]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 858.770 -4.800 859.330 2.400 ;
-    END
-  END la_oen[12]
-  PIN la_oen[13]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 876.710 -4.800 877.270 2.400 ;
-    END
-  END la_oen[13]
-  PIN la_oen[14]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 894.650 -4.800 895.210 2.400 ;
-    END
-  END la_oen[14]
-  PIN la_oen[15]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 912.590 -4.800 913.150 2.400 ;
-    END
-  END la_oen[15]
-  PIN la_oen[16]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 930.070 -4.800 930.630 2.400 ;
-    END
-  END la_oen[16]
-  PIN la_oen[17]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 948.010 -4.800 948.570 2.400 ;
-    END
-  END la_oen[17]
-  PIN la_oen[18]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 965.950 -4.800 966.510 2.400 ;
-    END
-  END la_oen[18]
-  PIN la_oen[19]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 983.890 -4.800 984.450 2.400 ;
-    END
-  END la_oen[19]
-  PIN la_oen[1]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 662.810 -4.800 663.370 2.400 ;
-    END
-  END la_oen[1]
-  PIN la_oen[20]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1001.830 -4.800 1002.390 2.400 ;
-    END
-  END la_oen[20]
-  PIN la_oen[21]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1019.310 -4.800 1019.870 2.400 ;
-    END
-  END la_oen[21]
-  PIN la_oen[22]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1037.250 -4.800 1037.810 2.400 ;
-    END
-  END la_oen[22]
-  PIN la_oen[23]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1055.190 -4.800 1055.750 2.400 ;
-    END
-  END la_oen[23]
-  PIN la_oen[24]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1073.130 -4.800 1073.690 2.400 ;
-    END
-  END la_oen[24]
-  PIN la_oen[25]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1090.610 -4.800 1091.170 2.400 ;
-    END
-  END la_oen[25]
-  PIN la_oen[26]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1108.550 -4.800 1109.110 2.400 ;
-    END
-  END la_oen[26]
-  PIN la_oen[27]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1126.490 -4.800 1127.050 2.400 ;
-    END
-  END la_oen[27]
-  PIN la_oen[28]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1144.430 -4.800 1144.990 2.400 ;
-    END
-  END la_oen[28]
-  PIN la_oen[29]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1162.370 -4.800 1162.930 2.400 ;
-    END
-  END la_oen[29]
-  PIN la_oen[2]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 680.290 -4.800 680.850 2.400 ;
-    END
-  END la_oen[2]
-  PIN la_oen[30]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1179.850 -4.800 1180.410 2.400 ;
-    END
-  END la_oen[30]
-  PIN la_oen[31]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1197.790 -4.800 1198.350 2.400 ;
-    END
-  END la_oen[31]
-  PIN la_oen[32]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1215.730 -4.800 1216.290 2.400 ;
-    END
-  END la_oen[32]
-  PIN la_oen[33]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1233.670 -4.800 1234.230 2.400 ;
-    END
-  END la_oen[33]
-  PIN la_oen[34]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1251.610 -4.800 1252.170 2.400 ;
-    END
-  END la_oen[34]
-  PIN la_oen[35]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1269.090 -4.800 1269.650 2.400 ;
-    END
-  END la_oen[35]
-  PIN la_oen[36]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1287.030 -4.800 1287.590 2.400 ;
-    END
-  END la_oen[36]
-  PIN la_oen[37]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1304.970 -4.800 1305.530 2.400 ;
-    END
-  END la_oen[37]
-  PIN la_oen[38]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1322.910 -4.800 1323.470 2.400 ;
-    END
-  END la_oen[38]
-  PIN la_oen[39]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1340.390 -4.800 1340.950 2.400 ;
-    END
-  END la_oen[39]
-  PIN la_oen[3]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 698.230 -4.800 698.790 2.400 ;
-    END
-  END la_oen[3]
-  PIN la_oen[40]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1358.330 -4.800 1358.890 2.400 ;
-    END
-  END la_oen[40]
-  PIN la_oen[41]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1376.270 -4.800 1376.830 2.400 ;
-    END
-  END la_oen[41]
-  PIN la_oen[42]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1394.210 -4.800 1394.770 2.400 ;
-    END
-  END la_oen[42]
-  PIN la_oen[43]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1412.150 -4.800 1412.710 2.400 ;
-    END
-  END la_oen[43]
-  PIN la_oen[44]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1429.630 -4.800 1430.190 2.400 ;
-    END
-  END la_oen[44]
-  PIN la_oen[45]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1447.570 -4.800 1448.130 2.400 ;
-    END
-  END la_oen[45]
-  PIN la_oen[46]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1465.510 -4.800 1466.070 2.400 ;
-    END
-  END la_oen[46]
-  PIN la_oen[47]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1483.450 -4.800 1484.010 2.400 ;
-    END
-  END la_oen[47]
-  PIN la_oen[48]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1501.390 -4.800 1501.950 2.400 ;
-    END
-  END la_oen[48]
-  PIN la_oen[49]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1518.870 -4.800 1519.430 2.400 ;
-    END
-  END la_oen[49]
-  PIN la_oen[4]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 716.170 -4.800 716.730 2.400 ;
-    END
-  END la_oen[4]
-  PIN la_oen[50]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1536.810 -4.800 1537.370 2.400 ;
-    END
-  END la_oen[50]
-  PIN la_oen[51]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1554.750 -4.800 1555.310 2.400 ;
-    END
-  END la_oen[51]
-  PIN la_oen[52]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1572.690 -4.800 1573.250 2.400 ;
-    END
-  END la_oen[52]
-  PIN la_oen[53]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1590.170 -4.800 1590.730 2.400 ;
-    END
-  END la_oen[53]
-  PIN la_oen[54]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1608.110 -4.800 1608.670 2.400 ;
-    END
-  END la_oen[54]
-  PIN la_oen[55]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1626.050 -4.800 1626.610 2.400 ;
-    END
-  END la_oen[55]
-  PIN la_oen[56]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1643.990 -4.800 1644.550 2.400 ;
-    END
-  END la_oen[56]
-  PIN la_oen[57]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1661.930 -4.800 1662.490 2.400 ;
-    END
-  END la_oen[57]
-  PIN la_oen[58]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1679.410 -4.800 1679.970 2.400 ;
-    END
-  END la_oen[58]
-  PIN la_oen[59]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1697.350 -4.800 1697.910 2.400 ;
-    END
-  END la_oen[59]
-  PIN la_oen[5]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 734.110 -4.800 734.670 2.400 ;
-    END
-  END la_oen[5]
-  PIN la_oen[60]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1715.290 -4.800 1715.850 2.400 ;
-    END
-  END la_oen[60]
-  PIN la_oen[61]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1733.230 -4.800 1733.790 2.400 ;
-    END
-  END la_oen[61]
-  PIN la_oen[62]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1751.170 -4.800 1751.730 2.400 ;
-    END
-  END la_oen[62]
-  PIN la_oen[63]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1768.650 -4.800 1769.210 2.400 ;
-    END
-  END la_oen[63]
-  PIN la_oen[64]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1786.590 -4.800 1787.150 2.400 ;
-    END
-  END la_oen[64]
-  PIN la_oen[65]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1804.530 -4.800 1805.090 2.400 ;
-    END
-  END la_oen[65]
-  PIN la_oen[66]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1822.470 -4.800 1823.030 2.400 ;
-    END
-  END la_oen[66]
-  PIN la_oen[67]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1839.950 -4.800 1840.510 2.400 ;
-    END
-  END la_oen[67]
-  PIN la_oen[68]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1857.890 -4.800 1858.450 2.400 ;
-    END
-  END la_oen[68]
-  PIN la_oen[69]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1875.830 -4.800 1876.390 2.400 ;
-    END
-  END la_oen[69]
-  PIN la_oen[6]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 752.050 -4.800 752.610 2.400 ;
-    END
-  END la_oen[6]
-  PIN la_oen[70]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1893.770 -4.800 1894.330 2.400 ;
-    END
-  END la_oen[70]
-  PIN la_oen[71]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1911.710 -4.800 1912.270 2.400 ;
-    END
-  END la_oen[71]
-  PIN la_oen[72]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1929.190 -4.800 1929.750 2.400 ;
-    END
-  END la_oen[72]
-  PIN la_oen[73]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1947.130 -4.800 1947.690 2.400 ;
-    END
-  END la_oen[73]
-  PIN la_oen[74]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1965.070 -4.800 1965.630 2.400 ;
-    END
-  END la_oen[74]
-  PIN la_oen[75]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1983.010 -4.800 1983.570 2.400 ;
-    END
-  END la_oen[75]
-  PIN la_oen[76]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2000.950 -4.800 2001.510 2.400 ;
-    END
-  END la_oen[76]
-  PIN la_oen[77]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2018.430 -4.800 2018.990 2.400 ;
-    END
-  END la_oen[77]
-  PIN la_oen[78]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2036.370 -4.800 2036.930 2.400 ;
-    END
-  END la_oen[78]
-  PIN la_oen[79]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2054.310 -4.800 2054.870 2.400 ;
-    END
-  END la_oen[79]
-  PIN la_oen[7]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 769.530 -4.800 770.090 2.400 ;
-    END
-  END la_oen[7]
-  PIN la_oen[80]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2072.250 -4.800 2072.810 2.400 ;
-    END
-  END la_oen[80]
-  PIN la_oen[81]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2089.730 -4.800 2090.290 2.400 ;
-    END
-  END la_oen[81]
-  PIN la_oen[82]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2107.670 -4.800 2108.230 2.400 ;
-    END
-  END la_oen[82]
-  PIN la_oen[83]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2125.610 -4.800 2126.170 2.400 ;
-    END
-  END la_oen[83]
-  PIN la_oen[84]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2143.550 -4.800 2144.110 2.400 ;
-    END
-  END la_oen[84]
-  PIN la_oen[85]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2161.490 -4.800 2162.050 2.400 ;
-    END
-  END la_oen[85]
-  PIN la_oen[86]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2178.970 -4.800 2179.530 2.400 ;
-    END
-  END la_oen[86]
-  PIN la_oen[87]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2196.910 -4.800 2197.470 2.400 ;
-    END
-  END la_oen[87]
-  PIN la_oen[88]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2214.850 -4.800 2215.410 2.400 ;
-    END
-  END la_oen[88]
-  PIN la_oen[89]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2232.790 -4.800 2233.350 2.400 ;
-    END
-  END la_oen[89]
-  PIN la_oen[8]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 787.470 -4.800 788.030 2.400 ;
-    END
-  END la_oen[8]
-  PIN la_oen[90]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2250.730 -4.800 2251.290 2.400 ;
-    END
-  END la_oen[90]
-  PIN la_oen[91]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2268.210 -4.800 2268.770 2.400 ;
-    END
-  END la_oen[91]
-  PIN la_oen[92]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2286.150 -4.800 2286.710 2.400 ;
-    END
-  END la_oen[92]
-  PIN la_oen[93]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2304.090 -4.800 2304.650 2.400 ;
-    END
-  END la_oen[93]
-  PIN la_oen[94]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2322.030 -4.800 2322.590 2.400 ;
-    END
-  END la_oen[94]
-  PIN la_oen[95]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2339.510 -4.800 2340.070 2.400 ;
-    END
-  END la_oen[95]
-  PIN la_oen[96]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2357.450 -4.800 2358.010 2.400 ;
-    END
-  END la_oen[96]
-  PIN la_oen[97]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2375.390 -4.800 2375.950 2.400 ;
-    END
-  END la_oen[97]
-  PIN la_oen[98]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2393.330 -4.800 2393.890 2.400 ;
-    END
-  END la_oen[98]
-  PIN la_oen[99]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2411.270 -4.800 2411.830 2.400 ;
-    END
-  END la_oen[99]
-  PIN la_oen[9]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 805.410 -4.800 805.970 2.400 ;
-    END
-  END la_oen[9]
-  PIN user_clock2
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2916.810 -4.800 2917.370 2.400 ;
-    END
-  END user_clock2
-  PIN wb_clk_i
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2.710 -4.800 3.270 2.400 ;
-    END
-  END wb_clk_i
-  PIN wb_rst_i
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 8.230 -4.800 8.790 2.400 ;
-    END
-  END wb_rst_i
-  PIN wbs_ack_o
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 14.210 -4.800 14.770 2.400 ;
-    END
-  END wbs_ack_o
-  PIN wbs_adr_i[0]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 38.130 -4.800 38.690 2.400 ;
-    END
-  END wbs_adr_i[0]
-  PIN wbs_adr_i[10]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 240.530 -4.800 241.090 2.400 ;
-    END
-  END wbs_adr_i[10]
-  PIN wbs_adr_i[11]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 258.010 -4.800 258.570 2.400 ;
-    END
-  END wbs_adr_i[11]
-  PIN wbs_adr_i[12]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 275.950 -4.800 276.510 2.400 ;
-    END
-  END wbs_adr_i[12]
-  PIN wbs_adr_i[13]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 293.890 -4.800 294.450 2.400 ;
-    END
-  END wbs_adr_i[13]
-  PIN wbs_adr_i[14]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 311.830 -4.800 312.390 2.400 ;
-    END
-  END wbs_adr_i[14]
-  PIN wbs_adr_i[15]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 329.770 -4.800 330.330 2.400 ;
-    END
-  END wbs_adr_i[15]
-  PIN wbs_adr_i[16]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 347.250 -4.800 347.810 2.400 ;
-    END
-  END wbs_adr_i[16]
-  PIN wbs_adr_i[17]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 365.190 -4.800 365.750 2.400 ;
-    END
-  END wbs_adr_i[17]
-  PIN wbs_adr_i[18]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 383.130 -4.800 383.690 2.400 ;
-    END
-  END wbs_adr_i[18]
-  PIN wbs_adr_i[19]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 401.070 -4.800 401.630 2.400 ;
-    END
-  END wbs_adr_i[19]
-  PIN wbs_adr_i[1]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 62.050 -4.800 62.610 2.400 ;
-    END
-  END wbs_adr_i[1]
-  PIN wbs_adr_i[20]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 419.010 -4.800 419.570 2.400 ;
-    END
-  END wbs_adr_i[20]
-  PIN wbs_adr_i[21]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 436.490 -4.800 437.050 2.400 ;
-    END
-  END wbs_adr_i[21]
-  PIN wbs_adr_i[22]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 454.430 -4.800 454.990 2.400 ;
-    END
-  END wbs_adr_i[22]
-  PIN wbs_adr_i[23]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 472.370 -4.800 472.930 2.400 ;
-    END
-  END wbs_adr_i[23]
-  PIN wbs_adr_i[24]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 490.310 -4.800 490.870 2.400 ;
-    END
-  END wbs_adr_i[24]
-  PIN wbs_adr_i[25]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 507.790 -4.800 508.350 2.400 ;
-    END
-  END wbs_adr_i[25]
-  PIN wbs_adr_i[26]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 525.730 -4.800 526.290 2.400 ;
-    END
-  END wbs_adr_i[26]
-  PIN wbs_adr_i[27]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 543.670 -4.800 544.230 2.400 ;
-    END
-  END wbs_adr_i[27]
-  PIN wbs_adr_i[28]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 561.610 -4.800 562.170 2.400 ;
-    END
-  END wbs_adr_i[28]
-  PIN wbs_adr_i[29]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 579.550 -4.800 580.110 2.400 ;
-    END
-  END wbs_adr_i[29]
-  PIN wbs_adr_i[2]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 85.970 -4.800 86.530 2.400 ;
-    END
-  END wbs_adr_i[2]
-  PIN wbs_adr_i[30]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 597.030 -4.800 597.590 2.400 ;
-    END
-  END wbs_adr_i[30]
-  PIN wbs_adr_i[31]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 614.970 -4.800 615.530 2.400 ;
-    END
-  END wbs_adr_i[31]
-  PIN wbs_adr_i[3]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 109.430 -4.800 109.990 2.400 ;
-    END
-  END wbs_adr_i[3]
-  PIN wbs_adr_i[4]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 133.350 -4.800 133.910 2.400 ;
-    END
-  END wbs_adr_i[4]
-  PIN wbs_adr_i[5]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 151.290 -4.800 151.850 2.400 ;
-    END
-  END wbs_adr_i[5]
-  PIN wbs_adr_i[6]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 169.230 -4.800 169.790 2.400 ;
-    END
-  END wbs_adr_i[6]
-  PIN wbs_adr_i[7]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 186.710 -4.800 187.270 2.400 ;
-    END
-  END wbs_adr_i[7]
-  PIN wbs_adr_i[8]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 204.650 -4.800 205.210 2.400 ;
-    END
-  END wbs_adr_i[8]
-  PIN wbs_adr_i[9]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 222.590 -4.800 223.150 2.400 ;
-    END
-  END wbs_adr_i[9]
-  PIN wbs_cyc_i
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 20.190 -4.800 20.750 2.400 ;
-    END
-  END wbs_cyc_i
-  PIN wbs_dat_i[0]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 44.110 -4.800 44.670 2.400 ;
-    END
-  END wbs_dat_i[0]
-  PIN wbs_dat_i[10]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 246.510 -4.800 247.070 2.400 ;
-    END
-  END wbs_dat_i[10]
-  PIN wbs_dat_i[11]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 263.990 -4.800 264.550 2.400 ;
-    END
-  END wbs_dat_i[11]
-  PIN wbs_dat_i[12]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 281.930 -4.800 282.490 2.400 ;
-    END
-  END wbs_dat_i[12]
-  PIN wbs_dat_i[13]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 299.870 -4.800 300.430 2.400 ;
-    END
-  END wbs_dat_i[13]
-  PIN wbs_dat_i[14]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 317.810 -4.800 318.370 2.400 ;
-    END
-  END wbs_dat_i[14]
-  PIN wbs_dat_i[15]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 335.750 -4.800 336.310 2.400 ;
-    END
-  END wbs_dat_i[15]
-  PIN wbs_dat_i[16]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 353.230 -4.800 353.790 2.400 ;
-    END
-  END wbs_dat_i[16]
-  PIN wbs_dat_i[17]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 371.170 -4.800 371.730 2.400 ;
-    END
-  END wbs_dat_i[17]
-  PIN wbs_dat_i[18]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 389.110 -4.800 389.670 2.400 ;
-    END
-  END wbs_dat_i[18]
-  PIN wbs_dat_i[19]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 407.050 -4.800 407.610 2.400 ;
-    END
-  END wbs_dat_i[19]
-  PIN wbs_dat_i[1]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 68.030 -4.800 68.590 2.400 ;
-    END
-  END wbs_dat_i[1]
-  PIN wbs_dat_i[20]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 424.530 -4.800 425.090 2.400 ;
-    END
-  END wbs_dat_i[20]
-  PIN wbs_dat_i[21]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 442.470 -4.800 443.030 2.400 ;
-    END
-  END wbs_dat_i[21]
-  PIN wbs_dat_i[22]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 460.410 -4.800 460.970 2.400 ;
-    END
-  END wbs_dat_i[22]
-  PIN wbs_dat_i[23]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 478.350 -4.800 478.910 2.400 ;
-    END
-  END wbs_dat_i[23]
-  PIN wbs_dat_i[24]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 496.290 -4.800 496.850 2.400 ;
-    END
-  END wbs_dat_i[24]
-  PIN wbs_dat_i[25]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 513.770 -4.800 514.330 2.400 ;
-    END
-  END wbs_dat_i[25]
-  PIN wbs_dat_i[26]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 531.710 -4.800 532.270 2.400 ;
-    END
-  END wbs_dat_i[26]
-  PIN wbs_dat_i[27]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 549.650 -4.800 550.210 2.400 ;
-    END
-  END wbs_dat_i[27]
-  PIN wbs_dat_i[28]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 567.590 -4.800 568.150 2.400 ;
-    END
-  END wbs_dat_i[28]
-  PIN wbs_dat_i[29]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 585.530 -4.800 586.090 2.400 ;
-    END
-  END wbs_dat_i[29]
-  PIN wbs_dat_i[2]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 91.490 -4.800 92.050 2.400 ;
-    END
-  END wbs_dat_i[2]
-  PIN wbs_dat_i[30]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 603.010 -4.800 603.570 2.400 ;
-    END
-  END wbs_dat_i[30]
-  PIN wbs_dat_i[31]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 620.950 -4.800 621.510 2.400 ;
-    END
-  END wbs_dat_i[31]
-  PIN wbs_dat_i[3]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 115.410 -4.800 115.970 2.400 ;
-    END
-  END wbs_dat_i[3]
-  PIN wbs_dat_i[4]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 139.330 -4.800 139.890 2.400 ;
-    END
-  END wbs_dat_i[4]
-  PIN wbs_dat_i[5]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 157.270 -4.800 157.830 2.400 ;
-    END
-  END wbs_dat_i[5]
-  PIN wbs_dat_i[6]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 174.750 -4.800 175.310 2.400 ;
-    END
-  END wbs_dat_i[6]
-  PIN wbs_dat_i[7]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 192.690 -4.800 193.250 2.400 ;
-    END
-  END wbs_dat_i[7]
-  PIN wbs_dat_i[8]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 210.630 -4.800 211.190 2.400 ;
-    END
-  END wbs_dat_i[8]
-  PIN wbs_dat_i[9]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 228.570 -4.800 229.130 2.400 ;
-    END
-  END wbs_dat_i[9]
-  PIN wbs_dat_o[0]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 50.090 -4.800 50.650 2.400 ;
-    END
-  END wbs_dat_o[0]
-  PIN wbs_dat_o[10]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 252.490 -4.800 253.050 2.400 ;
-    END
-  END wbs_dat_o[10]
-  PIN wbs_dat_o[11]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 269.970 -4.800 270.530 2.400 ;
-    END
-  END wbs_dat_o[11]
-  PIN wbs_dat_o[12]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 287.910 -4.800 288.470 2.400 ;
-    END
-  END wbs_dat_o[12]
-  PIN wbs_dat_o[13]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 305.850 -4.800 306.410 2.400 ;
-    END
-  END wbs_dat_o[13]
-  PIN wbs_dat_o[14]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 323.790 -4.800 324.350 2.400 ;
-    END
-  END wbs_dat_o[14]
-  PIN wbs_dat_o[15]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 341.270 -4.800 341.830 2.400 ;
-    END
-  END wbs_dat_o[15]
-  PIN wbs_dat_o[16]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 359.210 -4.800 359.770 2.400 ;
-    END
-  END wbs_dat_o[16]
-  PIN wbs_dat_o[17]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 377.150 -4.800 377.710 2.400 ;
-    END
-  END wbs_dat_o[17]
-  PIN wbs_dat_o[18]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 395.090 -4.800 395.650 2.400 ;
-    END
-  END wbs_dat_o[18]
-  PIN wbs_dat_o[19]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 413.030 -4.800 413.590 2.400 ;
-    END
-  END wbs_dat_o[19]
-  PIN wbs_dat_o[1]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 74.010 -4.800 74.570 2.400 ;
-    END
-  END wbs_dat_o[1]
-  PIN wbs_dat_o[20]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 430.510 -4.800 431.070 2.400 ;
-    END
-  END wbs_dat_o[20]
-  PIN wbs_dat_o[21]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 448.450 -4.800 449.010 2.400 ;
-    END
-  END wbs_dat_o[21]
-  PIN wbs_dat_o[22]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 466.390 -4.800 466.950 2.400 ;
-    END
-  END wbs_dat_o[22]
-  PIN wbs_dat_o[23]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 484.330 -4.800 484.890 2.400 ;
-    END
-  END wbs_dat_o[23]
-  PIN wbs_dat_o[24]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 502.270 -4.800 502.830 2.400 ;
-    END
-  END wbs_dat_o[24]
-  PIN wbs_dat_o[25]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 519.750 -4.800 520.310 2.400 ;
-    END
-  END wbs_dat_o[25]
-  PIN wbs_dat_o[26]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 537.690 -4.800 538.250 2.400 ;
-    END
-  END wbs_dat_o[26]
-  PIN wbs_dat_o[27]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 555.630 -4.800 556.190 2.400 ;
-    END
-  END wbs_dat_o[27]
-  PIN wbs_dat_o[28]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 573.570 -4.800 574.130 2.400 ;
-    END
-  END wbs_dat_o[28]
-  PIN wbs_dat_o[29]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 591.050 -4.800 591.610 2.400 ;
-    END
-  END wbs_dat_o[29]
-  PIN wbs_dat_o[2]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 97.470 -4.800 98.030 2.400 ;
-    END
-  END wbs_dat_o[2]
-  PIN wbs_dat_o[30]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 608.990 -4.800 609.550 2.400 ;
-    END
-  END wbs_dat_o[30]
-  PIN wbs_dat_o[31]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 626.930 -4.800 627.490 2.400 ;
-    END
-  END wbs_dat_o[31]
-  PIN wbs_dat_o[3]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 121.390 -4.800 121.950 2.400 ;
-    END
-  END wbs_dat_o[3]
-  PIN wbs_dat_o[4]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 145.310 -4.800 145.870 2.400 ;
-    END
-  END wbs_dat_o[4]
-  PIN wbs_dat_o[5]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 163.250 -4.800 163.810 2.400 ;
-    END
-  END wbs_dat_o[5]
-  PIN wbs_dat_o[6]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 180.730 -4.800 181.290 2.400 ;
-    END
-  END wbs_dat_o[6]
-  PIN wbs_dat_o[7]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 198.670 -4.800 199.230 2.400 ;
-    END
-  END wbs_dat_o[7]
-  PIN wbs_dat_o[8]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 216.610 -4.800 217.170 2.400 ;
-    END
-  END wbs_dat_o[8]
-  PIN wbs_dat_o[9]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 234.550 -4.800 235.110 2.400 ;
-    END
-  END wbs_dat_o[9]
-  PIN wbs_sel_i[0]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 56.070 -4.800 56.630 2.400 ;
-    END
-  END wbs_sel_i[0]
-  PIN wbs_sel_i[1]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 79.990 -4.800 80.550 2.400 ;
-    END
-  END wbs_sel_i[1]
-  PIN wbs_sel_i[2]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 103.450 -4.800 104.010 2.400 ;
-    END
-  END wbs_sel_i[2]
-  PIN wbs_sel_i[3]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 127.370 -4.800 127.930 2.400 ;
-    END
-  END wbs_sel_i[3]
-  PIN wbs_stb_i
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 26.170 -4.800 26.730 2.400 ;
-    END
-  END wbs_stb_i
-  PIN wbs_we_i
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 32.150 -4.800 32.710 2.400 ;
-    END
-  END wbs_we_i
-  PIN vccd1
-    DIRECTION INPUT ;
-    PORT
-      LAYER met4 ;
-        RECT -9.980 -4.620 -6.980 3524.300 ;
-        RECT 4.020 3517.600 7.020 3528.900 ;
-        RECT 184.020 3517.600 187.020 3528.900 ;
-        RECT 364.020 3517.600 367.020 3528.900 ;
-        RECT 544.020 3517.600 547.020 3528.900 ;
-        RECT 724.020 3517.600 727.020 3528.900 ;
-        RECT 904.020 3517.600 907.020 3528.900 ;
-        RECT 1084.020 3517.600 1087.020 3528.900 ;
-        RECT 1264.020 3517.600 1267.020 3528.900 ;
-        RECT 1444.020 3517.600 1447.020 3528.900 ;
-        RECT 1624.020 3517.600 1627.020 3528.900 ;
-        RECT 1804.020 3517.600 1807.020 3528.900 ;
-        RECT 1984.020 3517.600 1987.020 3528.900 ;
-        RECT 2164.020 3517.600 2167.020 3528.900 ;
-        RECT 2344.020 3517.600 2347.020 3528.900 ;
-        RECT 2524.020 3517.600 2527.020 3528.900 ;
-        RECT 2704.020 3517.600 2707.020 3528.900 ;
-        RECT 2884.020 3517.600 2887.020 3528.900 ;
-        RECT 4.020 -9.220 7.020 2.400 ;
-        RECT 184.020 -9.220 187.020 2.400 ;
-        RECT 364.020 -9.220 367.020 2.400 ;
-        RECT 544.020 -9.220 547.020 2.400 ;
-        RECT 724.020 -9.220 727.020 2.400 ;
-        RECT 904.020 -9.220 907.020 2.400 ;
-        RECT 1084.020 -9.220 1087.020 2.400 ;
-        RECT 1264.020 -9.220 1267.020 2.400 ;
-        RECT 1444.020 -9.220 1447.020 2.400 ;
-        RECT 1624.020 -9.220 1627.020 2.400 ;
-        RECT 1804.020 -9.220 1807.020 2.400 ;
-        RECT 1984.020 -9.220 1987.020 2.400 ;
-        RECT 2164.020 -9.220 2167.020 2.400 ;
-        RECT 2344.020 -9.220 2347.020 2.400 ;
-        RECT 2524.020 -9.220 2527.020 2.400 ;
-        RECT 2704.020 -9.220 2707.020 2.400 ;
-        RECT 2884.020 -9.220 2887.020 2.400 ;
-        RECT 2926.600 -4.620 2929.600 3524.300 ;
-      LAYER M4M5_PR_C ;
-        RECT -9.070 3523.010 -7.890 3524.190 ;
-        RECT -9.070 3521.410 -7.890 3522.590 ;
-        RECT 4.930 3523.010 6.110 3524.190 ;
-        RECT 4.930 3521.410 6.110 3522.590 ;
-        RECT 184.930 3523.010 186.110 3524.190 ;
-        RECT 184.930 3521.410 186.110 3522.590 ;
-        RECT 364.930 3523.010 366.110 3524.190 ;
-        RECT 364.930 3521.410 366.110 3522.590 ;
-        RECT 544.930 3523.010 546.110 3524.190 ;
-        RECT 544.930 3521.410 546.110 3522.590 ;
-        RECT 724.930 3523.010 726.110 3524.190 ;
-        RECT 724.930 3521.410 726.110 3522.590 ;
-        RECT 904.930 3523.010 906.110 3524.190 ;
-        RECT 904.930 3521.410 906.110 3522.590 ;
-        RECT 1084.930 3523.010 1086.110 3524.190 ;
-        RECT 1084.930 3521.410 1086.110 3522.590 ;
-        RECT 1264.930 3523.010 1266.110 3524.190 ;
-        RECT 1264.930 3521.410 1266.110 3522.590 ;
-        RECT 1444.930 3523.010 1446.110 3524.190 ;
-        RECT 1444.930 3521.410 1446.110 3522.590 ;
-        RECT 1624.930 3523.010 1626.110 3524.190 ;
-        RECT 1624.930 3521.410 1626.110 3522.590 ;
-        RECT 1804.930 3523.010 1806.110 3524.190 ;
-        RECT 1804.930 3521.410 1806.110 3522.590 ;
-        RECT 1984.930 3523.010 1986.110 3524.190 ;
-        RECT 1984.930 3521.410 1986.110 3522.590 ;
-        RECT 2164.930 3523.010 2166.110 3524.190 ;
-        RECT 2164.930 3521.410 2166.110 3522.590 ;
-        RECT 2344.930 3523.010 2346.110 3524.190 ;
-        RECT 2344.930 3521.410 2346.110 3522.590 ;
-        RECT 2524.930 3523.010 2526.110 3524.190 ;
-        RECT 2524.930 3521.410 2526.110 3522.590 ;
-        RECT 2704.930 3523.010 2706.110 3524.190 ;
-        RECT 2704.930 3521.410 2706.110 3522.590 ;
-        RECT 2884.930 3523.010 2886.110 3524.190 ;
-        RECT 2884.930 3521.410 2886.110 3522.590 ;
-        RECT 2927.510 3523.010 2928.690 3524.190 ;
-        RECT 2927.510 3521.410 2928.690 3522.590 ;
-        RECT -9.070 3431.090 -7.890 3432.270 ;
-        RECT -9.070 3429.490 -7.890 3430.670 ;
-        RECT -9.070 3251.090 -7.890 3252.270 ;
-        RECT -9.070 3249.490 -7.890 3250.670 ;
-        RECT -9.070 3071.090 -7.890 3072.270 ;
-        RECT -9.070 3069.490 -7.890 3070.670 ;
-        RECT -9.070 2891.090 -7.890 2892.270 ;
-        RECT -9.070 2889.490 -7.890 2890.670 ;
-        RECT -9.070 2711.090 -7.890 2712.270 ;
-        RECT -9.070 2709.490 -7.890 2710.670 ;
-        RECT -9.070 2531.090 -7.890 2532.270 ;
-        RECT -9.070 2529.490 -7.890 2530.670 ;
-        RECT -9.070 2351.090 -7.890 2352.270 ;
-        RECT -9.070 2349.490 -7.890 2350.670 ;
-        RECT -9.070 2171.090 -7.890 2172.270 ;
-        RECT -9.070 2169.490 -7.890 2170.670 ;
-        RECT -9.070 1991.090 -7.890 1992.270 ;
-        RECT -9.070 1989.490 -7.890 1990.670 ;
-        RECT -9.070 1811.090 -7.890 1812.270 ;
-        RECT -9.070 1809.490 -7.890 1810.670 ;
-        RECT -9.070 1631.090 -7.890 1632.270 ;
-        RECT -9.070 1629.490 -7.890 1630.670 ;
-        RECT -9.070 1451.090 -7.890 1452.270 ;
-        RECT -9.070 1449.490 -7.890 1450.670 ;
-        RECT -9.070 1271.090 -7.890 1272.270 ;
-        RECT -9.070 1269.490 -7.890 1270.670 ;
-        RECT -9.070 1091.090 -7.890 1092.270 ;
-        RECT -9.070 1089.490 -7.890 1090.670 ;
-        RECT -9.070 911.090 -7.890 912.270 ;
-        RECT -9.070 909.490 -7.890 910.670 ;
-        RECT -9.070 731.090 -7.890 732.270 ;
-        RECT -9.070 729.490 -7.890 730.670 ;
-        RECT -9.070 551.090 -7.890 552.270 ;
-        RECT -9.070 549.490 -7.890 550.670 ;
-        RECT -9.070 371.090 -7.890 372.270 ;
-        RECT -9.070 369.490 -7.890 370.670 ;
-        RECT -9.070 191.090 -7.890 192.270 ;
-        RECT -9.070 189.490 -7.890 190.670 ;
-        RECT -9.070 11.090 -7.890 12.270 ;
-        RECT -9.070 9.490 -7.890 10.670 ;
-        RECT 2927.510 3431.090 2928.690 3432.270 ;
-        RECT 2927.510 3429.490 2928.690 3430.670 ;
-        RECT 2927.510 3251.090 2928.690 3252.270 ;
-        RECT 2927.510 3249.490 2928.690 3250.670 ;
-        RECT 2927.510 3071.090 2928.690 3072.270 ;
-        RECT 2927.510 3069.490 2928.690 3070.670 ;
-        RECT 2927.510 2891.090 2928.690 2892.270 ;
-        RECT 2927.510 2889.490 2928.690 2890.670 ;
-        RECT 2927.510 2711.090 2928.690 2712.270 ;
-        RECT 2927.510 2709.490 2928.690 2710.670 ;
-        RECT 2927.510 2531.090 2928.690 2532.270 ;
-        RECT 2927.510 2529.490 2928.690 2530.670 ;
-        RECT 2927.510 2351.090 2928.690 2352.270 ;
-        RECT 2927.510 2349.490 2928.690 2350.670 ;
-        RECT 2927.510 2171.090 2928.690 2172.270 ;
-        RECT 2927.510 2169.490 2928.690 2170.670 ;
-        RECT 2927.510 1991.090 2928.690 1992.270 ;
-        RECT 2927.510 1989.490 2928.690 1990.670 ;
-        RECT 2927.510 1811.090 2928.690 1812.270 ;
-        RECT 2927.510 1809.490 2928.690 1810.670 ;
-        RECT 2927.510 1631.090 2928.690 1632.270 ;
-        RECT 2927.510 1629.490 2928.690 1630.670 ;
-        RECT 2927.510 1451.090 2928.690 1452.270 ;
-        RECT 2927.510 1449.490 2928.690 1450.670 ;
-        RECT 2927.510 1271.090 2928.690 1272.270 ;
-        RECT 2927.510 1269.490 2928.690 1270.670 ;
-        RECT 2927.510 1091.090 2928.690 1092.270 ;
-        RECT 2927.510 1089.490 2928.690 1090.670 ;
-        RECT 2927.510 911.090 2928.690 912.270 ;
-        RECT 2927.510 909.490 2928.690 910.670 ;
-        RECT 2927.510 731.090 2928.690 732.270 ;
-        RECT 2927.510 729.490 2928.690 730.670 ;
-        RECT 2927.510 551.090 2928.690 552.270 ;
-        RECT 2927.510 549.490 2928.690 550.670 ;
-        RECT 2927.510 371.090 2928.690 372.270 ;
-        RECT 2927.510 369.490 2928.690 370.670 ;
-        RECT 2927.510 191.090 2928.690 192.270 ;
-        RECT 2927.510 189.490 2928.690 190.670 ;
-        RECT 2927.510 11.090 2928.690 12.270 ;
-        RECT 2927.510 9.490 2928.690 10.670 ;
-        RECT -9.070 -2.910 -7.890 -1.730 ;
-        RECT -9.070 -4.510 -7.890 -3.330 ;
-        RECT 4.930 -2.910 6.110 -1.730 ;
-        RECT 4.930 -4.510 6.110 -3.330 ;
-        RECT 184.930 -2.910 186.110 -1.730 ;
-        RECT 184.930 -4.510 186.110 -3.330 ;
-        RECT 364.930 -2.910 366.110 -1.730 ;
-        RECT 364.930 -4.510 366.110 -3.330 ;
-        RECT 544.930 -2.910 546.110 -1.730 ;
-        RECT 544.930 -4.510 546.110 -3.330 ;
-        RECT 724.930 -2.910 726.110 -1.730 ;
-        RECT 724.930 -4.510 726.110 -3.330 ;
-        RECT 904.930 -2.910 906.110 -1.730 ;
-        RECT 904.930 -4.510 906.110 -3.330 ;
-        RECT 1084.930 -2.910 1086.110 -1.730 ;
-        RECT 1084.930 -4.510 1086.110 -3.330 ;
-        RECT 1264.930 -2.910 1266.110 -1.730 ;
-        RECT 1264.930 -4.510 1266.110 -3.330 ;
-        RECT 1444.930 -2.910 1446.110 -1.730 ;
-        RECT 1444.930 -4.510 1446.110 -3.330 ;
-        RECT 1624.930 -2.910 1626.110 -1.730 ;
-        RECT 1624.930 -4.510 1626.110 -3.330 ;
-        RECT 1804.930 -2.910 1806.110 -1.730 ;
-        RECT 1804.930 -4.510 1806.110 -3.330 ;
-        RECT 1984.930 -2.910 1986.110 -1.730 ;
-        RECT 1984.930 -4.510 1986.110 -3.330 ;
-        RECT 2164.930 -2.910 2166.110 -1.730 ;
-        RECT 2164.930 -4.510 2166.110 -3.330 ;
-        RECT 2344.930 -2.910 2346.110 -1.730 ;
-        RECT 2344.930 -4.510 2346.110 -3.330 ;
-        RECT 2524.930 -2.910 2526.110 -1.730 ;
-        RECT 2524.930 -4.510 2526.110 -3.330 ;
-        RECT 2704.930 -2.910 2706.110 -1.730 ;
-        RECT 2704.930 -4.510 2706.110 -3.330 ;
-        RECT 2884.930 -2.910 2886.110 -1.730 ;
-        RECT 2884.930 -4.510 2886.110 -3.330 ;
-        RECT 2927.510 -2.910 2928.690 -1.730 ;
-        RECT 2927.510 -4.510 2928.690 -3.330 ;
-      LAYER met5 ;
-        RECT -9.980 3524.300 -6.980 3524.310 ;
-        RECT 4.020 3524.300 7.020 3524.310 ;
-        RECT 184.020 3524.300 187.020 3524.310 ;
-        RECT 364.020 3524.300 367.020 3524.310 ;
-        RECT 544.020 3524.300 547.020 3524.310 ;
-        RECT 724.020 3524.300 727.020 3524.310 ;
-        RECT 904.020 3524.300 907.020 3524.310 ;
-        RECT 1084.020 3524.300 1087.020 3524.310 ;
-        RECT 1264.020 3524.300 1267.020 3524.310 ;
-        RECT 1444.020 3524.300 1447.020 3524.310 ;
-        RECT 1624.020 3524.300 1627.020 3524.310 ;
-        RECT 1804.020 3524.300 1807.020 3524.310 ;
-        RECT 1984.020 3524.300 1987.020 3524.310 ;
-        RECT 2164.020 3524.300 2167.020 3524.310 ;
-        RECT 2344.020 3524.300 2347.020 3524.310 ;
-        RECT 2524.020 3524.300 2527.020 3524.310 ;
-        RECT 2704.020 3524.300 2707.020 3524.310 ;
-        RECT 2884.020 3524.300 2887.020 3524.310 ;
-        RECT 2926.600 3524.300 2929.600 3524.310 ;
-        RECT -9.980 3521.300 2929.600 3524.300 ;
-        RECT -9.980 3521.290 -6.980 3521.300 ;
-        RECT 4.020 3521.290 7.020 3521.300 ;
-        RECT 184.020 3521.290 187.020 3521.300 ;
-        RECT 364.020 3521.290 367.020 3521.300 ;
-        RECT 544.020 3521.290 547.020 3521.300 ;
-        RECT 724.020 3521.290 727.020 3521.300 ;
-        RECT 904.020 3521.290 907.020 3521.300 ;
-        RECT 1084.020 3521.290 1087.020 3521.300 ;
-        RECT 1264.020 3521.290 1267.020 3521.300 ;
-        RECT 1444.020 3521.290 1447.020 3521.300 ;
-        RECT 1624.020 3521.290 1627.020 3521.300 ;
-        RECT 1804.020 3521.290 1807.020 3521.300 ;
-        RECT 1984.020 3521.290 1987.020 3521.300 ;
-        RECT 2164.020 3521.290 2167.020 3521.300 ;
-        RECT 2344.020 3521.290 2347.020 3521.300 ;
-        RECT 2524.020 3521.290 2527.020 3521.300 ;
-        RECT 2704.020 3521.290 2707.020 3521.300 ;
-        RECT 2884.020 3521.290 2887.020 3521.300 ;
-        RECT 2926.600 3521.290 2929.600 3521.300 ;
-        RECT -9.980 3432.380 -6.980 3432.390 ;
-        RECT 2926.600 3432.380 2929.600 3432.390 ;
-        RECT -14.580 3429.380 2.400 3432.380 ;
-        RECT 2917.600 3429.380 2934.200 3432.380 ;
-        RECT -9.980 3429.370 -6.980 3429.380 ;
-        RECT 2926.600 3429.370 2929.600 3429.380 ;
-        RECT -9.980 3252.380 -6.980 3252.390 ;
-        RECT 2926.600 3252.380 2929.600 3252.390 ;
-        RECT -14.580 3249.380 2.400 3252.380 ;
-        RECT 2917.600 3249.380 2934.200 3252.380 ;
-        RECT -9.980 3249.370 -6.980 3249.380 ;
-        RECT 2926.600 3249.370 2929.600 3249.380 ;
-        RECT -9.980 3072.380 -6.980 3072.390 ;
-        RECT 2926.600 3072.380 2929.600 3072.390 ;
-        RECT -14.580 3069.380 2.400 3072.380 ;
-        RECT 2917.600 3069.380 2934.200 3072.380 ;
-        RECT -9.980 3069.370 -6.980 3069.380 ;
-        RECT 2926.600 3069.370 2929.600 3069.380 ;
-        RECT -9.980 2892.380 -6.980 2892.390 ;
-        RECT 2926.600 2892.380 2929.600 2892.390 ;
-        RECT -14.580 2889.380 2.400 2892.380 ;
-        RECT 2917.600 2889.380 2934.200 2892.380 ;
-        RECT -9.980 2889.370 -6.980 2889.380 ;
-        RECT 2926.600 2889.370 2929.600 2889.380 ;
-        RECT -9.980 2712.380 -6.980 2712.390 ;
-        RECT 2926.600 2712.380 2929.600 2712.390 ;
-        RECT -14.580 2709.380 2.400 2712.380 ;
-        RECT 2917.600 2709.380 2934.200 2712.380 ;
-        RECT -9.980 2709.370 -6.980 2709.380 ;
-        RECT 2926.600 2709.370 2929.600 2709.380 ;
-        RECT -9.980 2532.380 -6.980 2532.390 ;
-        RECT 2926.600 2532.380 2929.600 2532.390 ;
-        RECT -14.580 2529.380 2.400 2532.380 ;
-        RECT 2917.600 2529.380 2934.200 2532.380 ;
-        RECT -9.980 2529.370 -6.980 2529.380 ;
-        RECT 2926.600 2529.370 2929.600 2529.380 ;
-        RECT -9.980 2352.380 -6.980 2352.390 ;
-        RECT 2926.600 2352.380 2929.600 2352.390 ;
-        RECT -14.580 2349.380 2.400 2352.380 ;
-        RECT 2917.600 2349.380 2934.200 2352.380 ;
-        RECT -9.980 2349.370 -6.980 2349.380 ;
-        RECT 2926.600 2349.370 2929.600 2349.380 ;
-        RECT -9.980 2172.380 -6.980 2172.390 ;
-        RECT 2926.600 2172.380 2929.600 2172.390 ;
-        RECT -14.580 2169.380 2.400 2172.380 ;
-        RECT 2917.600 2169.380 2934.200 2172.380 ;
-        RECT -9.980 2169.370 -6.980 2169.380 ;
-        RECT 2926.600 2169.370 2929.600 2169.380 ;
-        RECT -9.980 1992.380 -6.980 1992.390 ;
-        RECT 2926.600 1992.380 2929.600 1992.390 ;
-        RECT -14.580 1989.380 2.400 1992.380 ;
-        RECT 2917.600 1989.380 2934.200 1992.380 ;
-        RECT -9.980 1989.370 -6.980 1989.380 ;
-        RECT 2926.600 1989.370 2929.600 1989.380 ;
-        RECT -9.980 1812.380 -6.980 1812.390 ;
-        RECT 2926.600 1812.380 2929.600 1812.390 ;
-        RECT -14.580 1809.380 2.400 1812.380 ;
-        RECT 2917.600 1809.380 2934.200 1812.380 ;
-        RECT -9.980 1809.370 -6.980 1809.380 ;
-        RECT 2926.600 1809.370 2929.600 1809.380 ;
-        RECT -9.980 1632.380 -6.980 1632.390 ;
-        RECT 2926.600 1632.380 2929.600 1632.390 ;
-        RECT -14.580 1629.380 2.400 1632.380 ;
-        RECT 2917.600 1629.380 2934.200 1632.380 ;
-        RECT -9.980 1629.370 -6.980 1629.380 ;
-        RECT 2926.600 1629.370 2929.600 1629.380 ;
-        RECT -9.980 1452.380 -6.980 1452.390 ;
-        RECT 2926.600 1452.380 2929.600 1452.390 ;
-        RECT -14.580 1449.380 2.400 1452.380 ;
-        RECT 2917.600 1449.380 2934.200 1452.380 ;
-        RECT -9.980 1449.370 -6.980 1449.380 ;
-        RECT 2926.600 1449.370 2929.600 1449.380 ;
-        RECT -9.980 1272.380 -6.980 1272.390 ;
-        RECT 2926.600 1272.380 2929.600 1272.390 ;
-        RECT -14.580 1269.380 2.400 1272.380 ;
-        RECT 2917.600 1269.380 2934.200 1272.380 ;
-        RECT -9.980 1269.370 -6.980 1269.380 ;
-        RECT 2926.600 1269.370 2929.600 1269.380 ;
-        RECT -9.980 1092.380 -6.980 1092.390 ;
-        RECT 2926.600 1092.380 2929.600 1092.390 ;
-        RECT -14.580 1089.380 2.400 1092.380 ;
-        RECT 2917.600 1089.380 2934.200 1092.380 ;
-        RECT -9.980 1089.370 -6.980 1089.380 ;
-        RECT 2926.600 1089.370 2929.600 1089.380 ;
-        RECT -9.980 912.380 -6.980 912.390 ;
-        RECT 2926.600 912.380 2929.600 912.390 ;
-        RECT -14.580 909.380 2.400 912.380 ;
-        RECT 2917.600 909.380 2934.200 912.380 ;
-        RECT -9.980 909.370 -6.980 909.380 ;
-        RECT 2926.600 909.370 2929.600 909.380 ;
-        RECT -9.980 732.380 -6.980 732.390 ;
-        RECT 2926.600 732.380 2929.600 732.390 ;
-        RECT -14.580 729.380 2.400 732.380 ;
-        RECT 2917.600 729.380 2934.200 732.380 ;
-        RECT -9.980 729.370 -6.980 729.380 ;
-        RECT 2926.600 729.370 2929.600 729.380 ;
-        RECT -9.980 552.380 -6.980 552.390 ;
-        RECT 2926.600 552.380 2929.600 552.390 ;
-        RECT -14.580 549.380 2.400 552.380 ;
-        RECT 2917.600 549.380 2934.200 552.380 ;
-        RECT -9.980 549.370 -6.980 549.380 ;
-        RECT 2926.600 549.370 2929.600 549.380 ;
-        RECT -9.980 372.380 -6.980 372.390 ;
-        RECT 2926.600 372.380 2929.600 372.390 ;
-        RECT -14.580 369.380 2.400 372.380 ;
-        RECT 2917.600 369.380 2934.200 372.380 ;
-        RECT -9.980 369.370 -6.980 369.380 ;
-        RECT 2926.600 369.370 2929.600 369.380 ;
-        RECT -9.980 192.380 -6.980 192.390 ;
-        RECT 2926.600 192.380 2929.600 192.390 ;
-        RECT -14.580 189.380 2.400 192.380 ;
-        RECT 2917.600 189.380 2934.200 192.380 ;
-        RECT -9.980 189.370 -6.980 189.380 ;
-        RECT 2926.600 189.370 2929.600 189.380 ;
-        RECT -9.980 12.380 -6.980 12.390 ;
-        RECT 2926.600 12.380 2929.600 12.390 ;
-        RECT -14.580 9.380 2.400 12.380 ;
-        RECT 2917.600 9.380 2934.200 12.380 ;
-        RECT -9.980 9.370 -6.980 9.380 ;
-        RECT 2926.600 9.370 2929.600 9.380 ;
-        RECT -9.980 -1.620 -6.980 -1.610 ;
-        RECT 4.020 -1.620 7.020 -1.610 ;
-        RECT 184.020 -1.620 187.020 -1.610 ;
-        RECT 364.020 -1.620 367.020 -1.610 ;
-        RECT 544.020 -1.620 547.020 -1.610 ;
-        RECT 724.020 -1.620 727.020 -1.610 ;
-        RECT 904.020 -1.620 907.020 -1.610 ;
-        RECT 1084.020 -1.620 1087.020 -1.610 ;
-        RECT 1264.020 -1.620 1267.020 -1.610 ;
-        RECT 1444.020 -1.620 1447.020 -1.610 ;
-        RECT 1624.020 -1.620 1627.020 -1.610 ;
-        RECT 1804.020 -1.620 1807.020 -1.610 ;
-        RECT 1984.020 -1.620 1987.020 -1.610 ;
-        RECT 2164.020 -1.620 2167.020 -1.610 ;
-        RECT 2344.020 -1.620 2347.020 -1.610 ;
-        RECT 2524.020 -1.620 2527.020 -1.610 ;
-        RECT 2704.020 -1.620 2707.020 -1.610 ;
-        RECT 2884.020 -1.620 2887.020 -1.610 ;
-        RECT 2926.600 -1.620 2929.600 -1.610 ;
-        RECT -9.980 -4.620 2929.600 -1.620 ;
-        RECT -9.980 -4.630 -6.980 -4.620 ;
-        RECT 4.020 -4.630 7.020 -4.620 ;
-        RECT 184.020 -4.630 187.020 -4.620 ;
-        RECT 364.020 -4.630 367.020 -4.620 ;
-        RECT 544.020 -4.630 547.020 -4.620 ;
-        RECT 724.020 -4.630 727.020 -4.620 ;
-        RECT 904.020 -4.630 907.020 -4.620 ;
-        RECT 1084.020 -4.630 1087.020 -4.620 ;
-        RECT 1264.020 -4.630 1267.020 -4.620 ;
-        RECT 1444.020 -4.630 1447.020 -4.620 ;
-        RECT 1624.020 -4.630 1627.020 -4.620 ;
-        RECT 1804.020 -4.630 1807.020 -4.620 ;
-        RECT 1984.020 -4.630 1987.020 -4.620 ;
-        RECT 2164.020 -4.630 2167.020 -4.620 ;
-        RECT 2344.020 -4.630 2347.020 -4.620 ;
-        RECT 2524.020 -4.630 2527.020 -4.620 ;
-        RECT 2704.020 -4.630 2707.020 -4.620 ;
-        RECT 2884.020 -4.630 2887.020 -4.620 ;
-        RECT 2926.600 -4.630 2929.600 -4.620 ;
-    END
-  END vccd1
-  PIN vssd1
-    DIRECTION INPUT ;
-    PORT
-      LAYER met4 ;
-        RECT -14.580 -9.220 -11.580 3528.900 ;
-        RECT 94.020 3517.600 97.020 3528.900 ;
-        RECT 274.020 3517.600 277.020 3528.900 ;
-        RECT 454.020 3517.600 457.020 3528.900 ;
-        RECT 634.020 3517.600 637.020 3528.900 ;
-        RECT 814.020 3517.600 817.020 3528.900 ;
-        RECT 994.020 3517.600 997.020 3528.900 ;
-        RECT 1174.020 3517.600 1177.020 3528.900 ;
-        RECT 1354.020 3517.600 1357.020 3528.900 ;
-        RECT 1534.020 3517.600 1537.020 3528.900 ;
-        RECT 1714.020 3517.600 1717.020 3528.900 ;
-        RECT 1894.020 3517.600 1897.020 3528.900 ;
-        RECT 2074.020 3517.600 2077.020 3528.900 ;
-        RECT 2254.020 3517.600 2257.020 3528.900 ;
-        RECT 2434.020 3517.600 2437.020 3528.900 ;
-        RECT 2614.020 3517.600 2617.020 3528.900 ;
-        RECT 2794.020 3517.600 2797.020 3528.900 ;
-        RECT 94.020 -9.220 97.020 2.400 ;
-        RECT 274.020 -9.220 277.020 2.400 ;
-        RECT 454.020 -9.220 457.020 2.400 ;
-        RECT 634.020 -9.220 637.020 2.400 ;
-        RECT 814.020 -9.220 817.020 2.400 ;
-        RECT 994.020 -9.220 997.020 2.400 ;
-        RECT 1174.020 -9.220 1177.020 2.400 ;
-        RECT 1354.020 -9.220 1357.020 2.400 ;
-        RECT 1534.020 -9.220 1537.020 2.400 ;
-        RECT 1714.020 -9.220 1717.020 2.400 ;
-        RECT 1894.020 -9.220 1897.020 2.400 ;
-        RECT 2074.020 -9.220 2077.020 2.400 ;
-        RECT 2254.020 -9.220 2257.020 2.400 ;
-        RECT 2434.020 -9.220 2437.020 2.400 ;
-        RECT 2614.020 -9.220 2617.020 2.400 ;
-        RECT 2794.020 -9.220 2797.020 2.400 ;
-        RECT 2931.200 -9.220 2934.200 3528.900 ;
-      LAYER M4M5_PR_C ;
-        RECT -13.670 3527.610 -12.490 3528.790 ;
-        RECT -13.670 3526.010 -12.490 3527.190 ;
-        RECT 94.930 3527.610 96.110 3528.790 ;
-        RECT 94.930 3526.010 96.110 3527.190 ;
-        RECT 274.930 3527.610 276.110 3528.790 ;
-        RECT 274.930 3526.010 276.110 3527.190 ;
-        RECT 454.930 3527.610 456.110 3528.790 ;
-        RECT 454.930 3526.010 456.110 3527.190 ;
-        RECT 634.930 3527.610 636.110 3528.790 ;
-        RECT 634.930 3526.010 636.110 3527.190 ;
-        RECT 814.930 3527.610 816.110 3528.790 ;
-        RECT 814.930 3526.010 816.110 3527.190 ;
-        RECT 994.930 3527.610 996.110 3528.790 ;
-        RECT 994.930 3526.010 996.110 3527.190 ;
-        RECT 1174.930 3527.610 1176.110 3528.790 ;
-        RECT 1174.930 3526.010 1176.110 3527.190 ;
-        RECT 1354.930 3527.610 1356.110 3528.790 ;
-        RECT 1354.930 3526.010 1356.110 3527.190 ;
-        RECT 1534.930 3527.610 1536.110 3528.790 ;
-        RECT 1534.930 3526.010 1536.110 3527.190 ;
-        RECT 1714.930 3527.610 1716.110 3528.790 ;
-        RECT 1714.930 3526.010 1716.110 3527.190 ;
-        RECT 1894.930 3527.610 1896.110 3528.790 ;
-        RECT 1894.930 3526.010 1896.110 3527.190 ;
-        RECT 2074.930 3527.610 2076.110 3528.790 ;
-        RECT 2074.930 3526.010 2076.110 3527.190 ;
-        RECT 2254.930 3527.610 2256.110 3528.790 ;
-        RECT 2254.930 3526.010 2256.110 3527.190 ;
-        RECT 2434.930 3527.610 2436.110 3528.790 ;
-        RECT 2434.930 3526.010 2436.110 3527.190 ;
-        RECT 2614.930 3527.610 2616.110 3528.790 ;
-        RECT 2614.930 3526.010 2616.110 3527.190 ;
-        RECT 2794.930 3527.610 2796.110 3528.790 ;
-        RECT 2794.930 3526.010 2796.110 3527.190 ;
-        RECT 2932.110 3527.610 2933.290 3528.790 ;
-        RECT 2932.110 3526.010 2933.290 3527.190 ;
-        RECT -13.670 3341.090 -12.490 3342.270 ;
-        RECT -13.670 3339.490 -12.490 3340.670 ;
-        RECT -13.670 3161.090 -12.490 3162.270 ;
-        RECT -13.670 3159.490 -12.490 3160.670 ;
-        RECT -13.670 2981.090 -12.490 2982.270 ;
-        RECT -13.670 2979.490 -12.490 2980.670 ;
-        RECT -13.670 2801.090 -12.490 2802.270 ;
-        RECT -13.670 2799.490 -12.490 2800.670 ;
-        RECT -13.670 2621.090 -12.490 2622.270 ;
-        RECT -13.670 2619.490 -12.490 2620.670 ;
-        RECT -13.670 2441.090 -12.490 2442.270 ;
-        RECT -13.670 2439.490 -12.490 2440.670 ;
-        RECT -13.670 2261.090 -12.490 2262.270 ;
-        RECT -13.670 2259.490 -12.490 2260.670 ;
-        RECT -13.670 2081.090 -12.490 2082.270 ;
-        RECT -13.670 2079.490 -12.490 2080.670 ;
-        RECT -13.670 1901.090 -12.490 1902.270 ;
-        RECT -13.670 1899.490 -12.490 1900.670 ;
-        RECT -13.670 1721.090 -12.490 1722.270 ;
-        RECT -13.670 1719.490 -12.490 1720.670 ;
-        RECT -13.670 1541.090 -12.490 1542.270 ;
-        RECT -13.670 1539.490 -12.490 1540.670 ;
-        RECT -13.670 1361.090 -12.490 1362.270 ;
-        RECT -13.670 1359.490 -12.490 1360.670 ;
-        RECT -13.670 1181.090 -12.490 1182.270 ;
-        RECT -13.670 1179.490 -12.490 1180.670 ;
-        RECT -13.670 1001.090 -12.490 1002.270 ;
-        RECT -13.670 999.490 -12.490 1000.670 ;
-        RECT -13.670 821.090 -12.490 822.270 ;
-        RECT -13.670 819.490 -12.490 820.670 ;
-        RECT -13.670 641.090 -12.490 642.270 ;
-        RECT -13.670 639.490 -12.490 640.670 ;
-        RECT -13.670 461.090 -12.490 462.270 ;
-        RECT -13.670 459.490 -12.490 460.670 ;
-        RECT -13.670 281.090 -12.490 282.270 ;
-        RECT -13.670 279.490 -12.490 280.670 ;
-        RECT -13.670 101.090 -12.490 102.270 ;
-        RECT -13.670 99.490 -12.490 100.670 ;
-        RECT 2932.110 3341.090 2933.290 3342.270 ;
-        RECT 2932.110 3339.490 2933.290 3340.670 ;
-        RECT 2932.110 3161.090 2933.290 3162.270 ;
-        RECT 2932.110 3159.490 2933.290 3160.670 ;
-        RECT 2932.110 2981.090 2933.290 2982.270 ;
-        RECT 2932.110 2979.490 2933.290 2980.670 ;
-        RECT 2932.110 2801.090 2933.290 2802.270 ;
-        RECT 2932.110 2799.490 2933.290 2800.670 ;
-        RECT 2932.110 2621.090 2933.290 2622.270 ;
-        RECT 2932.110 2619.490 2933.290 2620.670 ;
-        RECT 2932.110 2441.090 2933.290 2442.270 ;
-        RECT 2932.110 2439.490 2933.290 2440.670 ;
-        RECT 2932.110 2261.090 2933.290 2262.270 ;
-        RECT 2932.110 2259.490 2933.290 2260.670 ;
-        RECT 2932.110 2081.090 2933.290 2082.270 ;
-        RECT 2932.110 2079.490 2933.290 2080.670 ;
-        RECT 2932.110 1901.090 2933.290 1902.270 ;
-        RECT 2932.110 1899.490 2933.290 1900.670 ;
-        RECT 2932.110 1721.090 2933.290 1722.270 ;
-        RECT 2932.110 1719.490 2933.290 1720.670 ;
-        RECT 2932.110 1541.090 2933.290 1542.270 ;
-        RECT 2932.110 1539.490 2933.290 1540.670 ;
-        RECT 2932.110 1361.090 2933.290 1362.270 ;
-        RECT 2932.110 1359.490 2933.290 1360.670 ;
-        RECT 2932.110 1181.090 2933.290 1182.270 ;
-        RECT 2932.110 1179.490 2933.290 1180.670 ;
-        RECT 2932.110 1001.090 2933.290 1002.270 ;
-        RECT 2932.110 999.490 2933.290 1000.670 ;
-        RECT 2932.110 821.090 2933.290 822.270 ;
-        RECT 2932.110 819.490 2933.290 820.670 ;
-        RECT 2932.110 641.090 2933.290 642.270 ;
-        RECT 2932.110 639.490 2933.290 640.670 ;
-        RECT 2932.110 461.090 2933.290 462.270 ;
-        RECT 2932.110 459.490 2933.290 460.670 ;
-        RECT 2932.110 281.090 2933.290 282.270 ;
-        RECT 2932.110 279.490 2933.290 280.670 ;
-        RECT 2932.110 101.090 2933.290 102.270 ;
-        RECT 2932.110 99.490 2933.290 100.670 ;
-        RECT -13.670 -7.510 -12.490 -6.330 ;
-        RECT -13.670 -9.110 -12.490 -7.930 ;
-        RECT 94.930 -7.510 96.110 -6.330 ;
-        RECT 94.930 -9.110 96.110 -7.930 ;
-        RECT 274.930 -7.510 276.110 -6.330 ;
-        RECT 274.930 -9.110 276.110 -7.930 ;
-        RECT 454.930 -7.510 456.110 -6.330 ;
-        RECT 454.930 -9.110 456.110 -7.930 ;
-        RECT 634.930 -7.510 636.110 -6.330 ;
-        RECT 634.930 -9.110 636.110 -7.930 ;
-        RECT 814.930 -7.510 816.110 -6.330 ;
-        RECT 814.930 -9.110 816.110 -7.930 ;
-        RECT 994.930 -7.510 996.110 -6.330 ;
-        RECT 994.930 -9.110 996.110 -7.930 ;
-        RECT 1174.930 -7.510 1176.110 -6.330 ;
-        RECT 1174.930 -9.110 1176.110 -7.930 ;
-        RECT 1354.930 -7.510 1356.110 -6.330 ;
-        RECT 1354.930 -9.110 1356.110 -7.930 ;
-        RECT 1534.930 -7.510 1536.110 -6.330 ;
-        RECT 1534.930 -9.110 1536.110 -7.930 ;
-        RECT 1714.930 -7.510 1716.110 -6.330 ;
-        RECT 1714.930 -9.110 1716.110 -7.930 ;
-        RECT 1894.930 -7.510 1896.110 -6.330 ;
-        RECT 1894.930 -9.110 1896.110 -7.930 ;
-        RECT 2074.930 -7.510 2076.110 -6.330 ;
-        RECT 2074.930 -9.110 2076.110 -7.930 ;
-        RECT 2254.930 -7.510 2256.110 -6.330 ;
-        RECT 2254.930 -9.110 2256.110 -7.930 ;
-        RECT 2434.930 -7.510 2436.110 -6.330 ;
-        RECT 2434.930 -9.110 2436.110 -7.930 ;
-        RECT 2614.930 -7.510 2616.110 -6.330 ;
-        RECT 2614.930 -9.110 2616.110 -7.930 ;
-        RECT 2794.930 -7.510 2796.110 -6.330 ;
-        RECT 2794.930 -9.110 2796.110 -7.930 ;
-        RECT 2932.110 -7.510 2933.290 -6.330 ;
-        RECT 2932.110 -9.110 2933.290 -7.930 ;
-      LAYER met5 ;
-        RECT -14.580 3528.900 -11.580 3528.910 ;
-        RECT 94.020 3528.900 97.020 3528.910 ;
-        RECT 274.020 3528.900 277.020 3528.910 ;
-        RECT 454.020 3528.900 457.020 3528.910 ;
-        RECT 634.020 3528.900 637.020 3528.910 ;
-        RECT 814.020 3528.900 817.020 3528.910 ;
-        RECT 994.020 3528.900 997.020 3528.910 ;
-        RECT 1174.020 3528.900 1177.020 3528.910 ;
-        RECT 1354.020 3528.900 1357.020 3528.910 ;
-        RECT 1534.020 3528.900 1537.020 3528.910 ;
-        RECT 1714.020 3528.900 1717.020 3528.910 ;
-        RECT 1894.020 3528.900 1897.020 3528.910 ;
-        RECT 2074.020 3528.900 2077.020 3528.910 ;
-        RECT 2254.020 3528.900 2257.020 3528.910 ;
-        RECT 2434.020 3528.900 2437.020 3528.910 ;
-        RECT 2614.020 3528.900 2617.020 3528.910 ;
-        RECT 2794.020 3528.900 2797.020 3528.910 ;
-        RECT 2931.200 3528.900 2934.200 3528.910 ;
-        RECT -14.580 3525.900 2934.200 3528.900 ;
-        RECT -14.580 3525.890 -11.580 3525.900 ;
-        RECT 94.020 3525.890 97.020 3525.900 ;
-        RECT 274.020 3525.890 277.020 3525.900 ;
-        RECT 454.020 3525.890 457.020 3525.900 ;
-        RECT 634.020 3525.890 637.020 3525.900 ;
-        RECT 814.020 3525.890 817.020 3525.900 ;
-        RECT 994.020 3525.890 997.020 3525.900 ;
-        RECT 1174.020 3525.890 1177.020 3525.900 ;
-        RECT 1354.020 3525.890 1357.020 3525.900 ;
-        RECT 1534.020 3525.890 1537.020 3525.900 ;
-        RECT 1714.020 3525.890 1717.020 3525.900 ;
-        RECT 1894.020 3525.890 1897.020 3525.900 ;
-        RECT 2074.020 3525.890 2077.020 3525.900 ;
-        RECT 2254.020 3525.890 2257.020 3525.900 ;
-        RECT 2434.020 3525.890 2437.020 3525.900 ;
-        RECT 2614.020 3525.890 2617.020 3525.900 ;
-        RECT 2794.020 3525.890 2797.020 3525.900 ;
-        RECT 2931.200 3525.890 2934.200 3525.900 ;
-        RECT -14.580 3342.380 -11.580 3342.390 ;
-        RECT 2931.200 3342.380 2934.200 3342.390 ;
-        RECT -14.580 3339.380 2.400 3342.380 ;
-        RECT 2917.600 3339.380 2934.200 3342.380 ;
-        RECT -14.580 3339.370 -11.580 3339.380 ;
-        RECT 2931.200 3339.370 2934.200 3339.380 ;
-        RECT -14.580 3162.380 -11.580 3162.390 ;
-        RECT 2931.200 3162.380 2934.200 3162.390 ;
-        RECT -14.580 3159.380 2.400 3162.380 ;
-        RECT 2917.600 3159.380 2934.200 3162.380 ;
-        RECT -14.580 3159.370 -11.580 3159.380 ;
-        RECT 2931.200 3159.370 2934.200 3159.380 ;
-        RECT -14.580 2982.380 -11.580 2982.390 ;
-        RECT 2931.200 2982.380 2934.200 2982.390 ;
-        RECT -14.580 2979.380 2.400 2982.380 ;
-        RECT 2917.600 2979.380 2934.200 2982.380 ;
-        RECT -14.580 2979.370 -11.580 2979.380 ;
-        RECT 2931.200 2979.370 2934.200 2979.380 ;
-        RECT -14.580 2802.380 -11.580 2802.390 ;
-        RECT 2931.200 2802.380 2934.200 2802.390 ;
-        RECT -14.580 2799.380 2.400 2802.380 ;
-        RECT 2917.600 2799.380 2934.200 2802.380 ;
-        RECT -14.580 2799.370 -11.580 2799.380 ;
-        RECT 2931.200 2799.370 2934.200 2799.380 ;
-        RECT -14.580 2622.380 -11.580 2622.390 ;
-        RECT 2931.200 2622.380 2934.200 2622.390 ;
-        RECT -14.580 2619.380 2.400 2622.380 ;
-        RECT 2917.600 2619.380 2934.200 2622.380 ;
-        RECT -14.580 2619.370 -11.580 2619.380 ;
-        RECT 2931.200 2619.370 2934.200 2619.380 ;
-        RECT -14.580 2442.380 -11.580 2442.390 ;
-        RECT 2931.200 2442.380 2934.200 2442.390 ;
-        RECT -14.580 2439.380 2.400 2442.380 ;
-        RECT 2917.600 2439.380 2934.200 2442.380 ;
-        RECT -14.580 2439.370 -11.580 2439.380 ;
-        RECT 2931.200 2439.370 2934.200 2439.380 ;
-        RECT -14.580 2262.380 -11.580 2262.390 ;
-        RECT 2931.200 2262.380 2934.200 2262.390 ;
-        RECT -14.580 2259.380 2.400 2262.380 ;
-        RECT 2917.600 2259.380 2934.200 2262.380 ;
-        RECT -14.580 2259.370 -11.580 2259.380 ;
-        RECT 2931.200 2259.370 2934.200 2259.380 ;
-        RECT -14.580 2082.380 -11.580 2082.390 ;
-        RECT 2931.200 2082.380 2934.200 2082.390 ;
-        RECT -14.580 2079.380 2.400 2082.380 ;
-        RECT 2917.600 2079.380 2934.200 2082.380 ;
-        RECT -14.580 2079.370 -11.580 2079.380 ;
-        RECT 2931.200 2079.370 2934.200 2079.380 ;
-        RECT -14.580 1902.380 -11.580 1902.390 ;
-        RECT 2931.200 1902.380 2934.200 1902.390 ;
-        RECT -14.580 1899.380 2.400 1902.380 ;
-        RECT 2917.600 1899.380 2934.200 1902.380 ;
-        RECT -14.580 1899.370 -11.580 1899.380 ;
-        RECT 2931.200 1899.370 2934.200 1899.380 ;
-        RECT -14.580 1722.380 -11.580 1722.390 ;
-        RECT 2931.200 1722.380 2934.200 1722.390 ;
-        RECT -14.580 1719.380 2.400 1722.380 ;
-        RECT 2917.600 1719.380 2934.200 1722.380 ;
-        RECT -14.580 1719.370 -11.580 1719.380 ;
-        RECT 2931.200 1719.370 2934.200 1719.380 ;
-        RECT -14.580 1542.380 -11.580 1542.390 ;
-        RECT 2931.200 1542.380 2934.200 1542.390 ;
-        RECT -14.580 1539.380 2.400 1542.380 ;
-        RECT 2917.600 1539.380 2934.200 1542.380 ;
-        RECT -14.580 1539.370 -11.580 1539.380 ;
-        RECT 2931.200 1539.370 2934.200 1539.380 ;
-        RECT -14.580 1362.380 -11.580 1362.390 ;
-        RECT 2931.200 1362.380 2934.200 1362.390 ;
-        RECT -14.580 1359.380 2.400 1362.380 ;
-        RECT 2917.600 1359.380 2934.200 1362.380 ;
-        RECT -14.580 1359.370 -11.580 1359.380 ;
-        RECT 2931.200 1359.370 2934.200 1359.380 ;
-        RECT -14.580 1182.380 -11.580 1182.390 ;
-        RECT 2931.200 1182.380 2934.200 1182.390 ;
-        RECT -14.580 1179.380 2.400 1182.380 ;
-        RECT 2917.600 1179.380 2934.200 1182.380 ;
-        RECT -14.580 1179.370 -11.580 1179.380 ;
-        RECT 2931.200 1179.370 2934.200 1179.380 ;
-        RECT -14.580 1002.380 -11.580 1002.390 ;
-        RECT 2931.200 1002.380 2934.200 1002.390 ;
-        RECT -14.580 999.380 2.400 1002.380 ;
-        RECT 2917.600 999.380 2934.200 1002.380 ;
-        RECT -14.580 999.370 -11.580 999.380 ;
-        RECT 2931.200 999.370 2934.200 999.380 ;
-        RECT -14.580 822.380 -11.580 822.390 ;
-        RECT 2931.200 822.380 2934.200 822.390 ;
-        RECT -14.580 819.380 2.400 822.380 ;
-        RECT 2917.600 819.380 2934.200 822.380 ;
-        RECT -14.580 819.370 -11.580 819.380 ;
-        RECT 2931.200 819.370 2934.200 819.380 ;
-        RECT -14.580 642.380 -11.580 642.390 ;
-        RECT 2931.200 642.380 2934.200 642.390 ;
-        RECT -14.580 639.380 2.400 642.380 ;
-        RECT 2917.600 639.380 2934.200 642.380 ;
-        RECT -14.580 639.370 -11.580 639.380 ;
-        RECT 2931.200 639.370 2934.200 639.380 ;
-        RECT -14.580 462.380 -11.580 462.390 ;
-        RECT 2931.200 462.380 2934.200 462.390 ;
-        RECT -14.580 459.380 2.400 462.380 ;
-        RECT 2917.600 459.380 2934.200 462.380 ;
-        RECT -14.580 459.370 -11.580 459.380 ;
-        RECT 2931.200 459.370 2934.200 459.380 ;
-        RECT -14.580 282.380 -11.580 282.390 ;
-        RECT 2931.200 282.380 2934.200 282.390 ;
-        RECT -14.580 279.380 2.400 282.380 ;
-        RECT 2917.600 279.380 2934.200 282.380 ;
-        RECT -14.580 279.370 -11.580 279.380 ;
-        RECT 2931.200 279.370 2934.200 279.380 ;
-        RECT -14.580 102.380 -11.580 102.390 ;
-        RECT 2931.200 102.380 2934.200 102.390 ;
-        RECT -14.580 99.380 2.400 102.380 ;
-        RECT 2917.600 99.380 2934.200 102.380 ;
-        RECT -14.580 99.370 -11.580 99.380 ;
-        RECT 2931.200 99.370 2934.200 99.380 ;
-        RECT -14.580 -6.220 -11.580 -6.210 ;
-        RECT 94.020 -6.220 97.020 -6.210 ;
-        RECT 274.020 -6.220 277.020 -6.210 ;
-        RECT 454.020 -6.220 457.020 -6.210 ;
-        RECT 634.020 -6.220 637.020 -6.210 ;
-        RECT 814.020 -6.220 817.020 -6.210 ;
-        RECT 994.020 -6.220 997.020 -6.210 ;
-        RECT 1174.020 -6.220 1177.020 -6.210 ;
-        RECT 1354.020 -6.220 1357.020 -6.210 ;
-        RECT 1534.020 -6.220 1537.020 -6.210 ;
-        RECT 1714.020 -6.220 1717.020 -6.210 ;
-        RECT 1894.020 -6.220 1897.020 -6.210 ;
-        RECT 2074.020 -6.220 2077.020 -6.210 ;
-        RECT 2254.020 -6.220 2257.020 -6.210 ;
-        RECT 2434.020 -6.220 2437.020 -6.210 ;
-        RECT 2614.020 -6.220 2617.020 -6.210 ;
-        RECT 2794.020 -6.220 2797.020 -6.210 ;
-        RECT 2931.200 -6.220 2934.200 -6.210 ;
-        RECT -14.580 -9.220 2934.200 -6.220 ;
-        RECT -14.580 -9.230 -11.580 -9.220 ;
-        RECT 94.020 -9.230 97.020 -9.220 ;
-        RECT 274.020 -9.230 277.020 -9.220 ;
-        RECT 454.020 -9.230 457.020 -9.220 ;
-        RECT 634.020 -9.230 637.020 -9.220 ;
-        RECT 814.020 -9.230 817.020 -9.220 ;
-        RECT 994.020 -9.230 997.020 -9.220 ;
-        RECT 1174.020 -9.230 1177.020 -9.220 ;
-        RECT 1354.020 -9.230 1357.020 -9.220 ;
-        RECT 1534.020 -9.230 1537.020 -9.220 ;
-        RECT 1714.020 -9.230 1717.020 -9.220 ;
-        RECT 1894.020 -9.230 1897.020 -9.220 ;
-        RECT 2074.020 -9.230 2077.020 -9.220 ;
-        RECT 2254.020 -9.230 2257.020 -9.220 ;
-        RECT 2434.020 -9.230 2437.020 -9.220 ;
-        RECT 2614.020 -9.230 2617.020 -9.220 ;
-        RECT 2794.020 -9.230 2797.020 -9.220 ;
-        RECT 2931.200 -9.230 2934.200 -9.220 ;
-    END
-  END vssd1
-  PIN vccd2
-    DIRECTION INPUT ;
-    PORT
-      LAYER met4 ;
-        RECT -19.180 -13.820 -16.180 3533.500 ;
-        RECT 22.020 3517.600 25.020 3538.100 ;
-        RECT 202.020 3517.600 205.020 3538.100 ;
-        RECT 382.020 3517.600 385.020 3538.100 ;
-        RECT 562.020 3517.600 565.020 3538.100 ;
-        RECT 742.020 3517.600 745.020 3538.100 ;
-        RECT 922.020 3517.600 925.020 3538.100 ;
-        RECT 1102.020 3517.600 1105.020 3538.100 ;
-        RECT 1282.020 3517.600 1285.020 3538.100 ;
-        RECT 1462.020 3517.600 1465.020 3538.100 ;
-        RECT 1642.020 3517.600 1645.020 3538.100 ;
-        RECT 1822.020 3517.600 1825.020 3538.100 ;
-        RECT 2002.020 3517.600 2005.020 3538.100 ;
-        RECT 2182.020 3517.600 2185.020 3538.100 ;
-        RECT 2362.020 3517.600 2365.020 3538.100 ;
-        RECT 2542.020 3517.600 2545.020 3538.100 ;
-        RECT 2722.020 3517.600 2725.020 3538.100 ;
-        RECT 2902.020 3517.600 2905.020 3538.100 ;
-        RECT 22.020 -18.420 25.020 2.400 ;
-        RECT 202.020 -18.420 205.020 2.400 ;
-        RECT 382.020 -18.420 385.020 2.400 ;
-        RECT 562.020 -18.420 565.020 2.400 ;
-        RECT 742.020 -18.420 745.020 2.400 ;
-        RECT 922.020 -18.420 925.020 2.400 ;
-        RECT 1102.020 -18.420 1105.020 2.400 ;
-        RECT 1282.020 -18.420 1285.020 2.400 ;
-        RECT 1462.020 -18.420 1465.020 2.400 ;
-        RECT 1642.020 -18.420 1645.020 2.400 ;
-        RECT 1822.020 -18.420 1825.020 2.400 ;
-        RECT 2002.020 -18.420 2005.020 2.400 ;
-        RECT 2182.020 -18.420 2185.020 2.400 ;
-        RECT 2362.020 -18.420 2365.020 2.400 ;
-        RECT 2542.020 -18.420 2545.020 2.400 ;
-        RECT 2722.020 -18.420 2725.020 2.400 ;
-        RECT 2902.020 -18.420 2905.020 2.400 ;
-        RECT 2935.800 -13.820 2938.800 3533.500 ;
-      LAYER M4M5_PR_C ;
-        RECT -18.270 3532.210 -17.090 3533.390 ;
-        RECT -18.270 3530.610 -17.090 3531.790 ;
-        RECT 22.930 3532.210 24.110 3533.390 ;
-        RECT 22.930 3530.610 24.110 3531.790 ;
-        RECT 202.930 3532.210 204.110 3533.390 ;
-        RECT 202.930 3530.610 204.110 3531.790 ;
-        RECT 382.930 3532.210 384.110 3533.390 ;
-        RECT 382.930 3530.610 384.110 3531.790 ;
-        RECT 562.930 3532.210 564.110 3533.390 ;
-        RECT 562.930 3530.610 564.110 3531.790 ;
-        RECT 742.930 3532.210 744.110 3533.390 ;
-        RECT 742.930 3530.610 744.110 3531.790 ;
-        RECT 922.930 3532.210 924.110 3533.390 ;
-        RECT 922.930 3530.610 924.110 3531.790 ;
-        RECT 1102.930 3532.210 1104.110 3533.390 ;
-        RECT 1102.930 3530.610 1104.110 3531.790 ;
-        RECT 1282.930 3532.210 1284.110 3533.390 ;
-        RECT 1282.930 3530.610 1284.110 3531.790 ;
-        RECT 1462.930 3532.210 1464.110 3533.390 ;
-        RECT 1462.930 3530.610 1464.110 3531.790 ;
-        RECT 1642.930 3532.210 1644.110 3533.390 ;
-        RECT 1642.930 3530.610 1644.110 3531.790 ;
-        RECT 1822.930 3532.210 1824.110 3533.390 ;
-        RECT 1822.930 3530.610 1824.110 3531.790 ;
-        RECT 2002.930 3532.210 2004.110 3533.390 ;
-        RECT 2002.930 3530.610 2004.110 3531.790 ;
-        RECT 2182.930 3532.210 2184.110 3533.390 ;
-        RECT 2182.930 3530.610 2184.110 3531.790 ;
-        RECT 2362.930 3532.210 2364.110 3533.390 ;
-        RECT 2362.930 3530.610 2364.110 3531.790 ;
-        RECT 2542.930 3532.210 2544.110 3533.390 ;
-        RECT 2542.930 3530.610 2544.110 3531.790 ;
-        RECT 2722.930 3532.210 2724.110 3533.390 ;
-        RECT 2722.930 3530.610 2724.110 3531.790 ;
-        RECT 2902.930 3532.210 2904.110 3533.390 ;
-        RECT 2902.930 3530.610 2904.110 3531.790 ;
-        RECT 2936.710 3532.210 2937.890 3533.390 ;
-        RECT 2936.710 3530.610 2937.890 3531.790 ;
-        RECT -18.270 3449.090 -17.090 3450.270 ;
-        RECT -18.270 3447.490 -17.090 3448.670 ;
-        RECT -18.270 3269.090 -17.090 3270.270 ;
-        RECT -18.270 3267.490 -17.090 3268.670 ;
-        RECT -18.270 3089.090 -17.090 3090.270 ;
-        RECT -18.270 3087.490 -17.090 3088.670 ;
-        RECT -18.270 2909.090 -17.090 2910.270 ;
-        RECT -18.270 2907.490 -17.090 2908.670 ;
-        RECT -18.270 2729.090 -17.090 2730.270 ;
-        RECT -18.270 2727.490 -17.090 2728.670 ;
-        RECT -18.270 2549.090 -17.090 2550.270 ;
-        RECT -18.270 2547.490 -17.090 2548.670 ;
-        RECT -18.270 2369.090 -17.090 2370.270 ;
-        RECT -18.270 2367.490 -17.090 2368.670 ;
-        RECT -18.270 2189.090 -17.090 2190.270 ;
-        RECT -18.270 2187.490 -17.090 2188.670 ;
-        RECT -18.270 2009.090 -17.090 2010.270 ;
-        RECT -18.270 2007.490 -17.090 2008.670 ;
-        RECT -18.270 1829.090 -17.090 1830.270 ;
-        RECT -18.270 1827.490 -17.090 1828.670 ;
-        RECT -18.270 1649.090 -17.090 1650.270 ;
-        RECT -18.270 1647.490 -17.090 1648.670 ;
-        RECT -18.270 1469.090 -17.090 1470.270 ;
-        RECT -18.270 1467.490 -17.090 1468.670 ;
-        RECT -18.270 1289.090 -17.090 1290.270 ;
-        RECT -18.270 1287.490 -17.090 1288.670 ;
-        RECT -18.270 1109.090 -17.090 1110.270 ;
-        RECT -18.270 1107.490 -17.090 1108.670 ;
-        RECT -18.270 929.090 -17.090 930.270 ;
-        RECT -18.270 927.490 -17.090 928.670 ;
-        RECT -18.270 749.090 -17.090 750.270 ;
-        RECT -18.270 747.490 -17.090 748.670 ;
-        RECT -18.270 569.090 -17.090 570.270 ;
-        RECT -18.270 567.490 -17.090 568.670 ;
-        RECT -18.270 389.090 -17.090 390.270 ;
-        RECT -18.270 387.490 -17.090 388.670 ;
-        RECT -18.270 209.090 -17.090 210.270 ;
-        RECT -18.270 207.490 -17.090 208.670 ;
-        RECT -18.270 29.090 -17.090 30.270 ;
-        RECT -18.270 27.490 -17.090 28.670 ;
-        RECT 2936.710 3449.090 2937.890 3450.270 ;
-        RECT 2936.710 3447.490 2937.890 3448.670 ;
-        RECT 2936.710 3269.090 2937.890 3270.270 ;
-        RECT 2936.710 3267.490 2937.890 3268.670 ;
-        RECT 2936.710 3089.090 2937.890 3090.270 ;
-        RECT 2936.710 3087.490 2937.890 3088.670 ;
-        RECT 2936.710 2909.090 2937.890 2910.270 ;
-        RECT 2936.710 2907.490 2937.890 2908.670 ;
-        RECT 2936.710 2729.090 2937.890 2730.270 ;
-        RECT 2936.710 2727.490 2937.890 2728.670 ;
-        RECT 2936.710 2549.090 2937.890 2550.270 ;
-        RECT 2936.710 2547.490 2937.890 2548.670 ;
-        RECT 2936.710 2369.090 2937.890 2370.270 ;
-        RECT 2936.710 2367.490 2937.890 2368.670 ;
-        RECT 2936.710 2189.090 2937.890 2190.270 ;
-        RECT 2936.710 2187.490 2937.890 2188.670 ;
-        RECT 2936.710 2009.090 2937.890 2010.270 ;
-        RECT 2936.710 2007.490 2937.890 2008.670 ;
-        RECT 2936.710 1829.090 2937.890 1830.270 ;
-        RECT 2936.710 1827.490 2937.890 1828.670 ;
-        RECT 2936.710 1649.090 2937.890 1650.270 ;
-        RECT 2936.710 1647.490 2937.890 1648.670 ;
-        RECT 2936.710 1469.090 2937.890 1470.270 ;
-        RECT 2936.710 1467.490 2937.890 1468.670 ;
-        RECT 2936.710 1289.090 2937.890 1290.270 ;
-        RECT 2936.710 1287.490 2937.890 1288.670 ;
-        RECT 2936.710 1109.090 2937.890 1110.270 ;
-        RECT 2936.710 1107.490 2937.890 1108.670 ;
-        RECT 2936.710 929.090 2937.890 930.270 ;
-        RECT 2936.710 927.490 2937.890 928.670 ;
-        RECT 2936.710 749.090 2937.890 750.270 ;
-        RECT 2936.710 747.490 2937.890 748.670 ;
-        RECT 2936.710 569.090 2937.890 570.270 ;
-        RECT 2936.710 567.490 2937.890 568.670 ;
-        RECT 2936.710 389.090 2937.890 390.270 ;
-        RECT 2936.710 387.490 2937.890 388.670 ;
-        RECT 2936.710 209.090 2937.890 210.270 ;
-        RECT 2936.710 207.490 2937.890 208.670 ;
-        RECT 2936.710 29.090 2937.890 30.270 ;
-        RECT 2936.710 27.490 2937.890 28.670 ;
-        RECT -18.270 -12.110 -17.090 -10.930 ;
-        RECT -18.270 -13.710 -17.090 -12.530 ;
-        RECT 22.930 -12.110 24.110 -10.930 ;
-        RECT 22.930 -13.710 24.110 -12.530 ;
-        RECT 202.930 -12.110 204.110 -10.930 ;
-        RECT 202.930 -13.710 204.110 -12.530 ;
-        RECT 382.930 -12.110 384.110 -10.930 ;
-        RECT 382.930 -13.710 384.110 -12.530 ;
-        RECT 562.930 -12.110 564.110 -10.930 ;
-        RECT 562.930 -13.710 564.110 -12.530 ;
-        RECT 742.930 -12.110 744.110 -10.930 ;
-        RECT 742.930 -13.710 744.110 -12.530 ;
-        RECT 922.930 -12.110 924.110 -10.930 ;
-        RECT 922.930 -13.710 924.110 -12.530 ;
-        RECT 1102.930 -12.110 1104.110 -10.930 ;
-        RECT 1102.930 -13.710 1104.110 -12.530 ;
-        RECT 1282.930 -12.110 1284.110 -10.930 ;
-        RECT 1282.930 -13.710 1284.110 -12.530 ;
-        RECT 1462.930 -12.110 1464.110 -10.930 ;
-        RECT 1462.930 -13.710 1464.110 -12.530 ;
-        RECT 1642.930 -12.110 1644.110 -10.930 ;
-        RECT 1642.930 -13.710 1644.110 -12.530 ;
-        RECT 1822.930 -12.110 1824.110 -10.930 ;
-        RECT 1822.930 -13.710 1824.110 -12.530 ;
-        RECT 2002.930 -12.110 2004.110 -10.930 ;
-        RECT 2002.930 -13.710 2004.110 -12.530 ;
-        RECT 2182.930 -12.110 2184.110 -10.930 ;
-        RECT 2182.930 -13.710 2184.110 -12.530 ;
-        RECT 2362.930 -12.110 2364.110 -10.930 ;
-        RECT 2362.930 -13.710 2364.110 -12.530 ;
-        RECT 2542.930 -12.110 2544.110 -10.930 ;
-        RECT 2542.930 -13.710 2544.110 -12.530 ;
-        RECT 2722.930 -12.110 2724.110 -10.930 ;
-        RECT 2722.930 -13.710 2724.110 -12.530 ;
-        RECT 2902.930 -12.110 2904.110 -10.930 ;
-        RECT 2902.930 -13.710 2904.110 -12.530 ;
-        RECT 2936.710 -12.110 2937.890 -10.930 ;
-        RECT 2936.710 -13.710 2937.890 -12.530 ;
-      LAYER met5 ;
-        RECT -19.180 3533.500 -16.180 3533.510 ;
-        RECT 22.020 3533.500 25.020 3533.510 ;
-        RECT 202.020 3533.500 205.020 3533.510 ;
-        RECT 382.020 3533.500 385.020 3533.510 ;
-        RECT 562.020 3533.500 565.020 3533.510 ;
-        RECT 742.020 3533.500 745.020 3533.510 ;
-        RECT 922.020 3533.500 925.020 3533.510 ;
-        RECT 1102.020 3533.500 1105.020 3533.510 ;
-        RECT 1282.020 3533.500 1285.020 3533.510 ;
-        RECT 1462.020 3533.500 1465.020 3533.510 ;
-        RECT 1642.020 3533.500 1645.020 3533.510 ;
-        RECT 1822.020 3533.500 1825.020 3533.510 ;
-        RECT 2002.020 3533.500 2005.020 3533.510 ;
-        RECT 2182.020 3533.500 2185.020 3533.510 ;
-        RECT 2362.020 3533.500 2365.020 3533.510 ;
-        RECT 2542.020 3533.500 2545.020 3533.510 ;
-        RECT 2722.020 3533.500 2725.020 3533.510 ;
-        RECT 2902.020 3533.500 2905.020 3533.510 ;
-        RECT 2935.800 3533.500 2938.800 3533.510 ;
-        RECT -19.180 3530.500 2938.800 3533.500 ;
-        RECT -19.180 3530.490 -16.180 3530.500 ;
-        RECT 22.020 3530.490 25.020 3530.500 ;
-        RECT 202.020 3530.490 205.020 3530.500 ;
-        RECT 382.020 3530.490 385.020 3530.500 ;
-        RECT 562.020 3530.490 565.020 3530.500 ;
-        RECT 742.020 3530.490 745.020 3530.500 ;
-        RECT 922.020 3530.490 925.020 3530.500 ;
-        RECT 1102.020 3530.490 1105.020 3530.500 ;
-        RECT 1282.020 3530.490 1285.020 3530.500 ;
-        RECT 1462.020 3530.490 1465.020 3530.500 ;
-        RECT 1642.020 3530.490 1645.020 3530.500 ;
-        RECT 1822.020 3530.490 1825.020 3530.500 ;
-        RECT 2002.020 3530.490 2005.020 3530.500 ;
-        RECT 2182.020 3530.490 2185.020 3530.500 ;
-        RECT 2362.020 3530.490 2365.020 3530.500 ;
-        RECT 2542.020 3530.490 2545.020 3530.500 ;
-        RECT 2722.020 3530.490 2725.020 3530.500 ;
-        RECT 2902.020 3530.490 2905.020 3530.500 ;
-        RECT 2935.800 3530.490 2938.800 3530.500 ;
-        RECT -19.180 3450.380 -16.180 3450.390 ;
-        RECT 2935.800 3450.380 2938.800 3450.390 ;
-        RECT -23.780 3447.380 2.400 3450.380 ;
-        RECT 2917.600 3447.380 2943.400 3450.380 ;
-        RECT -19.180 3447.370 -16.180 3447.380 ;
-        RECT 2935.800 3447.370 2938.800 3447.380 ;
-        RECT -19.180 3270.380 -16.180 3270.390 ;
-        RECT 2935.800 3270.380 2938.800 3270.390 ;
-        RECT -23.780 3267.380 2.400 3270.380 ;
-        RECT 2917.600 3267.380 2943.400 3270.380 ;
-        RECT -19.180 3267.370 -16.180 3267.380 ;
-        RECT 2935.800 3267.370 2938.800 3267.380 ;
-        RECT -19.180 3090.380 -16.180 3090.390 ;
-        RECT 2935.800 3090.380 2938.800 3090.390 ;
-        RECT -23.780 3087.380 2.400 3090.380 ;
-        RECT 2917.600 3087.380 2943.400 3090.380 ;
-        RECT -19.180 3087.370 -16.180 3087.380 ;
-        RECT 2935.800 3087.370 2938.800 3087.380 ;
-        RECT -19.180 2910.380 -16.180 2910.390 ;
-        RECT 2935.800 2910.380 2938.800 2910.390 ;
-        RECT -23.780 2907.380 2.400 2910.380 ;
-        RECT 2917.600 2907.380 2943.400 2910.380 ;
-        RECT -19.180 2907.370 -16.180 2907.380 ;
-        RECT 2935.800 2907.370 2938.800 2907.380 ;
-        RECT -19.180 2730.380 -16.180 2730.390 ;
-        RECT 2935.800 2730.380 2938.800 2730.390 ;
-        RECT -23.780 2727.380 2.400 2730.380 ;
-        RECT 2917.600 2727.380 2943.400 2730.380 ;
-        RECT -19.180 2727.370 -16.180 2727.380 ;
-        RECT 2935.800 2727.370 2938.800 2727.380 ;
-        RECT -19.180 2550.380 -16.180 2550.390 ;
-        RECT 2935.800 2550.380 2938.800 2550.390 ;
-        RECT -23.780 2547.380 2.400 2550.380 ;
-        RECT 2917.600 2547.380 2943.400 2550.380 ;
-        RECT -19.180 2547.370 -16.180 2547.380 ;
-        RECT 2935.800 2547.370 2938.800 2547.380 ;
-        RECT -19.180 2370.380 -16.180 2370.390 ;
-        RECT 2935.800 2370.380 2938.800 2370.390 ;
-        RECT -23.780 2367.380 2.400 2370.380 ;
-        RECT 2917.600 2367.380 2943.400 2370.380 ;
-        RECT -19.180 2367.370 -16.180 2367.380 ;
-        RECT 2935.800 2367.370 2938.800 2367.380 ;
-        RECT -19.180 2190.380 -16.180 2190.390 ;
-        RECT 2935.800 2190.380 2938.800 2190.390 ;
-        RECT -23.780 2187.380 2.400 2190.380 ;
-        RECT 2917.600 2187.380 2943.400 2190.380 ;
-        RECT -19.180 2187.370 -16.180 2187.380 ;
-        RECT 2935.800 2187.370 2938.800 2187.380 ;
-        RECT -19.180 2010.380 -16.180 2010.390 ;
-        RECT 2935.800 2010.380 2938.800 2010.390 ;
-        RECT -23.780 2007.380 2.400 2010.380 ;
-        RECT 2917.600 2007.380 2943.400 2010.380 ;
-        RECT -19.180 2007.370 -16.180 2007.380 ;
-        RECT 2935.800 2007.370 2938.800 2007.380 ;
-        RECT -19.180 1830.380 -16.180 1830.390 ;
-        RECT 2935.800 1830.380 2938.800 1830.390 ;
-        RECT -23.780 1827.380 2.400 1830.380 ;
-        RECT 2917.600 1827.380 2943.400 1830.380 ;
-        RECT -19.180 1827.370 -16.180 1827.380 ;
-        RECT 2935.800 1827.370 2938.800 1827.380 ;
-        RECT -19.180 1650.380 -16.180 1650.390 ;
-        RECT 2935.800 1650.380 2938.800 1650.390 ;
-        RECT -23.780 1647.380 2.400 1650.380 ;
-        RECT 2917.600 1647.380 2943.400 1650.380 ;
-        RECT -19.180 1647.370 -16.180 1647.380 ;
-        RECT 2935.800 1647.370 2938.800 1647.380 ;
-        RECT -19.180 1470.380 -16.180 1470.390 ;
-        RECT 2935.800 1470.380 2938.800 1470.390 ;
-        RECT -23.780 1467.380 2.400 1470.380 ;
-        RECT 2917.600 1467.380 2943.400 1470.380 ;
-        RECT -19.180 1467.370 -16.180 1467.380 ;
-        RECT 2935.800 1467.370 2938.800 1467.380 ;
-        RECT -19.180 1290.380 -16.180 1290.390 ;
-        RECT 2935.800 1290.380 2938.800 1290.390 ;
-        RECT -23.780 1287.380 2.400 1290.380 ;
-        RECT 2917.600 1287.380 2943.400 1290.380 ;
-        RECT -19.180 1287.370 -16.180 1287.380 ;
-        RECT 2935.800 1287.370 2938.800 1287.380 ;
-        RECT -19.180 1110.380 -16.180 1110.390 ;
-        RECT 2935.800 1110.380 2938.800 1110.390 ;
-        RECT -23.780 1107.380 2.400 1110.380 ;
-        RECT 2917.600 1107.380 2943.400 1110.380 ;
-        RECT -19.180 1107.370 -16.180 1107.380 ;
-        RECT 2935.800 1107.370 2938.800 1107.380 ;
-        RECT -19.180 930.380 -16.180 930.390 ;
-        RECT 2935.800 930.380 2938.800 930.390 ;
-        RECT -23.780 927.380 2.400 930.380 ;
-        RECT 2917.600 927.380 2943.400 930.380 ;
-        RECT -19.180 927.370 -16.180 927.380 ;
-        RECT 2935.800 927.370 2938.800 927.380 ;
-        RECT -19.180 750.380 -16.180 750.390 ;
-        RECT 2935.800 750.380 2938.800 750.390 ;
-        RECT -23.780 747.380 2.400 750.380 ;
-        RECT 2917.600 747.380 2943.400 750.380 ;
-        RECT -19.180 747.370 -16.180 747.380 ;
-        RECT 2935.800 747.370 2938.800 747.380 ;
-        RECT -19.180 570.380 -16.180 570.390 ;
-        RECT 2935.800 570.380 2938.800 570.390 ;
-        RECT -23.780 567.380 2.400 570.380 ;
-        RECT 2917.600 567.380 2943.400 570.380 ;
-        RECT -19.180 567.370 -16.180 567.380 ;
-        RECT 2935.800 567.370 2938.800 567.380 ;
-        RECT -19.180 390.380 -16.180 390.390 ;
-        RECT 2935.800 390.380 2938.800 390.390 ;
-        RECT -23.780 387.380 2.400 390.380 ;
-        RECT 2917.600 387.380 2943.400 390.380 ;
-        RECT -19.180 387.370 -16.180 387.380 ;
-        RECT 2935.800 387.370 2938.800 387.380 ;
-        RECT -19.180 210.380 -16.180 210.390 ;
-        RECT 2935.800 210.380 2938.800 210.390 ;
-        RECT -23.780 207.380 2.400 210.380 ;
-        RECT 2917.600 207.380 2943.400 210.380 ;
-        RECT -19.180 207.370 -16.180 207.380 ;
-        RECT 2935.800 207.370 2938.800 207.380 ;
-        RECT -19.180 30.380 -16.180 30.390 ;
-        RECT 2935.800 30.380 2938.800 30.390 ;
-        RECT -23.780 27.380 2.400 30.380 ;
-        RECT 2917.600 27.380 2943.400 30.380 ;
-        RECT -19.180 27.370 -16.180 27.380 ;
-        RECT 2935.800 27.370 2938.800 27.380 ;
-        RECT -19.180 -10.820 -16.180 -10.810 ;
-        RECT 22.020 -10.820 25.020 -10.810 ;
-        RECT 202.020 -10.820 205.020 -10.810 ;
-        RECT 382.020 -10.820 385.020 -10.810 ;
-        RECT 562.020 -10.820 565.020 -10.810 ;
-        RECT 742.020 -10.820 745.020 -10.810 ;
-        RECT 922.020 -10.820 925.020 -10.810 ;
-        RECT 1102.020 -10.820 1105.020 -10.810 ;
-        RECT 1282.020 -10.820 1285.020 -10.810 ;
-        RECT 1462.020 -10.820 1465.020 -10.810 ;
-        RECT 1642.020 -10.820 1645.020 -10.810 ;
-        RECT 1822.020 -10.820 1825.020 -10.810 ;
-        RECT 2002.020 -10.820 2005.020 -10.810 ;
-        RECT 2182.020 -10.820 2185.020 -10.810 ;
-        RECT 2362.020 -10.820 2365.020 -10.810 ;
-        RECT 2542.020 -10.820 2545.020 -10.810 ;
-        RECT 2722.020 -10.820 2725.020 -10.810 ;
-        RECT 2902.020 -10.820 2905.020 -10.810 ;
-        RECT 2935.800 -10.820 2938.800 -10.810 ;
-        RECT -19.180 -13.820 2938.800 -10.820 ;
-        RECT -19.180 -13.830 -16.180 -13.820 ;
-        RECT 22.020 -13.830 25.020 -13.820 ;
-        RECT 202.020 -13.830 205.020 -13.820 ;
-        RECT 382.020 -13.830 385.020 -13.820 ;
-        RECT 562.020 -13.830 565.020 -13.820 ;
-        RECT 742.020 -13.830 745.020 -13.820 ;
-        RECT 922.020 -13.830 925.020 -13.820 ;
-        RECT 1102.020 -13.830 1105.020 -13.820 ;
-        RECT 1282.020 -13.830 1285.020 -13.820 ;
-        RECT 1462.020 -13.830 1465.020 -13.820 ;
-        RECT 1642.020 -13.830 1645.020 -13.820 ;
-        RECT 1822.020 -13.830 1825.020 -13.820 ;
-        RECT 2002.020 -13.830 2005.020 -13.820 ;
-        RECT 2182.020 -13.830 2185.020 -13.820 ;
-        RECT 2362.020 -13.830 2365.020 -13.820 ;
-        RECT 2542.020 -13.830 2545.020 -13.820 ;
-        RECT 2722.020 -13.830 2725.020 -13.820 ;
-        RECT 2902.020 -13.830 2905.020 -13.820 ;
-        RECT 2935.800 -13.830 2938.800 -13.820 ;
-    END
-  END vccd2
-  PIN vssd2
-    DIRECTION INPUT ;
-    PORT
-      LAYER met4 ;
-        RECT -23.780 -18.420 -20.780 3538.100 ;
-        RECT 112.020 3517.600 115.020 3538.100 ;
-        RECT 292.020 3517.600 295.020 3538.100 ;
-        RECT 472.020 3517.600 475.020 3538.100 ;
-        RECT 652.020 3517.600 655.020 3538.100 ;
-        RECT 832.020 3517.600 835.020 3538.100 ;
-        RECT 1012.020 3517.600 1015.020 3538.100 ;
-        RECT 1192.020 3517.600 1195.020 3538.100 ;
-        RECT 1372.020 3517.600 1375.020 3538.100 ;
-        RECT 1552.020 3517.600 1555.020 3538.100 ;
-        RECT 1732.020 3517.600 1735.020 3538.100 ;
-        RECT 1912.020 3517.600 1915.020 3538.100 ;
-        RECT 2092.020 3517.600 2095.020 3538.100 ;
-        RECT 2272.020 3517.600 2275.020 3538.100 ;
-        RECT 2452.020 3517.600 2455.020 3538.100 ;
-        RECT 2632.020 3517.600 2635.020 3538.100 ;
-        RECT 2812.020 3517.600 2815.020 3538.100 ;
-        RECT 112.020 -18.420 115.020 2.400 ;
-        RECT 292.020 -18.420 295.020 2.400 ;
-        RECT 472.020 -18.420 475.020 2.400 ;
-        RECT 652.020 -18.420 655.020 2.400 ;
-        RECT 832.020 -18.420 835.020 2.400 ;
-        RECT 1012.020 -18.420 1015.020 2.400 ;
-        RECT 1192.020 -18.420 1195.020 2.400 ;
-        RECT 1372.020 -18.420 1375.020 2.400 ;
-        RECT 1552.020 -18.420 1555.020 2.400 ;
-        RECT 1732.020 -18.420 1735.020 2.400 ;
-        RECT 1912.020 -18.420 1915.020 2.400 ;
-        RECT 2092.020 -18.420 2095.020 2.400 ;
-        RECT 2272.020 -18.420 2275.020 2.400 ;
-        RECT 2452.020 -18.420 2455.020 2.400 ;
-        RECT 2632.020 -18.420 2635.020 2.400 ;
-        RECT 2812.020 -18.420 2815.020 2.400 ;
-        RECT 2940.400 -18.420 2943.400 3538.100 ;
-      LAYER M4M5_PR_C ;
-        RECT -22.870 3536.810 -21.690 3537.990 ;
-        RECT -22.870 3535.210 -21.690 3536.390 ;
-        RECT 112.930 3536.810 114.110 3537.990 ;
-        RECT 112.930 3535.210 114.110 3536.390 ;
-        RECT 292.930 3536.810 294.110 3537.990 ;
-        RECT 292.930 3535.210 294.110 3536.390 ;
-        RECT 472.930 3536.810 474.110 3537.990 ;
-        RECT 472.930 3535.210 474.110 3536.390 ;
-        RECT 652.930 3536.810 654.110 3537.990 ;
-        RECT 652.930 3535.210 654.110 3536.390 ;
-        RECT 832.930 3536.810 834.110 3537.990 ;
-        RECT 832.930 3535.210 834.110 3536.390 ;
-        RECT 1012.930 3536.810 1014.110 3537.990 ;
-        RECT 1012.930 3535.210 1014.110 3536.390 ;
-        RECT 1192.930 3536.810 1194.110 3537.990 ;
-        RECT 1192.930 3535.210 1194.110 3536.390 ;
-        RECT 1372.930 3536.810 1374.110 3537.990 ;
-        RECT 1372.930 3535.210 1374.110 3536.390 ;
-        RECT 1552.930 3536.810 1554.110 3537.990 ;
-        RECT 1552.930 3535.210 1554.110 3536.390 ;
-        RECT 1732.930 3536.810 1734.110 3537.990 ;
-        RECT 1732.930 3535.210 1734.110 3536.390 ;
-        RECT 1912.930 3536.810 1914.110 3537.990 ;
-        RECT 1912.930 3535.210 1914.110 3536.390 ;
-        RECT 2092.930 3536.810 2094.110 3537.990 ;
-        RECT 2092.930 3535.210 2094.110 3536.390 ;
-        RECT 2272.930 3536.810 2274.110 3537.990 ;
-        RECT 2272.930 3535.210 2274.110 3536.390 ;
-        RECT 2452.930 3536.810 2454.110 3537.990 ;
-        RECT 2452.930 3535.210 2454.110 3536.390 ;
-        RECT 2632.930 3536.810 2634.110 3537.990 ;
-        RECT 2632.930 3535.210 2634.110 3536.390 ;
-        RECT 2812.930 3536.810 2814.110 3537.990 ;
-        RECT 2812.930 3535.210 2814.110 3536.390 ;
-        RECT 2941.310 3536.810 2942.490 3537.990 ;
-        RECT 2941.310 3535.210 2942.490 3536.390 ;
-        RECT -22.870 3359.090 -21.690 3360.270 ;
-        RECT -22.870 3357.490 -21.690 3358.670 ;
-        RECT -22.870 3179.090 -21.690 3180.270 ;
-        RECT -22.870 3177.490 -21.690 3178.670 ;
-        RECT -22.870 2999.090 -21.690 3000.270 ;
-        RECT -22.870 2997.490 -21.690 2998.670 ;
-        RECT -22.870 2819.090 -21.690 2820.270 ;
-        RECT -22.870 2817.490 -21.690 2818.670 ;
-        RECT -22.870 2639.090 -21.690 2640.270 ;
-        RECT -22.870 2637.490 -21.690 2638.670 ;
-        RECT -22.870 2459.090 -21.690 2460.270 ;
-        RECT -22.870 2457.490 -21.690 2458.670 ;
-        RECT -22.870 2279.090 -21.690 2280.270 ;
-        RECT -22.870 2277.490 -21.690 2278.670 ;
-        RECT -22.870 2099.090 -21.690 2100.270 ;
-        RECT -22.870 2097.490 -21.690 2098.670 ;
-        RECT -22.870 1919.090 -21.690 1920.270 ;
-        RECT -22.870 1917.490 -21.690 1918.670 ;
-        RECT -22.870 1739.090 -21.690 1740.270 ;
-        RECT -22.870 1737.490 -21.690 1738.670 ;
-        RECT -22.870 1559.090 -21.690 1560.270 ;
-        RECT -22.870 1557.490 -21.690 1558.670 ;
-        RECT -22.870 1379.090 -21.690 1380.270 ;
-        RECT -22.870 1377.490 -21.690 1378.670 ;
-        RECT -22.870 1199.090 -21.690 1200.270 ;
-        RECT -22.870 1197.490 -21.690 1198.670 ;
-        RECT -22.870 1019.090 -21.690 1020.270 ;
-        RECT -22.870 1017.490 -21.690 1018.670 ;
-        RECT -22.870 839.090 -21.690 840.270 ;
-        RECT -22.870 837.490 -21.690 838.670 ;
-        RECT -22.870 659.090 -21.690 660.270 ;
-        RECT -22.870 657.490 -21.690 658.670 ;
-        RECT -22.870 479.090 -21.690 480.270 ;
-        RECT -22.870 477.490 -21.690 478.670 ;
-        RECT -22.870 299.090 -21.690 300.270 ;
-        RECT -22.870 297.490 -21.690 298.670 ;
-        RECT -22.870 119.090 -21.690 120.270 ;
-        RECT -22.870 117.490 -21.690 118.670 ;
-        RECT 2941.310 3359.090 2942.490 3360.270 ;
-        RECT 2941.310 3357.490 2942.490 3358.670 ;
-        RECT 2941.310 3179.090 2942.490 3180.270 ;
-        RECT 2941.310 3177.490 2942.490 3178.670 ;
-        RECT 2941.310 2999.090 2942.490 3000.270 ;
-        RECT 2941.310 2997.490 2942.490 2998.670 ;
-        RECT 2941.310 2819.090 2942.490 2820.270 ;
-        RECT 2941.310 2817.490 2942.490 2818.670 ;
-        RECT 2941.310 2639.090 2942.490 2640.270 ;
-        RECT 2941.310 2637.490 2942.490 2638.670 ;
-        RECT 2941.310 2459.090 2942.490 2460.270 ;
-        RECT 2941.310 2457.490 2942.490 2458.670 ;
-        RECT 2941.310 2279.090 2942.490 2280.270 ;
-        RECT 2941.310 2277.490 2942.490 2278.670 ;
-        RECT 2941.310 2099.090 2942.490 2100.270 ;
-        RECT 2941.310 2097.490 2942.490 2098.670 ;
-        RECT 2941.310 1919.090 2942.490 1920.270 ;
-        RECT 2941.310 1917.490 2942.490 1918.670 ;
-        RECT 2941.310 1739.090 2942.490 1740.270 ;
-        RECT 2941.310 1737.490 2942.490 1738.670 ;
-        RECT 2941.310 1559.090 2942.490 1560.270 ;
-        RECT 2941.310 1557.490 2942.490 1558.670 ;
-        RECT 2941.310 1379.090 2942.490 1380.270 ;
-        RECT 2941.310 1377.490 2942.490 1378.670 ;
-        RECT 2941.310 1199.090 2942.490 1200.270 ;
-        RECT 2941.310 1197.490 2942.490 1198.670 ;
-        RECT 2941.310 1019.090 2942.490 1020.270 ;
-        RECT 2941.310 1017.490 2942.490 1018.670 ;
-        RECT 2941.310 839.090 2942.490 840.270 ;
-        RECT 2941.310 837.490 2942.490 838.670 ;
-        RECT 2941.310 659.090 2942.490 660.270 ;
-        RECT 2941.310 657.490 2942.490 658.670 ;
-        RECT 2941.310 479.090 2942.490 480.270 ;
-        RECT 2941.310 477.490 2942.490 478.670 ;
-        RECT 2941.310 299.090 2942.490 300.270 ;
-        RECT 2941.310 297.490 2942.490 298.670 ;
-        RECT 2941.310 119.090 2942.490 120.270 ;
-        RECT 2941.310 117.490 2942.490 118.670 ;
-        RECT -22.870 -16.710 -21.690 -15.530 ;
-        RECT -22.870 -18.310 -21.690 -17.130 ;
-        RECT 112.930 -16.710 114.110 -15.530 ;
-        RECT 112.930 -18.310 114.110 -17.130 ;
-        RECT 292.930 -16.710 294.110 -15.530 ;
-        RECT 292.930 -18.310 294.110 -17.130 ;
-        RECT 472.930 -16.710 474.110 -15.530 ;
-        RECT 472.930 -18.310 474.110 -17.130 ;
-        RECT 652.930 -16.710 654.110 -15.530 ;
-        RECT 652.930 -18.310 654.110 -17.130 ;
-        RECT 832.930 -16.710 834.110 -15.530 ;
-        RECT 832.930 -18.310 834.110 -17.130 ;
-        RECT 1012.930 -16.710 1014.110 -15.530 ;
-        RECT 1012.930 -18.310 1014.110 -17.130 ;
-        RECT 1192.930 -16.710 1194.110 -15.530 ;
-        RECT 1192.930 -18.310 1194.110 -17.130 ;
-        RECT 1372.930 -16.710 1374.110 -15.530 ;
-        RECT 1372.930 -18.310 1374.110 -17.130 ;
-        RECT 1552.930 -16.710 1554.110 -15.530 ;
-        RECT 1552.930 -18.310 1554.110 -17.130 ;
-        RECT 1732.930 -16.710 1734.110 -15.530 ;
-        RECT 1732.930 -18.310 1734.110 -17.130 ;
-        RECT 1912.930 -16.710 1914.110 -15.530 ;
-        RECT 1912.930 -18.310 1914.110 -17.130 ;
-        RECT 2092.930 -16.710 2094.110 -15.530 ;
-        RECT 2092.930 -18.310 2094.110 -17.130 ;
-        RECT 2272.930 -16.710 2274.110 -15.530 ;
-        RECT 2272.930 -18.310 2274.110 -17.130 ;
-        RECT 2452.930 -16.710 2454.110 -15.530 ;
-        RECT 2452.930 -18.310 2454.110 -17.130 ;
-        RECT 2632.930 -16.710 2634.110 -15.530 ;
-        RECT 2632.930 -18.310 2634.110 -17.130 ;
-        RECT 2812.930 -16.710 2814.110 -15.530 ;
-        RECT 2812.930 -18.310 2814.110 -17.130 ;
-        RECT 2941.310 -16.710 2942.490 -15.530 ;
-        RECT 2941.310 -18.310 2942.490 -17.130 ;
-      LAYER met5 ;
-        RECT -23.780 3538.100 -20.780 3538.110 ;
-        RECT 112.020 3538.100 115.020 3538.110 ;
-        RECT 292.020 3538.100 295.020 3538.110 ;
-        RECT 472.020 3538.100 475.020 3538.110 ;
-        RECT 652.020 3538.100 655.020 3538.110 ;
-        RECT 832.020 3538.100 835.020 3538.110 ;
-        RECT 1012.020 3538.100 1015.020 3538.110 ;
-        RECT 1192.020 3538.100 1195.020 3538.110 ;
-        RECT 1372.020 3538.100 1375.020 3538.110 ;
-        RECT 1552.020 3538.100 1555.020 3538.110 ;
-        RECT 1732.020 3538.100 1735.020 3538.110 ;
-        RECT 1912.020 3538.100 1915.020 3538.110 ;
-        RECT 2092.020 3538.100 2095.020 3538.110 ;
-        RECT 2272.020 3538.100 2275.020 3538.110 ;
-        RECT 2452.020 3538.100 2455.020 3538.110 ;
-        RECT 2632.020 3538.100 2635.020 3538.110 ;
-        RECT 2812.020 3538.100 2815.020 3538.110 ;
-        RECT 2940.400 3538.100 2943.400 3538.110 ;
-        RECT -23.780 3535.100 2943.400 3538.100 ;
-        RECT -23.780 3535.090 -20.780 3535.100 ;
-        RECT 112.020 3535.090 115.020 3535.100 ;
-        RECT 292.020 3535.090 295.020 3535.100 ;
-        RECT 472.020 3535.090 475.020 3535.100 ;
-        RECT 652.020 3535.090 655.020 3535.100 ;
-        RECT 832.020 3535.090 835.020 3535.100 ;
-        RECT 1012.020 3535.090 1015.020 3535.100 ;
-        RECT 1192.020 3535.090 1195.020 3535.100 ;
-        RECT 1372.020 3535.090 1375.020 3535.100 ;
-        RECT 1552.020 3535.090 1555.020 3535.100 ;
-        RECT 1732.020 3535.090 1735.020 3535.100 ;
-        RECT 1912.020 3535.090 1915.020 3535.100 ;
-        RECT 2092.020 3535.090 2095.020 3535.100 ;
-        RECT 2272.020 3535.090 2275.020 3535.100 ;
-        RECT 2452.020 3535.090 2455.020 3535.100 ;
-        RECT 2632.020 3535.090 2635.020 3535.100 ;
-        RECT 2812.020 3535.090 2815.020 3535.100 ;
-        RECT 2940.400 3535.090 2943.400 3535.100 ;
-        RECT -23.780 3360.380 -20.780 3360.390 ;
-        RECT 2940.400 3360.380 2943.400 3360.390 ;
-        RECT -23.780 3357.380 2.400 3360.380 ;
-        RECT 2917.600 3357.380 2943.400 3360.380 ;
-        RECT -23.780 3357.370 -20.780 3357.380 ;
-        RECT 2940.400 3357.370 2943.400 3357.380 ;
-        RECT -23.780 3180.380 -20.780 3180.390 ;
-        RECT 2940.400 3180.380 2943.400 3180.390 ;
-        RECT -23.780 3177.380 2.400 3180.380 ;
-        RECT 2917.600 3177.380 2943.400 3180.380 ;
-        RECT -23.780 3177.370 -20.780 3177.380 ;
-        RECT 2940.400 3177.370 2943.400 3177.380 ;
-        RECT -23.780 3000.380 -20.780 3000.390 ;
-        RECT 2940.400 3000.380 2943.400 3000.390 ;
-        RECT -23.780 2997.380 2.400 3000.380 ;
-        RECT 2917.600 2997.380 2943.400 3000.380 ;
-        RECT -23.780 2997.370 -20.780 2997.380 ;
-        RECT 2940.400 2997.370 2943.400 2997.380 ;
-        RECT -23.780 2820.380 -20.780 2820.390 ;
-        RECT 2940.400 2820.380 2943.400 2820.390 ;
-        RECT -23.780 2817.380 2.400 2820.380 ;
-        RECT 2917.600 2817.380 2943.400 2820.380 ;
-        RECT -23.780 2817.370 -20.780 2817.380 ;
-        RECT 2940.400 2817.370 2943.400 2817.380 ;
-        RECT -23.780 2640.380 -20.780 2640.390 ;
-        RECT 2940.400 2640.380 2943.400 2640.390 ;
-        RECT -23.780 2637.380 2.400 2640.380 ;
-        RECT 2917.600 2637.380 2943.400 2640.380 ;
-        RECT -23.780 2637.370 -20.780 2637.380 ;
-        RECT 2940.400 2637.370 2943.400 2637.380 ;
-        RECT -23.780 2460.380 -20.780 2460.390 ;
-        RECT 2940.400 2460.380 2943.400 2460.390 ;
-        RECT -23.780 2457.380 2.400 2460.380 ;
-        RECT 2917.600 2457.380 2943.400 2460.380 ;
-        RECT -23.780 2457.370 -20.780 2457.380 ;
-        RECT 2940.400 2457.370 2943.400 2457.380 ;
-        RECT -23.780 2280.380 -20.780 2280.390 ;
-        RECT 2940.400 2280.380 2943.400 2280.390 ;
-        RECT -23.780 2277.380 2.400 2280.380 ;
-        RECT 2917.600 2277.380 2943.400 2280.380 ;
-        RECT -23.780 2277.370 -20.780 2277.380 ;
-        RECT 2940.400 2277.370 2943.400 2277.380 ;
-        RECT -23.780 2100.380 -20.780 2100.390 ;
-        RECT 2940.400 2100.380 2943.400 2100.390 ;
-        RECT -23.780 2097.380 2.400 2100.380 ;
-        RECT 2917.600 2097.380 2943.400 2100.380 ;
-        RECT -23.780 2097.370 -20.780 2097.380 ;
-        RECT 2940.400 2097.370 2943.400 2097.380 ;
-        RECT -23.780 1920.380 -20.780 1920.390 ;
-        RECT 2940.400 1920.380 2943.400 1920.390 ;
-        RECT -23.780 1917.380 2.400 1920.380 ;
-        RECT 2917.600 1917.380 2943.400 1920.380 ;
-        RECT -23.780 1917.370 -20.780 1917.380 ;
-        RECT 2940.400 1917.370 2943.400 1917.380 ;
-        RECT -23.780 1740.380 -20.780 1740.390 ;
-        RECT 2940.400 1740.380 2943.400 1740.390 ;
-        RECT -23.780 1737.380 2.400 1740.380 ;
-        RECT 2917.600 1737.380 2943.400 1740.380 ;
-        RECT -23.780 1737.370 -20.780 1737.380 ;
-        RECT 2940.400 1737.370 2943.400 1737.380 ;
-        RECT -23.780 1560.380 -20.780 1560.390 ;
-        RECT 2940.400 1560.380 2943.400 1560.390 ;
-        RECT -23.780 1557.380 2.400 1560.380 ;
-        RECT 2917.600 1557.380 2943.400 1560.380 ;
-        RECT -23.780 1557.370 -20.780 1557.380 ;
-        RECT 2940.400 1557.370 2943.400 1557.380 ;
-        RECT -23.780 1380.380 -20.780 1380.390 ;
-        RECT 2940.400 1380.380 2943.400 1380.390 ;
-        RECT -23.780 1377.380 2.400 1380.380 ;
-        RECT 2917.600 1377.380 2943.400 1380.380 ;
-        RECT -23.780 1377.370 -20.780 1377.380 ;
-        RECT 2940.400 1377.370 2943.400 1377.380 ;
-        RECT -23.780 1200.380 -20.780 1200.390 ;
-        RECT 2940.400 1200.380 2943.400 1200.390 ;
-        RECT -23.780 1197.380 2.400 1200.380 ;
-        RECT 2917.600 1197.380 2943.400 1200.380 ;
-        RECT -23.780 1197.370 -20.780 1197.380 ;
-        RECT 2940.400 1197.370 2943.400 1197.380 ;
-        RECT -23.780 1020.380 -20.780 1020.390 ;
-        RECT 2940.400 1020.380 2943.400 1020.390 ;
-        RECT -23.780 1017.380 2.400 1020.380 ;
-        RECT 2917.600 1017.380 2943.400 1020.380 ;
-        RECT -23.780 1017.370 -20.780 1017.380 ;
-        RECT 2940.400 1017.370 2943.400 1017.380 ;
-        RECT -23.780 840.380 -20.780 840.390 ;
-        RECT 2940.400 840.380 2943.400 840.390 ;
-        RECT -23.780 837.380 2.400 840.380 ;
-        RECT 2917.600 837.380 2943.400 840.380 ;
-        RECT -23.780 837.370 -20.780 837.380 ;
-        RECT 2940.400 837.370 2943.400 837.380 ;
-        RECT -23.780 660.380 -20.780 660.390 ;
-        RECT 2940.400 660.380 2943.400 660.390 ;
-        RECT -23.780 657.380 2.400 660.380 ;
-        RECT 2917.600 657.380 2943.400 660.380 ;
-        RECT -23.780 657.370 -20.780 657.380 ;
-        RECT 2940.400 657.370 2943.400 657.380 ;
-        RECT -23.780 480.380 -20.780 480.390 ;
-        RECT 2940.400 480.380 2943.400 480.390 ;
-        RECT -23.780 477.380 2.400 480.380 ;
-        RECT 2917.600 477.380 2943.400 480.380 ;
-        RECT -23.780 477.370 -20.780 477.380 ;
-        RECT 2940.400 477.370 2943.400 477.380 ;
-        RECT -23.780 300.380 -20.780 300.390 ;
-        RECT 2940.400 300.380 2943.400 300.390 ;
-        RECT -23.780 297.380 2.400 300.380 ;
-        RECT 2917.600 297.380 2943.400 300.380 ;
-        RECT -23.780 297.370 -20.780 297.380 ;
-        RECT 2940.400 297.370 2943.400 297.380 ;
-        RECT -23.780 120.380 -20.780 120.390 ;
-        RECT 2940.400 120.380 2943.400 120.390 ;
-        RECT -23.780 117.380 2.400 120.380 ;
-        RECT 2917.600 117.380 2943.400 120.380 ;
-        RECT -23.780 117.370 -20.780 117.380 ;
-        RECT 2940.400 117.370 2943.400 117.380 ;
-        RECT -23.780 -15.420 -20.780 -15.410 ;
-        RECT 112.020 -15.420 115.020 -15.410 ;
-        RECT 292.020 -15.420 295.020 -15.410 ;
-        RECT 472.020 -15.420 475.020 -15.410 ;
-        RECT 652.020 -15.420 655.020 -15.410 ;
-        RECT 832.020 -15.420 835.020 -15.410 ;
-        RECT 1012.020 -15.420 1015.020 -15.410 ;
-        RECT 1192.020 -15.420 1195.020 -15.410 ;
-        RECT 1372.020 -15.420 1375.020 -15.410 ;
-        RECT 1552.020 -15.420 1555.020 -15.410 ;
-        RECT 1732.020 -15.420 1735.020 -15.410 ;
-        RECT 1912.020 -15.420 1915.020 -15.410 ;
-        RECT 2092.020 -15.420 2095.020 -15.410 ;
-        RECT 2272.020 -15.420 2275.020 -15.410 ;
-        RECT 2452.020 -15.420 2455.020 -15.410 ;
-        RECT 2632.020 -15.420 2635.020 -15.410 ;
-        RECT 2812.020 -15.420 2815.020 -15.410 ;
-        RECT 2940.400 -15.420 2943.400 -15.410 ;
-        RECT -23.780 -18.420 2943.400 -15.420 ;
-        RECT -23.780 -18.430 -20.780 -18.420 ;
-        RECT 112.020 -18.430 115.020 -18.420 ;
-        RECT 292.020 -18.430 295.020 -18.420 ;
-        RECT 472.020 -18.430 475.020 -18.420 ;
-        RECT 652.020 -18.430 655.020 -18.420 ;
-        RECT 832.020 -18.430 835.020 -18.420 ;
-        RECT 1012.020 -18.430 1015.020 -18.420 ;
-        RECT 1192.020 -18.430 1195.020 -18.420 ;
-        RECT 1372.020 -18.430 1375.020 -18.420 ;
-        RECT 1552.020 -18.430 1555.020 -18.420 ;
-        RECT 1732.020 -18.430 1735.020 -18.420 ;
-        RECT 1912.020 -18.430 1915.020 -18.420 ;
-        RECT 2092.020 -18.430 2095.020 -18.420 ;
-        RECT 2272.020 -18.430 2275.020 -18.420 ;
-        RECT 2452.020 -18.430 2455.020 -18.420 ;
-        RECT 2632.020 -18.430 2635.020 -18.420 ;
-        RECT 2812.020 -18.430 2815.020 -18.420 ;
-        RECT 2940.400 -18.430 2943.400 -18.420 ;
-    END
-  END vssd2
-  PIN vdda1
-    DIRECTION INPUT ;
-    PORT
-      LAYER met4 ;
-        RECT -28.380 -23.020 -25.380 3542.700 ;
-        RECT 40.020 3517.600 43.020 3547.300 ;
-        RECT 220.020 3517.600 223.020 3547.300 ;
-        RECT 400.020 3517.600 403.020 3547.300 ;
-        RECT 580.020 3517.600 583.020 3547.300 ;
-        RECT 760.020 3517.600 763.020 3547.300 ;
-        RECT 940.020 3517.600 943.020 3547.300 ;
-        RECT 1120.020 3517.600 1123.020 3547.300 ;
-        RECT 1300.020 3517.600 1303.020 3547.300 ;
-        RECT 1480.020 3517.600 1483.020 3547.300 ;
-        RECT 1660.020 3517.600 1663.020 3547.300 ;
-        RECT 1840.020 3517.600 1843.020 3547.300 ;
-        RECT 2020.020 3517.600 2023.020 3547.300 ;
-        RECT 2200.020 3517.600 2203.020 3547.300 ;
-        RECT 2380.020 3517.600 2383.020 3547.300 ;
-        RECT 2560.020 3517.600 2563.020 3547.300 ;
-        RECT 2740.020 3517.600 2743.020 3547.300 ;
-        RECT 40.020 -27.620 43.020 2.400 ;
-        RECT 220.020 -27.620 223.020 2.400 ;
-        RECT 400.020 -27.620 403.020 2.400 ;
-        RECT 580.020 -27.620 583.020 2.400 ;
-        RECT 760.020 -27.620 763.020 2.400 ;
-        RECT 940.020 -27.620 943.020 2.400 ;
-        RECT 1120.020 -27.620 1123.020 2.400 ;
-        RECT 1300.020 -27.620 1303.020 2.400 ;
-        RECT 1480.020 -27.620 1483.020 2.400 ;
-        RECT 1660.020 -27.620 1663.020 2.400 ;
-        RECT 1840.020 -27.620 1843.020 2.400 ;
-        RECT 2020.020 -27.620 2023.020 2.400 ;
-        RECT 2200.020 -27.620 2203.020 2.400 ;
-        RECT 2380.020 -27.620 2383.020 2.400 ;
-        RECT 2560.020 -27.620 2563.020 2.400 ;
-        RECT 2740.020 -27.620 2743.020 2.400 ;
-        RECT 2945.000 -23.020 2948.000 3542.700 ;
-      LAYER M4M5_PR_C ;
-        RECT -27.470 3541.410 -26.290 3542.590 ;
-        RECT -27.470 3539.810 -26.290 3540.990 ;
-        RECT 40.930 3541.410 42.110 3542.590 ;
-        RECT 40.930 3539.810 42.110 3540.990 ;
-        RECT 220.930 3541.410 222.110 3542.590 ;
-        RECT 220.930 3539.810 222.110 3540.990 ;
-        RECT 400.930 3541.410 402.110 3542.590 ;
-        RECT 400.930 3539.810 402.110 3540.990 ;
-        RECT 580.930 3541.410 582.110 3542.590 ;
-        RECT 580.930 3539.810 582.110 3540.990 ;
-        RECT 760.930 3541.410 762.110 3542.590 ;
-        RECT 760.930 3539.810 762.110 3540.990 ;
-        RECT 940.930 3541.410 942.110 3542.590 ;
-        RECT 940.930 3539.810 942.110 3540.990 ;
-        RECT 1120.930 3541.410 1122.110 3542.590 ;
-        RECT 1120.930 3539.810 1122.110 3540.990 ;
-        RECT 1300.930 3541.410 1302.110 3542.590 ;
-        RECT 1300.930 3539.810 1302.110 3540.990 ;
-        RECT 1480.930 3541.410 1482.110 3542.590 ;
-        RECT 1480.930 3539.810 1482.110 3540.990 ;
-        RECT 1660.930 3541.410 1662.110 3542.590 ;
-        RECT 1660.930 3539.810 1662.110 3540.990 ;
-        RECT 1840.930 3541.410 1842.110 3542.590 ;
-        RECT 1840.930 3539.810 1842.110 3540.990 ;
-        RECT 2020.930 3541.410 2022.110 3542.590 ;
-        RECT 2020.930 3539.810 2022.110 3540.990 ;
-        RECT 2200.930 3541.410 2202.110 3542.590 ;
-        RECT 2200.930 3539.810 2202.110 3540.990 ;
-        RECT 2380.930 3541.410 2382.110 3542.590 ;
-        RECT 2380.930 3539.810 2382.110 3540.990 ;
-        RECT 2560.930 3541.410 2562.110 3542.590 ;
-        RECT 2560.930 3539.810 2562.110 3540.990 ;
-        RECT 2740.930 3541.410 2742.110 3542.590 ;
-        RECT 2740.930 3539.810 2742.110 3540.990 ;
-        RECT 2945.910 3541.410 2947.090 3542.590 ;
-        RECT 2945.910 3539.810 2947.090 3540.990 ;
-        RECT -27.470 3467.090 -26.290 3468.270 ;
-        RECT -27.470 3465.490 -26.290 3466.670 ;
-        RECT -27.470 3287.090 -26.290 3288.270 ;
-        RECT -27.470 3285.490 -26.290 3286.670 ;
-        RECT -27.470 3107.090 -26.290 3108.270 ;
-        RECT -27.470 3105.490 -26.290 3106.670 ;
-        RECT -27.470 2927.090 -26.290 2928.270 ;
-        RECT -27.470 2925.490 -26.290 2926.670 ;
-        RECT -27.470 2747.090 -26.290 2748.270 ;
-        RECT -27.470 2745.490 -26.290 2746.670 ;
-        RECT -27.470 2567.090 -26.290 2568.270 ;
-        RECT -27.470 2565.490 -26.290 2566.670 ;
-        RECT -27.470 2387.090 -26.290 2388.270 ;
-        RECT -27.470 2385.490 -26.290 2386.670 ;
-        RECT -27.470 2207.090 -26.290 2208.270 ;
-        RECT -27.470 2205.490 -26.290 2206.670 ;
-        RECT -27.470 2027.090 -26.290 2028.270 ;
-        RECT -27.470 2025.490 -26.290 2026.670 ;
-        RECT -27.470 1847.090 -26.290 1848.270 ;
-        RECT -27.470 1845.490 -26.290 1846.670 ;
-        RECT -27.470 1667.090 -26.290 1668.270 ;
-        RECT -27.470 1665.490 -26.290 1666.670 ;
-        RECT -27.470 1487.090 -26.290 1488.270 ;
-        RECT -27.470 1485.490 -26.290 1486.670 ;
-        RECT -27.470 1307.090 -26.290 1308.270 ;
-        RECT -27.470 1305.490 -26.290 1306.670 ;
-        RECT -27.470 1127.090 -26.290 1128.270 ;
-        RECT -27.470 1125.490 -26.290 1126.670 ;
-        RECT -27.470 947.090 -26.290 948.270 ;
-        RECT -27.470 945.490 -26.290 946.670 ;
-        RECT -27.470 767.090 -26.290 768.270 ;
-        RECT -27.470 765.490 -26.290 766.670 ;
-        RECT -27.470 587.090 -26.290 588.270 ;
-        RECT -27.470 585.490 -26.290 586.670 ;
-        RECT -27.470 407.090 -26.290 408.270 ;
-        RECT -27.470 405.490 -26.290 406.670 ;
-        RECT -27.470 227.090 -26.290 228.270 ;
-        RECT -27.470 225.490 -26.290 226.670 ;
-        RECT -27.470 47.090 -26.290 48.270 ;
-        RECT -27.470 45.490 -26.290 46.670 ;
-        RECT 2945.910 3467.090 2947.090 3468.270 ;
-        RECT 2945.910 3465.490 2947.090 3466.670 ;
-        RECT 2945.910 3287.090 2947.090 3288.270 ;
-        RECT 2945.910 3285.490 2947.090 3286.670 ;
-        RECT 2945.910 3107.090 2947.090 3108.270 ;
-        RECT 2945.910 3105.490 2947.090 3106.670 ;
-        RECT 2945.910 2927.090 2947.090 2928.270 ;
-        RECT 2945.910 2925.490 2947.090 2926.670 ;
-        RECT 2945.910 2747.090 2947.090 2748.270 ;
-        RECT 2945.910 2745.490 2947.090 2746.670 ;
-        RECT 2945.910 2567.090 2947.090 2568.270 ;
-        RECT 2945.910 2565.490 2947.090 2566.670 ;
-        RECT 2945.910 2387.090 2947.090 2388.270 ;
-        RECT 2945.910 2385.490 2947.090 2386.670 ;
-        RECT 2945.910 2207.090 2947.090 2208.270 ;
-        RECT 2945.910 2205.490 2947.090 2206.670 ;
-        RECT 2945.910 2027.090 2947.090 2028.270 ;
-        RECT 2945.910 2025.490 2947.090 2026.670 ;
-        RECT 2945.910 1847.090 2947.090 1848.270 ;
-        RECT 2945.910 1845.490 2947.090 1846.670 ;
-        RECT 2945.910 1667.090 2947.090 1668.270 ;
-        RECT 2945.910 1665.490 2947.090 1666.670 ;
-        RECT 2945.910 1487.090 2947.090 1488.270 ;
-        RECT 2945.910 1485.490 2947.090 1486.670 ;
-        RECT 2945.910 1307.090 2947.090 1308.270 ;
-        RECT 2945.910 1305.490 2947.090 1306.670 ;
-        RECT 2945.910 1127.090 2947.090 1128.270 ;
-        RECT 2945.910 1125.490 2947.090 1126.670 ;
-        RECT 2945.910 947.090 2947.090 948.270 ;
-        RECT 2945.910 945.490 2947.090 946.670 ;
-        RECT 2945.910 767.090 2947.090 768.270 ;
-        RECT 2945.910 765.490 2947.090 766.670 ;
-        RECT 2945.910 587.090 2947.090 588.270 ;
-        RECT 2945.910 585.490 2947.090 586.670 ;
-        RECT 2945.910 407.090 2947.090 408.270 ;
-        RECT 2945.910 405.490 2947.090 406.670 ;
-        RECT 2945.910 227.090 2947.090 228.270 ;
-        RECT 2945.910 225.490 2947.090 226.670 ;
-        RECT 2945.910 47.090 2947.090 48.270 ;
-        RECT 2945.910 45.490 2947.090 46.670 ;
-        RECT -27.470 -21.310 -26.290 -20.130 ;
-        RECT -27.470 -22.910 -26.290 -21.730 ;
-        RECT 40.930 -21.310 42.110 -20.130 ;
-        RECT 40.930 -22.910 42.110 -21.730 ;
-        RECT 220.930 -21.310 222.110 -20.130 ;
-        RECT 220.930 -22.910 222.110 -21.730 ;
-        RECT 400.930 -21.310 402.110 -20.130 ;
-        RECT 400.930 -22.910 402.110 -21.730 ;
-        RECT 580.930 -21.310 582.110 -20.130 ;
-        RECT 580.930 -22.910 582.110 -21.730 ;
-        RECT 760.930 -21.310 762.110 -20.130 ;
-        RECT 760.930 -22.910 762.110 -21.730 ;
-        RECT 940.930 -21.310 942.110 -20.130 ;
-        RECT 940.930 -22.910 942.110 -21.730 ;
-        RECT 1120.930 -21.310 1122.110 -20.130 ;
-        RECT 1120.930 -22.910 1122.110 -21.730 ;
-        RECT 1300.930 -21.310 1302.110 -20.130 ;
-        RECT 1300.930 -22.910 1302.110 -21.730 ;
-        RECT 1480.930 -21.310 1482.110 -20.130 ;
-        RECT 1480.930 -22.910 1482.110 -21.730 ;
-        RECT 1660.930 -21.310 1662.110 -20.130 ;
-        RECT 1660.930 -22.910 1662.110 -21.730 ;
-        RECT 1840.930 -21.310 1842.110 -20.130 ;
-        RECT 1840.930 -22.910 1842.110 -21.730 ;
-        RECT 2020.930 -21.310 2022.110 -20.130 ;
-        RECT 2020.930 -22.910 2022.110 -21.730 ;
-        RECT 2200.930 -21.310 2202.110 -20.130 ;
-        RECT 2200.930 -22.910 2202.110 -21.730 ;
-        RECT 2380.930 -21.310 2382.110 -20.130 ;
-        RECT 2380.930 -22.910 2382.110 -21.730 ;
-        RECT 2560.930 -21.310 2562.110 -20.130 ;
-        RECT 2560.930 -22.910 2562.110 -21.730 ;
-        RECT 2740.930 -21.310 2742.110 -20.130 ;
-        RECT 2740.930 -22.910 2742.110 -21.730 ;
-        RECT 2945.910 -21.310 2947.090 -20.130 ;
-        RECT 2945.910 -22.910 2947.090 -21.730 ;
-      LAYER met5 ;
-        RECT -28.380 3542.700 -25.380 3542.710 ;
-        RECT 40.020 3542.700 43.020 3542.710 ;
-        RECT 220.020 3542.700 223.020 3542.710 ;
-        RECT 400.020 3542.700 403.020 3542.710 ;
-        RECT 580.020 3542.700 583.020 3542.710 ;
-        RECT 760.020 3542.700 763.020 3542.710 ;
-        RECT 940.020 3542.700 943.020 3542.710 ;
-        RECT 1120.020 3542.700 1123.020 3542.710 ;
-        RECT 1300.020 3542.700 1303.020 3542.710 ;
-        RECT 1480.020 3542.700 1483.020 3542.710 ;
-        RECT 1660.020 3542.700 1663.020 3542.710 ;
-        RECT 1840.020 3542.700 1843.020 3542.710 ;
-        RECT 2020.020 3542.700 2023.020 3542.710 ;
-        RECT 2200.020 3542.700 2203.020 3542.710 ;
-        RECT 2380.020 3542.700 2383.020 3542.710 ;
-        RECT 2560.020 3542.700 2563.020 3542.710 ;
-        RECT 2740.020 3542.700 2743.020 3542.710 ;
-        RECT 2945.000 3542.700 2948.000 3542.710 ;
-        RECT -28.380 3539.700 2948.000 3542.700 ;
-        RECT -28.380 3539.690 -25.380 3539.700 ;
-        RECT 40.020 3539.690 43.020 3539.700 ;
-        RECT 220.020 3539.690 223.020 3539.700 ;
-        RECT 400.020 3539.690 403.020 3539.700 ;
-        RECT 580.020 3539.690 583.020 3539.700 ;
-        RECT 760.020 3539.690 763.020 3539.700 ;
-        RECT 940.020 3539.690 943.020 3539.700 ;
-        RECT 1120.020 3539.690 1123.020 3539.700 ;
-        RECT 1300.020 3539.690 1303.020 3539.700 ;
-        RECT 1480.020 3539.690 1483.020 3539.700 ;
-        RECT 1660.020 3539.690 1663.020 3539.700 ;
-        RECT 1840.020 3539.690 1843.020 3539.700 ;
-        RECT 2020.020 3539.690 2023.020 3539.700 ;
-        RECT 2200.020 3539.690 2203.020 3539.700 ;
-        RECT 2380.020 3539.690 2383.020 3539.700 ;
-        RECT 2560.020 3539.690 2563.020 3539.700 ;
-        RECT 2740.020 3539.690 2743.020 3539.700 ;
-        RECT 2945.000 3539.690 2948.000 3539.700 ;
-        RECT -28.380 3468.380 -25.380 3468.390 ;
-        RECT 2945.000 3468.380 2948.000 3468.390 ;
-        RECT -32.980 3465.380 2.400 3468.380 ;
-        RECT 2917.600 3465.380 2952.600 3468.380 ;
-        RECT -28.380 3465.370 -25.380 3465.380 ;
-        RECT 2945.000 3465.370 2948.000 3465.380 ;
-        RECT -28.380 3288.380 -25.380 3288.390 ;
-        RECT 2945.000 3288.380 2948.000 3288.390 ;
-        RECT -32.980 3285.380 2.400 3288.380 ;
-        RECT 2917.600 3285.380 2952.600 3288.380 ;
-        RECT -28.380 3285.370 -25.380 3285.380 ;
-        RECT 2945.000 3285.370 2948.000 3285.380 ;
-        RECT -28.380 3108.380 -25.380 3108.390 ;
-        RECT 2945.000 3108.380 2948.000 3108.390 ;
-        RECT -32.980 3105.380 2.400 3108.380 ;
-        RECT 2917.600 3105.380 2952.600 3108.380 ;
-        RECT -28.380 3105.370 -25.380 3105.380 ;
-        RECT 2945.000 3105.370 2948.000 3105.380 ;
-        RECT -28.380 2928.380 -25.380 2928.390 ;
-        RECT 2945.000 2928.380 2948.000 2928.390 ;
-        RECT -32.980 2925.380 2.400 2928.380 ;
-        RECT 2917.600 2925.380 2952.600 2928.380 ;
-        RECT -28.380 2925.370 -25.380 2925.380 ;
-        RECT 2945.000 2925.370 2948.000 2925.380 ;
-        RECT -28.380 2748.380 -25.380 2748.390 ;
-        RECT 2945.000 2748.380 2948.000 2748.390 ;
-        RECT -32.980 2745.380 2.400 2748.380 ;
-        RECT 2917.600 2745.380 2952.600 2748.380 ;
-        RECT -28.380 2745.370 -25.380 2745.380 ;
-        RECT 2945.000 2745.370 2948.000 2745.380 ;
-        RECT -28.380 2568.380 -25.380 2568.390 ;
-        RECT 2945.000 2568.380 2948.000 2568.390 ;
-        RECT -32.980 2565.380 2.400 2568.380 ;
-        RECT 2917.600 2565.380 2952.600 2568.380 ;
-        RECT -28.380 2565.370 -25.380 2565.380 ;
-        RECT 2945.000 2565.370 2948.000 2565.380 ;
-        RECT -28.380 2388.380 -25.380 2388.390 ;
-        RECT 2945.000 2388.380 2948.000 2388.390 ;
-        RECT -32.980 2385.380 2.400 2388.380 ;
-        RECT 2917.600 2385.380 2952.600 2388.380 ;
-        RECT -28.380 2385.370 -25.380 2385.380 ;
-        RECT 2945.000 2385.370 2948.000 2385.380 ;
-        RECT -28.380 2208.380 -25.380 2208.390 ;
-        RECT 2945.000 2208.380 2948.000 2208.390 ;
-        RECT -32.980 2205.380 2.400 2208.380 ;
-        RECT 2917.600 2205.380 2952.600 2208.380 ;
-        RECT -28.380 2205.370 -25.380 2205.380 ;
-        RECT 2945.000 2205.370 2948.000 2205.380 ;
-        RECT -28.380 2028.380 -25.380 2028.390 ;
-        RECT 2945.000 2028.380 2948.000 2028.390 ;
-        RECT -32.980 2025.380 2.400 2028.380 ;
-        RECT 2917.600 2025.380 2952.600 2028.380 ;
-        RECT -28.380 2025.370 -25.380 2025.380 ;
-        RECT 2945.000 2025.370 2948.000 2025.380 ;
-        RECT -28.380 1848.380 -25.380 1848.390 ;
-        RECT 2945.000 1848.380 2948.000 1848.390 ;
-        RECT -32.980 1845.380 2.400 1848.380 ;
-        RECT 2917.600 1845.380 2952.600 1848.380 ;
-        RECT -28.380 1845.370 -25.380 1845.380 ;
-        RECT 2945.000 1845.370 2948.000 1845.380 ;
-        RECT -28.380 1668.380 -25.380 1668.390 ;
-        RECT 2945.000 1668.380 2948.000 1668.390 ;
-        RECT -32.980 1665.380 2.400 1668.380 ;
-        RECT 2917.600 1665.380 2952.600 1668.380 ;
-        RECT -28.380 1665.370 -25.380 1665.380 ;
-        RECT 2945.000 1665.370 2948.000 1665.380 ;
-        RECT -28.380 1488.380 -25.380 1488.390 ;
-        RECT 2945.000 1488.380 2948.000 1488.390 ;
-        RECT -32.980 1485.380 2.400 1488.380 ;
-        RECT 2917.600 1485.380 2952.600 1488.380 ;
-        RECT -28.380 1485.370 -25.380 1485.380 ;
-        RECT 2945.000 1485.370 2948.000 1485.380 ;
-        RECT -28.380 1308.380 -25.380 1308.390 ;
-        RECT 2945.000 1308.380 2948.000 1308.390 ;
-        RECT -32.980 1305.380 2.400 1308.380 ;
-        RECT 2917.600 1305.380 2952.600 1308.380 ;
-        RECT -28.380 1305.370 -25.380 1305.380 ;
-        RECT 2945.000 1305.370 2948.000 1305.380 ;
-        RECT -28.380 1128.380 -25.380 1128.390 ;
-        RECT 2945.000 1128.380 2948.000 1128.390 ;
-        RECT -32.980 1125.380 2.400 1128.380 ;
-        RECT 2917.600 1125.380 2952.600 1128.380 ;
-        RECT -28.380 1125.370 -25.380 1125.380 ;
-        RECT 2945.000 1125.370 2948.000 1125.380 ;
-        RECT -28.380 948.380 -25.380 948.390 ;
-        RECT 2945.000 948.380 2948.000 948.390 ;
-        RECT -32.980 945.380 2.400 948.380 ;
-        RECT 2917.600 945.380 2952.600 948.380 ;
-        RECT -28.380 945.370 -25.380 945.380 ;
-        RECT 2945.000 945.370 2948.000 945.380 ;
-        RECT -28.380 768.380 -25.380 768.390 ;
-        RECT 2945.000 768.380 2948.000 768.390 ;
-        RECT -32.980 765.380 2.400 768.380 ;
-        RECT 2917.600 765.380 2952.600 768.380 ;
-        RECT -28.380 765.370 -25.380 765.380 ;
-        RECT 2945.000 765.370 2948.000 765.380 ;
-        RECT -28.380 588.380 -25.380 588.390 ;
-        RECT 2945.000 588.380 2948.000 588.390 ;
-        RECT -32.980 585.380 2.400 588.380 ;
-        RECT 2917.600 585.380 2952.600 588.380 ;
-        RECT -28.380 585.370 -25.380 585.380 ;
-        RECT 2945.000 585.370 2948.000 585.380 ;
-        RECT -28.380 408.380 -25.380 408.390 ;
-        RECT 2945.000 408.380 2948.000 408.390 ;
-        RECT -32.980 405.380 2.400 408.380 ;
-        RECT 2917.600 405.380 2952.600 408.380 ;
-        RECT -28.380 405.370 -25.380 405.380 ;
-        RECT 2945.000 405.370 2948.000 405.380 ;
-        RECT -28.380 228.380 -25.380 228.390 ;
-        RECT 2945.000 228.380 2948.000 228.390 ;
-        RECT -32.980 225.380 2.400 228.380 ;
-        RECT 2917.600 225.380 2952.600 228.380 ;
-        RECT -28.380 225.370 -25.380 225.380 ;
-        RECT 2945.000 225.370 2948.000 225.380 ;
-        RECT -28.380 48.380 -25.380 48.390 ;
-        RECT 2945.000 48.380 2948.000 48.390 ;
-        RECT -32.980 45.380 2.400 48.380 ;
-        RECT 2917.600 45.380 2952.600 48.380 ;
-        RECT -28.380 45.370 -25.380 45.380 ;
-        RECT 2945.000 45.370 2948.000 45.380 ;
-        RECT -28.380 -20.020 -25.380 -20.010 ;
-        RECT 40.020 -20.020 43.020 -20.010 ;
-        RECT 220.020 -20.020 223.020 -20.010 ;
-        RECT 400.020 -20.020 403.020 -20.010 ;
-        RECT 580.020 -20.020 583.020 -20.010 ;
-        RECT 760.020 -20.020 763.020 -20.010 ;
-        RECT 940.020 -20.020 943.020 -20.010 ;
-        RECT 1120.020 -20.020 1123.020 -20.010 ;
-        RECT 1300.020 -20.020 1303.020 -20.010 ;
-        RECT 1480.020 -20.020 1483.020 -20.010 ;
-        RECT 1660.020 -20.020 1663.020 -20.010 ;
-        RECT 1840.020 -20.020 1843.020 -20.010 ;
-        RECT 2020.020 -20.020 2023.020 -20.010 ;
-        RECT 2200.020 -20.020 2203.020 -20.010 ;
-        RECT 2380.020 -20.020 2383.020 -20.010 ;
-        RECT 2560.020 -20.020 2563.020 -20.010 ;
-        RECT 2740.020 -20.020 2743.020 -20.010 ;
-        RECT 2945.000 -20.020 2948.000 -20.010 ;
-        RECT -28.380 -23.020 2948.000 -20.020 ;
-        RECT -28.380 -23.030 -25.380 -23.020 ;
-        RECT 40.020 -23.030 43.020 -23.020 ;
-        RECT 220.020 -23.030 223.020 -23.020 ;
-        RECT 400.020 -23.030 403.020 -23.020 ;
-        RECT 580.020 -23.030 583.020 -23.020 ;
-        RECT 760.020 -23.030 763.020 -23.020 ;
-        RECT 940.020 -23.030 943.020 -23.020 ;
-        RECT 1120.020 -23.030 1123.020 -23.020 ;
-        RECT 1300.020 -23.030 1303.020 -23.020 ;
-        RECT 1480.020 -23.030 1483.020 -23.020 ;
-        RECT 1660.020 -23.030 1663.020 -23.020 ;
-        RECT 1840.020 -23.030 1843.020 -23.020 ;
-        RECT 2020.020 -23.030 2023.020 -23.020 ;
-        RECT 2200.020 -23.030 2203.020 -23.020 ;
-        RECT 2380.020 -23.030 2383.020 -23.020 ;
-        RECT 2560.020 -23.030 2563.020 -23.020 ;
-        RECT 2740.020 -23.030 2743.020 -23.020 ;
-        RECT 2945.000 -23.030 2948.000 -23.020 ;
-    END
-  END vdda1
-  PIN vssa1
-    DIRECTION INPUT ;
-    PORT
-      LAYER met4 ;
-        RECT -32.980 -27.620 -29.980 3547.300 ;
-        RECT 130.020 3517.600 133.020 3547.300 ;
-        RECT 310.020 3517.600 313.020 3547.300 ;
-        RECT 490.020 3517.600 493.020 3547.300 ;
-        RECT 670.020 3517.600 673.020 3547.300 ;
-        RECT 850.020 3517.600 853.020 3547.300 ;
-        RECT 1030.020 3517.600 1033.020 3547.300 ;
-        RECT 1210.020 3517.600 1213.020 3547.300 ;
-        RECT 1390.020 3517.600 1393.020 3547.300 ;
-        RECT 1570.020 3517.600 1573.020 3547.300 ;
-        RECT 1750.020 3517.600 1753.020 3547.300 ;
-        RECT 1930.020 3517.600 1933.020 3547.300 ;
-        RECT 2110.020 3517.600 2113.020 3547.300 ;
-        RECT 2290.020 3517.600 2293.020 3547.300 ;
-        RECT 2470.020 3517.600 2473.020 3547.300 ;
-        RECT 2650.020 3517.600 2653.020 3547.300 ;
-        RECT 2830.020 3517.600 2833.020 3547.300 ;
-        RECT 130.020 -27.620 133.020 2.400 ;
-        RECT 310.020 -27.620 313.020 2.400 ;
-        RECT 490.020 -27.620 493.020 2.400 ;
-        RECT 670.020 -27.620 673.020 2.400 ;
-        RECT 850.020 -27.620 853.020 2.400 ;
-        RECT 1030.020 -27.620 1033.020 2.400 ;
-        RECT 1210.020 -27.620 1213.020 2.400 ;
-        RECT 1390.020 -27.620 1393.020 2.400 ;
-        RECT 1570.020 -27.620 1573.020 2.400 ;
-        RECT 1750.020 -27.620 1753.020 2.400 ;
-        RECT 1930.020 -27.620 1933.020 2.400 ;
-        RECT 2110.020 -27.620 2113.020 2.400 ;
-        RECT 2290.020 -27.620 2293.020 2.400 ;
-        RECT 2470.020 -27.620 2473.020 2.400 ;
-        RECT 2650.020 -27.620 2653.020 2.400 ;
-        RECT 2830.020 -27.620 2833.020 2.400 ;
-        RECT 2949.600 -27.620 2952.600 3547.300 ;
-      LAYER M4M5_PR_C ;
-        RECT -32.070 3546.010 -30.890 3547.190 ;
-        RECT -32.070 3544.410 -30.890 3545.590 ;
-        RECT 130.930 3546.010 132.110 3547.190 ;
-        RECT 130.930 3544.410 132.110 3545.590 ;
-        RECT 310.930 3546.010 312.110 3547.190 ;
-        RECT 310.930 3544.410 312.110 3545.590 ;
-        RECT 490.930 3546.010 492.110 3547.190 ;
-        RECT 490.930 3544.410 492.110 3545.590 ;
-        RECT 670.930 3546.010 672.110 3547.190 ;
-        RECT 670.930 3544.410 672.110 3545.590 ;
-        RECT 850.930 3546.010 852.110 3547.190 ;
-        RECT 850.930 3544.410 852.110 3545.590 ;
-        RECT 1030.930 3546.010 1032.110 3547.190 ;
-        RECT 1030.930 3544.410 1032.110 3545.590 ;
-        RECT 1210.930 3546.010 1212.110 3547.190 ;
-        RECT 1210.930 3544.410 1212.110 3545.590 ;
-        RECT 1390.930 3546.010 1392.110 3547.190 ;
-        RECT 1390.930 3544.410 1392.110 3545.590 ;
-        RECT 1570.930 3546.010 1572.110 3547.190 ;
-        RECT 1570.930 3544.410 1572.110 3545.590 ;
-        RECT 1750.930 3546.010 1752.110 3547.190 ;
-        RECT 1750.930 3544.410 1752.110 3545.590 ;
-        RECT 1930.930 3546.010 1932.110 3547.190 ;
-        RECT 1930.930 3544.410 1932.110 3545.590 ;
-        RECT 2110.930 3546.010 2112.110 3547.190 ;
-        RECT 2110.930 3544.410 2112.110 3545.590 ;
-        RECT 2290.930 3546.010 2292.110 3547.190 ;
-        RECT 2290.930 3544.410 2292.110 3545.590 ;
-        RECT 2470.930 3546.010 2472.110 3547.190 ;
-        RECT 2470.930 3544.410 2472.110 3545.590 ;
-        RECT 2650.930 3546.010 2652.110 3547.190 ;
-        RECT 2650.930 3544.410 2652.110 3545.590 ;
-        RECT 2830.930 3546.010 2832.110 3547.190 ;
-        RECT 2830.930 3544.410 2832.110 3545.590 ;
-        RECT 2950.510 3546.010 2951.690 3547.190 ;
-        RECT 2950.510 3544.410 2951.690 3545.590 ;
-        RECT -32.070 3377.090 -30.890 3378.270 ;
-        RECT -32.070 3375.490 -30.890 3376.670 ;
-        RECT -32.070 3197.090 -30.890 3198.270 ;
-        RECT -32.070 3195.490 -30.890 3196.670 ;
-        RECT -32.070 3017.090 -30.890 3018.270 ;
-        RECT -32.070 3015.490 -30.890 3016.670 ;
-        RECT -32.070 2837.090 -30.890 2838.270 ;
-        RECT -32.070 2835.490 -30.890 2836.670 ;
-        RECT -32.070 2657.090 -30.890 2658.270 ;
-        RECT -32.070 2655.490 -30.890 2656.670 ;
-        RECT -32.070 2477.090 -30.890 2478.270 ;
-        RECT -32.070 2475.490 -30.890 2476.670 ;
-        RECT -32.070 2297.090 -30.890 2298.270 ;
-        RECT -32.070 2295.490 -30.890 2296.670 ;
-        RECT -32.070 2117.090 -30.890 2118.270 ;
-        RECT -32.070 2115.490 -30.890 2116.670 ;
-        RECT -32.070 1937.090 -30.890 1938.270 ;
-        RECT -32.070 1935.490 -30.890 1936.670 ;
-        RECT -32.070 1757.090 -30.890 1758.270 ;
-        RECT -32.070 1755.490 -30.890 1756.670 ;
-        RECT -32.070 1577.090 -30.890 1578.270 ;
-        RECT -32.070 1575.490 -30.890 1576.670 ;
-        RECT -32.070 1397.090 -30.890 1398.270 ;
-        RECT -32.070 1395.490 -30.890 1396.670 ;
-        RECT -32.070 1217.090 -30.890 1218.270 ;
-        RECT -32.070 1215.490 -30.890 1216.670 ;
-        RECT -32.070 1037.090 -30.890 1038.270 ;
-        RECT -32.070 1035.490 -30.890 1036.670 ;
-        RECT -32.070 857.090 -30.890 858.270 ;
-        RECT -32.070 855.490 -30.890 856.670 ;
-        RECT -32.070 677.090 -30.890 678.270 ;
-        RECT -32.070 675.490 -30.890 676.670 ;
-        RECT -32.070 497.090 -30.890 498.270 ;
-        RECT -32.070 495.490 -30.890 496.670 ;
-        RECT -32.070 317.090 -30.890 318.270 ;
-        RECT -32.070 315.490 -30.890 316.670 ;
-        RECT -32.070 137.090 -30.890 138.270 ;
-        RECT -32.070 135.490 -30.890 136.670 ;
-        RECT 2950.510 3377.090 2951.690 3378.270 ;
-        RECT 2950.510 3375.490 2951.690 3376.670 ;
-        RECT 2950.510 3197.090 2951.690 3198.270 ;
-        RECT 2950.510 3195.490 2951.690 3196.670 ;
-        RECT 2950.510 3017.090 2951.690 3018.270 ;
-        RECT 2950.510 3015.490 2951.690 3016.670 ;
-        RECT 2950.510 2837.090 2951.690 2838.270 ;
-        RECT 2950.510 2835.490 2951.690 2836.670 ;
-        RECT 2950.510 2657.090 2951.690 2658.270 ;
-        RECT 2950.510 2655.490 2951.690 2656.670 ;
-        RECT 2950.510 2477.090 2951.690 2478.270 ;
-        RECT 2950.510 2475.490 2951.690 2476.670 ;
-        RECT 2950.510 2297.090 2951.690 2298.270 ;
-        RECT 2950.510 2295.490 2951.690 2296.670 ;
-        RECT 2950.510 2117.090 2951.690 2118.270 ;
-        RECT 2950.510 2115.490 2951.690 2116.670 ;
-        RECT 2950.510 1937.090 2951.690 1938.270 ;
-        RECT 2950.510 1935.490 2951.690 1936.670 ;
-        RECT 2950.510 1757.090 2951.690 1758.270 ;
-        RECT 2950.510 1755.490 2951.690 1756.670 ;
-        RECT 2950.510 1577.090 2951.690 1578.270 ;
-        RECT 2950.510 1575.490 2951.690 1576.670 ;
-        RECT 2950.510 1397.090 2951.690 1398.270 ;
-        RECT 2950.510 1395.490 2951.690 1396.670 ;
-        RECT 2950.510 1217.090 2951.690 1218.270 ;
-        RECT 2950.510 1215.490 2951.690 1216.670 ;
-        RECT 2950.510 1037.090 2951.690 1038.270 ;
-        RECT 2950.510 1035.490 2951.690 1036.670 ;
-        RECT 2950.510 857.090 2951.690 858.270 ;
-        RECT 2950.510 855.490 2951.690 856.670 ;
-        RECT 2950.510 677.090 2951.690 678.270 ;
-        RECT 2950.510 675.490 2951.690 676.670 ;
-        RECT 2950.510 497.090 2951.690 498.270 ;
-        RECT 2950.510 495.490 2951.690 496.670 ;
-        RECT 2950.510 317.090 2951.690 318.270 ;
-        RECT 2950.510 315.490 2951.690 316.670 ;
-        RECT 2950.510 137.090 2951.690 138.270 ;
-        RECT 2950.510 135.490 2951.690 136.670 ;
-        RECT -32.070 -25.910 -30.890 -24.730 ;
-        RECT -32.070 -27.510 -30.890 -26.330 ;
-        RECT 130.930 -25.910 132.110 -24.730 ;
-        RECT 130.930 -27.510 132.110 -26.330 ;
-        RECT 310.930 -25.910 312.110 -24.730 ;
-        RECT 310.930 -27.510 312.110 -26.330 ;
-        RECT 490.930 -25.910 492.110 -24.730 ;
-        RECT 490.930 -27.510 492.110 -26.330 ;
-        RECT 670.930 -25.910 672.110 -24.730 ;
-        RECT 670.930 -27.510 672.110 -26.330 ;
-        RECT 850.930 -25.910 852.110 -24.730 ;
-        RECT 850.930 -27.510 852.110 -26.330 ;
-        RECT 1030.930 -25.910 1032.110 -24.730 ;
-        RECT 1030.930 -27.510 1032.110 -26.330 ;
-        RECT 1210.930 -25.910 1212.110 -24.730 ;
-        RECT 1210.930 -27.510 1212.110 -26.330 ;
-        RECT 1390.930 -25.910 1392.110 -24.730 ;
-        RECT 1390.930 -27.510 1392.110 -26.330 ;
-        RECT 1570.930 -25.910 1572.110 -24.730 ;
-        RECT 1570.930 -27.510 1572.110 -26.330 ;
-        RECT 1750.930 -25.910 1752.110 -24.730 ;
-        RECT 1750.930 -27.510 1752.110 -26.330 ;
-        RECT 1930.930 -25.910 1932.110 -24.730 ;
-        RECT 1930.930 -27.510 1932.110 -26.330 ;
-        RECT 2110.930 -25.910 2112.110 -24.730 ;
-        RECT 2110.930 -27.510 2112.110 -26.330 ;
-        RECT 2290.930 -25.910 2292.110 -24.730 ;
-        RECT 2290.930 -27.510 2292.110 -26.330 ;
-        RECT 2470.930 -25.910 2472.110 -24.730 ;
-        RECT 2470.930 -27.510 2472.110 -26.330 ;
-        RECT 2650.930 -25.910 2652.110 -24.730 ;
-        RECT 2650.930 -27.510 2652.110 -26.330 ;
-        RECT 2830.930 -25.910 2832.110 -24.730 ;
-        RECT 2830.930 -27.510 2832.110 -26.330 ;
-        RECT 2950.510 -25.910 2951.690 -24.730 ;
-        RECT 2950.510 -27.510 2951.690 -26.330 ;
-      LAYER met5 ;
-        RECT -32.980 3547.300 -29.980 3547.310 ;
-        RECT 130.020 3547.300 133.020 3547.310 ;
-        RECT 310.020 3547.300 313.020 3547.310 ;
-        RECT 490.020 3547.300 493.020 3547.310 ;
-        RECT 670.020 3547.300 673.020 3547.310 ;
-        RECT 850.020 3547.300 853.020 3547.310 ;
-        RECT 1030.020 3547.300 1033.020 3547.310 ;
-        RECT 1210.020 3547.300 1213.020 3547.310 ;
-        RECT 1390.020 3547.300 1393.020 3547.310 ;
-        RECT 1570.020 3547.300 1573.020 3547.310 ;
-        RECT 1750.020 3547.300 1753.020 3547.310 ;
-        RECT 1930.020 3547.300 1933.020 3547.310 ;
-        RECT 2110.020 3547.300 2113.020 3547.310 ;
-        RECT 2290.020 3547.300 2293.020 3547.310 ;
-        RECT 2470.020 3547.300 2473.020 3547.310 ;
-        RECT 2650.020 3547.300 2653.020 3547.310 ;
-        RECT 2830.020 3547.300 2833.020 3547.310 ;
-        RECT 2949.600 3547.300 2952.600 3547.310 ;
-        RECT -32.980 3544.300 2952.600 3547.300 ;
-        RECT -32.980 3544.290 -29.980 3544.300 ;
-        RECT 130.020 3544.290 133.020 3544.300 ;
-        RECT 310.020 3544.290 313.020 3544.300 ;
-        RECT 490.020 3544.290 493.020 3544.300 ;
-        RECT 670.020 3544.290 673.020 3544.300 ;
-        RECT 850.020 3544.290 853.020 3544.300 ;
-        RECT 1030.020 3544.290 1033.020 3544.300 ;
-        RECT 1210.020 3544.290 1213.020 3544.300 ;
-        RECT 1390.020 3544.290 1393.020 3544.300 ;
-        RECT 1570.020 3544.290 1573.020 3544.300 ;
-        RECT 1750.020 3544.290 1753.020 3544.300 ;
-        RECT 1930.020 3544.290 1933.020 3544.300 ;
-        RECT 2110.020 3544.290 2113.020 3544.300 ;
-        RECT 2290.020 3544.290 2293.020 3544.300 ;
-        RECT 2470.020 3544.290 2473.020 3544.300 ;
-        RECT 2650.020 3544.290 2653.020 3544.300 ;
-        RECT 2830.020 3544.290 2833.020 3544.300 ;
-        RECT 2949.600 3544.290 2952.600 3544.300 ;
-        RECT -32.980 3378.380 -29.980 3378.390 ;
-        RECT 2949.600 3378.380 2952.600 3378.390 ;
-        RECT -32.980 3375.380 2.400 3378.380 ;
-        RECT 2917.600 3375.380 2952.600 3378.380 ;
-        RECT -32.980 3375.370 -29.980 3375.380 ;
-        RECT 2949.600 3375.370 2952.600 3375.380 ;
-        RECT -32.980 3198.380 -29.980 3198.390 ;
-        RECT 2949.600 3198.380 2952.600 3198.390 ;
-        RECT -32.980 3195.380 2.400 3198.380 ;
-        RECT 2917.600 3195.380 2952.600 3198.380 ;
-        RECT -32.980 3195.370 -29.980 3195.380 ;
-        RECT 2949.600 3195.370 2952.600 3195.380 ;
-        RECT -32.980 3018.380 -29.980 3018.390 ;
-        RECT 2949.600 3018.380 2952.600 3018.390 ;
-        RECT -32.980 3015.380 2.400 3018.380 ;
-        RECT 2917.600 3015.380 2952.600 3018.380 ;
-        RECT -32.980 3015.370 -29.980 3015.380 ;
-        RECT 2949.600 3015.370 2952.600 3015.380 ;
-        RECT -32.980 2838.380 -29.980 2838.390 ;
-        RECT 2949.600 2838.380 2952.600 2838.390 ;
-        RECT -32.980 2835.380 2.400 2838.380 ;
-        RECT 2917.600 2835.380 2952.600 2838.380 ;
-        RECT -32.980 2835.370 -29.980 2835.380 ;
-        RECT 2949.600 2835.370 2952.600 2835.380 ;
-        RECT -32.980 2658.380 -29.980 2658.390 ;
-        RECT 2949.600 2658.380 2952.600 2658.390 ;
-        RECT -32.980 2655.380 2.400 2658.380 ;
-        RECT 2917.600 2655.380 2952.600 2658.380 ;
-        RECT -32.980 2655.370 -29.980 2655.380 ;
-        RECT 2949.600 2655.370 2952.600 2655.380 ;
-        RECT -32.980 2478.380 -29.980 2478.390 ;
-        RECT 2949.600 2478.380 2952.600 2478.390 ;
-        RECT -32.980 2475.380 2.400 2478.380 ;
-        RECT 2917.600 2475.380 2952.600 2478.380 ;
-        RECT -32.980 2475.370 -29.980 2475.380 ;
-        RECT 2949.600 2475.370 2952.600 2475.380 ;
-        RECT -32.980 2298.380 -29.980 2298.390 ;
-        RECT 2949.600 2298.380 2952.600 2298.390 ;
-        RECT -32.980 2295.380 2.400 2298.380 ;
-        RECT 2917.600 2295.380 2952.600 2298.380 ;
-        RECT -32.980 2295.370 -29.980 2295.380 ;
-        RECT 2949.600 2295.370 2952.600 2295.380 ;
-        RECT -32.980 2118.380 -29.980 2118.390 ;
-        RECT 2949.600 2118.380 2952.600 2118.390 ;
-        RECT -32.980 2115.380 2.400 2118.380 ;
-        RECT 2917.600 2115.380 2952.600 2118.380 ;
-        RECT -32.980 2115.370 -29.980 2115.380 ;
-        RECT 2949.600 2115.370 2952.600 2115.380 ;
-        RECT -32.980 1938.380 -29.980 1938.390 ;
-        RECT 2949.600 1938.380 2952.600 1938.390 ;
-        RECT -32.980 1935.380 2.400 1938.380 ;
-        RECT 2917.600 1935.380 2952.600 1938.380 ;
-        RECT -32.980 1935.370 -29.980 1935.380 ;
-        RECT 2949.600 1935.370 2952.600 1935.380 ;
-        RECT -32.980 1758.380 -29.980 1758.390 ;
-        RECT 2949.600 1758.380 2952.600 1758.390 ;
-        RECT -32.980 1755.380 2.400 1758.380 ;
-        RECT 2917.600 1755.380 2952.600 1758.380 ;
-        RECT -32.980 1755.370 -29.980 1755.380 ;
-        RECT 2949.600 1755.370 2952.600 1755.380 ;
-        RECT -32.980 1578.380 -29.980 1578.390 ;
-        RECT 2949.600 1578.380 2952.600 1578.390 ;
-        RECT -32.980 1575.380 2.400 1578.380 ;
-        RECT 2917.600 1575.380 2952.600 1578.380 ;
-        RECT -32.980 1575.370 -29.980 1575.380 ;
-        RECT 2949.600 1575.370 2952.600 1575.380 ;
-        RECT -32.980 1398.380 -29.980 1398.390 ;
-        RECT 2949.600 1398.380 2952.600 1398.390 ;
-        RECT -32.980 1395.380 2.400 1398.380 ;
-        RECT 2917.600 1395.380 2952.600 1398.380 ;
-        RECT -32.980 1395.370 -29.980 1395.380 ;
-        RECT 2949.600 1395.370 2952.600 1395.380 ;
-        RECT -32.980 1218.380 -29.980 1218.390 ;
-        RECT 2949.600 1218.380 2952.600 1218.390 ;
-        RECT -32.980 1215.380 2.400 1218.380 ;
-        RECT 2917.600 1215.380 2952.600 1218.380 ;
-        RECT -32.980 1215.370 -29.980 1215.380 ;
-        RECT 2949.600 1215.370 2952.600 1215.380 ;
-        RECT -32.980 1038.380 -29.980 1038.390 ;
-        RECT 2949.600 1038.380 2952.600 1038.390 ;
-        RECT -32.980 1035.380 2.400 1038.380 ;
-        RECT 2917.600 1035.380 2952.600 1038.380 ;
-        RECT -32.980 1035.370 -29.980 1035.380 ;
-        RECT 2949.600 1035.370 2952.600 1035.380 ;
-        RECT -32.980 858.380 -29.980 858.390 ;
-        RECT 2949.600 858.380 2952.600 858.390 ;
-        RECT -32.980 855.380 2.400 858.380 ;
-        RECT 2917.600 855.380 2952.600 858.380 ;
-        RECT -32.980 855.370 -29.980 855.380 ;
-        RECT 2949.600 855.370 2952.600 855.380 ;
-        RECT -32.980 678.380 -29.980 678.390 ;
-        RECT 2949.600 678.380 2952.600 678.390 ;
-        RECT -32.980 675.380 2.400 678.380 ;
-        RECT 2917.600 675.380 2952.600 678.380 ;
-        RECT -32.980 675.370 -29.980 675.380 ;
-        RECT 2949.600 675.370 2952.600 675.380 ;
-        RECT -32.980 498.380 -29.980 498.390 ;
-        RECT 2949.600 498.380 2952.600 498.390 ;
-        RECT -32.980 495.380 2.400 498.380 ;
-        RECT 2917.600 495.380 2952.600 498.380 ;
-        RECT -32.980 495.370 -29.980 495.380 ;
-        RECT 2949.600 495.370 2952.600 495.380 ;
-        RECT -32.980 318.380 -29.980 318.390 ;
-        RECT 2949.600 318.380 2952.600 318.390 ;
-        RECT -32.980 315.380 2.400 318.380 ;
-        RECT 2917.600 315.380 2952.600 318.380 ;
-        RECT -32.980 315.370 -29.980 315.380 ;
-        RECT 2949.600 315.370 2952.600 315.380 ;
-        RECT -32.980 138.380 -29.980 138.390 ;
-        RECT 2949.600 138.380 2952.600 138.390 ;
-        RECT -32.980 135.380 2.400 138.380 ;
-        RECT 2917.600 135.380 2952.600 138.380 ;
-        RECT -32.980 135.370 -29.980 135.380 ;
-        RECT 2949.600 135.370 2952.600 135.380 ;
-        RECT -32.980 -24.620 -29.980 -24.610 ;
-        RECT 130.020 -24.620 133.020 -24.610 ;
-        RECT 310.020 -24.620 313.020 -24.610 ;
-        RECT 490.020 -24.620 493.020 -24.610 ;
-        RECT 670.020 -24.620 673.020 -24.610 ;
-        RECT 850.020 -24.620 853.020 -24.610 ;
-        RECT 1030.020 -24.620 1033.020 -24.610 ;
-        RECT 1210.020 -24.620 1213.020 -24.610 ;
-        RECT 1390.020 -24.620 1393.020 -24.610 ;
-        RECT 1570.020 -24.620 1573.020 -24.610 ;
-        RECT 1750.020 -24.620 1753.020 -24.610 ;
-        RECT 1930.020 -24.620 1933.020 -24.610 ;
-        RECT 2110.020 -24.620 2113.020 -24.610 ;
-        RECT 2290.020 -24.620 2293.020 -24.610 ;
-        RECT 2470.020 -24.620 2473.020 -24.610 ;
-        RECT 2650.020 -24.620 2653.020 -24.610 ;
-        RECT 2830.020 -24.620 2833.020 -24.610 ;
-        RECT 2949.600 -24.620 2952.600 -24.610 ;
-        RECT -32.980 -27.620 2952.600 -24.620 ;
-        RECT -32.980 -27.630 -29.980 -27.620 ;
-        RECT 130.020 -27.630 133.020 -27.620 ;
-        RECT 310.020 -27.630 313.020 -27.620 ;
-        RECT 490.020 -27.630 493.020 -27.620 ;
-        RECT 670.020 -27.630 673.020 -27.620 ;
-        RECT 850.020 -27.630 853.020 -27.620 ;
-        RECT 1030.020 -27.630 1033.020 -27.620 ;
-        RECT 1210.020 -27.630 1213.020 -27.620 ;
-        RECT 1390.020 -27.630 1393.020 -27.620 ;
-        RECT 1570.020 -27.630 1573.020 -27.620 ;
-        RECT 1750.020 -27.630 1753.020 -27.620 ;
-        RECT 1930.020 -27.630 1933.020 -27.620 ;
-        RECT 2110.020 -27.630 2113.020 -27.620 ;
-        RECT 2290.020 -27.630 2293.020 -27.620 ;
-        RECT 2470.020 -27.630 2473.020 -27.620 ;
-        RECT 2650.020 -27.630 2653.020 -27.620 ;
-        RECT 2830.020 -27.630 2833.020 -27.620 ;
-        RECT 2949.600 -27.630 2952.600 -27.620 ;
-    END
-  END vssa1
-  PIN vdda2
-    DIRECTION INPUT ;
-    PORT
-      LAYER met4 ;
-        RECT -37.580 -32.220 -34.580 3551.900 ;
-        RECT 58.020 3517.600 61.020 3556.500 ;
-        RECT 238.020 3517.600 241.020 3556.500 ;
-        RECT 418.020 3517.600 421.020 3556.500 ;
-        RECT 598.020 3517.600 601.020 3556.500 ;
-        RECT 778.020 3517.600 781.020 3556.500 ;
-        RECT 958.020 3517.600 961.020 3556.500 ;
-        RECT 1138.020 3517.600 1141.020 3556.500 ;
-        RECT 1318.020 3517.600 1321.020 3556.500 ;
-        RECT 1498.020 3517.600 1501.020 3556.500 ;
-        RECT 1678.020 3517.600 1681.020 3556.500 ;
-        RECT 1858.020 3517.600 1861.020 3556.500 ;
-        RECT 2038.020 3517.600 2041.020 3556.500 ;
-        RECT 2218.020 3517.600 2221.020 3556.500 ;
-        RECT 2398.020 3517.600 2401.020 3556.500 ;
-        RECT 2578.020 3517.600 2581.020 3556.500 ;
-        RECT 2758.020 3517.600 2761.020 3556.500 ;
-        RECT 58.020 -36.820 61.020 2.400 ;
-        RECT 238.020 -36.820 241.020 2.400 ;
-        RECT 418.020 -36.820 421.020 2.400 ;
-        RECT 598.020 -36.820 601.020 2.400 ;
-        RECT 778.020 -36.820 781.020 2.400 ;
-        RECT 958.020 -36.820 961.020 2.400 ;
-        RECT 1138.020 -36.820 1141.020 2.400 ;
-        RECT 1318.020 -36.820 1321.020 2.400 ;
-        RECT 1498.020 -36.820 1501.020 2.400 ;
-        RECT 1678.020 -36.820 1681.020 2.400 ;
-        RECT 1858.020 -36.820 1861.020 2.400 ;
-        RECT 2038.020 -36.820 2041.020 2.400 ;
-        RECT 2218.020 -36.820 2221.020 2.400 ;
-        RECT 2398.020 -36.820 2401.020 2.400 ;
-        RECT 2578.020 -36.820 2581.020 2.400 ;
-        RECT 2758.020 -36.820 2761.020 2.400 ;
-        RECT 2954.200 -32.220 2957.200 3551.900 ;
-      LAYER M4M5_PR_C ;
-        RECT -36.670 3550.610 -35.490 3551.790 ;
-        RECT -36.670 3549.010 -35.490 3550.190 ;
-        RECT 58.930 3550.610 60.110 3551.790 ;
-        RECT 58.930 3549.010 60.110 3550.190 ;
-        RECT 238.930 3550.610 240.110 3551.790 ;
-        RECT 238.930 3549.010 240.110 3550.190 ;
-        RECT 418.930 3550.610 420.110 3551.790 ;
-        RECT 418.930 3549.010 420.110 3550.190 ;
-        RECT 598.930 3550.610 600.110 3551.790 ;
-        RECT 598.930 3549.010 600.110 3550.190 ;
-        RECT 778.930 3550.610 780.110 3551.790 ;
-        RECT 778.930 3549.010 780.110 3550.190 ;
-        RECT 958.930 3550.610 960.110 3551.790 ;
-        RECT 958.930 3549.010 960.110 3550.190 ;
-        RECT 1138.930 3550.610 1140.110 3551.790 ;
-        RECT 1138.930 3549.010 1140.110 3550.190 ;
-        RECT 1318.930 3550.610 1320.110 3551.790 ;
-        RECT 1318.930 3549.010 1320.110 3550.190 ;
-        RECT 1498.930 3550.610 1500.110 3551.790 ;
-        RECT 1498.930 3549.010 1500.110 3550.190 ;
-        RECT 1678.930 3550.610 1680.110 3551.790 ;
-        RECT 1678.930 3549.010 1680.110 3550.190 ;
-        RECT 1858.930 3550.610 1860.110 3551.790 ;
-        RECT 1858.930 3549.010 1860.110 3550.190 ;
-        RECT 2038.930 3550.610 2040.110 3551.790 ;
-        RECT 2038.930 3549.010 2040.110 3550.190 ;
-        RECT 2218.930 3550.610 2220.110 3551.790 ;
-        RECT 2218.930 3549.010 2220.110 3550.190 ;
-        RECT 2398.930 3550.610 2400.110 3551.790 ;
-        RECT 2398.930 3549.010 2400.110 3550.190 ;
-        RECT 2578.930 3550.610 2580.110 3551.790 ;
-        RECT 2578.930 3549.010 2580.110 3550.190 ;
-        RECT 2758.930 3550.610 2760.110 3551.790 ;
-        RECT 2758.930 3549.010 2760.110 3550.190 ;
-        RECT 2955.110 3550.610 2956.290 3551.790 ;
-        RECT 2955.110 3549.010 2956.290 3550.190 ;
-        RECT -36.670 3485.090 -35.490 3486.270 ;
-        RECT -36.670 3483.490 -35.490 3484.670 ;
-        RECT -36.670 3305.090 -35.490 3306.270 ;
-        RECT -36.670 3303.490 -35.490 3304.670 ;
-        RECT -36.670 3125.090 -35.490 3126.270 ;
-        RECT -36.670 3123.490 -35.490 3124.670 ;
-        RECT -36.670 2945.090 -35.490 2946.270 ;
-        RECT -36.670 2943.490 -35.490 2944.670 ;
-        RECT -36.670 2765.090 -35.490 2766.270 ;
-        RECT -36.670 2763.490 -35.490 2764.670 ;
-        RECT -36.670 2585.090 -35.490 2586.270 ;
-        RECT -36.670 2583.490 -35.490 2584.670 ;
-        RECT -36.670 2405.090 -35.490 2406.270 ;
-        RECT -36.670 2403.490 -35.490 2404.670 ;
-        RECT -36.670 2225.090 -35.490 2226.270 ;
-        RECT -36.670 2223.490 -35.490 2224.670 ;
-        RECT -36.670 2045.090 -35.490 2046.270 ;
-        RECT -36.670 2043.490 -35.490 2044.670 ;
-        RECT -36.670 1865.090 -35.490 1866.270 ;
-        RECT -36.670 1863.490 -35.490 1864.670 ;
-        RECT -36.670 1685.090 -35.490 1686.270 ;
-        RECT -36.670 1683.490 -35.490 1684.670 ;
-        RECT -36.670 1505.090 -35.490 1506.270 ;
-        RECT -36.670 1503.490 -35.490 1504.670 ;
-        RECT -36.670 1325.090 -35.490 1326.270 ;
-        RECT -36.670 1323.490 -35.490 1324.670 ;
-        RECT -36.670 1145.090 -35.490 1146.270 ;
-        RECT -36.670 1143.490 -35.490 1144.670 ;
-        RECT -36.670 965.090 -35.490 966.270 ;
-        RECT -36.670 963.490 -35.490 964.670 ;
-        RECT -36.670 785.090 -35.490 786.270 ;
-        RECT -36.670 783.490 -35.490 784.670 ;
-        RECT -36.670 605.090 -35.490 606.270 ;
-        RECT -36.670 603.490 -35.490 604.670 ;
-        RECT -36.670 425.090 -35.490 426.270 ;
-        RECT -36.670 423.490 -35.490 424.670 ;
-        RECT -36.670 245.090 -35.490 246.270 ;
-        RECT -36.670 243.490 -35.490 244.670 ;
-        RECT -36.670 65.090 -35.490 66.270 ;
-        RECT -36.670 63.490 -35.490 64.670 ;
-        RECT 2955.110 3485.090 2956.290 3486.270 ;
-        RECT 2955.110 3483.490 2956.290 3484.670 ;
-        RECT 2955.110 3305.090 2956.290 3306.270 ;
-        RECT 2955.110 3303.490 2956.290 3304.670 ;
-        RECT 2955.110 3125.090 2956.290 3126.270 ;
-        RECT 2955.110 3123.490 2956.290 3124.670 ;
-        RECT 2955.110 2945.090 2956.290 2946.270 ;
-        RECT 2955.110 2943.490 2956.290 2944.670 ;
-        RECT 2955.110 2765.090 2956.290 2766.270 ;
-        RECT 2955.110 2763.490 2956.290 2764.670 ;
-        RECT 2955.110 2585.090 2956.290 2586.270 ;
-        RECT 2955.110 2583.490 2956.290 2584.670 ;
-        RECT 2955.110 2405.090 2956.290 2406.270 ;
-        RECT 2955.110 2403.490 2956.290 2404.670 ;
-        RECT 2955.110 2225.090 2956.290 2226.270 ;
-        RECT 2955.110 2223.490 2956.290 2224.670 ;
-        RECT 2955.110 2045.090 2956.290 2046.270 ;
-        RECT 2955.110 2043.490 2956.290 2044.670 ;
-        RECT 2955.110 1865.090 2956.290 1866.270 ;
-        RECT 2955.110 1863.490 2956.290 1864.670 ;
-        RECT 2955.110 1685.090 2956.290 1686.270 ;
-        RECT 2955.110 1683.490 2956.290 1684.670 ;
-        RECT 2955.110 1505.090 2956.290 1506.270 ;
-        RECT 2955.110 1503.490 2956.290 1504.670 ;
-        RECT 2955.110 1325.090 2956.290 1326.270 ;
-        RECT 2955.110 1323.490 2956.290 1324.670 ;
-        RECT 2955.110 1145.090 2956.290 1146.270 ;
-        RECT 2955.110 1143.490 2956.290 1144.670 ;
-        RECT 2955.110 965.090 2956.290 966.270 ;
-        RECT 2955.110 963.490 2956.290 964.670 ;
-        RECT 2955.110 785.090 2956.290 786.270 ;
-        RECT 2955.110 783.490 2956.290 784.670 ;
-        RECT 2955.110 605.090 2956.290 606.270 ;
-        RECT 2955.110 603.490 2956.290 604.670 ;
-        RECT 2955.110 425.090 2956.290 426.270 ;
-        RECT 2955.110 423.490 2956.290 424.670 ;
-        RECT 2955.110 245.090 2956.290 246.270 ;
-        RECT 2955.110 243.490 2956.290 244.670 ;
-        RECT 2955.110 65.090 2956.290 66.270 ;
-        RECT 2955.110 63.490 2956.290 64.670 ;
-        RECT -36.670 -30.510 -35.490 -29.330 ;
-        RECT -36.670 -32.110 -35.490 -30.930 ;
-        RECT 58.930 -30.510 60.110 -29.330 ;
-        RECT 58.930 -32.110 60.110 -30.930 ;
-        RECT 238.930 -30.510 240.110 -29.330 ;
-        RECT 238.930 -32.110 240.110 -30.930 ;
-        RECT 418.930 -30.510 420.110 -29.330 ;
-        RECT 418.930 -32.110 420.110 -30.930 ;
-        RECT 598.930 -30.510 600.110 -29.330 ;
-        RECT 598.930 -32.110 600.110 -30.930 ;
-        RECT 778.930 -30.510 780.110 -29.330 ;
-        RECT 778.930 -32.110 780.110 -30.930 ;
-        RECT 958.930 -30.510 960.110 -29.330 ;
-        RECT 958.930 -32.110 960.110 -30.930 ;
-        RECT 1138.930 -30.510 1140.110 -29.330 ;
-        RECT 1138.930 -32.110 1140.110 -30.930 ;
-        RECT 1318.930 -30.510 1320.110 -29.330 ;
-        RECT 1318.930 -32.110 1320.110 -30.930 ;
-        RECT 1498.930 -30.510 1500.110 -29.330 ;
-        RECT 1498.930 -32.110 1500.110 -30.930 ;
-        RECT 1678.930 -30.510 1680.110 -29.330 ;
-        RECT 1678.930 -32.110 1680.110 -30.930 ;
-        RECT 1858.930 -30.510 1860.110 -29.330 ;
-        RECT 1858.930 -32.110 1860.110 -30.930 ;
-        RECT 2038.930 -30.510 2040.110 -29.330 ;
-        RECT 2038.930 -32.110 2040.110 -30.930 ;
-        RECT 2218.930 -30.510 2220.110 -29.330 ;
-        RECT 2218.930 -32.110 2220.110 -30.930 ;
-        RECT 2398.930 -30.510 2400.110 -29.330 ;
-        RECT 2398.930 -32.110 2400.110 -30.930 ;
-        RECT 2578.930 -30.510 2580.110 -29.330 ;
-        RECT 2578.930 -32.110 2580.110 -30.930 ;
-        RECT 2758.930 -30.510 2760.110 -29.330 ;
-        RECT 2758.930 -32.110 2760.110 -30.930 ;
-        RECT 2955.110 -30.510 2956.290 -29.330 ;
-        RECT 2955.110 -32.110 2956.290 -30.930 ;
-      LAYER met5 ;
-        RECT -37.580 3551.900 -34.580 3551.910 ;
-        RECT 58.020 3551.900 61.020 3551.910 ;
-        RECT 238.020 3551.900 241.020 3551.910 ;
-        RECT 418.020 3551.900 421.020 3551.910 ;
-        RECT 598.020 3551.900 601.020 3551.910 ;
-        RECT 778.020 3551.900 781.020 3551.910 ;
-        RECT 958.020 3551.900 961.020 3551.910 ;
-        RECT 1138.020 3551.900 1141.020 3551.910 ;
-        RECT 1318.020 3551.900 1321.020 3551.910 ;
-        RECT 1498.020 3551.900 1501.020 3551.910 ;
-        RECT 1678.020 3551.900 1681.020 3551.910 ;
-        RECT 1858.020 3551.900 1861.020 3551.910 ;
-        RECT 2038.020 3551.900 2041.020 3551.910 ;
-        RECT 2218.020 3551.900 2221.020 3551.910 ;
-        RECT 2398.020 3551.900 2401.020 3551.910 ;
-        RECT 2578.020 3551.900 2581.020 3551.910 ;
-        RECT 2758.020 3551.900 2761.020 3551.910 ;
-        RECT 2954.200 3551.900 2957.200 3551.910 ;
-        RECT -37.580 3548.900 2957.200 3551.900 ;
-        RECT -37.580 3548.890 -34.580 3548.900 ;
-        RECT 58.020 3548.890 61.020 3548.900 ;
-        RECT 238.020 3548.890 241.020 3548.900 ;
-        RECT 418.020 3548.890 421.020 3548.900 ;
-        RECT 598.020 3548.890 601.020 3548.900 ;
-        RECT 778.020 3548.890 781.020 3548.900 ;
-        RECT 958.020 3548.890 961.020 3548.900 ;
-        RECT 1138.020 3548.890 1141.020 3548.900 ;
-        RECT 1318.020 3548.890 1321.020 3548.900 ;
-        RECT 1498.020 3548.890 1501.020 3548.900 ;
-        RECT 1678.020 3548.890 1681.020 3548.900 ;
-        RECT 1858.020 3548.890 1861.020 3548.900 ;
-        RECT 2038.020 3548.890 2041.020 3548.900 ;
-        RECT 2218.020 3548.890 2221.020 3548.900 ;
-        RECT 2398.020 3548.890 2401.020 3548.900 ;
-        RECT 2578.020 3548.890 2581.020 3548.900 ;
-        RECT 2758.020 3548.890 2761.020 3548.900 ;
-        RECT 2954.200 3548.890 2957.200 3548.900 ;
-        RECT -37.580 3486.380 -34.580 3486.390 ;
-        RECT 2954.200 3486.380 2957.200 3486.390 ;
-        RECT -42.180 3483.380 2.400 3486.380 ;
-        RECT 2917.600 3483.380 2961.800 3486.380 ;
-        RECT -37.580 3483.370 -34.580 3483.380 ;
-        RECT 2954.200 3483.370 2957.200 3483.380 ;
-        RECT -37.580 3306.380 -34.580 3306.390 ;
-        RECT 2954.200 3306.380 2957.200 3306.390 ;
-        RECT -42.180 3303.380 2.400 3306.380 ;
-        RECT 2917.600 3303.380 2961.800 3306.380 ;
-        RECT -37.580 3303.370 -34.580 3303.380 ;
-        RECT 2954.200 3303.370 2957.200 3303.380 ;
-        RECT -37.580 3126.380 -34.580 3126.390 ;
-        RECT 2954.200 3126.380 2957.200 3126.390 ;
-        RECT -42.180 3123.380 2.400 3126.380 ;
-        RECT 2917.600 3123.380 2961.800 3126.380 ;
-        RECT -37.580 3123.370 -34.580 3123.380 ;
-        RECT 2954.200 3123.370 2957.200 3123.380 ;
-        RECT -37.580 2946.380 -34.580 2946.390 ;
-        RECT 2954.200 2946.380 2957.200 2946.390 ;
-        RECT -42.180 2943.380 2.400 2946.380 ;
-        RECT 2917.600 2943.380 2961.800 2946.380 ;
-        RECT -37.580 2943.370 -34.580 2943.380 ;
-        RECT 2954.200 2943.370 2957.200 2943.380 ;
-        RECT -37.580 2766.380 -34.580 2766.390 ;
-        RECT 2954.200 2766.380 2957.200 2766.390 ;
-        RECT -42.180 2763.380 2.400 2766.380 ;
-        RECT 2917.600 2763.380 2961.800 2766.380 ;
-        RECT -37.580 2763.370 -34.580 2763.380 ;
-        RECT 2954.200 2763.370 2957.200 2763.380 ;
-        RECT -37.580 2586.380 -34.580 2586.390 ;
-        RECT 2954.200 2586.380 2957.200 2586.390 ;
-        RECT -42.180 2583.380 2.400 2586.380 ;
-        RECT 2917.600 2583.380 2961.800 2586.380 ;
-        RECT -37.580 2583.370 -34.580 2583.380 ;
-        RECT 2954.200 2583.370 2957.200 2583.380 ;
-        RECT -37.580 2406.380 -34.580 2406.390 ;
-        RECT 2954.200 2406.380 2957.200 2406.390 ;
-        RECT -42.180 2403.380 2.400 2406.380 ;
-        RECT 2917.600 2403.380 2961.800 2406.380 ;
-        RECT -37.580 2403.370 -34.580 2403.380 ;
-        RECT 2954.200 2403.370 2957.200 2403.380 ;
-        RECT -37.580 2226.380 -34.580 2226.390 ;
-        RECT 2954.200 2226.380 2957.200 2226.390 ;
-        RECT -42.180 2223.380 2.400 2226.380 ;
-        RECT 2917.600 2223.380 2961.800 2226.380 ;
-        RECT -37.580 2223.370 -34.580 2223.380 ;
-        RECT 2954.200 2223.370 2957.200 2223.380 ;
-        RECT -37.580 2046.380 -34.580 2046.390 ;
-        RECT 2954.200 2046.380 2957.200 2046.390 ;
-        RECT -42.180 2043.380 2.400 2046.380 ;
-        RECT 2917.600 2043.380 2961.800 2046.380 ;
-        RECT -37.580 2043.370 -34.580 2043.380 ;
-        RECT 2954.200 2043.370 2957.200 2043.380 ;
-        RECT -37.580 1866.380 -34.580 1866.390 ;
-        RECT 2954.200 1866.380 2957.200 1866.390 ;
-        RECT -42.180 1863.380 2.400 1866.380 ;
-        RECT 2917.600 1863.380 2961.800 1866.380 ;
-        RECT -37.580 1863.370 -34.580 1863.380 ;
-        RECT 2954.200 1863.370 2957.200 1863.380 ;
-        RECT -37.580 1686.380 -34.580 1686.390 ;
-        RECT 2954.200 1686.380 2957.200 1686.390 ;
-        RECT -42.180 1683.380 2.400 1686.380 ;
-        RECT 2917.600 1683.380 2961.800 1686.380 ;
-        RECT -37.580 1683.370 -34.580 1683.380 ;
-        RECT 2954.200 1683.370 2957.200 1683.380 ;
-        RECT -37.580 1506.380 -34.580 1506.390 ;
-        RECT 2954.200 1506.380 2957.200 1506.390 ;
-        RECT -42.180 1503.380 2.400 1506.380 ;
-        RECT 2917.600 1503.380 2961.800 1506.380 ;
-        RECT -37.580 1503.370 -34.580 1503.380 ;
-        RECT 2954.200 1503.370 2957.200 1503.380 ;
-        RECT -37.580 1326.380 -34.580 1326.390 ;
-        RECT 2954.200 1326.380 2957.200 1326.390 ;
-        RECT -42.180 1323.380 2.400 1326.380 ;
-        RECT 2917.600 1323.380 2961.800 1326.380 ;
-        RECT -37.580 1323.370 -34.580 1323.380 ;
-        RECT 2954.200 1323.370 2957.200 1323.380 ;
-        RECT -37.580 1146.380 -34.580 1146.390 ;
-        RECT 2954.200 1146.380 2957.200 1146.390 ;
-        RECT -42.180 1143.380 2.400 1146.380 ;
-        RECT 2917.600 1143.380 2961.800 1146.380 ;
-        RECT -37.580 1143.370 -34.580 1143.380 ;
-        RECT 2954.200 1143.370 2957.200 1143.380 ;
-        RECT -37.580 966.380 -34.580 966.390 ;
-        RECT 2954.200 966.380 2957.200 966.390 ;
-        RECT -42.180 963.380 2.400 966.380 ;
-        RECT 2917.600 963.380 2961.800 966.380 ;
-        RECT -37.580 963.370 -34.580 963.380 ;
-        RECT 2954.200 963.370 2957.200 963.380 ;
-        RECT -37.580 786.380 -34.580 786.390 ;
-        RECT 2954.200 786.380 2957.200 786.390 ;
-        RECT -42.180 783.380 2.400 786.380 ;
-        RECT 2917.600 783.380 2961.800 786.380 ;
-        RECT -37.580 783.370 -34.580 783.380 ;
-        RECT 2954.200 783.370 2957.200 783.380 ;
-        RECT -37.580 606.380 -34.580 606.390 ;
-        RECT 2954.200 606.380 2957.200 606.390 ;
-        RECT -42.180 603.380 2.400 606.380 ;
-        RECT 2917.600 603.380 2961.800 606.380 ;
-        RECT -37.580 603.370 -34.580 603.380 ;
-        RECT 2954.200 603.370 2957.200 603.380 ;
-        RECT -37.580 426.380 -34.580 426.390 ;
-        RECT 2954.200 426.380 2957.200 426.390 ;
-        RECT -42.180 423.380 2.400 426.380 ;
-        RECT 2917.600 423.380 2961.800 426.380 ;
-        RECT -37.580 423.370 -34.580 423.380 ;
-        RECT 2954.200 423.370 2957.200 423.380 ;
-        RECT -37.580 246.380 -34.580 246.390 ;
-        RECT 2954.200 246.380 2957.200 246.390 ;
-        RECT -42.180 243.380 2.400 246.380 ;
-        RECT 2917.600 243.380 2961.800 246.380 ;
-        RECT -37.580 243.370 -34.580 243.380 ;
-        RECT 2954.200 243.370 2957.200 243.380 ;
-        RECT -37.580 66.380 -34.580 66.390 ;
-        RECT 2954.200 66.380 2957.200 66.390 ;
-        RECT -42.180 63.380 2.400 66.380 ;
-        RECT 2917.600 63.380 2961.800 66.380 ;
-        RECT -37.580 63.370 -34.580 63.380 ;
-        RECT 2954.200 63.370 2957.200 63.380 ;
-        RECT -37.580 -29.220 -34.580 -29.210 ;
-        RECT 58.020 -29.220 61.020 -29.210 ;
-        RECT 238.020 -29.220 241.020 -29.210 ;
-        RECT 418.020 -29.220 421.020 -29.210 ;
-        RECT 598.020 -29.220 601.020 -29.210 ;
-        RECT 778.020 -29.220 781.020 -29.210 ;
-        RECT 958.020 -29.220 961.020 -29.210 ;
-        RECT 1138.020 -29.220 1141.020 -29.210 ;
-        RECT 1318.020 -29.220 1321.020 -29.210 ;
-        RECT 1498.020 -29.220 1501.020 -29.210 ;
-        RECT 1678.020 -29.220 1681.020 -29.210 ;
-        RECT 1858.020 -29.220 1861.020 -29.210 ;
-        RECT 2038.020 -29.220 2041.020 -29.210 ;
-        RECT 2218.020 -29.220 2221.020 -29.210 ;
-        RECT 2398.020 -29.220 2401.020 -29.210 ;
-        RECT 2578.020 -29.220 2581.020 -29.210 ;
-        RECT 2758.020 -29.220 2761.020 -29.210 ;
-        RECT 2954.200 -29.220 2957.200 -29.210 ;
-        RECT -37.580 -32.220 2957.200 -29.220 ;
-        RECT -37.580 -32.230 -34.580 -32.220 ;
-        RECT 58.020 -32.230 61.020 -32.220 ;
-        RECT 238.020 -32.230 241.020 -32.220 ;
-        RECT 418.020 -32.230 421.020 -32.220 ;
-        RECT 598.020 -32.230 601.020 -32.220 ;
-        RECT 778.020 -32.230 781.020 -32.220 ;
-        RECT 958.020 -32.230 961.020 -32.220 ;
-        RECT 1138.020 -32.230 1141.020 -32.220 ;
-        RECT 1318.020 -32.230 1321.020 -32.220 ;
-        RECT 1498.020 -32.230 1501.020 -32.220 ;
-        RECT 1678.020 -32.230 1681.020 -32.220 ;
-        RECT 1858.020 -32.230 1861.020 -32.220 ;
-        RECT 2038.020 -32.230 2041.020 -32.220 ;
-        RECT 2218.020 -32.230 2221.020 -32.220 ;
-        RECT 2398.020 -32.230 2401.020 -32.220 ;
-        RECT 2578.020 -32.230 2581.020 -32.220 ;
-        RECT 2758.020 -32.230 2761.020 -32.220 ;
-        RECT 2954.200 -32.230 2957.200 -32.220 ;
-    END
-  END vdda2
-  PIN vssa2
-    DIRECTION INPUT ;
-    PORT
-      LAYER met4 ;
-        RECT -42.180 -36.820 -39.180 3556.500 ;
-        RECT 148.020 3517.600 151.020 3556.500 ;
-        RECT 328.020 3517.600 331.020 3556.500 ;
-        RECT 508.020 3517.600 511.020 3556.500 ;
-        RECT 688.020 3517.600 691.020 3556.500 ;
-        RECT 868.020 3517.600 871.020 3556.500 ;
-        RECT 1048.020 3517.600 1051.020 3556.500 ;
-        RECT 1228.020 3517.600 1231.020 3556.500 ;
-        RECT 1408.020 3517.600 1411.020 3556.500 ;
-        RECT 1588.020 3517.600 1591.020 3556.500 ;
-        RECT 1768.020 3517.600 1771.020 3556.500 ;
-        RECT 1948.020 3517.600 1951.020 3556.500 ;
-        RECT 2128.020 3517.600 2131.020 3556.500 ;
-        RECT 2308.020 3517.600 2311.020 3556.500 ;
-        RECT 2488.020 3517.600 2491.020 3556.500 ;
-        RECT 2668.020 3517.600 2671.020 3556.500 ;
-        RECT 2848.020 3517.600 2851.020 3556.500 ;
-        RECT 148.020 -36.820 151.020 2.400 ;
-        RECT 328.020 -36.820 331.020 2.400 ;
-        RECT 508.020 -36.820 511.020 2.400 ;
-        RECT 688.020 -36.820 691.020 2.400 ;
-        RECT 868.020 -36.820 871.020 2.400 ;
-        RECT 1048.020 -36.820 1051.020 2.400 ;
-        RECT 1228.020 -36.820 1231.020 2.400 ;
-        RECT 1408.020 -36.820 1411.020 2.400 ;
-        RECT 1588.020 -36.820 1591.020 2.400 ;
-        RECT 1768.020 -36.820 1771.020 2.400 ;
-        RECT 1948.020 -36.820 1951.020 2.400 ;
-        RECT 2128.020 -36.820 2131.020 2.400 ;
-        RECT 2308.020 -36.820 2311.020 2.400 ;
-        RECT 2488.020 -36.820 2491.020 2.400 ;
-        RECT 2668.020 -36.820 2671.020 2.400 ;
-        RECT 2848.020 -36.820 2851.020 2.400 ;
-        RECT 2958.800 -36.820 2961.800 3556.500 ;
-      LAYER M4M5_PR_C ;
-        RECT -41.270 3555.210 -40.090 3556.390 ;
-        RECT -41.270 3553.610 -40.090 3554.790 ;
-        RECT 148.930 3555.210 150.110 3556.390 ;
-        RECT 148.930 3553.610 150.110 3554.790 ;
-        RECT 328.930 3555.210 330.110 3556.390 ;
-        RECT 328.930 3553.610 330.110 3554.790 ;
-        RECT 508.930 3555.210 510.110 3556.390 ;
-        RECT 508.930 3553.610 510.110 3554.790 ;
-        RECT 688.930 3555.210 690.110 3556.390 ;
-        RECT 688.930 3553.610 690.110 3554.790 ;
-        RECT 868.930 3555.210 870.110 3556.390 ;
-        RECT 868.930 3553.610 870.110 3554.790 ;
-        RECT 1048.930 3555.210 1050.110 3556.390 ;
-        RECT 1048.930 3553.610 1050.110 3554.790 ;
-        RECT 1228.930 3555.210 1230.110 3556.390 ;
-        RECT 1228.930 3553.610 1230.110 3554.790 ;
-        RECT 1408.930 3555.210 1410.110 3556.390 ;
-        RECT 1408.930 3553.610 1410.110 3554.790 ;
-        RECT 1588.930 3555.210 1590.110 3556.390 ;
-        RECT 1588.930 3553.610 1590.110 3554.790 ;
-        RECT 1768.930 3555.210 1770.110 3556.390 ;
-        RECT 1768.930 3553.610 1770.110 3554.790 ;
-        RECT 1948.930 3555.210 1950.110 3556.390 ;
-        RECT 1948.930 3553.610 1950.110 3554.790 ;
-        RECT 2128.930 3555.210 2130.110 3556.390 ;
-        RECT 2128.930 3553.610 2130.110 3554.790 ;
-        RECT 2308.930 3555.210 2310.110 3556.390 ;
-        RECT 2308.930 3553.610 2310.110 3554.790 ;
-        RECT 2488.930 3555.210 2490.110 3556.390 ;
-        RECT 2488.930 3553.610 2490.110 3554.790 ;
-        RECT 2668.930 3555.210 2670.110 3556.390 ;
-        RECT 2668.930 3553.610 2670.110 3554.790 ;
-        RECT 2848.930 3555.210 2850.110 3556.390 ;
-        RECT 2848.930 3553.610 2850.110 3554.790 ;
-        RECT 2959.710 3555.210 2960.890 3556.390 ;
-        RECT 2959.710 3553.610 2960.890 3554.790 ;
-        RECT -41.270 3395.090 -40.090 3396.270 ;
-        RECT -41.270 3393.490 -40.090 3394.670 ;
-        RECT -41.270 3215.090 -40.090 3216.270 ;
-        RECT -41.270 3213.490 -40.090 3214.670 ;
-        RECT -41.270 3035.090 -40.090 3036.270 ;
-        RECT -41.270 3033.490 -40.090 3034.670 ;
-        RECT -41.270 2855.090 -40.090 2856.270 ;
-        RECT -41.270 2853.490 -40.090 2854.670 ;
-        RECT -41.270 2675.090 -40.090 2676.270 ;
-        RECT -41.270 2673.490 -40.090 2674.670 ;
-        RECT -41.270 2495.090 -40.090 2496.270 ;
-        RECT -41.270 2493.490 -40.090 2494.670 ;
-        RECT -41.270 2315.090 -40.090 2316.270 ;
-        RECT -41.270 2313.490 -40.090 2314.670 ;
-        RECT -41.270 2135.090 -40.090 2136.270 ;
-        RECT -41.270 2133.490 -40.090 2134.670 ;
-        RECT -41.270 1955.090 -40.090 1956.270 ;
-        RECT -41.270 1953.490 -40.090 1954.670 ;
-        RECT -41.270 1775.090 -40.090 1776.270 ;
-        RECT -41.270 1773.490 -40.090 1774.670 ;
-        RECT -41.270 1595.090 -40.090 1596.270 ;
-        RECT -41.270 1593.490 -40.090 1594.670 ;
-        RECT -41.270 1415.090 -40.090 1416.270 ;
-        RECT -41.270 1413.490 -40.090 1414.670 ;
-        RECT -41.270 1235.090 -40.090 1236.270 ;
-        RECT -41.270 1233.490 -40.090 1234.670 ;
-        RECT -41.270 1055.090 -40.090 1056.270 ;
-        RECT -41.270 1053.490 -40.090 1054.670 ;
-        RECT -41.270 875.090 -40.090 876.270 ;
-        RECT -41.270 873.490 -40.090 874.670 ;
-        RECT -41.270 695.090 -40.090 696.270 ;
-        RECT -41.270 693.490 -40.090 694.670 ;
-        RECT -41.270 515.090 -40.090 516.270 ;
-        RECT -41.270 513.490 -40.090 514.670 ;
-        RECT -41.270 335.090 -40.090 336.270 ;
-        RECT -41.270 333.490 -40.090 334.670 ;
-        RECT -41.270 155.090 -40.090 156.270 ;
-        RECT -41.270 153.490 -40.090 154.670 ;
-        RECT 2959.710 3395.090 2960.890 3396.270 ;
-        RECT 2959.710 3393.490 2960.890 3394.670 ;
-        RECT 2959.710 3215.090 2960.890 3216.270 ;
-        RECT 2959.710 3213.490 2960.890 3214.670 ;
-        RECT 2959.710 3035.090 2960.890 3036.270 ;
-        RECT 2959.710 3033.490 2960.890 3034.670 ;
-        RECT 2959.710 2855.090 2960.890 2856.270 ;
-        RECT 2959.710 2853.490 2960.890 2854.670 ;
-        RECT 2959.710 2675.090 2960.890 2676.270 ;
-        RECT 2959.710 2673.490 2960.890 2674.670 ;
-        RECT 2959.710 2495.090 2960.890 2496.270 ;
-        RECT 2959.710 2493.490 2960.890 2494.670 ;
-        RECT 2959.710 2315.090 2960.890 2316.270 ;
-        RECT 2959.710 2313.490 2960.890 2314.670 ;
-        RECT 2959.710 2135.090 2960.890 2136.270 ;
-        RECT 2959.710 2133.490 2960.890 2134.670 ;
-        RECT 2959.710 1955.090 2960.890 1956.270 ;
-        RECT 2959.710 1953.490 2960.890 1954.670 ;
-        RECT 2959.710 1775.090 2960.890 1776.270 ;
-        RECT 2959.710 1773.490 2960.890 1774.670 ;
-        RECT 2959.710 1595.090 2960.890 1596.270 ;
-        RECT 2959.710 1593.490 2960.890 1594.670 ;
-        RECT 2959.710 1415.090 2960.890 1416.270 ;
-        RECT 2959.710 1413.490 2960.890 1414.670 ;
-        RECT 2959.710 1235.090 2960.890 1236.270 ;
-        RECT 2959.710 1233.490 2960.890 1234.670 ;
-        RECT 2959.710 1055.090 2960.890 1056.270 ;
-        RECT 2959.710 1053.490 2960.890 1054.670 ;
-        RECT 2959.710 875.090 2960.890 876.270 ;
-        RECT 2959.710 873.490 2960.890 874.670 ;
-        RECT 2959.710 695.090 2960.890 696.270 ;
-        RECT 2959.710 693.490 2960.890 694.670 ;
-        RECT 2959.710 515.090 2960.890 516.270 ;
-        RECT 2959.710 513.490 2960.890 514.670 ;
-        RECT 2959.710 335.090 2960.890 336.270 ;
-        RECT 2959.710 333.490 2960.890 334.670 ;
-        RECT 2959.710 155.090 2960.890 156.270 ;
-        RECT 2959.710 153.490 2960.890 154.670 ;
-        RECT -41.270 -35.110 -40.090 -33.930 ;
-        RECT -41.270 -36.710 -40.090 -35.530 ;
-        RECT 148.930 -35.110 150.110 -33.930 ;
-        RECT 148.930 -36.710 150.110 -35.530 ;
-        RECT 328.930 -35.110 330.110 -33.930 ;
-        RECT 328.930 -36.710 330.110 -35.530 ;
-        RECT 508.930 -35.110 510.110 -33.930 ;
-        RECT 508.930 -36.710 510.110 -35.530 ;
-        RECT 688.930 -35.110 690.110 -33.930 ;
-        RECT 688.930 -36.710 690.110 -35.530 ;
-        RECT 868.930 -35.110 870.110 -33.930 ;
-        RECT 868.930 -36.710 870.110 -35.530 ;
-        RECT 1048.930 -35.110 1050.110 -33.930 ;
-        RECT 1048.930 -36.710 1050.110 -35.530 ;
-        RECT 1228.930 -35.110 1230.110 -33.930 ;
-        RECT 1228.930 -36.710 1230.110 -35.530 ;
-        RECT 1408.930 -35.110 1410.110 -33.930 ;
-        RECT 1408.930 -36.710 1410.110 -35.530 ;
-        RECT 1588.930 -35.110 1590.110 -33.930 ;
-        RECT 1588.930 -36.710 1590.110 -35.530 ;
-        RECT 1768.930 -35.110 1770.110 -33.930 ;
-        RECT 1768.930 -36.710 1770.110 -35.530 ;
-        RECT 1948.930 -35.110 1950.110 -33.930 ;
-        RECT 1948.930 -36.710 1950.110 -35.530 ;
-        RECT 2128.930 -35.110 2130.110 -33.930 ;
-        RECT 2128.930 -36.710 2130.110 -35.530 ;
-        RECT 2308.930 -35.110 2310.110 -33.930 ;
-        RECT 2308.930 -36.710 2310.110 -35.530 ;
-        RECT 2488.930 -35.110 2490.110 -33.930 ;
-        RECT 2488.930 -36.710 2490.110 -35.530 ;
-        RECT 2668.930 -35.110 2670.110 -33.930 ;
-        RECT 2668.930 -36.710 2670.110 -35.530 ;
-        RECT 2848.930 -35.110 2850.110 -33.930 ;
-        RECT 2848.930 -36.710 2850.110 -35.530 ;
-        RECT 2959.710 -35.110 2960.890 -33.930 ;
-        RECT 2959.710 -36.710 2960.890 -35.530 ;
-      LAYER met5 ;
-        RECT -42.180 3556.500 -39.180 3556.510 ;
-        RECT 148.020 3556.500 151.020 3556.510 ;
-        RECT 328.020 3556.500 331.020 3556.510 ;
-        RECT 508.020 3556.500 511.020 3556.510 ;
-        RECT 688.020 3556.500 691.020 3556.510 ;
-        RECT 868.020 3556.500 871.020 3556.510 ;
-        RECT 1048.020 3556.500 1051.020 3556.510 ;
-        RECT 1228.020 3556.500 1231.020 3556.510 ;
-        RECT 1408.020 3556.500 1411.020 3556.510 ;
-        RECT 1588.020 3556.500 1591.020 3556.510 ;
-        RECT 1768.020 3556.500 1771.020 3556.510 ;
-        RECT 1948.020 3556.500 1951.020 3556.510 ;
-        RECT 2128.020 3556.500 2131.020 3556.510 ;
-        RECT 2308.020 3556.500 2311.020 3556.510 ;
-        RECT 2488.020 3556.500 2491.020 3556.510 ;
-        RECT 2668.020 3556.500 2671.020 3556.510 ;
-        RECT 2848.020 3556.500 2851.020 3556.510 ;
-        RECT 2958.800 3556.500 2961.800 3556.510 ;
-        RECT -42.180 3553.500 2961.800 3556.500 ;
-        RECT -42.180 3553.490 -39.180 3553.500 ;
-        RECT 148.020 3553.490 151.020 3553.500 ;
-        RECT 328.020 3553.490 331.020 3553.500 ;
-        RECT 508.020 3553.490 511.020 3553.500 ;
-        RECT 688.020 3553.490 691.020 3553.500 ;
-        RECT 868.020 3553.490 871.020 3553.500 ;
-        RECT 1048.020 3553.490 1051.020 3553.500 ;
-        RECT 1228.020 3553.490 1231.020 3553.500 ;
-        RECT 1408.020 3553.490 1411.020 3553.500 ;
-        RECT 1588.020 3553.490 1591.020 3553.500 ;
-        RECT 1768.020 3553.490 1771.020 3553.500 ;
-        RECT 1948.020 3553.490 1951.020 3553.500 ;
-        RECT 2128.020 3553.490 2131.020 3553.500 ;
-        RECT 2308.020 3553.490 2311.020 3553.500 ;
-        RECT 2488.020 3553.490 2491.020 3553.500 ;
-        RECT 2668.020 3553.490 2671.020 3553.500 ;
-        RECT 2848.020 3553.490 2851.020 3553.500 ;
-        RECT 2958.800 3553.490 2961.800 3553.500 ;
-        RECT -42.180 3396.380 -39.180 3396.390 ;
-        RECT 2958.800 3396.380 2961.800 3396.390 ;
-        RECT -42.180 3393.380 2.400 3396.380 ;
-        RECT 2917.600 3393.380 2961.800 3396.380 ;
-        RECT -42.180 3393.370 -39.180 3393.380 ;
-        RECT 2958.800 3393.370 2961.800 3393.380 ;
-        RECT -42.180 3216.380 -39.180 3216.390 ;
-        RECT 2958.800 3216.380 2961.800 3216.390 ;
-        RECT -42.180 3213.380 2.400 3216.380 ;
-        RECT 2917.600 3213.380 2961.800 3216.380 ;
-        RECT -42.180 3213.370 -39.180 3213.380 ;
-        RECT 2958.800 3213.370 2961.800 3213.380 ;
-        RECT -42.180 3036.380 -39.180 3036.390 ;
-        RECT 2958.800 3036.380 2961.800 3036.390 ;
-        RECT -42.180 3033.380 2.400 3036.380 ;
-        RECT 2917.600 3033.380 2961.800 3036.380 ;
-        RECT -42.180 3033.370 -39.180 3033.380 ;
-        RECT 2958.800 3033.370 2961.800 3033.380 ;
-        RECT -42.180 2856.380 -39.180 2856.390 ;
-        RECT 2958.800 2856.380 2961.800 2856.390 ;
-        RECT -42.180 2853.380 2.400 2856.380 ;
-        RECT 2917.600 2853.380 2961.800 2856.380 ;
-        RECT -42.180 2853.370 -39.180 2853.380 ;
-        RECT 2958.800 2853.370 2961.800 2853.380 ;
-        RECT -42.180 2676.380 -39.180 2676.390 ;
-        RECT 2958.800 2676.380 2961.800 2676.390 ;
-        RECT -42.180 2673.380 2.400 2676.380 ;
-        RECT 2917.600 2673.380 2961.800 2676.380 ;
-        RECT -42.180 2673.370 -39.180 2673.380 ;
-        RECT 2958.800 2673.370 2961.800 2673.380 ;
-        RECT -42.180 2496.380 -39.180 2496.390 ;
-        RECT 2958.800 2496.380 2961.800 2496.390 ;
-        RECT -42.180 2493.380 2.400 2496.380 ;
-        RECT 2917.600 2493.380 2961.800 2496.380 ;
-        RECT -42.180 2493.370 -39.180 2493.380 ;
-        RECT 2958.800 2493.370 2961.800 2493.380 ;
-        RECT -42.180 2316.380 -39.180 2316.390 ;
-        RECT 2958.800 2316.380 2961.800 2316.390 ;
-        RECT -42.180 2313.380 2.400 2316.380 ;
-        RECT 2917.600 2313.380 2961.800 2316.380 ;
-        RECT -42.180 2313.370 -39.180 2313.380 ;
-        RECT 2958.800 2313.370 2961.800 2313.380 ;
-        RECT -42.180 2136.380 -39.180 2136.390 ;
-        RECT 2958.800 2136.380 2961.800 2136.390 ;
-        RECT -42.180 2133.380 2.400 2136.380 ;
-        RECT 2917.600 2133.380 2961.800 2136.380 ;
-        RECT -42.180 2133.370 -39.180 2133.380 ;
-        RECT 2958.800 2133.370 2961.800 2133.380 ;
-        RECT -42.180 1956.380 -39.180 1956.390 ;
-        RECT 2958.800 1956.380 2961.800 1956.390 ;
-        RECT -42.180 1953.380 2.400 1956.380 ;
-        RECT 2917.600 1953.380 2961.800 1956.380 ;
-        RECT -42.180 1953.370 -39.180 1953.380 ;
-        RECT 2958.800 1953.370 2961.800 1953.380 ;
-        RECT -42.180 1776.380 -39.180 1776.390 ;
-        RECT 2958.800 1776.380 2961.800 1776.390 ;
-        RECT -42.180 1773.380 2.400 1776.380 ;
-        RECT 2917.600 1773.380 2961.800 1776.380 ;
-        RECT -42.180 1773.370 -39.180 1773.380 ;
-        RECT 2958.800 1773.370 2961.800 1773.380 ;
-        RECT -42.180 1596.380 -39.180 1596.390 ;
-        RECT 2958.800 1596.380 2961.800 1596.390 ;
-        RECT -42.180 1593.380 2.400 1596.380 ;
-        RECT 2917.600 1593.380 2961.800 1596.380 ;
-        RECT -42.180 1593.370 -39.180 1593.380 ;
-        RECT 2958.800 1593.370 2961.800 1593.380 ;
-        RECT -42.180 1416.380 -39.180 1416.390 ;
-        RECT 2958.800 1416.380 2961.800 1416.390 ;
-        RECT -42.180 1413.380 2.400 1416.380 ;
-        RECT 2917.600 1413.380 2961.800 1416.380 ;
-        RECT -42.180 1413.370 -39.180 1413.380 ;
-        RECT 2958.800 1413.370 2961.800 1413.380 ;
-        RECT -42.180 1236.380 -39.180 1236.390 ;
-        RECT 2958.800 1236.380 2961.800 1236.390 ;
-        RECT -42.180 1233.380 2.400 1236.380 ;
-        RECT 2917.600 1233.380 2961.800 1236.380 ;
-        RECT -42.180 1233.370 -39.180 1233.380 ;
-        RECT 2958.800 1233.370 2961.800 1233.380 ;
-        RECT -42.180 1056.380 -39.180 1056.390 ;
-        RECT 2958.800 1056.380 2961.800 1056.390 ;
-        RECT -42.180 1053.380 2.400 1056.380 ;
-        RECT 2917.600 1053.380 2961.800 1056.380 ;
-        RECT -42.180 1053.370 -39.180 1053.380 ;
-        RECT 2958.800 1053.370 2961.800 1053.380 ;
-        RECT -42.180 876.380 -39.180 876.390 ;
-        RECT 2958.800 876.380 2961.800 876.390 ;
-        RECT -42.180 873.380 2.400 876.380 ;
-        RECT 2917.600 873.380 2961.800 876.380 ;
-        RECT -42.180 873.370 -39.180 873.380 ;
-        RECT 2958.800 873.370 2961.800 873.380 ;
-        RECT -42.180 696.380 -39.180 696.390 ;
-        RECT 2958.800 696.380 2961.800 696.390 ;
-        RECT -42.180 693.380 2.400 696.380 ;
-        RECT 2917.600 693.380 2961.800 696.380 ;
-        RECT -42.180 693.370 -39.180 693.380 ;
-        RECT 2958.800 693.370 2961.800 693.380 ;
-        RECT -42.180 516.380 -39.180 516.390 ;
-        RECT 2958.800 516.380 2961.800 516.390 ;
-        RECT -42.180 513.380 2.400 516.380 ;
-        RECT 2917.600 513.380 2961.800 516.380 ;
-        RECT -42.180 513.370 -39.180 513.380 ;
-        RECT 2958.800 513.370 2961.800 513.380 ;
-        RECT -42.180 336.380 -39.180 336.390 ;
-        RECT 2958.800 336.380 2961.800 336.390 ;
-        RECT -42.180 333.380 2.400 336.380 ;
-        RECT 2917.600 333.380 2961.800 336.380 ;
-        RECT -42.180 333.370 -39.180 333.380 ;
-        RECT 2958.800 333.370 2961.800 333.380 ;
-        RECT -42.180 156.380 -39.180 156.390 ;
-        RECT 2958.800 156.380 2961.800 156.390 ;
-        RECT -42.180 153.380 2.400 156.380 ;
-        RECT 2917.600 153.380 2961.800 156.380 ;
-        RECT -42.180 153.370 -39.180 153.380 ;
-        RECT 2958.800 153.370 2961.800 153.380 ;
-        RECT -42.180 -33.820 -39.180 -33.810 ;
-        RECT 148.020 -33.820 151.020 -33.810 ;
-        RECT 328.020 -33.820 331.020 -33.810 ;
-        RECT 508.020 -33.820 511.020 -33.810 ;
-        RECT 688.020 -33.820 691.020 -33.810 ;
-        RECT 868.020 -33.820 871.020 -33.810 ;
-        RECT 1048.020 -33.820 1051.020 -33.810 ;
-        RECT 1228.020 -33.820 1231.020 -33.810 ;
-        RECT 1408.020 -33.820 1411.020 -33.810 ;
-        RECT 1588.020 -33.820 1591.020 -33.810 ;
-        RECT 1768.020 -33.820 1771.020 -33.810 ;
-        RECT 1948.020 -33.820 1951.020 -33.810 ;
-        RECT 2128.020 -33.820 2131.020 -33.810 ;
-        RECT 2308.020 -33.820 2311.020 -33.810 ;
-        RECT 2488.020 -33.820 2491.020 -33.810 ;
-        RECT 2668.020 -33.820 2671.020 -33.810 ;
-        RECT 2848.020 -33.820 2851.020 -33.810 ;
-        RECT 2958.800 -33.820 2961.800 -33.810 ;
-        RECT -42.180 -36.820 2961.800 -33.820 ;
-        RECT -42.180 -36.830 -39.180 -36.820 ;
-        RECT 148.020 -36.830 151.020 -36.820 ;
-        RECT 328.020 -36.830 331.020 -36.820 ;
-        RECT 508.020 -36.830 511.020 -36.820 ;
-        RECT 688.020 -36.830 691.020 -36.820 ;
-        RECT 868.020 -36.830 871.020 -36.820 ;
-        RECT 1048.020 -36.830 1051.020 -36.820 ;
-        RECT 1228.020 -36.830 1231.020 -36.820 ;
-        RECT 1408.020 -36.830 1411.020 -36.820 ;
-        RECT 1588.020 -36.830 1591.020 -36.820 ;
-        RECT 1768.020 -36.830 1771.020 -36.820 ;
-        RECT 1948.020 -36.830 1951.020 -36.820 ;
-        RECT 2128.020 -36.830 2131.020 -36.820 ;
-        RECT 2308.020 -36.830 2311.020 -36.820 ;
-        RECT 2488.020 -36.830 2491.020 -36.820 ;
-        RECT 2668.020 -36.830 2671.020 -36.820 ;
-        RECT 2848.020 -36.830 2851.020 -36.820 ;
-        RECT 2958.800 -36.830 2961.800 -36.820 ;
-    END
-  END vssa2
-END user_project_wrapper
-END LIBRARY
-
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/user_project_wrapper.lef.mag b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/user_project_wrapper.lef.mag
deleted file mode 100644
index 87b3e96..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/user_project_wrapper.lef.mag
+++ /dev/null
@@ -1,7361 +0,0 @@
-magic
-tech sky130A
-timestamp 1606415645
-<< metal2 >>
-rect 4043 351760 4099 352480
-rect 12139 351760 12195 352480
-rect 20235 351760 20291 352480
-rect 28377 351760 28433 352480
-rect 36473 351760 36529 352480
-rect 44569 351760 44625 352480
-rect 52711 351760 52767 352480
-rect 60807 351760 60863 352480
-rect 68903 351760 68959 352480
-rect 77045 351760 77101 352480
-rect 85141 351760 85197 352480
-rect 93237 351760 93293 352480
-rect 101379 351760 101435 352480
-rect 109475 351760 109531 352480
-rect 117571 351760 117627 352480
-rect 125713 351760 125769 352480
-rect 133809 351760 133865 352480
-rect 141905 351760 141961 352480
-rect 150047 351760 150103 352480
-rect 158143 351760 158199 352480
-rect 166239 351760 166295 352480
-rect 174381 351760 174437 352480
-rect 182477 351760 182533 352480
-rect 190573 351760 190629 352480
-rect 198715 351760 198771 352480
-rect 206811 351760 206867 352480
-rect 214907 351760 214963 352480
-rect 223049 351760 223105 352480
-rect 231145 351760 231201 352480
-rect 239241 351760 239297 352480
-rect 247383 351760 247439 352480
-rect 255479 351760 255535 352480
-rect 263575 351760 263631 352480
-rect 271717 351760 271773 352480
-rect 279813 351760 279869 352480
-rect 287909 351760 287965 352480
-rect 271 -480 327 240
-rect 823 -480 879 240
-rect 1421 -480 1477 240
-rect 2019 -480 2075 240
-rect 2617 -480 2673 240
-rect 3215 -480 3271 240
-rect 3813 -480 3869 240
-rect 4411 -480 4467 240
-rect 5009 -480 5065 240
-rect 5607 -480 5663 240
-rect 6205 -480 6261 240
-rect 6803 -480 6859 240
-rect 7401 -480 7457 240
-rect 7999 -480 8055 240
-rect 8597 -480 8653 240
-rect 9149 -480 9205 240
-rect 9747 -480 9803 240
-rect 10345 -480 10401 240
-rect 10943 -480 10999 240
-rect 11541 -480 11597 240
-rect 12139 -480 12195 240
-rect 12737 -480 12793 240
-rect 13335 -480 13391 240
-rect 13933 -480 13989 240
-rect 14531 -480 14587 240
-rect 15129 -480 15185 240
-rect 15727 -480 15783 240
-rect 16325 -480 16381 240
-rect 16923 -480 16979 240
-rect 17475 -480 17531 240
-rect 18073 -480 18129 240
-rect 18671 -480 18727 240
-rect 19269 -480 19325 240
-rect 19867 -480 19923 240
-rect 20465 -480 20521 240
-rect 21063 -480 21119 240
-rect 21661 -480 21717 240
-rect 22259 -480 22315 240
-rect 22857 -480 22913 240
-rect 23455 -480 23511 240
-rect 24053 -480 24109 240
-rect 24651 -480 24707 240
-rect 25249 -480 25305 240
-rect 25801 -480 25857 240
-rect 26399 -480 26455 240
-rect 26997 -480 27053 240
-rect 27595 -480 27651 240
-rect 28193 -480 28249 240
-rect 28791 -480 28847 240
-rect 29389 -480 29445 240
-rect 29987 -480 30043 240
-rect 30585 -480 30641 240
-rect 31183 -480 31239 240
-rect 31781 -480 31837 240
-rect 32379 -480 32435 240
-rect 32977 -480 33033 240
-rect 33575 -480 33631 240
-rect 34127 -480 34183 240
-rect 34725 -480 34781 240
-rect 35323 -480 35379 240
-rect 35921 -480 35977 240
-rect 36519 -480 36575 240
-rect 37117 -480 37173 240
-rect 37715 -480 37771 240
-rect 38313 -480 38369 240
-rect 38911 -480 38967 240
-rect 39509 -480 39565 240
-rect 40107 -480 40163 240
-rect 40705 -480 40761 240
-rect 41303 -480 41359 240
-rect 41901 -480 41957 240
-rect 42453 -480 42509 240
-rect 43051 -480 43107 240
-rect 43649 -480 43705 240
-rect 44247 -480 44303 240
-rect 44845 -480 44901 240
-rect 45443 -480 45499 240
-rect 46041 -480 46097 240
-rect 46639 -480 46695 240
-rect 47237 -480 47293 240
-rect 47835 -480 47891 240
-rect 48433 -480 48489 240
-rect 49031 -480 49087 240
-rect 49629 -480 49685 240
-rect 50227 -480 50283 240
-rect 50779 -480 50835 240
-rect 51377 -480 51433 240
-rect 51975 -480 52031 240
-rect 52573 -480 52629 240
-rect 53171 -480 53227 240
-rect 53769 -480 53825 240
-rect 54367 -480 54423 240
-rect 54965 -480 55021 240
-rect 55563 -480 55619 240
-rect 56161 -480 56217 240
-rect 56759 -480 56815 240
-rect 57357 -480 57413 240
-rect 57955 -480 58011 240
-rect 58553 -480 58609 240
-rect 59105 -480 59161 240
-rect 59703 -480 59759 240
-rect 60301 -480 60357 240
-rect 60899 -480 60955 240
-rect 61497 -480 61553 240
-rect 62095 -480 62151 240
-rect 62693 -480 62749 240
-rect 63291 -480 63347 240
-rect 63889 -480 63945 240
-rect 64487 -480 64543 240
-rect 65085 -480 65141 240
-rect 65683 -480 65739 240
-rect 66281 -480 66337 240
-rect 66879 -480 66935 240
-rect 67431 -480 67487 240
-rect 68029 -480 68085 240
-rect 68627 -480 68683 240
-rect 69225 -480 69281 240
-rect 69823 -480 69879 240
-rect 70421 -480 70477 240
-rect 71019 -480 71075 240
-rect 71617 -480 71673 240
-rect 72215 -480 72271 240
-rect 72813 -480 72869 240
-rect 73411 -480 73467 240
-rect 74009 -480 74065 240
-rect 74607 -480 74663 240
-rect 75205 -480 75261 240
-rect 75757 -480 75813 240
-rect 76355 -480 76411 240
-rect 76953 -480 77009 240
-rect 77551 -480 77607 240
-rect 78149 -480 78205 240
-rect 78747 -480 78803 240
-rect 79345 -480 79401 240
-rect 79943 -480 79999 240
-rect 80541 -480 80597 240
-rect 81139 -480 81195 240
-rect 81737 -480 81793 240
-rect 82335 -480 82391 240
-rect 82933 -480 82989 240
-rect 83531 -480 83587 240
-rect 84083 -480 84139 240
-rect 84681 -480 84737 240
-rect 85279 -480 85335 240
-rect 85877 -480 85933 240
-rect 86475 -480 86531 240
-rect 87073 -480 87129 240
-rect 87671 -480 87727 240
-rect 88269 -480 88325 240
-rect 88867 -480 88923 240
-rect 89465 -480 89521 240
-rect 90063 -480 90119 240
-rect 90661 -480 90717 240
-rect 91259 -480 91315 240
-rect 91857 -480 91913 240
-rect 92409 -480 92465 240
-rect 93007 -480 93063 240
-rect 93605 -480 93661 240
-rect 94203 -480 94259 240
-rect 94801 -480 94857 240
-rect 95399 -480 95455 240
-rect 95997 -480 96053 240
-rect 96595 -480 96651 240
-rect 97193 -480 97249 240
-rect 97791 -480 97847 240
-rect 98389 -480 98445 240
-rect 98987 -480 99043 240
-rect 99585 -480 99641 240
-rect 100183 -480 100239 240
-rect 100735 -480 100791 240
-rect 101333 -480 101389 240
-rect 101931 -480 101987 240
-rect 102529 -480 102585 240
-rect 103127 -480 103183 240
-rect 103725 -480 103781 240
-rect 104323 -480 104379 240
-rect 104921 -480 104977 240
-rect 105519 -480 105575 240
-rect 106117 -480 106173 240
-rect 106715 -480 106771 240
-rect 107313 -480 107369 240
-rect 107911 -480 107967 240
-rect 108509 -480 108565 240
-rect 109061 -480 109117 240
-rect 109659 -480 109715 240
-rect 110257 -480 110313 240
-rect 110855 -480 110911 240
-rect 111453 -480 111509 240
-rect 112051 -480 112107 240
-rect 112649 -480 112705 240
-rect 113247 -480 113303 240
-rect 113845 -480 113901 240
-rect 114443 -480 114499 240
-rect 115041 -480 115097 240
-rect 115639 -480 115695 240
-rect 116237 -480 116293 240
-rect 116835 -480 116891 240
-rect 117387 -480 117443 240
-rect 117985 -480 118041 240
-rect 118583 -480 118639 240
-rect 119181 -480 119237 240
-rect 119779 -480 119835 240
-rect 120377 -480 120433 240
-rect 120975 -480 121031 240
-rect 121573 -480 121629 240
-rect 122171 -480 122227 240
-rect 122769 -480 122825 240
-rect 123367 -480 123423 240
-rect 123965 -480 124021 240
-rect 124563 -480 124619 240
-rect 125161 -480 125217 240
-rect 125713 -480 125769 240
-rect 126311 -480 126367 240
-rect 126909 -480 126965 240
-rect 127507 -480 127563 240
-rect 128105 -480 128161 240
-rect 128703 -480 128759 240
-rect 129301 -480 129357 240
-rect 129899 -480 129955 240
-rect 130497 -480 130553 240
-rect 131095 -480 131151 240
-rect 131693 -480 131749 240
-rect 132291 -480 132347 240
-rect 132889 -480 132945 240
-rect 133487 -480 133543 240
-rect 134039 -480 134095 240
-rect 134637 -480 134693 240
-rect 135235 -480 135291 240
-rect 135833 -480 135889 240
-rect 136431 -480 136487 240
-rect 137029 -480 137085 240
-rect 137627 -480 137683 240
-rect 138225 -480 138281 240
-rect 138823 -480 138879 240
-rect 139421 -480 139477 240
-rect 140019 -480 140075 240
-rect 140617 -480 140673 240
-rect 141215 -480 141271 240
-rect 141813 -480 141869 240
-rect 142365 -480 142421 240
-rect 142963 -480 143019 240
-rect 143561 -480 143617 240
-rect 144159 -480 144215 240
-rect 144757 -480 144813 240
-rect 145355 -480 145411 240
-rect 145953 -480 146009 240
-rect 146551 -480 146607 240
-rect 147149 -480 147205 240
-rect 147747 -480 147803 240
-rect 148345 -480 148401 240
-rect 148943 -480 148999 240
-rect 149541 -480 149597 240
-rect 150139 -480 150195 240
-rect 150691 -480 150747 240
-rect 151289 -480 151345 240
-rect 151887 -480 151943 240
-rect 152485 -480 152541 240
-rect 153083 -480 153139 240
-rect 153681 -480 153737 240
-rect 154279 -480 154335 240
-rect 154877 -480 154933 240
-rect 155475 -480 155531 240
-rect 156073 -480 156129 240
-rect 156671 -480 156727 240
-rect 157269 -480 157325 240
-rect 157867 -480 157923 240
-rect 158465 -480 158521 240
-rect 159017 -480 159073 240
-rect 159615 -480 159671 240
-rect 160213 -480 160269 240
-rect 160811 -480 160867 240
-rect 161409 -480 161465 240
-rect 162007 -480 162063 240
-rect 162605 -480 162661 240
-rect 163203 -480 163259 240
-rect 163801 -480 163857 240
-rect 164399 -480 164455 240
-rect 164997 -480 165053 240
-rect 165595 -480 165651 240
-rect 166193 -480 166249 240
-rect 166791 -480 166847 240
-rect 167343 -480 167399 240
-rect 167941 -480 167997 240
-rect 168539 -480 168595 240
-rect 169137 -480 169193 240
-rect 169735 -480 169791 240
-rect 170333 -480 170389 240
-rect 170931 -480 170987 240
-rect 171529 -480 171585 240
-rect 172127 -480 172183 240
-rect 172725 -480 172781 240
-rect 173323 -480 173379 240
-rect 173921 -480 173977 240
-rect 174519 -480 174575 240
-rect 175117 -480 175173 240
-rect 175669 -480 175725 240
-rect 176267 -480 176323 240
-rect 176865 -480 176921 240
-rect 177463 -480 177519 240
-rect 178061 -480 178117 240
-rect 178659 -480 178715 240
-rect 179257 -480 179313 240
-rect 179855 -480 179911 240
-rect 180453 -480 180509 240
-rect 181051 -480 181107 240
-rect 181649 -480 181705 240
-rect 182247 -480 182303 240
-rect 182845 -480 182901 240
-rect 183443 -480 183499 240
-rect 183995 -480 184051 240
-rect 184593 -480 184649 240
-rect 185191 -480 185247 240
-rect 185789 -480 185845 240
-rect 186387 -480 186443 240
-rect 186985 -480 187041 240
-rect 187583 -480 187639 240
-rect 188181 -480 188237 240
-rect 188779 -480 188835 240
-rect 189377 -480 189433 240
-rect 189975 -480 190031 240
-rect 190573 -480 190629 240
-rect 191171 -480 191227 240
-rect 191769 -480 191825 240
-rect 192321 -480 192377 240
-rect 192919 -480 192975 240
-rect 193517 -480 193573 240
-rect 194115 -480 194171 240
-rect 194713 -480 194769 240
-rect 195311 -480 195367 240
-rect 195909 -480 195965 240
-rect 196507 -480 196563 240
-rect 197105 -480 197161 240
-rect 197703 -480 197759 240
-rect 198301 -480 198357 240
-rect 198899 -480 198955 240
-rect 199497 -480 199553 240
-rect 200095 -480 200151 240
-rect 200647 -480 200703 240
-rect 201245 -480 201301 240
-rect 201843 -480 201899 240
-rect 202441 -480 202497 240
-rect 203039 -480 203095 240
-rect 203637 -480 203693 240
-rect 204235 -480 204291 240
-rect 204833 -480 204889 240
-rect 205431 -480 205487 240
-rect 206029 -480 206085 240
-rect 206627 -480 206683 240
-rect 207225 -480 207281 240
-rect 207823 -480 207879 240
-rect 208421 -480 208477 240
-rect 208973 -480 209029 240
-rect 209571 -480 209627 240
-rect 210169 -480 210225 240
-rect 210767 -480 210823 240
-rect 211365 -480 211421 240
-rect 211963 -480 212019 240
-rect 212561 -480 212617 240
-rect 213159 -480 213215 240
-rect 213757 -480 213813 240
-rect 214355 -480 214411 240
-rect 214953 -480 215009 240
-rect 215551 -480 215607 240
-rect 216149 -480 216205 240
-rect 216747 -480 216803 240
-rect 217299 -480 217355 240
-rect 217897 -480 217953 240
-rect 218495 -480 218551 240
-rect 219093 -480 219149 240
-rect 219691 -480 219747 240
-rect 220289 -480 220345 240
-rect 220887 -480 220943 240
-rect 221485 -480 221541 240
-rect 222083 -480 222139 240
-rect 222681 -480 222737 240
-rect 223279 -480 223335 240
-rect 223877 -480 223933 240
-rect 224475 -480 224531 240
-rect 225073 -480 225129 240
-rect 225625 -480 225681 240
-rect 226223 -480 226279 240
-rect 226821 -480 226877 240
-rect 227419 -480 227475 240
-rect 228017 -480 228073 240
-rect 228615 -480 228671 240
-rect 229213 -480 229269 240
-rect 229811 -480 229867 240
-rect 230409 -480 230465 240
-rect 231007 -480 231063 240
-rect 231605 -480 231661 240
-rect 232203 -480 232259 240
-rect 232801 -480 232857 240
-rect 233399 -480 233455 240
-rect 233951 -480 234007 240
-rect 234549 -480 234605 240
-rect 235147 -480 235203 240
-rect 235745 -480 235801 240
-rect 236343 -480 236399 240
-rect 236941 -480 236997 240
-rect 237539 -480 237595 240
-rect 238137 -480 238193 240
-rect 238735 -480 238791 240
-rect 239333 -480 239389 240
-rect 239931 -480 239987 240
-rect 240529 -480 240585 240
-rect 241127 -480 241183 240
-rect 241725 -480 241781 240
-rect 242277 -480 242333 240
-rect 242875 -480 242931 240
-rect 243473 -480 243529 240
-rect 244071 -480 244127 240
-rect 244669 -480 244725 240
-rect 245267 -480 245323 240
-rect 245865 -480 245921 240
-rect 246463 -480 246519 240
-rect 247061 -480 247117 240
-rect 247659 -480 247715 240
-rect 248257 -480 248313 240
-rect 248855 -480 248911 240
-rect 249453 -480 249509 240
-rect 250051 -480 250107 240
-rect 250603 -480 250659 240
-rect 251201 -480 251257 240
-rect 251799 -480 251855 240
-rect 252397 -480 252453 240
-rect 252995 -480 253051 240
-rect 253593 -480 253649 240
-rect 254191 -480 254247 240
-rect 254789 -480 254845 240
-rect 255387 -480 255443 240
-rect 255985 -480 256041 240
-rect 256583 -480 256639 240
-rect 257181 -480 257237 240
-rect 257779 -480 257835 240
-rect 258377 -480 258433 240
-rect 258929 -480 258985 240
-rect 259527 -480 259583 240
-rect 260125 -480 260181 240
-rect 260723 -480 260779 240
-rect 261321 -480 261377 240
-rect 261919 -480 261975 240
-rect 262517 -480 262573 240
-rect 263115 -480 263171 240
-rect 263713 -480 263769 240
-rect 264311 -480 264367 240
-rect 264909 -480 264965 240
-rect 265507 -480 265563 240
-rect 266105 -480 266161 240
-rect 266703 -480 266759 240
-rect 267255 -480 267311 240
-rect 267853 -480 267909 240
-rect 268451 -480 268507 240
-rect 269049 -480 269105 240
-rect 269647 -480 269703 240
-rect 270245 -480 270301 240
-rect 270843 -480 270899 240
-rect 271441 -480 271497 240
-rect 272039 -480 272095 240
-rect 272637 -480 272693 240
-rect 273235 -480 273291 240
-rect 273833 -480 273889 240
-rect 274431 -480 274487 240
-rect 275029 -480 275085 240
-rect 275581 -480 275637 240
-rect 276179 -480 276235 240
-rect 276777 -480 276833 240
-rect 277375 -480 277431 240
-rect 277973 -480 278029 240
-rect 278571 -480 278627 240
-rect 279169 -480 279225 240
-rect 279767 -480 279823 240
-rect 280365 -480 280421 240
-rect 280963 -480 281019 240
-rect 281561 -480 281617 240
-rect 282159 -480 282215 240
-rect 282757 -480 282813 240
-rect 283355 -480 283411 240
-rect 283907 -480 283963 240
-rect 284505 -480 284561 240
-rect 285103 -480 285159 240
-rect 285701 -480 285757 240
-rect 286299 -480 286355 240
-rect 286897 -480 286953 240
-rect 287495 -480 287551 240
-rect 288093 -480 288149 240
-rect 288691 -480 288747 240
-rect 289289 -480 289345 240
-rect 289887 -480 289943 240
-rect 290485 -480 290541 240
-rect 291083 -480 291139 240
-rect 291681 -480 291737 240
-<< metal3 >>
-rect 291760 348950 292480 349070
-rect -480 348270 240 348390
-rect 291760 343102 292480 343222
-rect -480 341062 240 341182
-rect 291760 337254 292480 337374
-rect -480 333922 240 334042
-rect 291760 331338 292480 331458
-rect -480 326714 240 326834
-rect 291760 325490 292480 325610
-rect 291760 319642 292480 319762
-rect -480 319506 240 319626
-rect 291760 313794 292480 313914
-rect -480 312366 240 312486
-rect 291760 307878 292480 307998
-rect -480 305158 240 305278
-rect 291760 302030 292480 302150
-rect -480 297950 240 298070
-rect 291760 296182 292480 296302
-rect -480 290810 240 290930
-rect 291760 290334 292480 290454
-rect 291760 284418 292480 284538
-rect -480 283602 240 283722
-rect 291760 278570 292480 278690
-rect -480 276462 240 276582
-rect 291760 272722 292480 272842
-rect -480 269254 240 269374
-rect 291760 266874 292480 266994
-rect -480 262046 240 262166
-rect 291760 260958 292480 261078
-rect 291760 255110 292480 255230
-rect -480 254906 240 255026
-rect 291760 249262 292480 249382
-rect -480 247698 240 247818
-rect 291760 243346 292480 243466
-rect -480 240490 240 240610
-rect 291760 237498 292480 237618
-rect -480 233350 240 233470
-rect 291760 231650 292480 231770
-rect -480 226142 240 226262
-rect 291760 225802 292480 225922
-rect 291760 219886 292480 220006
-rect -480 218934 240 219054
-rect 291760 214038 292480 214158
-rect -480 211794 240 211914
-rect 291760 208190 292480 208310
-rect -480 204586 240 204706
-rect 291760 202342 292480 202462
-rect -480 197446 240 197566
-rect 291760 196426 292480 196546
-rect 291760 190578 292480 190698
-rect -480 190238 240 190358
-rect 291760 184730 292480 184850
-rect -480 183030 240 183150
-rect 291760 178882 292480 179002
-rect -480 175890 240 176010
-rect 291760 172966 292480 173086
-rect -480 168682 240 168802
-rect 291760 167118 292480 167238
-rect -480 161474 240 161594
-rect 291760 161270 292480 161390
-rect 291760 155354 292480 155474
-rect -480 154334 240 154454
-rect 291760 149506 292480 149626
-rect -480 147126 240 147246
-rect 291760 143658 292480 143778
-rect -480 139986 240 140106
-rect 291760 137810 292480 137930
-rect -480 132778 240 132898
-rect 291760 131894 292480 132014
-rect 291760 126046 292480 126166
-rect -480 125570 240 125690
-rect 291760 120198 292480 120318
-rect -480 118430 240 118550
-rect 291760 114350 292480 114470
-rect -480 111222 240 111342
-rect 291760 108434 292480 108554
-rect -480 104014 240 104134
-rect 291760 102586 292480 102706
-rect -480 96874 240 96994
-rect 291760 96738 292480 96858
-rect 291760 90890 292480 91010
-rect -480 89666 240 89786
-rect 291760 84974 292480 85094
-rect -480 82458 240 82578
-rect 291760 79126 292480 79246
-rect -480 75318 240 75438
-rect 291760 73278 292480 73398
-rect -480 68110 240 68230
-rect 291760 67362 292480 67482
-rect 291760 61514 292480 61634
-rect -480 60970 240 61090
-rect 291760 55666 292480 55786
-rect -480 53762 240 53882
-rect 291760 49818 292480 49938
-rect -480 46554 240 46674
-rect 291760 43902 292480 44022
-rect -480 39414 240 39534
-rect 291760 38054 292480 38174
-rect -480 32206 240 32326
-rect 291760 32206 292480 32326
-rect 291760 26358 292480 26478
-rect -480 24998 240 25118
-rect 291760 20442 292480 20562
-rect -480 17858 240 17978
-rect 291760 14594 292480 14714
-rect -480 10650 240 10770
-rect 291760 8746 292480 8866
-rect -480 3510 240 3630
-rect 291760 2898 292480 3018
-<< metal4 >>
-rect -4218 -3682 -3918 355650
-rect -3758 -3222 -3458 355190
-rect -3298 -2762 -2998 354730
-rect -2838 -2302 -2538 354270
-rect -2378 -1842 -2078 353810
-rect -1918 -1382 -1618 353350
-rect -1458 -922 -1158 352890
-rect -998 -462 -698 352430
-rect 402 351760 702 352890
-rect 2202 351760 2502 353810
-rect 4002 351760 4302 354730
-rect 5802 351760 6102 355650
-rect 9402 351760 9702 352890
-rect 11202 351760 11502 353810
-rect 13002 351760 13302 354730
-rect 14802 351760 15102 355650
-rect 18402 351760 18702 352890
-rect 20202 351760 20502 353810
-rect 22002 351760 22302 354730
-rect 23802 351760 24102 355650
-rect 27402 351760 27702 352890
-rect 29202 351760 29502 353810
-rect 31002 351760 31302 354730
-rect 32802 351760 33102 355650
-rect 36402 351760 36702 352890
-rect 38202 351760 38502 353810
-rect 40002 351760 40302 354730
-rect 41802 351760 42102 355650
-rect 45402 351760 45702 352890
-rect 47202 351760 47502 353810
-rect 49002 351760 49302 354730
-rect 50802 351760 51102 355650
-rect 54402 351760 54702 352890
-rect 56202 351760 56502 353810
-rect 58002 351760 58302 354730
-rect 59802 351760 60102 355650
-rect 63402 351760 63702 352890
-rect 65202 351760 65502 353810
-rect 67002 351760 67302 354730
-rect 68802 351760 69102 355650
-rect 72402 351760 72702 352890
-rect 74202 351760 74502 353810
-rect 76002 351760 76302 354730
-rect 77802 351760 78102 355650
-rect 81402 351760 81702 352890
-rect 83202 351760 83502 353810
-rect 85002 351760 85302 354730
-rect 86802 351760 87102 355650
-rect 90402 351760 90702 352890
-rect 92202 351760 92502 353810
-rect 94002 351760 94302 354730
-rect 95802 351760 96102 355650
-rect 99402 351760 99702 352890
-rect 101202 351760 101502 353810
-rect 103002 351760 103302 354730
-rect 104802 351760 105102 355650
-rect 108402 351760 108702 352890
-rect 110202 351760 110502 353810
-rect 112002 351760 112302 354730
-rect 113802 351760 114102 355650
-rect 117402 351760 117702 352890
-rect 119202 351760 119502 353810
-rect 121002 351760 121302 354730
-rect 122802 351760 123102 355650
-rect 126402 351760 126702 352890
-rect 128202 351760 128502 353810
-rect 130002 351760 130302 354730
-rect 131802 351760 132102 355650
-rect 135402 351760 135702 352890
-rect 137202 351760 137502 353810
-rect 139002 351760 139302 354730
-rect 140802 351760 141102 355650
-rect 144402 351760 144702 352890
-rect 146202 351760 146502 353810
-rect 148002 351760 148302 354730
-rect 149802 351760 150102 355650
-rect 153402 351760 153702 352890
-rect 155202 351760 155502 353810
-rect 157002 351760 157302 354730
-rect 158802 351760 159102 355650
-rect 162402 351760 162702 352890
-rect 164202 351760 164502 353810
-rect 166002 351760 166302 354730
-rect 167802 351760 168102 355650
-rect 171402 351760 171702 352890
-rect 173202 351760 173502 353810
-rect 175002 351760 175302 354730
-rect 176802 351760 177102 355650
-rect 180402 351760 180702 352890
-rect 182202 351760 182502 353810
-rect 184002 351760 184302 354730
-rect 185802 351760 186102 355650
-rect 189402 351760 189702 352890
-rect 191202 351760 191502 353810
-rect 193002 351760 193302 354730
-rect 194802 351760 195102 355650
-rect 198402 351760 198702 352890
-rect 200202 351760 200502 353810
-rect 202002 351760 202302 354730
-rect 203802 351760 204102 355650
-rect 207402 351760 207702 352890
-rect 209202 351760 209502 353810
-rect 211002 351760 211302 354730
-rect 212802 351760 213102 355650
-rect 216402 351760 216702 352890
-rect 218202 351760 218502 353810
-rect 220002 351760 220302 354730
-rect 221802 351760 222102 355650
-rect 225402 351760 225702 352890
-rect 227202 351760 227502 353810
-rect 229002 351760 229302 354730
-rect 230802 351760 231102 355650
-rect 234402 351760 234702 352890
-rect 236202 351760 236502 353810
-rect 238002 351760 238302 354730
-rect 239802 351760 240102 355650
-rect 243402 351760 243702 352890
-rect 245202 351760 245502 353810
-rect 247002 351760 247302 354730
-rect 248802 351760 249102 355650
-rect 252402 351760 252702 352890
-rect 254202 351760 254502 353810
-rect 256002 351760 256302 354730
-rect 257802 351760 258102 355650
-rect 261402 351760 261702 352890
-rect 263202 351760 263502 353810
-rect 265002 351760 265302 354730
-rect 266802 351760 267102 355650
-rect 270402 351760 270702 352890
-rect 272202 351760 272502 353810
-rect 274002 351760 274302 354730
-rect 275802 351760 276102 355650
-rect 279402 351760 279702 352890
-rect 281202 351760 281502 353810
-rect 283002 351760 283302 354730
-rect 284802 351760 285102 355650
-rect 288402 351760 288702 352890
-rect 290202 351760 290502 353810
-rect 402 -922 702 240
-rect 2202 -1842 2502 240
-rect 4002 -2762 4302 240
-rect 5802 -3682 6102 240
-rect 9402 -922 9702 240
-rect 11202 -1842 11502 240
-rect 13002 -2762 13302 240
-rect 14802 -3682 15102 240
-rect 18402 -922 18702 240
-rect 20202 -1842 20502 240
-rect 22002 -2762 22302 240
-rect 23802 -3682 24102 240
-rect 27402 -922 27702 240
-rect 29202 -1842 29502 240
-rect 31002 -2762 31302 240
-rect 32802 -3682 33102 240
-rect 36402 -922 36702 240
-rect 38202 -1842 38502 240
-rect 40002 -2762 40302 240
-rect 41802 -3682 42102 240
-rect 45402 -922 45702 240
-rect 47202 -1842 47502 240
-rect 49002 -2762 49302 240
-rect 50802 -3682 51102 240
-rect 54402 -922 54702 240
-rect 56202 -1842 56502 240
-rect 58002 -2762 58302 240
-rect 59802 -3682 60102 240
-rect 63402 -922 63702 240
-rect 65202 -1842 65502 240
-rect 67002 -2762 67302 240
-rect 68802 -3682 69102 240
-rect 72402 -922 72702 240
-rect 74202 -1842 74502 240
-rect 76002 -2762 76302 240
-rect 77802 -3682 78102 240
-rect 81402 -922 81702 240
-rect 83202 -1842 83502 240
-rect 85002 -2762 85302 240
-rect 86802 -3682 87102 240
-rect 90402 -922 90702 240
-rect 92202 -1842 92502 240
-rect 94002 -2762 94302 240
-rect 95802 -3682 96102 240
-rect 99402 -922 99702 240
-rect 101202 -1842 101502 240
-rect 103002 -2762 103302 240
-rect 104802 -3682 105102 240
-rect 108402 -922 108702 240
-rect 110202 -1842 110502 240
-rect 112002 -2762 112302 240
-rect 113802 -3682 114102 240
-rect 117402 -922 117702 240
-rect 119202 -1842 119502 240
-rect 121002 -2762 121302 240
-rect 122802 -3682 123102 240
-rect 126402 -922 126702 240
-rect 128202 -1842 128502 240
-rect 130002 -2762 130302 240
-rect 131802 -3682 132102 240
-rect 135402 -922 135702 240
-rect 137202 -1842 137502 240
-rect 139002 -2762 139302 240
-rect 140802 -3682 141102 240
-rect 144402 -922 144702 240
-rect 146202 -1842 146502 240
-rect 148002 -2762 148302 240
-rect 149802 -3682 150102 240
-rect 153402 -922 153702 240
-rect 155202 -1842 155502 240
-rect 157002 -2762 157302 240
-rect 158802 -3682 159102 240
-rect 162402 -922 162702 240
-rect 164202 -1842 164502 240
-rect 166002 -2762 166302 240
-rect 167802 -3682 168102 240
-rect 171402 -922 171702 240
-rect 173202 -1842 173502 240
-rect 175002 -2762 175302 240
-rect 176802 -3682 177102 240
-rect 180402 -922 180702 240
-rect 182202 -1842 182502 240
-rect 184002 -2762 184302 240
-rect 185802 -3682 186102 240
-rect 189402 -922 189702 240
-rect 191202 -1842 191502 240
-rect 193002 -2762 193302 240
-rect 194802 -3682 195102 240
-rect 198402 -922 198702 240
-rect 200202 -1842 200502 240
-rect 202002 -2762 202302 240
-rect 203802 -3682 204102 240
-rect 207402 -922 207702 240
-rect 209202 -1842 209502 240
-rect 211002 -2762 211302 240
-rect 212802 -3682 213102 240
-rect 216402 -922 216702 240
-rect 218202 -1842 218502 240
-rect 220002 -2762 220302 240
-rect 221802 -3682 222102 240
-rect 225402 -922 225702 240
-rect 227202 -1842 227502 240
-rect 229002 -2762 229302 240
-rect 230802 -3682 231102 240
-rect 234402 -922 234702 240
-rect 236202 -1842 236502 240
-rect 238002 -2762 238302 240
-rect 239802 -3682 240102 240
-rect 243402 -922 243702 240
-rect 245202 -1842 245502 240
-rect 247002 -2762 247302 240
-rect 248802 -3682 249102 240
-rect 252402 -922 252702 240
-rect 254202 -1842 254502 240
-rect 256002 -2762 256302 240
-rect 257802 -3682 258102 240
-rect 261402 -922 261702 240
-rect 263202 -1842 263502 240
-rect 265002 -2762 265302 240
-rect 266802 -3682 267102 240
-rect 270402 -922 270702 240
-rect 272202 -1842 272502 240
-rect 274002 -2762 274302 240
-rect 275802 -3682 276102 240
-rect 279402 -922 279702 240
-rect 281202 -1842 281502 240
-rect 283002 -2762 283302 240
-rect 284802 -3682 285102 240
-rect 288402 -922 288702 240
-rect 290202 -1842 290502 240
-rect 292660 -462 292960 352430
-rect 293120 -922 293420 352890
-rect 293580 -1382 293880 353350
-rect 294040 -1842 294340 353810
-rect 294500 -2302 294800 354270
-rect 294960 -2762 295260 354730
-rect 295420 -3222 295720 355190
-rect 295880 -3682 296180 355650
-<< metal5 >>
-rect -4218 355650 -3918 355651
-rect 14802 355650 15102 355651
-rect 32802 355650 33102 355651
-rect 50802 355650 51102 355651
-rect 68802 355650 69102 355651
-rect 86802 355650 87102 355651
-rect 104802 355650 105102 355651
-rect 122802 355650 123102 355651
-rect 140802 355650 141102 355651
-rect 158802 355650 159102 355651
-rect 176802 355650 177102 355651
-rect 194802 355650 195102 355651
-rect 212802 355650 213102 355651
-rect 230802 355650 231102 355651
-rect 248802 355650 249102 355651
-rect 266802 355650 267102 355651
-rect 284802 355650 285102 355651
-rect 295880 355650 296180 355651
-rect -4218 355350 296180 355650
-rect -4218 355349 -3918 355350
-rect 14802 355349 15102 355350
-rect 32802 355349 33102 355350
-rect 50802 355349 51102 355350
-rect 68802 355349 69102 355350
-rect 86802 355349 87102 355350
-rect 104802 355349 105102 355350
-rect 122802 355349 123102 355350
-rect 140802 355349 141102 355350
-rect 158802 355349 159102 355350
-rect 176802 355349 177102 355350
-rect 194802 355349 195102 355350
-rect 212802 355349 213102 355350
-rect 230802 355349 231102 355350
-rect 248802 355349 249102 355350
-rect 266802 355349 267102 355350
-rect 284802 355349 285102 355350
-rect 295880 355349 296180 355350
-rect -3758 355190 -3458 355191
-rect 5802 355190 6102 355191
-rect 23802 355190 24102 355191
-rect 41802 355190 42102 355191
-rect 59802 355190 60102 355191
-rect 77802 355190 78102 355191
-rect 95802 355190 96102 355191
-rect 113802 355190 114102 355191
-rect 131802 355190 132102 355191
-rect 149802 355190 150102 355191
-rect 167802 355190 168102 355191
-rect 185802 355190 186102 355191
-rect 203802 355190 204102 355191
-rect 221802 355190 222102 355191
-rect 239802 355190 240102 355191
-rect 257802 355190 258102 355191
-rect 275802 355190 276102 355191
-rect 295420 355190 295720 355191
-rect -3758 354890 295720 355190
-rect -3758 354889 -3458 354890
-rect 5802 354889 6102 354890
-rect 23802 354889 24102 354890
-rect 41802 354889 42102 354890
-rect 59802 354889 60102 354890
-rect 77802 354889 78102 354890
-rect 95802 354889 96102 354890
-rect 113802 354889 114102 354890
-rect 131802 354889 132102 354890
-rect 149802 354889 150102 354890
-rect 167802 354889 168102 354890
-rect 185802 354889 186102 354890
-rect 203802 354889 204102 354890
-rect 221802 354889 222102 354890
-rect 239802 354889 240102 354890
-rect 257802 354889 258102 354890
-rect 275802 354889 276102 354890
-rect 295420 354889 295720 354890
-rect -3298 354730 -2998 354731
-rect 13002 354730 13302 354731
-rect 31002 354730 31302 354731
-rect 49002 354730 49302 354731
-rect 67002 354730 67302 354731
-rect 85002 354730 85302 354731
-rect 103002 354730 103302 354731
-rect 121002 354730 121302 354731
-rect 139002 354730 139302 354731
-rect 157002 354730 157302 354731
-rect 175002 354730 175302 354731
-rect 193002 354730 193302 354731
-rect 211002 354730 211302 354731
-rect 229002 354730 229302 354731
-rect 247002 354730 247302 354731
-rect 265002 354730 265302 354731
-rect 283002 354730 283302 354731
-rect 294960 354730 295260 354731
-rect -3298 354430 295260 354730
-rect -3298 354429 -2998 354430
-rect 13002 354429 13302 354430
-rect 31002 354429 31302 354430
-rect 49002 354429 49302 354430
-rect 67002 354429 67302 354430
-rect 85002 354429 85302 354430
-rect 103002 354429 103302 354430
-rect 121002 354429 121302 354430
-rect 139002 354429 139302 354430
-rect 157002 354429 157302 354430
-rect 175002 354429 175302 354430
-rect 193002 354429 193302 354430
-rect 211002 354429 211302 354430
-rect 229002 354429 229302 354430
-rect 247002 354429 247302 354430
-rect 265002 354429 265302 354430
-rect 283002 354429 283302 354430
-rect 294960 354429 295260 354430
-rect -2838 354270 -2538 354271
-rect 4002 354270 4302 354271
-rect 22002 354270 22302 354271
-rect 40002 354270 40302 354271
-rect 58002 354270 58302 354271
-rect 76002 354270 76302 354271
-rect 94002 354270 94302 354271
-rect 112002 354270 112302 354271
-rect 130002 354270 130302 354271
-rect 148002 354270 148302 354271
-rect 166002 354270 166302 354271
-rect 184002 354270 184302 354271
-rect 202002 354270 202302 354271
-rect 220002 354270 220302 354271
-rect 238002 354270 238302 354271
-rect 256002 354270 256302 354271
-rect 274002 354270 274302 354271
-rect 294500 354270 294800 354271
-rect -2838 353970 294800 354270
-rect -2838 353969 -2538 353970
-rect 4002 353969 4302 353970
-rect 22002 353969 22302 353970
-rect 40002 353969 40302 353970
-rect 58002 353969 58302 353970
-rect 76002 353969 76302 353970
-rect 94002 353969 94302 353970
-rect 112002 353969 112302 353970
-rect 130002 353969 130302 353970
-rect 148002 353969 148302 353970
-rect 166002 353969 166302 353970
-rect 184002 353969 184302 353970
-rect 202002 353969 202302 353970
-rect 220002 353969 220302 353970
-rect 238002 353969 238302 353970
-rect 256002 353969 256302 353970
-rect 274002 353969 274302 353970
-rect 294500 353969 294800 353970
-rect -2378 353810 -2078 353811
-rect 11202 353810 11502 353811
-rect 29202 353810 29502 353811
-rect 47202 353810 47502 353811
-rect 65202 353810 65502 353811
-rect 83202 353810 83502 353811
-rect 101202 353810 101502 353811
-rect 119202 353810 119502 353811
-rect 137202 353810 137502 353811
-rect 155202 353810 155502 353811
-rect 173202 353810 173502 353811
-rect 191202 353810 191502 353811
-rect 209202 353810 209502 353811
-rect 227202 353810 227502 353811
-rect 245202 353810 245502 353811
-rect 263202 353810 263502 353811
-rect 281202 353810 281502 353811
-rect 294040 353810 294340 353811
-rect -2378 353510 294340 353810
-rect -2378 353509 -2078 353510
-rect 11202 353509 11502 353510
-rect 29202 353509 29502 353510
-rect 47202 353509 47502 353510
-rect 65202 353509 65502 353510
-rect 83202 353509 83502 353510
-rect 101202 353509 101502 353510
-rect 119202 353509 119502 353510
-rect 137202 353509 137502 353510
-rect 155202 353509 155502 353510
-rect 173202 353509 173502 353510
-rect 191202 353509 191502 353510
-rect 209202 353509 209502 353510
-rect 227202 353509 227502 353510
-rect 245202 353509 245502 353510
-rect 263202 353509 263502 353510
-rect 281202 353509 281502 353510
-rect 294040 353509 294340 353510
-rect -1918 353350 -1618 353351
-rect 2202 353350 2502 353351
-rect 20202 353350 20502 353351
-rect 38202 353350 38502 353351
-rect 56202 353350 56502 353351
-rect 74202 353350 74502 353351
-rect 92202 353350 92502 353351
-rect 110202 353350 110502 353351
-rect 128202 353350 128502 353351
-rect 146202 353350 146502 353351
-rect 164202 353350 164502 353351
-rect 182202 353350 182502 353351
-rect 200202 353350 200502 353351
-rect 218202 353350 218502 353351
-rect 236202 353350 236502 353351
-rect 254202 353350 254502 353351
-rect 272202 353350 272502 353351
-rect 290202 353350 290502 353351
-rect 293580 353350 293880 353351
-rect -1918 353050 293880 353350
-rect -1918 353049 -1618 353050
-rect 2202 353049 2502 353050
-rect 20202 353049 20502 353050
-rect 38202 353049 38502 353050
-rect 56202 353049 56502 353050
-rect 74202 353049 74502 353050
-rect 92202 353049 92502 353050
-rect 110202 353049 110502 353050
-rect 128202 353049 128502 353050
-rect 146202 353049 146502 353050
-rect 164202 353049 164502 353050
-rect 182202 353049 182502 353050
-rect 200202 353049 200502 353050
-rect 218202 353049 218502 353050
-rect 236202 353049 236502 353050
-rect 254202 353049 254502 353050
-rect 272202 353049 272502 353050
-rect 290202 353049 290502 353050
-rect 293580 353049 293880 353050
-rect -1458 352890 -1158 352891
-rect 9402 352890 9702 352891
-rect 27402 352890 27702 352891
-rect 45402 352890 45702 352891
-rect 63402 352890 63702 352891
-rect 81402 352890 81702 352891
-rect 99402 352890 99702 352891
-rect 117402 352890 117702 352891
-rect 135402 352890 135702 352891
-rect 153402 352890 153702 352891
-rect 171402 352890 171702 352891
-rect 189402 352890 189702 352891
-rect 207402 352890 207702 352891
-rect 225402 352890 225702 352891
-rect 243402 352890 243702 352891
-rect 261402 352890 261702 352891
-rect 279402 352890 279702 352891
-rect 293120 352890 293420 352891
-rect -1458 352590 293420 352890
-rect -1458 352589 -1158 352590
-rect 9402 352589 9702 352590
-rect 27402 352589 27702 352590
-rect 45402 352589 45702 352590
-rect 63402 352589 63702 352590
-rect 81402 352589 81702 352590
-rect 99402 352589 99702 352590
-rect 117402 352589 117702 352590
-rect 135402 352589 135702 352590
-rect 153402 352589 153702 352590
-rect 171402 352589 171702 352590
-rect 189402 352589 189702 352590
-rect 207402 352589 207702 352590
-rect 225402 352589 225702 352590
-rect 243402 352589 243702 352590
-rect 261402 352589 261702 352590
-rect 279402 352589 279702 352590
-rect 293120 352589 293420 352590
-rect -998 352430 -698 352431
-rect 402 352430 702 352431
-rect 18402 352430 18702 352431
-rect 36402 352430 36702 352431
-rect 54402 352430 54702 352431
-rect 72402 352430 72702 352431
-rect 90402 352430 90702 352431
-rect 108402 352430 108702 352431
-rect 126402 352430 126702 352431
-rect 144402 352430 144702 352431
-rect 162402 352430 162702 352431
-rect 180402 352430 180702 352431
-rect 198402 352430 198702 352431
-rect 216402 352430 216702 352431
-rect 234402 352430 234702 352431
-rect 252402 352430 252702 352431
-rect 270402 352430 270702 352431
-rect 288402 352430 288702 352431
-rect 292660 352430 292960 352431
-rect -998 352130 292960 352430
-rect -998 352129 -698 352130
-rect 402 352129 702 352130
-rect 18402 352129 18702 352130
-rect 36402 352129 36702 352130
-rect 54402 352129 54702 352130
-rect 72402 352129 72702 352130
-rect 90402 352129 90702 352130
-rect 108402 352129 108702 352130
-rect 126402 352129 126702 352130
-rect 144402 352129 144702 352130
-rect 162402 352129 162702 352130
-rect 180402 352129 180702 352130
-rect 198402 352129 198702 352130
-rect 216402 352129 216702 352130
-rect 234402 352129 234702 352130
-rect 252402 352129 252702 352130
-rect 270402 352129 270702 352130
-rect 288402 352129 288702 352130
-rect 292660 352129 292960 352130
-rect -3758 348638 -3458 348639
-rect 295420 348638 295720 348639
-rect -4218 348338 240 348638
-rect 291760 348338 296180 348638
-rect -3758 348337 -3458 348338
-rect 295420 348337 295720 348338
-rect -2838 346838 -2538 346839
-rect 294500 346838 294800 346839
-rect -3298 346538 240 346838
-rect 291760 346538 295260 346838
-rect -2838 346537 -2538 346538
-rect 294500 346537 294800 346538
-rect -1918 345038 -1618 345039
-rect 293580 345038 293880 345039
-rect -2378 344738 240 345038
-rect 291760 344738 294340 345038
-rect -1918 344737 -1618 344738
-rect 293580 344737 293880 344738
-rect -998 343238 -698 343239
-rect 292660 343238 292960 343239
-rect -1458 342938 240 343238
-rect 291760 342938 293420 343238
-rect -998 342937 -698 342938
-rect 292660 342937 292960 342938
-rect -4218 339638 -3918 339639
-rect 295880 339638 296180 339639
-rect -4218 339338 240 339638
-rect 291760 339338 296180 339638
-rect -4218 339337 -3918 339338
-rect 295880 339337 296180 339338
-rect -3298 337838 -2998 337839
-rect 294960 337838 295260 337839
-rect -3298 337538 240 337838
-rect 291760 337538 295260 337838
-rect -3298 337537 -2998 337538
-rect 294960 337537 295260 337538
-rect -2378 336038 -2078 336039
-rect 294040 336038 294340 336039
-rect -2378 335738 240 336038
-rect 291760 335738 294340 336038
-rect -2378 335737 -2078 335738
-rect 294040 335737 294340 335738
-rect -1458 334238 -1158 334239
-rect 293120 334238 293420 334239
-rect -1458 333938 240 334238
-rect 291760 333938 293420 334238
-rect -1458 333937 -1158 333938
-rect 293120 333937 293420 333938
-rect -3758 330638 -3458 330639
-rect 295420 330638 295720 330639
-rect -4218 330338 240 330638
-rect 291760 330338 296180 330638
-rect -3758 330337 -3458 330338
-rect 295420 330337 295720 330338
-rect -2838 328838 -2538 328839
-rect 294500 328838 294800 328839
-rect -3298 328538 240 328838
-rect 291760 328538 295260 328838
-rect -2838 328537 -2538 328538
-rect 294500 328537 294800 328538
-rect -1918 327038 -1618 327039
-rect 293580 327038 293880 327039
-rect -2378 326738 240 327038
-rect 291760 326738 294340 327038
-rect -1918 326737 -1618 326738
-rect 293580 326737 293880 326738
-rect -998 325238 -698 325239
-rect 292660 325238 292960 325239
-rect -1458 324938 240 325238
-rect 291760 324938 293420 325238
-rect -998 324937 -698 324938
-rect 292660 324937 292960 324938
-rect -4218 321638 -3918 321639
-rect 295880 321638 296180 321639
-rect -4218 321338 240 321638
-rect 291760 321338 296180 321638
-rect -4218 321337 -3918 321338
-rect 295880 321337 296180 321338
-rect -3298 319838 -2998 319839
-rect 294960 319838 295260 319839
-rect -3298 319538 240 319838
-rect 291760 319538 295260 319838
-rect -3298 319537 -2998 319538
-rect 294960 319537 295260 319538
-rect -2378 318038 -2078 318039
-rect 294040 318038 294340 318039
-rect -2378 317738 240 318038
-rect 291760 317738 294340 318038
-rect -2378 317737 -2078 317738
-rect 294040 317737 294340 317738
-rect -1458 316238 -1158 316239
-rect 293120 316238 293420 316239
-rect -1458 315938 240 316238
-rect 291760 315938 293420 316238
-rect -1458 315937 -1158 315938
-rect 293120 315937 293420 315938
-rect -3758 312638 -3458 312639
-rect 295420 312638 295720 312639
-rect -4218 312338 240 312638
-rect 291760 312338 296180 312638
-rect -3758 312337 -3458 312338
-rect 295420 312337 295720 312338
-rect -2838 310838 -2538 310839
-rect 294500 310838 294800 310839
-rect -3298 310538 240 310838
-rect 291760 310538 295260 310838
-rect -2838 310537 -2538 310538
-rect 294500 310537 294800 310538
-rect -1918 309038 -1618 309039
-rect 293580 309038 293880 309039
-rect -2378 308738 240 309038
-rect 291760 308738 294340 309038
-rect -1918 308737 -1618 308738
-rect 293580 308737 293880 308738
-rect -998 307238 -698 307239
-rect 292660 307238 292960 307239
-rect -1458 306938 240 307238
-rect 291760 306938 293420 307238
-rect -998 306937 -698 306938
-rect 292660 306937 292960 306938
-rect -4218 303638 -3918 303639
-rect 295880 303638 296180 303639
-rect -4218 303338 240 303638
-rect 291760 303338 296180 303638
-rect -4218 303337 -3918 303338
-rect 295880 303337 296180 303338
-rect -3298 301838 -2998 301839
-rect 294960 301838 295260 301839
-rect -3298 301538 240 301838
-rect 291760 301538 295260 301838
-rect -3298 301537 -2998 301538
-rect 294960 301537 295260 301538
-rect -2378 300038 -2078 300039
-rect 294040 300038 294340 300039
-rect -2378 299738 240 300038
-rect 291760 299738 294340 300038
-rect -2378 299737 -2078 299738
-rect 294040 299737 294340 299738
-rect -1458 298238 -1158 298239
-rect 293120 298238 293420 298239
-rect -1458 297938 240 298238
-rect 291760 297938 293420 298238
-rect -1458 297937 -1158 297938
-rect 293120 297937 293420 297938
-rect -3758 294638 -3458 294639
-rect 295420 294638 295720 294639
-rect -4218 294338 240 294638
-rect 291760 294338 296180 294638
-rect -3758 294337 -3458 294338
-rect 295420 294337 295720 294338
-rect -2838 292838 -2538 292839
-rect 294500 292838 294800 292839
-rect -3298 292538 240 292838
-rect 291760 292538 295260 292838
-rect -2838 292537 -2538 292538
-rect 294500 292537 294800 292538
-rect -1918 291038 -1618 291039
-rect 293580 291038 293880 291039
-rect -2378 290738 240 291038
-rect 291760 290738 294340 291038
-rect -1918 290737 -1618 290738
-rect 293580 290737 293880 290738
-rect -998 289238 -698 289239
-rect 292660 289238 292960 289239
-rect -1458 288938 240 289238
-rect 291760 288938 293420 289238
-rect -998 288937 -698 288938
-rect 292660 288937 292960 288938
-rect -4218 285638 -3918 285639
-rect 295880 285638 296180 285639
-rect -4218 285338 240 285638
-rect 291760 285338 296180 285638
-rect -4218 285337 -3918 285338
-rect 295880 285337 296180 285338
-rect -3298 283838 -2998 283839
-rect 294960 283838 295260 283839
-rect -3298 283538 240 283838
-rect 291760 283538 295260 283838
-rect -3298 283537 -2998 283538
-rect 294960 283537 295260 283538
-rect -2378 282038 -2078 282039
-rect 294040 282038 294340 282039
-rect -2378 281738 240 282038
-rect 291760 281738 294340 282038
-rect -2378 281737 -2078 281738
-rect 294040 281737 294340 281738
-rect -1458 280238 -1158 280239
-rect 293120 280238 293420 280239
-rect -1458 279938 240 280238
-rect 291760 279938 293420 280238
-rect -1458 279937 -1158 279938
-rect 293120 279937 293420 279938
-rect -3758 276638 -3458 276639
-rect 295420 276638 295720 276639
-rect -4218 276338 240 276638
-rect 291760 276338 296180 276638
-rect -3758 276337 -3458 276338
-rect 295420 276337 295720 276338
-rect -2838 274838 -2538 274839
-rect 294500 274838 294800 274839
-rect -3298 274538 240 274838
-rect 291760 274538 295260 274838
-rect -2838 274537 -2538 274538
-rect 294500 274537 294800 274538
-rect -1918 273038 -1618 273039
-rect 293580 273038 293880 273039
-rect -2378 272738 240 273038
-rect 291760 272738 294340 273038
-rect -1918 272737 -1618 272738
-rect 293580 272737 293880 272738
-rect -998 271238 -698 271239
-rect 292660 271238 292960 271239
-rect -1458 270938 240 271238
-rect 291760 270938 293420 271238
-rect -998 270937 -698 270938
-rect 292660 270937 292960 270938
-rect -4218 267638 -3918 267639
-rect 295880 267638 296180 267639
-rect -4218 267338 240 267638
-rect 291760 267338 296180 267638
-rect -4218 267337 -3918 267338
-rect 295880 267337 296180 267338
-rect -3298 265838 -2998 265839
-rect 294960 265838 295260 265839
-rect -3298 265538 240 265838
-rect 291760 265538 295260 265838
-rect -3298 265537 -2998 265538
-rect 294960 265537 295260 265538
-rect -2378 264038 -2078 264039
-rect 294040 264038 294340 264039
-rect -2378 263738 240 264038
-rect 291760 263738 294340 264038
-rect -2378 263737 -2078 263738
-rect 294040 263737 294340 263738
-rect -1458 262238 -1158 262239
-rect 293120 262238 293420 262239
-rect -1458 261938 240 262238
-rect 291760 261938 293420 262238
-rect -1458 261937 -1158 261938
-rect 293120 261937 293420 261938
-rect -3758 258638 -3458 258639
-rect 295420 258638 295720 258639
-rect -4218 258338 240 258638
-rect 291760 258338 296180 258638
-rect -3758 258337 -3458 258338
-rect 295420 258337 295720 258338
-rect -2838 256838 -2538 256839
-rect 294500 256838 294800 256839
-rect -3298 256538 240 256838
-rect 291760 256538 295260 256838
-rect -2838 256537 -2538 256538
-rect 294500 256537 294800 256538
-rect -1918 255038 -1618 255039
-rect 293580 255038 293880 255039
-rect -2378 254738 240 255038
-rect 291760 254738 294340 255038
-rect -1918 254737 -1618 254738
-rect 293580 254737 293880 254738
-rect -998 253238 -698 253239
-rect 292660 253238 292960 253239
-rect -1458 252938 240 253238
-rect 291760 252938 293420 253238
-rect -998 252937 -698 252938
-rect 292660 252937 292960 252938
-rect -4218 249638 -3918 249639
-rect 295880 249638 296180 249639
-rect -4218 249338 240 249638
-rect 291760 249338 296180 249638
-rect -4218 249337 -3918 249338
-rect 295880 249337 296180 249338
-rect -3298 247838 -2998 247839
-rect 294960 247838 295260 247839
-rect -3298 247538 240 247838
-rect 291760 247538 295260 247838
-rect -3298 247537 -2998 247538
-rect 294960 247537 295260 247538
-rect -2378 246038 -2078 246039
-rect 294040 246038 294340 246039
-rect -2378 245738 240 246038
-rect 291760 245738 294340 246038
-rect -2378 245737 -2078 245738
-rect 294040 245737 294340 245738
-rect -1458 244238 -1158 244239
-rect 293120 244238 293420 244239
-rect -1458 243938 240 244238
-rect 291760 243938 293420 244238
-rect -1458 243937 -1158 243938
-rect 293120 243937 293420 243938
-rect -3758 240638 -3458 240639
-rect 295420 240638 295720 240639
-rect -4218 240338 240 240638
-rect 291760 240338 296180 240638
-rect -3758 240337 -3458 240338
-rect 295420 240337 295720 240338
-rect -2838 238838 -2538 238839
-rect 294500 238838 294800 238839
-rect -3298 238538 240 238838
-rect 291760 238538 295260 238838
-rect -2838 238537 -2538 238538
-rect 294500 238537 294800 238538
-rect -1918 237038 -1618 237039
-rect 293580 237038 293880 237039
-rect -2378 236738 240 237038
-rect 291760 236738 294340 237038
-rect -1918 236737 -1618 236738
-rect 293580 236737 293880 236738
-rect -998 235238 -698 235239
-rect 292660 235238 292960 235239
-rect -1458 234938 240 235238
-rect 291760 234938 293420 235238
-rect -998 234937 -698 234938
-rect 292660 234937 292960 234938
-rect -4218 231638 -3918 231639
-rect 295880 231638 296180 231639
-rect -4218 231338 240 231638
-rect 291760 231338 296180 231638
-rect -4218 231337 -3918 231338
-rect 295880 231337 296180 231338
-rect -3298 229838 -2998 229839
-rect 294960 229838 295260 229839
-rect -3298 229538 240 229838
-rect 291760 229538 295260 229838
-rect -3298 229537 -2998 229538
-rect 294960 229537 295260 229538
-rect -2378 228038 -2078 228039
-rect 294040 228038 294340 228039
-rect -2378 227738 240 228038
-rect 291760 227738 294340 228038
-rect -2378 227737 -2078 227738
-rect 294040 227737 294340 227738
-rect -1458 226238 -1158 226239
-rect 293120 226238 293420 226239
-rect -1458 225938 240 226238
-rect 291760 225938 293420 226238
-rect -1458 225937 -1158 225938
-rect 293120 225937 293420 225938
-rect -3758 222638 -3458 222639
-rect 295420 222638 295720 222639
-rect -4218 222338 240 222638
-rect 291760 222338 296180 222638
-rect -3758 222337 -3458 222338
-rect 295420 222337 295720 222338
-rect -2838 220838 -2538 220839
-rect 294500 220838 294800 220839
-rect -3298 220538 240 220838
-rect 291760 220538 295260 220838
-rect -2838 220537 -2538 220538
-rect 294500 220537 294800 220538
-rect -1918 219038 -1618 219039
-rect 293580 219038 293880 219039
-rect -2378 218738 240 219038
-rect 291760 218738 294340 219038
-rect -1918 218737 -1618 218738
-rect 293580 218737 293880 218738
-rect -998 217238 -698 217239
-rect 292660 217238 292960 217239
-rect -1458 216938 240 217238
-rect 291760 216938 293420 217238
-rect -998 216937 -698 216938
-rect 292660 216937 292960 216938
-rect -4218 213638 -3918 213639
-rect 295880 213638 296180 213639
-rect -4218 213338 240 213638
-rect 291760 213338 296180 213638
-rect -4218 213337 -3918 213338
-rect 295880 213337 296180 213338
-rect -3298 211838 -2998 211839
-rect 294960 211838 295260 211839
-rect -3298 211538 240 211838
-rect 291760 211538 295260 211838
-rect -3298 211537 -2998 211538
-rect 294960 211537 295260 211538
-rect -2378 210038 -2078 210039
-rect 294040 210038 294340 210039
-rect -2378 209738 240 210038
-rect 291760 209738 294340 210038
-rect -2378 209737 -2078 209738
-rect 294040 209737 294340 209738
-rect -1458 208238 -1158 208239
-rect 293120 208238 293420 208239
-rect -1458 207938 240 208238
-rect 291760 207938 293420 208238
-rect -1458 207937 -1158 207938
-rect 293120 207937 293420 207938
-rect -3758 204638 -3458 204639
-rect 295420 204638 295720 204639
-rect -4218 204338 240 204638
-rect 291760 204338 296180 204638
-rect -3758 204337 -3458 204338
-rect 295420 204337 295720 204338
-rect -2838 202838 -2538 202839
-rect 294500 202838 294800 202839
-rect -3298 202538 240 202838
-rect 291760 202538 295260 202838
-rect -2838 202537 -2538 202538
-rect 294500 202537 294800 202538
-rect -1918 201038 -1618 201039
-rect 293580 201038 293880 201039
-rect -2378 200738 240 201038
-rect 291760 200738 294340 201038
-rect -1918 200737 -1618 200738
-rect 293580 200737 293880 200738
-rect -998 199238 -698 199239
-rect 292660 199238 292960 199239
-rect -1458 198938 240 199238
-rect 291760 198938 293420 199238
-rect -998 198937 -698 198938
-rect 292660 198937 292960 198938
-rect -4218 195638 -3918 195639
-rect 295880 195638 296180 195639
-rect -4218 195338 240 195638
-rect 291760 195338 296180 195638
-rect -4218 195337 -3918 195338
-rect 295880 195337 296180 195338
-rect -3298 193838 -2998 193839
-rect 294960 193838 295260 193839
-rect -3298 193538 240 193838
-rect 291760 193538 295260 193838
-rect -3298 193537 -2998 193538
-rect 294960 193537 295260 193538
-rect -2378 192038 -2078 192039
-rect 294040 192038 294340 192039
-rect -2378 191738 240 192038
-rect 291760 191738 294340 192038
-rect -2378 191737 -2078 191738
-rect 294040 191737 294340 191738
-rect -1458 190238 -1158 190239
-rect 293120 190238 293420 190239
-rect -1458 189938 240 190238
-rect 291760 189938 293420 190238
-rect -1458 189937 -1158 189938
-rect 293120 189937 293420 189938
-rect -3758 186638 -3458 186639
-rect 295420 186638 295720 186639
-rect -4218 186338 240 186638
-rect 291760 186338 296180 186638
-rect -3758 186337 -3458 186338
-rect 295420 186337 295720 186338
-rect -2838 184838 -2538 184839
-rect 294500 184838 294800 184839
-rect -3298 184538 240 184838
-rect 291760 184538 295260 184838
-rect -2838 184537 -2538 184538
-rect 294500 184537 294800 184538
-rect -1918 183038 -1618 183039
-rect 293580 183038 293880 183039
-rect -2378 182738 240 183038
-rect 291760 182738 294340 183038
-rect -1918 182737 -1618 182738
-rect 293580 182737 293880 182738
-rect -998 181238 -698 181239
-rect 292660 181238 292960 181239
-rect -1458 180938 240 181238
-rect 291760 180938 293420 181238
-rect -998 180937 -698 180938
-rect 292660 180937 292960 180938
-rect -4218 177638 -3918 177639
-rect 295880 177638 296180 177639
-rect -4218 177338 240 177638
-rect 291760 177338 296180 177638
-rect -4218 177337 -3918 177338
-rect 295880 177337 296180 177338
-rect -3298 175838 -2998 175839
-rect 294960 175838 295260 175839
-rect -3298 175538 240 175838
-rect 291760 175538 295260 175838
-rect -3298 175537 -2998 175538
-rect 294960 175537 295260 175538
-rect -2378 174038 -2078 174039
-rect 294040 174038 294340 174039
-rect -2378 173738 240 174038
-rect 291760 173738 294340 174038
-rect -2378 173737 -2078 173738
-rect 294040 173737 294340 173738
-rect -1458 172238 -1158 172239
-rect 293120 172238 293420 172239
-rect -1458 171938 240 172238
-rect 291760 171938 293420 172238
-rect -1458 171937 -1158 171938
-rect 293120 171937 293420 171938
-rect -3758 168638 -3458 168639
-rect 295420 168638 295720 168639
-rect -4218 168338 240 168638
-rect 291760 168338 296180 168638
-rect -3758 168337 -3458 168338
-rect 295420 168337 295720 168338
-rect -2838 166838 -2538 166839
-rect 294500 166838 294800 166839
-rect -3298 166538 240 166838
-rect 291760 166538 295260 166838
-rect -2838 166537 -2538 166538
-rect 294500 166537 294800 166538
-rect -1918 165038 -1618 165039
-rect 293580 165038 293880 165039
-rect -2378 164738 240 165038
-rect 291760 164738 294340 165038
-rect -1918 164737 -1618 164738
-rect 293580 164737 293880 164738
-rect -998 163238 -698 163239
-rect 292660 163238 292960 163239
-rect -1458 162938 240 163238
-rect 291760 162938 293420 163238
-rect -998 162937 -698 162938
-rect 292660 162937 292960 162938
-rect -4218 159638 -3918 159639
-rect 295880 159638 296180 159639
-rect -4218 159338 240 159638
-rect 291760 159338 296180 159638
-rect -4218 159337 -3918 159338
-rect 295880 159337 296180 159338
-rect -3298 157838 -2998 157839
-rect 294960 157838 295260 157839
-rect -3298 157538 240 157838
-rect 291760 157538 295260 157838
-rect -3298 157537 -2998 157538
-rect 294960 157537 295260 157538
-rect -2378 156038 -2078 156039
-rect 294040 156038 294340 156039
-rect -2378 155738 240 156038
-rect 291760 155738 294340 156038
-rect -2378 155737 -2078 155738
-rect 294040 155737 294340 155738
-rect -1458 154238 -1158 154239
-rect 293120 154238 293420 154239
-rect -1458 153938 240 154238
-rect 291760 153938 293420 154238
-rect -1458 153937 -1158 153938
-rect 293120 153937 293420 153938
-rect -3758 150638 -3458 150639
-rect 295420 150638 295720 150639
-rect -4218 150338 240 150638
-rect 291760 150338 296180 150638
-rect -3758 150337 -3458 150338
-rect 295420 150337 295720 150338
-rect -2838 148838 -2538 148839
-rect 294500 148838 294800 148839
-rect -3298 148538 240 148838
-rect 291760 148538 295260 148838
-rect -2838 148537 -2538 148538
-rect 294500 148537 294800 148538
-rect -1918 147038 -1618 147039
-rect 293580 147038 293880 147039
-rect -2378 146738 240 147038
-rect 291760 146738 294340 147038
-rect -1918 146737 -1618 146738
-rect 293580 146737 293880 146738
-rect -998 145238 -698 145239
-rect 292660 145238 292960 145239
-rect -1458 144938 240 145238
-rect 291760 144938 293420 145238
-rect -998 144937 -698 144938
-rect 292660 144937 292960 144938
-rect -4218 141638 -3918 141639
-rect 295880 141638 296180 141639
-rect -4218 141338 240 141638
-rect 291760 141338 296180 141638
-rect -4218 141337 -3918 141338
-rect 295880 141337 296180 141338
-rect -3298 139838 -2998 139839
-rect 294960 139838 295260 139839
-rect -3298 139538 240 139838
-rect 291760 139538 295260 139838
-rect -3298 139537 -2998 139538
-rect 294960 139537 295260 139538
-rect -2378 138038 -2078 138039
-rect 294040 138038 294340 138039
-rect -2378 137738 240 138038
-rect 291760 137738 294340 138038
-rect -2378 137737 -2078 137738
-rect 294040 137737 294340 137738
-rect -1458 136238 -1158 136239
-rect 293120 136238 293420 136239
-rect -1458 135938 240 136238
-rect 291760 135938 293420 136238
-rect -1458 135937 -1158 135938
-rect 293120 135937 293420 135938
-rect -3758 132638 -3458 132639
-rect 295420 132638 295720 132639
-rect -4218 132338 240 132638
-rect 291760 132338 296180 132638
-rect -3758 132337 -3458 132338
-rect 295420 132337 295720 132338
-rect -2838 130838 -2538 130839
-rect 294500 130838 294800 130839
-rect -3298 130538 240 130838
-rect 291760 130538 295260 130838
-rect -2838 130537 -2538 130538
-rect 294500 130537 294800 130538
-rect -1918 129038 -1618 129039
-rect 293580 129038 293880 129039
-rect -2378 128738 240 129038
-rect 291760 128738 294340 129038
-rect -1918 128737 -1618 128738
-rect 293580 128737 293880 128738
-rect -998 127238 -698 127239
-rect 292660 127238 292960 127239
-rect -1458 126938 240 127238
-rect 291760 126938 293420 127238
-rect -998 126937 -698 126938
-rect 292660 126937 292960 126938
-rect -4218 123638 -3918 123639
-rect 295880 123638 296180 123639
-rect -4218 123338 240 123638
-rect 291760 123338 296180 123638
-rect -4218 123337 -3918 123338
-rect 295880 123337 296180 123338
-rect -3298 121838 -2998 121839
-rect 294960 121838 295260 121839
-rect -3298 121538 240 121838
-rect 291760 121538 295260 121838
-rect -3298 121537 -2998 121538
-rect 294960 121537 295260 121538
-rect -2378 120038 -2078 120039
-rect 294040 120038 294340 120039
-rect -2378 119738 240 120038
-rect 291760 119738 294340 120038
-rect -2378 119737 -2078 119738
-rect 294040 119737 294340 119738
-rect -1458 118238 -1158 118239
-rect 293120 118238 293420 118239
-rect -1458 117938 240 118238
-rect 291760 117938 293420 118238
-rect -1458 117937 -1158 117938
-rect 293120 117937 293420 117938
-rect -3758 114638 -3458 114639
-rect 295420 114638 295720 114639
-rect -4218 114338 240 114638
-rect 291760 114338 296180 114638
-rect -3758 114337 -3458 114338
-rect 295420 114337 295720 114338
-rect -2838 112838 -2538 112839
-rect 294500 112838 294800 112839
-rect -3298 112538 240 112838
-rect 291760 112538 295260 112838
-rect -2838 112537 -2538 112538
-rect 294500 112537 294800 112538
-rect -1918 111038 -1618 111039
-rect 293580 111038 293880 111039
-rect -2378 110738 240 111038
-rect 291760 110738 294340 111038
-rect -1918 110737 -1618 110738
-rect 293580 110737 293880 110738
-rect -998 109238 -698 109239
-rect 292660 109238 292960 109239
-rect -1458 108938 240 109238
-rect 291760 108938 293420 109238
-rect -998 108937 -698 108938
-rect 292660 108937 292960 108938
-rect -4218 105638 -3918 105639
-rect 295880 105638 296180 105639
-rect -4218 105338 240 105638
-rect 291760 105338 296180 105638
-rect -4218 105337 -3918 105338
-rect 295880 105337 296180 105338
-rect -3298 103838 -2998 103839
-rect 294960 103838 295260 103839
-rect -3298 103538 240 103838
-rect 291760 103538 295260 103838
-rect -3298 103537 -2998 103538
-rect 294960 103537 295260 103538
-rect -2378 102038 -2078 102039
-rect 294040 102038 294340 102039
-rect -2378 101738 240 102038
-rect 291760 101738 294340 102038
-rect -2378 101737 -2078 101738
-rect 294040 101737 294340 101738
-rect -1458 100238 -1158 100239
-rect 293120 100238 293420 100239
-rect -1458 99938 240 100238
-rect 291760 99938 293420 100238
-rect -1458 99937 -1158 99938
-rect 293120 99937 293420 99938
-rect -3758 96638 -3458 96639
-rect 295420 96638 295720 96639
-rect -4218 96338 240 96638
-rect 291760 96338 296180 96638
-rect -3758 96337 -3458 96338
-rect 295420 96337 295720 96338
-rect -2838 94838 -2538 94839
-rect 294500 94838 294800 94839
-rect -3298 94538 240 94838
-rect 291760 94538 295260 94838
-rect -2838 94537 -2538 94538
-rect 294500 94537 294800 94538
-rect -1918 93038 -1618 93039
-rect 293580 93038 293880 93039
-rect -2378 92738 240 93038
-rect 291760 92738 294340 93038
-rect -1918 92737 -1618 92738
-rect 293580 92737 293880 92738
-rect -998 91238 -698 91239
-rect 292660 91238 292960 91239
-rect -1458 90938 240 91238
-rect 291760 90938 293420 91238
-rect -998 90937 -698 90938
-rect 292660 90937 292960 90938
-rect -4218 87638 -3918 87639
-rect 295880 87638 296180 87639
-rect -4218 87338 240 87638
-rect 291760 87338 296180 87638
-rect -4218 87337 -3918 87338
-rect 295880 87337 296180 87338
-rect -3298 85838 -2998 85839
-rect 294960 85838 295260 85839
-rect -3298 85538 240 85838
-rect 291760 85538 295260 85838
-rect -3298 85537 -2998 85538
-rect 294960 85537 295260 85538
-rect -2378 84038 -2078 84039
-rect 294040 84038 294340 84039
-rect -2378 83738 240 84038
-rect 291760 83738 294340 84038
-rect -2378 83737 -2078 83738
-rect 294040 83737 294340 83738
-rect -1458 82238 -1158 82239
-rect 293120 82238 293420 82239
-rect -1458 81938 240 82238
-rect 291760 81938 293420 82238
-rect -1458 81937 -1158 81938
-rect 293120 81937 293420 81938
-rect -3758 78638 -3458 78639
-rect 295420 78638 295720 78639
-rect -4218 78338 240 78638
-rect 291760 78338 296180 78638
-rect -3758 78337 -3458 78338
-rect 295420 78337 295720 78338
-rect -2838 76838 -2538 76839
-rect 294500 76838 294800 76839
-rect -3298 76538 240 76838
-rect 291760 76538 295260 76838
-rect -2838 76537 -2538 76538
-rect 294500 76537 294800 76538
-rect -1918 75038 -1618 75039
-rect 293580 75038 293880 75039
-rect -2378 74738 240 75038
-rect 291760 74738 294340 75038
-rect -1918 74737 -1618 74738
-rect 293580 74737 293880 74738
-rect -998 73238 -698 73239
-rect 292660 73238 292960 73239
-rect -1458 72938 240 73238
-rect 291760 72938 293420 73238
-rect -998 72937 -698 72938
-rect 292660 72937 292960 72938
-rect -4218 69638 -3918 69639
-rect 295880 69638 296180 69639
-rect -4218 69338 240 69638
-rect 291760 69338 296180 69638
-rect -4218 69337 -3918 69338
-rect 295880 69337 296180 69338
-rect -3298 67838 -2998 67839
-rect 294960 67838 295260 67839
-rect -3298 67538 240 67838
-rect 291760 67538 295260 67838
-rect -3298 67537 -2998 67538
-rect 294960 67537 295260 67538
-rect -2378 66038 -2078 66039
-rect 294040 66038 294340 66039
-rect -2378 65738 240 66038
-rect 291760 65738 294340 66038
-rect -2378 65737 -2078 65738
-rect 294040 65737 294340 65738
-rect -1458 64238 -1158 64239
-rect 293120 64238 293420 64239
-rect -1458 63938 240 64238
-rect 291760 63938 293420 64238
-rect -1458 63937 -1158 63938
-rect 293120 63937 293420 63938
-rect -3758 60638 -3458 60639
-rect 295420 60638 295720 60639
-rect -4218 60338 240 60638
-rect 291760 60338 296180 60638
-rect -3758 60337 -3458 60338
-rect 295420 60337 295720 60338
-rect -2838 58838 -2538 58839
-rect 294500 58838 294800 58839
-rect -3298 58538 240 58838
-rect 291760 58538 295260 58838
-rect -2838 58537 -2538 58538
-rect 294500 58537 294800 58538
-rect -1918 57038 -1618 57039
-rect 293580 57038 293880 57039
-rect -2378 56738 240 57038
-rect 291760 56738 294340 57038
-rect -1918 56737 -1618 56738
-rect 293580 56737 293880 56738
-rect -998 55238 -698 55239
-rect 292660 55238 292960 55239
-rect -1458 54938 240 55238
-rect 291760 54938 293420 55238
-rect -998 54937 -698 54938
-rect 292660 54937 292960 54938
-rect -4218 51638 -3918 51639
-rect 295880 51638 296180 51639
-rect -4218 51338 240 51638
-rect 291760 51338 296180 51638
-rect -4218 51337 -3918 51338
-rect 295880 51337 296180 51338
-rect -3298 49838 -2998 49839
-rect 294960 49838 295260 49839
-rect -3298 49538 240 49838
-rect 291760 49538 295260 49838
-rect -3298 49537 -2998 49538
-rect 294960 49537 295260 49538
-rect -2378 48038 -2078 48039
-rect 294040 48038 294340 48039
-rect -2378 47738 240 48038
-rect 291760 47738 294340 48038
-rect -2378 47737 -2078 47738
-rect 294040 47737 294340 47738
-rect -1458 46238 -1158 46239
-rect 293120 46238 293420 46239
-rect -1458 45938 240 46238
-rect 291760 45938 293420 46238
-rect -1458 45937 -1158 45938
-rect 293120 45937 293420 45938
-rect -3758 42638 -3458 42639
-rect 295420 42638 295720 42639
-rect -4218 42338 240 42638
-rect 291760 42338 296180 42638
-rect -3758 42337 -3458 42338
-rect 295420 42337 295720 42338
-rect -2838 40838 -2538 40839
-rect 294500 40838 294800 40839
-rect -3298 40538 240 40838
-rect 291760 40538 295260 40838
-rect -2838 40537 -2538 40538
-rect 294500 40537 294800 40538
-rect -1918 39038 -1618 39039
-rect 293580 39038 293880 39039
-rect -2378 38738 240 39038
-rect 291760 38738 294340 39038
-rect -1918 38737 -1618 38738
-rect 293580 38737 293880 38738
-rect -998 37238 -698 37239
-rect 292660 37238 292960 37239
-rect -1458 36938 240 37238
-rect 291760 36938 293420 37238
-rect -998 36937 -698 36938
-rect 292660 36937 292960 36938
-rect -4218 33638 -3918 33639
-rect 295880 33638 296180 33639
-rect -4218 33338 240 33638
-rect 291760 33338 296180 33638
-rect -4218 33337 -3918 33338
-rect 295880 33337 296180 33338
-rect -3298 31838 -2998 31839
-rect 294960 31838 295260 31839
-rect -3298 31538 240 31838
-rect 291760 31538 295260 31838
-rect -3298 31537 -2998 31538
-rect 294960 31537 295260 31538
-rect -2378 30038 -2078 30039
-rect 294040 30038 294340 30039
-rect -2378 29738 240 30038
-rect 291760 29738 294340 30038
-rect -2378 29737 -2078 29738
-rect 294040 29737 294340 29738
-rect -1458 28238 -1158 28239
-rect 293120 28238 293420 28239
-rect -1458 27938 240 28238
-rect 291760 27938 293420 28238
-rect -1458 27937 -1158 27938
-rect 293120 27937 293420 27938
-rect -3758 24638 -3458 24639
-rect 295420 24638 295720 24639
-rect -4218 24338 240 24638
-rect 291760 24338 296180 24638
-rect -3758 24337 -3458 24338
-rect 295420 24337 295720 24338
-rect -2838 22838 -2538 22839
-rect 294500 22838 294800 22839
-rect -3298 22538 240 22838
-rect 291760 22538 295260 22838
-rect -2838 22537 -2538 22538
-rect 294500 22537 294800 22538
-rect -1918 21038 -1618 21039
-rect 293580 21038 293880 21039
-rect -2378 20738 240 21038
-rect 291760 20738 294340 21038
-rect -1918 20737 -1618 20738
-rect 293580 20737 293880 20738
-rect -998 19238 -698 19239
-rect 292660 19238 292960 19239
-rect -1458 18938 240 19238
-rect 291760 18938 293420 19238
-rect -998 18937 -698 18938
-rect 292660 18937 292960 18938
-rect -4218 15638 -3918 15639
-rect 295880 15638 296180 15639
-rect -4218 15338 240 15638
-rect 291760 15338 296180 15638
-rect -4218 15337 -3918 15338
-rect 295880 15337 296180 15338
-rect -3298 13838 -2998 13839
-rect 294960 13838 295260 13839
-rect -3298 13538 240 13838
-rect 291760 13538 295260 13838
-rect -3298 13537 -2998 13538
-rect 294960 13537 295260 13538
-rect -2378 12038 -2078 12039
-rect 294040 12038 294340 12039
-rect -2378 11738 240 12038
-rect 291760 11738 294340 12038
-rect -2378 11737 -2078 11738
-rect 294040 11737 294340 11738
-rect -1458 10238 -1158 10239
-rect 293120 10238 293420 10239
-rect -1458 9938 240 10238
-rect 291760 9938 293420 10238
-rect -1458 9937 -1158 9938
-rect 293120 9937 293420 9938
-rect -3758 6638 -3458 6639
-rect 295420 6638 295720 6639
-rect -4218 6338 240 6638
-rect 291760 6338 296180 6638
-rect -3758 6337 -3458 6338
-rect 295420 6337 295720 6338
-rect -2838 4838 -2538 4839
-rect 294500 4838 294800 4839
-rect -3298 4538 240 4838
-rect 291760 4538 295260 4838
-rect -2838 4537 -2538 4538
-rect 294500 4537 294800 4538
-rect -1918 3038 -1618 3039
-rect 293580 3038 293880 3039
-rect -2378 2738 240 3038
-rect 291760 2738 294340 3038
-rect -1918 2737 -1618 2738
-rect 293580 2737 293880 2738
-rect -998 1238 -698 1239
-rect 292660 1238 292960 1239
-rect -1458 938 240 1238
-rect 291760 938 293420 1238
-rect -998 937 -698 938
-rect 292660 937 292960 938
-rect -998 -162 -698 -161
-rect 402 -162 702 -161
-rect 18402 -162 18702 -161
-rect 36402 -162 36702 -161
-rect 54402 -162 54702 -161
-rect 72402 -162 72702 -161
-rect 90402 -162 90702 -161
-rect 108402 -162 108702 -161
-rect 126402 -162 126702 -161
-rect 144402 -162 144702 -161
-rect 162402 -162 162702 -161
-rect 180402 -162 180702 -161
-rect 198402 -162 198702 -161
-rect 216402 -162 216702 -161
-rect 234402 -162 234702 -161
-rect 252402 -162 252702 -161
-rect 270402 -162 270702 -161
-rect 288402 -162 288702 -161
-rect 292660 -162 292960 -161
-rect -998 -462 292960 -162
-rect -998 -463 -698 -462
-rect 402 -463 702 -462
-rect 18402 -463 18702 -462
-rect 36402 -463 36702 -462
-rect 54402 -463 54702 -462
-rect 72402 -463 72702 -462
-rect 90402 -463 90702 -462
-rect 108402 -463 108702 -462
-rect 126402 -463 126702 -462
-rect 144402 -463 144702 -462
-rect 162402 -463 162702 -462
-rect 180402 -463 180702 -462
-rect 198402 -463 198702 -462
-rect 216402 -463 216702 -462
-rect 234402 -463 234702 -462
-rect 252402 -463 252702 -462
-rect 270402 -463 270702 -462
-rect 288402 -463 288702 -462
-rect 292660 -463 292960 -462
-rect -1458 -622 -1158 -621
-rect 9402 -622 9702 -621
-rect 27402 -622 27702 -621
-rect 45402 -622 45702 -621
-rect 63402 -622 63702 -621
-rect 81402 -622 81702 -621
-rect 99402 -622 99702 -621
-rect 117402 -622 117702 -621
-rect 135402 -622 135702 -621
-rect 153402 -622 153702 -621
-rect 171402 -622 171702 -621
-rect 189402 -622 189702 -621
-rect 207402 -622 207702 -621
-rect 225402 -622 225702 -621
-rect 243402 -622 243702 -621
-rect 261402 -622 261702 -621
-rect 279402 -622 279702 -621
-rect 293120 -622 293420 -621
-rect -1458 -922 293420 -622
-rect -1458 -923 -1158 -922
-rect 9402 -923 9702 -922
-rect 27402 -923 27702 -922
-rect 45402 -923 45702 -922
-rect 63402 -923 63702 -922
-rect 81402 -923 81702 -922
-rect 99402 -923 99702 -922
-rect 117402 -923 117702 -922
-rect 135402 -923 135702 -922
-rect 153402 -923 153702 -922
-rect 171402 -923 171702 -922
-rect 189402 -923 189702 -922
-rect 207402 -923 207702 -922
-rect 225402 -923 225702 -922
-rect 243402 -923 243702 -922
-rect 261402 -923 261702 -922
-rect 279402 -923 279702 -922
-rect 293120 -923 293420 -922
-rect -1918 -1082 -1618 -1081
-rect 2202 -1082 2502 -1081
-rect 20202 -1082 20502 -1081
-rect 38202 -1082 38502 -1081
-rect 56202 -1082 56502 -1081
-rect 74202 -1082 74502 -1081
-rect 92202 -1082 92502 -1081
-rect 110202 -1082 110502 -1081
-rect 128202 -1082 128502 -1081
-rect 146202 -1082 146502 -1081
-rect 164202 -1082 164502 -1081
-rect 182202 -1082 182502 -1081
-rect 200202 -1082 200502 -1081
-rect 218202 -1082 218502 -1081
-rect 236202 -1082 236502 -1081
-rect 254202 -1082 254502 -1081
-rect 272202 -1082 272502 -1081
-rect 290202 -1082 290502 -1081
-rect 293580 -1082 293880 -1081
-rect -1918 -1382 293880 -1082
-rect -1918 -1383 -1618 -1382
-rect 2202 -1383 2502 -1382
-rect 20202 -1383 20502 -1382
-rect 38202 -1383 38502 -1382
-rect 56202 -1383 56502 -1382
-rect 74202 -1383 74502 -1382
-rect 92202 -1383 92502 -1382
-rect 110202 -1383 110502 -1382
-rect 128202 -1383 128502 -1382
-rect 146202 -1383 146502 -1382
-rect 164202 -1383 164502 -1382
-rect 182202 -1383 182502 -1382
-rect 200202 -1383 200502 -1382
-rect 218202 -1383 218502 -1382
-rect 236202 -1383 236502 -1382
-rect 254202 -1383 254502 -1382
-rect 272202 -1383 272502 -1382
-rect 290202 -1383 290502 -1382
-rect 293580 -1383 293880 -1382
-rect -2378 -1542 -2078 -1541
-rect 11202 -1542 11502 -1541
-rect 29202 -1542 29502 -1541
-rect 47202 -1542 47502 -1541
-rect 65202 -1542 65502 -1541
-rect 83202 -1542 83502 -1541
-rect 101202 -1542 101502 -1541
-rect 119202 -1542 119502 -1541
-rect 137202 -1542 137502 -1541
-rect 155202 -1542 155502 -1541
-rect 173202 -1542 173502 -1541
-rect 191202 -1542 191502 -1541
-rect 209202 -1542 209502 -1541
-rect 227202 -1542 227502 -1541
-rect 245202 -1542 245502 -1541
-rect 263202 -1542 263502 -1541
-rect 281202 -1542 281502 -1541
-rect 294040 -1542 294340 -1541
-rect -2378 -1842 294340 -1542
-rect -2378 -1843 -2078 -1842
-rect 11202 -1843 11502 -1842
-rect 29202 -1843 29502 -1842
-rect 47202 -1843 47502 -1842
-rect 65202 -1843 65502 -1842
-rect 83202 -1843 83502 -1842
-rect 101202 -1843 101502 -1842
-rect 119202 -1843 119502 -1842
-rect 137202 -1843 137502 -1842
-rect 155202 -1843 155502 -1842
-rect 173202 -1843 173502 -1842
-rect 191202 -1843 191502 -1842
-rect 209202 -1843 209502 -1842
-rect 227202 -1843 227502 -1842
-rect 245202 -1843 245502 -1842
-rect 263202 -1843 263502 -1842
-rect 281202 -1843 281502 -1842
-rect 294040 -1843 294340 -1842
-rect -2838 -2002 -2538 -2001
-rect 4002 -2002 4302 -2001
-rect 22002 -2002 22302 -2001
-rect 40002 -2002 40302 -2001
-rect 58002 -2002 58302 -2001
-rect 76002 -2002 76302 -2001
-rect 94002 -2002 94302 -2001
-rect 112002 -2002 112302 -2001
-rect 130002 -2002 130302 -2001
-rect 148002 -2002 148302 -2001
-rect 166002 -2002 166302 -2001
-rect 184002 -2002 184302 -2001
-rect 202002 -2002 202302 -2001
-rect 220002 -2002 220302 -2001
-rect 238002 -2002 238302 -2001
-rect 256002 -2002 256302 -2001
-rect 274002 -2002 274302 -2001
-rect 294500 -2002 294800 -2001
-rect -2838 -2302 294800 -2002
-rect -2838 -2303 -2538 -2302
-rect 4002 -2303 4302 -2302
-rect 22002 -2303 22302 -2302
-rect 40002 -2303 40302 -2302
-rect 58002 -2303 58302 -2302
-rect 76002 -2303 76302 -2302
-rect 94002 -2303 94302 -2302
-rect 112002 -2303 112302 -2302
-rect 130002 -2303 130302 -2302
-rect 148002 -2303 148302 -2302
-rect 166002 -2303 166302 -2302
-rect 184002 -2303 184302 -2302
-rect 202002 -2303 202302 -2302
-rect 220002 -2303 220302 -2302
-rect 238002 -2303 238302 -2302
-rect 256002 -2303 256302 -2302
-rect 274002 -2303 274302 -2302
-rect 294500 -2303 294800 -2302
-rect -3298 -2462 -2998 -2461
-rect 13002 -2462 13302 -2461
-rect 31002 -2462 31302 -2461
-rect 49002 -2462 49302 -2461
-rect 67002 -2462 67302 -2461
-rect 85002 -2462 85302 -2461
-rect 103002 -2462 103302 -2461
-rect 121002 -2462 121302 -2461
-rect 139002 -2462 139302 -2461
-rect 157002 -2462 157302 -2461
-rect 175002 -2462 175302 -2461
-rect 193002 -2462 193302 -2461
-rect 211002 -2462 211302 -2461
-rect 229002 -2462 229302 -2461
-rect 247002 -2462 247302 -2461
-rect 265002 -2462 265302 -2461
-rect 283002 -2462 283302 -2461
-rect 294960 -2462 295260 -2461
-rect -3298 -2762 295260 -2462
-rect -3298 -2763 -2998 -2762
-rect 13002 -2763 13302 -2762
-rect 31002 -2763 31302 -2762
-rect 49002 -2763 49302 -2762
-rect 67002 -2763 67302 -2762
-rect 85002 -2763 85302 -2762
-rect 103002 -2763 103302 -2762
-rect 121002 -2763 121302 -2762
-rect 139002 -2763 139302 -2762
-rect 157002 -2763 157302 -2762
-rect 175002 -2763 175302 -2762
-rect 193002 -2763 193302 -2762
-rect 211002 -2763 211302 -2762
-rect 229002 -2763 229302 -2762
-rect 247002 -2763 247302 -2762
-rect 265002 -2763 265302 -2762
-rect 283002 -2763 283302 -2762
-rect 294960 -2763 295260 -2762
-rect -3758 -2922 -3458 -2921
-rect 5802 -2922 6102 -2921
-rect 23802 -2922 24102 -2921
-rect 41802 -2922 42102 -2921
-rect 59802 -2922 60102 -2921
-rect 77802 -2922 78102 -2921
-rect 95802 -2922 96102 -2921
-rect 113802 -2922 114102 -2921
-rect 131802 -2922 132102 -2921
-rect 149802 -2922 150102 -2921
-rect 167802 -2922 168102 -2921
-rect 185802 -2922 186102 -2921
-rect 203802 -2922 204102 -2921
-rect 221802 -2922 222102 -2921
-rect 239802 -2922 240102 -2921
-rect 257802 -2922 258102 -2921
-rect 275802 -2922 276102 -2921
-rect 295420 -2922 295720 -2921
-rect -3758 -3222 295720 -2922
-rect -3758 -3223 -3458 -3222
-rect 5802 -3223 6102 -3222
-rect 23802 -3223 24102 -3222
-rect 41802 -3223 42102 -3222
-rect 59802 -3223 60102 -3222
-rect 77802 -3223 78102 -3222
-rect 95802 -3223 96102 -3222
-rect 113802 -3223 114102 -3222
-rect 131802 -3223 132102 -3222
-rect 149802 -3223 150102 -3222
-rect 167802 -3223 168102 -3222
-rect 185802 -3223 186102 -3222
-rect 203802 -3223 204102 -3222
-rect 221802 -3223 222102 -3222
-rect 239802 -3223 240102 -3222
-rect 257802 -3223 258102 -3222
-rect 275802 -3223 276102 -3222
-rect 295420 -3223 295720 -3222
-rect -4218 -3382 -3918 -3381
-rect 14802 -3382 15102 -3381
-rect 32802 -3382 33102 -3381
-rect 50802 -3382 51102 -3381
-rect 68802 -3382 69102 -3381
-rect 86802 -3382 87102 -3381
-rect 104802 -3382 105102 -3381
-rect 122802 -3382 123102 -3381
-rect 140802 -3382 141102 -3381
-rect 158802 -3382 159102 -3381
-rect 176802 -3382 177102 -3381
-rect 194802 -3382 195102 -3381
-rect 212802 -3382 213102 -3381
-rect 230802 -3382 231102 -3381
-rect 248802 -3382 249102 -3381
-rect 266802 -3382 267102 -3381
-rect 284802 -3382 285102 -3381
-rect 295880 -3382 296180 -3381
-rect -4218 -3682 296180 -3382
-rect -4218 -3683 -3918 -3682
-rect 14802 -3683 15102 -3682
-rect 32802 -3683 33102 -3682
-rect 50802 -3683 51102 -3682
-rect 68802 -3683 69102 -3682
-rect 86802 -3683 87102 -3682
-rect 104802 -3683 105102 -3682
-rect 122802 -3683 123102 -3682
-rect 140802 -3683 141102 -3682
-rect 158802 -3683 159102 -3682
-rect 176802 -3683 177102 -3682
-rect 194802 -3683 195102 -3682
-rect 212802 -3683 213102 -3682
-rect 230802 -3683 231102 -3682
-rect 248802 -3683 249102 -3682
-rect 266802 -3683 267102 -3682
-rect 284802 -3683 285102 -3682
-rect 295880 -3683 296180 -3682
-<< labels >>
-rlabel metal3 s 291760 2898 292480 3018 6 analog_io[0]
-port 1 nsew default bidirectional
-rlabel metal3 s 291760 237498 292480 237618 6 analog_io[10]
-port 2 nsew default bidirectional
-rlabel metal3 s 291760 260958 292480 261078 6 analog_io[11]
-port 3 nsew default bidirectional
-rlabel metal3 s 291760 284418 292480 284538 6 analog_io[12]
-port 4 nsew default bidirectional
-rlabel metal3 s 291760 307878 292480 307998 6 analog_io[13]
-port 5 nsew default bidirectional
-rlabel metal3 s 291760 331338 292480 331458 6 analog_io[14]
-port 6 nsew default bidirectional
-rlabel metal2 s 287909 351760 287965 352480 6 analog_io[15]
-port 7 nsew default bidirectional
-rlabel metal2 s 255479 351760 255535 352480 6 analog_io[16]
-port 8 nsew default bidirectional
-rlabel metal2 s 223049 351760 223105 352480 6 analog_io[17]
-port 9 nsew default bidirectional
-rlabel metal2 s 190573 351760 190629 352480 6 analog_io[18]
-port 10 nsew default bidirectional
-rlabel metal2 s 158143 351760 158199 352480 6 analog_io[19]
-port 11 nsew default bidirectional
-rlabel metal3 s 291760 26358 292480 26478 6 analog_io[1]
-port 12 nsew default bidirectional
-rlabel metal2 s 125713 351760 125769 352480 6 analog_io[20]
-port 13 nsew default bidirectional
-rlabel metal2 s 93237 351760 93293 352480 6 analog_io[21]
-port 14 nsew default bidirectional
-rlabel metal2 s 60807 351760 60863 352480 6 analog_io[22]
-port 15 nsew default bidirectional
-rlabel metal2 s 28377 351760 28433 352480 6 analog_io[23]
-port 16 nsew default bidirectional
-rlabel metal3 s -480 348270 240 348390 4 analog_io[24]
-port 17 nsew default bidirectional
-rlabel metal3 s -480 319506 240 319626 4 analog_io[25]
-port 18 nsew default bidirectional
-rlabel metal3 s -480 290810 240 290930 4 analog_io[26]
-port 19 nsew default bidirectional
-rlabel metal3 s -480 262046 240 262166 4 analog_io[27]
-port 20 nsew default bidirectional
-rlabel metal3 s -480 233350 240 233470 4 analog_io[28]
-port 21 nsew default bidirectional
-rlabel metal3 s -480 204586 240 204706 4 analog_io[29]
-port 22 nsew default bidirectional
-rlabel metal3 s 291760 49818 292480 49938 6 analog_io[2]
-port 23 nsew default bidirectional
-rlabel metal3 s -480 175890 240 176010 4 analog_io[30]
-port 24 nsew default bidirectional
-rlabel metal3 s 291760 73278 292480 73398 6 analog_io[3]
-port 25 nsew default bidirectional
-rlabel metal3 s 291760 96738 292480 96858 6 analog_io[4]
-port 26 nsew default bidirectional
-rlabel metal3 s 291760 120198 292480 120318 6 analog_io[5]
-port 27 nsew default bidirectional
-rlabel metal3 s 291760 143658 292480 143778 6 analog_io[6]
-port 28 nsew default bidirectional
-rlabel metal3 s 291760 167118 292480 167238 6 analog_io[7]
-port 29 nsew default bidirectional
-rlabel metal3 s 291760 190578 292480 190698 6 analog_io[8]
-port 30 nsew default bidirectional
-rlabel metal3 s 291760 214038 292480 214158 6 analog_io[9]
-port 31 nsew default bidirectional
-rlabel metal3 s 291760 8746 292480 8866 6 io_in[0]
-port 32 nsew default input
-rlabel metal3 s 291760 243346 292480 243466 6 io_in[10]
-port 33 nsew default input
-rlabel metal3 s 291760 266874 292480 266994 6 io_in[11]
-port 34 nsew default input
-rlabel metal3 s 291760 290334 292480 290454 6 io_in[12]
-port 35 nsew default input
-rlabel metal3 s 291760 313794 292480 313914 6 io_in[13]
-port 36 nsew default input
-rlabel metal3 s 291760 337254 292480 337374 6 io_in[14]
-port 37 nsew default input
-rlabel metal2 s 279813 351760 279869 352480 6 io_in[15]
-port 38 nsew default input
-rlabel metal2 s 247383 351760 247439 352480 6 io_in[16]
-port 39 nsew default input
-rlabel metal2 s 214907 351760 214963 352480 6 io_in[17]
-port 40 nsew default input
-rlabel metal2 s 182477 351760 182533 352480 6 io_in[18]
-port 41 nsew default input
-rlabel metal2 s 150047 351760 150103 352480 6 io_in[19]
-port 42 nsew default input
-rlabel metal3 s 291760 32206 292480 32326 6 io_in[1]
-port 43 nsew default input
-rlabel metal2 s 117571 351760 117627 352480 6 io_in[20]
-port 44 nsew default input
-rlabel metal2 s 85141 351760 85197 352480 6 io_in[21]
-port 45 nsew default input
-rlabel metal2 s 52711 351760 52767 352480 6 io_in[22]
-port 46 nsew default input
-rlabel metal2 s 20235 351760 20291 352480 6 io_in[23]
-port 47 nsew default input
-rlabel metal3 s -480 341062 240 341182 4 io_in[24]
-port 48 nsew default input
-rlabel metal3 s -480 312366 240 312486 4 io_in[25]
-port 49 nsew default input
-rlabel metal3 s -480 283602 240 283722 4 io_in[26]
-port 50 nsew default input
-rlabel metal3 s -480 254906 240 255026 4 io_in[27]
-port 51 nsew default input
-rlabel metal3 s -480 226142 240 226262 4 io_in[28]
-port 52 nsew default input
-rlabel metal3 s -480 197446 240 197566 4 io_in[29]
-port 53 nsew default input
-rlabel metal3 s 291760 55666 292480 55786 6 io_in[2]
-port 54 nsew default input
-rlabel metal3 s -480 168682 240 168802 4 io_in[30]
-port 55 nsew default input
-rlabel metal3 s -480 147126 240 147246 4 io_in[31]
-port 56 nsew default input
-rlabel metal3 s -480 125570 240 125690 4 io_in[32]
-port 57 nsew default input
-rlabel metal3 s -480 104014 240 104134 4 io_in[33]
-port 58 nsew default input
-rlabel metal3 s -480 82458 240 82578 4 io_in[34]
-port 59 nsew default input
-rlabel metal3 s -480 60970 240 61090 4 io_in[35]
-port 60 nsew default input
-rlabel metal3 s -480 39414 240 39534 4 io_in[36]
-port 61 nsew default input
-rlabel metal3 s -480 17858 240 17978 4 io_in[37]
-port 62 nsew default input
-rlabel metal3 s 291760 79126 292480 79246 6 io_in[3]
-port 63 nsew default input
-rlabel metal3 s 291760 102586 292480 102706 6 io_in[4]
-port 64 nsew default input
-rlabel metal3 s 291760 126046 292480 126166 6 io_in[5]
-port 65 nsew default input
-rlabel metal3 s 291760 149506 292480 149626 6 io_in[6]
-port 66 nsew default input
-rlabel metal3 s 291760 172966 292480 173086 6 io_in[7]
-port 67 nsew default input
-rlabel metal3 s 291760 196426 292480 196546 6 io_in[8]
-port 68 nsew default input
-rlabel metal3 s 291760 219886 292480 220006 6 io_in[9]
-port 69 nsew default input
-rlabel metal3 s 291760 20442 292480 20562 6 io_oeb[0]
-port 70 nsew default output
-rlabel metal3 s 291760 255110 292480 255230 6 io_oeb[10]
-port 71 nsew default output
-rlabel metal3 s 291760 278570 292480 278690 6 io_oeb[11]
-port 72 nsew default output
-rlabel metal3 s 291760 302030 292480 302150 6 io_oeb[12]
-port 73 nsew default output
-rlabel metal3 s 291760 325490 292480 325610 6 io_oeb[13]
-port 74 nsew default output
-rlabel metal3 s 291760 348950 292480 349070 6 io_oeb[14]
-port 75 nsew default output
-rlabel metal2 s 263575 351760 263631 352480 6 io_oeb[15]
-port 76 nsew default output
-rlabel metal2 s 231145 351760 231201 352480 6 io_oeb[16]
-port 77 nsew default output
-rlabel metal2 s 198715 351760 198771 352480 6 io_oeb[17]
-port 78 nsew default output
-rlabel metal2 s 166239 351760 166295 352480 6 io_oeb[18]
-port 79 nsew default output
-rlabel metal2 s 133809 351760 133865 352480 6 io_oeb[19]
-port 80 nsew default output
-rlabel metal3 s 291760 43902 292480 44022 6 io_oeb[1]
-port 81 nsew default output
-rlabel metal2 s 101379 351760 101435 352480 6 io_oeb[20]
-port 82 nsew default output
-rlabel metal2 s 68903 351760 68959 352480 6 io_oeb[21]
-port 83 nsew default output
-rlabel metal2 s 36473 351760 36529 352480 6 io_oeb[22]
-port 84 nsew default output
-rlabel metal2 s 4043 351760 4099 352480 6 io_oeb[23]
-port 85 nsew default output
-rlabel metal3 s -480 326714 240 326834 4 io_oeb[24]
-port 86 nsew default output
-rlabel metal3 s -480 297950 240 298070 4 io_oeb[25]
-port 87 nsew default output
-rlabel metal3 s -480 269254 240 269374 4 io_oeb[26]
-port 88 nsew default output
-rlabel metal3 s -480 240490 240 240610 4 io_oeb[27]
-port 89 nsew default output
-rlabel metal3 s -480 211794 240 211914 4 io_oeb[28]
-port 90 nsew default output
-rlabel metal3 s -480 183030 240 183150 4 io_oeb[29]
-port 91 nsew default output
-rlabel metal3 s 291760 67362 292480 67482 6 io_oeb[2]
-port 92 nsew default output
-rlabel metal3 s -480 154334 240 154454 4 io_oeb[30]
-port 93 nsew default output
-rlabel metal3 s -480 132778 240 132898 4 io_oeb[31]
-port 94 nsew default output
-rlabel metal3 s -480 111222 240 111342 4 io_oeb[32]
-port 95 nsew default output
-rlabel metal3 s -480 89666 240 89786 4 io_oeb[33]
-port 96 nsew default output
-rlabel metal3 s -480 68110 240 68230 4 io_oeb[34]
-port 97 nsew default output
-rlabel metal3 s -480 46554 240 46674 4 io_oeb[35]
-port 98 nsew default output
-rlabel metal3 s -480 24998 240 25118 4 io_oeb[36]
-port 99 nsew default output
-rlabel metal3 s -480 3510 240 3630 4 io_oeb[37]
-port 100 nsew default output
-rlabel metal3 s 291760 90890 292480 91010 6 io_oeb[3]
-port 101 nsew default output
-rlabel metal3 s 291760 114350 292480 114470 6 io_oeb[4]
-port 102 nsew default output
-rlabel metal3 s 291760 137810 292480 137930 6 io_oeb[5]
-port 103 nsew default output
-rlabel metal3 s 291760 161270 292480 161390 6 io_oeb[6]
-port 104 nsew default output
-rlabel metal3 s 291760 184730 292480 184850 6 io_oeb[7]
-port 105 nsew default output
-rlabel metal3 s 291760 208190 292480 208310 6 io_oeb[8]
-port 106 nsew default output
-rlabel metal3 s 291760 231650 292480 231770 6 io_oeb[9]
-port 107 nsew default output
-rlabel metal3 s 291760 14594 292480 14714 6 io_out[0]
-port 108 nsew default output
-rlabel metal3 s 291760 249262 292480 249382 6 io_out[10]
-port 109 nsew default output
-rlabel metal3 s 291760 272722 292480 272842 6 io_out[11]
-port 110 nsew default output
-rlabel metal3 s 291760 296182 292480 296302 6 io_out[12]
-port 111 nsew default output
-rlabel metal3 s 291760 319642 292480 319762 6 io_out[13]
-port 112 nsew default output
-rlabel metal3 s 291760 343102 292480 343222 6 io_out[14]
-port 113 nsew default output
-rlabel metal2 s 271717 351760 271773 352480 6 io_out[15]
-port 114 nsew default output
-rlabel metal2 s 239241 351760 239297 352480 6 io_out[16]
-port 115 nsew default output
-rlabel metal2 s 206811 351760 206867 352480 6 io_out[17]
-port 116 nsew default output
-rlabel metal2 s 174381 351760 174437 352480 6 io_out[18]
-port 117 nsew default output
-rlabel metal2 s 141905 351760 141961 352480 6 io_out[19]
-port 118 nsew default output
-rlabel metal3 s 291760 38054 292480 38174 6 io_out[1]
-port 119 nsew default output
-rlabel metal2 s 109475 351760 109531 352480 6 io_out[20]
-port 120 nsew default output
-rlabel metal2 s 77045 351760 77101 352480 6 io_out[21]
-port 121 nsew default output
-rlabel metal2 s 44569 351760 44625 352480 6 io_out[22]
-port 122 nsew default output
-rlabel metal2 s 12139 351760 12195 352480 6 io_out[23]
-port 123 nsew default output
-rlabel metal3 s -480 333922 240 334042 4 io_out[24]
-port 124 nsew default output
-rlabel metal3 s -480 305158 240 305278 4 io_out[25]
-port 125 nsew default output
-rlabel metal3 s -480 276462 240 276582 4 io_out[26]
-port 126 nsew default output
-rlabel metal3 s -480 247698 240 247818 4 io_out[27]
-port 127 nsew default output
-rlabel metal3 s -480 218934 240 219054 4 io_out[28]
-port 128 nsew default output
-rlabel metal3 s -480 190238 240 190358 4 io_out[29]
-port 129 nsew default output
-rlabel metal3 s 291760 61514 292480 61634 6 io_out[2]
-port 130 nsew default output
-rlabel metal3 s -480 161474 240 161594 4 io_out[30]
-port 131 nsew default output
-rlabel metal3 s -480 139986 240 140106 4 io_out[31]
-port 132 nsew default output
-rlabel metal3 s -480 118430 240 118550 4 io_out[32]
-port 133 nsew default output
-rlabel metal3 s -480 96874 240 96994 4 io_out[33]
-port 134 nsew default output
-rlabel metal3 s -480 75318 240 75438 4 io_out[34]
-port 135 nsew default output
-rlabel metal3 s -480 53762 240 53882 4 io_out[35]
-port 136 nsew default output
-rlabel metal3 s -480 32206 240 32326 4 io_out[36]
-port 137 nsew default output
-rlabel metal3 s -480 10650 240 10770 4 io_out[37]
-port 138 nsew default output
-rlabel metal3 s 291760 84974 292480 85094 6 io_out[3]
-port 139 nsew default output
-rlabel metal3 s 291760 108434 292480 108554 6 io_out[4]
-port 140 nsew default output
-rlabel metal3 s 291760 131894 292480 132014 6 io_out[5]
-port 141 nsew default output
-rlabel metal3 s 291760 155354 292480 155474 6 io_out[6]
-port 142 nsew default output
-rlabel metal3 s 291760 178882 292480 179002 6 io_out[7]
-port 143 nsew default output
-rlabel metal3 s 291760 202342 292480 202462 6 io_out[8]
-port 144 nsew default output
-rlabel metal3 s 291760 225802 292480 225922 6 io_out[9]
-port 145 nsew default output
-rlabel metal2 s 63291 -480 63347 240 8 la_data_in[0]
-port 146 nsew default input
-rlabel metal2 s 241725 -480 241781 240 8 la_data_in[100]
-port 147 nsew default input
-rlabel metal2 s 243473 -480 243529 240 8 la_data_in[101]
-port 148 nsew default input
-rlabel metal2 s 245267 -480 245323 240 8 la_data_in[102]
-port 149 nsew default input
-rlabel metal2 s 247061 -480 247117 240 8 la_data_in[103]
-port 150 nsew default input
-rlabel metal2 s 248855 -480 248911 240 8 la_data_in[104]
-port 151 nsew default input
-rlabel metal2 s 250603 -480 250659 240 8 la_data_in[105]
-port 152 nsew default input
-rlabel metal2 s 252397 -480 252453 240 8 la_data_in[106]
-port 153 nsew default input
-rlabel metal2 s 254191 -480 254247 240 8 la_data_in[107]
-port 154 nsew default input
-rlabel metal2 s 255985 -480 256041 240 8 la_data_in[108]
-port 155 nsew default input
-rlabel metal2 s 257779 -480 257835 240 8 la_data_in[109]
-port 156 nsew default input
-rlabel metal2 s 81139 -480 81195 240 8 la_data_in[10]
-port 157 nsew default input
-rlabel metal2 s 259527 -480 259583 240 8 la_data_in[110]
-port 158 nsew default input
-rlabel metal2 s 261321 -480 261377 240 8 la_data_in[111]
-port 159 nsew default input
-rlabel metal2 s 263115 -480 263171 240 8 la_data_in[112]
-port 160 nsew default input
-rlabel metal2 s 264909 -480 264965 240 8 la_data_in[113]
-port 161 nsew default input
-rlabel metal2 s 266703 -480 266759 240 8 la_data_in[114]
-port 162 nsew default input
-rlabel metal2 s 268451 -480 268507 240 8 la_data_in[115]
-port 163 nsew default input
-rlabel metal2 s 270245 -480 270301 240 8 la_data_in[116]
-port 164 nsew default input
-rlabel metal2 s 272039 -480 272095 240 8 la_data_in[117]
-port 165 nsew default input
-rlabel metal2 s 273833 -480 273889 240 8 la_data_in[118]
-port 166 nsew default input
-rlabel metal2 s 275581 -480 275637 240 8 la_data_in[119]
-port 167 nsew default input
-rlabel metal2 s 82933 -480 82989 240 8 la_data_in[11]
-port 168 nsew default input
-rlabel metal2 s 277375 -480 277431 240 8 la_data_in[120]
-port 169 nsew default input
-rlabel metal2 s 279169 -480 279225 240 8 la_data_in[121]
-port 170 nsew default input
-rlabel metal2 s 280963 -480 281019 240 8 la_data_in[122]
-port 171 nsew default input
-rlabel metal2 s 282757 -480 282813 240 8 la_data_in[123]
-port 172 nsew default input
-rlabel metal2 s 284505 -480 284561 240 8 la_data_in[124]
-port 173 nsew default input
-rlabel metal2 s 286299 -480 286355 240 8 la_data_in[125]
-port 174 nsew default input
-rlabel metal2 s 288093 -480 288149 240 8 la_data_in[126]
-port 175 nsew default input
-rlabel metal2 s 289887 -480 289943 240 8 la_data_in[127]
-port 176 nsew default input
-rlabel metal2 s 84681 -480 84737 240 8 la_data_in[12]
-port 177 nsew default input
-rlabel metal2 s 86475 -480 86531 240 8 la_data_in[13]
-port 178 nsew default input
-rlabel metal2 s 88269 -480 88325 240 8 la_data_in[14]
-port 179 nsew default input
-rlabel metal2 s 90063 -480 90119 240 8 la_data_in[15]
-port 180 nsew default input
-rlabel metal2 s 91857 -480 91913 240 8 la_data_in[16]
-port 181 nsew default input
-rlabel metal2 s 93605 -480 93661 240 8 la_data_in[17]
-port 182 nsew default input
-rlabel metal2 s 95399 -480 95455 240 8 la_data_in[18]
-port 183 nsew default input
-rlabel metal2 s 97193 -480 97249 240 8 la_data_in[19]
-port 184 nsew default input
-rlabel metal2 s 65085 -480 65141 240 8 la_data_in[1]
-port 185 nsew default input
-rlabel metal2 s 98987 -480 99043 240 8 la_data_in[20]
-port 186 nsew default input
-rlabel metal2 s 100735 -480 100791 240 8 la_data_in[21]
-port 187 nsew default input
-rlabel metal2 s 102529 -480 102585 240 8 la_data_in[22]
-port 188 nsew default input
-rlabel metal2 s 104323 -480 104379 240 8 la_data_in[23]
-port 189 nsew default input
-rlabel metal2 s 106117 -480 106173 240 8 la_data_in[24]
-port 190 nsew default input
-rlabel metal2 s 107911 -480 107967 240 8 la_data_in[25]
-port 191 nsew default input
-rlabel metal2 s 109659 -480 109715 240 8 la_data_in[26]
-port 192 nsew default input
-rlabel metal2 s 111453 -480 111509 240 8 la_data_in[27]
-port 193 nsew default input
-rlabel metal2 s 113247 -480 113303 240 8 la_data_in[28]
-port 194 nsew default input
-rlabel metal2 s 115041 -480 115097 240 8 la_data_in[29]
-port 195 nsew default input
-rlabel metal2 s 66879 -480 66935 240 8 la_data_in[2]
-port 196 nsew default input
-rlabel metal2 s 116835 -480 116891 240 8 la_data_in[30]
-port 197 nsew default input
-rlabel metal2 s 118583 -480 118639 240 8 la_data_in[31]
-port 198 nsew default input
-rlabel metal2 s 120377 -480 120433 240 8 la_data_in[32]
-port 199 nsew default input
-rlabel metal2 s 122171 -480 122227 240 8 la_data_in[33]
-port 200 nsew default input
-rlabel metal2 s 123965 -480 124021 240 8 la_data_in[34]
-port 201 nsew default input
-rlabel metal2 s 125713 -480 125769 240 8 la_data_in[35]
-port 202 nsew default input
-rlabel metal2 s 127507 -480 127563 240 8 la_data_in[36]
-port 203 nsew default input
-rlabel metal2 s 129301 -480 129357 240 8 la_data_in[37]
-port 204 nsew default input
-rlabel metal2 s 131095 -480 131151 240 8 la_data_in[38]
-port 205 nsew default input
-rlabel metal2 s 132889 -480 132945 240 8 la_data_in[39]
-port 206 nsew default input
-rlabel metal2 s 68627 -480 68683 240 8 la_data_in[3]
-port 207 nsew default input
-rlabel metal2 s 134637 -480 134693 240 8 la_data_in[40]
-port 208 nsew default input
-rlabel metal2 s 136431 -480 136487 240 8 la_data_in[41]
-port 209 nsew default input
-rlabel metal2 s 138225 -480 138281 240 8 la_data_in[42]
-port 210 nsew default input
-rlabel metal2 s 140019 -480 140075 240 8 la_data_in[43]
-port 211 nsew default input
-rlabel metal2 s 141813 -480 141869 240 8 la_data_in[44]
-port 212 nsew default input
-rlabel metal2 s 143561 -480 143617 240 8 la_data_in[45]
-port 213 nsew default input
-rlabel metal2 s 145355 -480 145411 240 8 la_data_in[46]
-port 214 nsew default input
-rlabel metal2 s 147149 -480 147205 240 8 la_data_in[47]
-port 215 nsew default input
-rlabel metal2 s 148943 -480 148999 240 8 la_data_in[48]
-port 216 nsew default input
-rlabel metal2 s 150691 -480 150747 240 8 la_data_in[49]
-port 217 nsew default input
-rlabel metal2 s 70421 -480 70477 240 8 la_data_in[4]
-port 218 nsew default input
-rlabel metal2 s 152485 -480 152541 240 8 la_data_in[50]
-port 219 nsew default input
-rlabel metal2 s 154279 -480 154335 240 8 la_data_in[51]
-port 220 nsew default input
-rlabel metal2 s 156073 -480 156129 240 8 la_data_in[52]
-port 221 nsew default input
-rlabel metal2 s 157867 -480 157923 240 8 la_data_in[53]
-port 222 nsew default input
-rlabel metal2 s 159615 -480 159671 240 8 la_data_in[54]
-port 223 nsew default input
-rlabel metal2 s 161409 -480 161465 240 8 la_data_in[55]
-port 224 nsew default input
-rlabel metal2 s 163203 -480 163259 240 8 la_data_in[56]
-port 225 nsew default input
-rlabel metal2 s 164997 -480 165053 240 8 la_data_in[57]
-port 226 nsew default input
-rlabel metal2 s 166791 -480 166847 240 8 la_data_in[58]
-port 227 nsew default input
-rlabel metal2 s 168539 -480 168595 240 8 la_data_in[59]
-port 228 nsew default input
-rlabel metal2 s 72215 -480 72271 240 8 la_data_in[5]
-port 229 nsew default input
-rlabel metal2 s 170333 -480 170389 240 8 la_data_in[60]
-port 230 nsew default input
-rlabel metal2 s 172127 -480 172183 240 8 la_data_in[61]
-port 231 nsew default input
-rlabel metal2 s 173921 -480 173977 240 8 la_data_in[62]
-port 232 nsew default input
-rlabel metal2 s 175669 -480 175725 240 8 la_data_in[63]
-port 233 nsew default input
-rlabel metal2 s 177463 -480 177519 240 8 la_data_in[64]
-port 234 nsew default input
-rlabel metal2 s 179257 -480 179313 240 8 la_data_in[65]
-port 235 nsew default input
-rlabel metal2 s 181051 -480 181107 240 8 la_data_in[66]
-port 236 nsew default input
-rlabel metal2 s 182845 -480 182901 240 8 la_data_in[67]
-port 237 nsew default input
-rlabel metal2 s 184593 -480 184649 240 8 la_data_in[68]
-port 238 nsew default input
-rlabel metal2 s 186387 -480 186443 240 8 la_data_in[69]
-port 239 nsew default input
-rlabel metal2 s 74009 -480 74065 240 8 la_data_in[6]
-port 240 nsew default input
-rlabel metal2 s 188181 -480 188237 240 8 la_data_in[70]
-port 241 nsew default input
-rlabel metal2 s 189975 -480 190031 240 8 la_data_in[71]
-port 242 nsew default input
-rlabel metal2 s 191769 -480 191825 240 8 la_data_in[72]
-port 243 nsew default input
-rlabel metal2 s 193517 -480 193573 240 8 la_data_in[73]
-port 244 nsew default input
-rlabel metal2 s 195311 -480 195367 240 8 la_data_in[74]
-port 245 nsew default input
-rlabel metal2 s 197105 -480 197161 240 8 la_data_in[75]
-port 246 nsew default input
-rlabel metal2 s 198899 -480 198955 240 8 la_data_in[76]
-port 247 nsew default input
-rlabel metal2 s 200647 -480 200703 240 8 la_data_in[77]
-port 248 nsew default input
-rlabel metal2 s 202441 -480 202497 240 8 la_data_in[78]
-port 249 nsew default input
-rlabel metal2 s 204235 -480 204291 240 8 la_data_in[79]
-port 250 nsew default input
-rlabel metal2 s 75757 -480 75813 240 8 la_data_in[7]
-port 251 nsew default input
-rlabel metal2 s 206029 -480 206085 240 8 la_data_in[80]
-port 252 nsew default input
-rlabel metal2 s 207823 -480 207879 240 8 la_data_in[81]
-port 253 nsew default input
-rlabel metal2 s 209571 -480 209627 240 8 la_data_in[82]
-port 254 nsew default input
-rlabel metal2 s 211365 -480 211421 240 8 la_data_in[83]
-port 255 nsew default input
-rlabel metal2 s 213159 -480 213215 240 8 la_data_in[84]
-port 256 nsew default input
-rlabel metal2 s 214953 -480 215009 240 8 la_data_in[85]
-port 257 nsew default input
-rlabel metal2 s 216747 -480 216803 240 8 la_data_in[86]
-port 258 nsew default input
-rlabel metal2 s 218495 -480 218551 240 8 la_data_in[87]
-port 259 nsew default input
-rlabel metal2 s 220289 -480 220345 240 8 la_data_in[88]
-port 260 nsew default input
-rlabel metal2 s 222083 -480 222139 240 8 la_data_in[89]
-port 261 nsew default input
-rlabel metal2 s 77551 -480 77607 240 8 la_data_in[8]
-port 262 nsew default input
-rlabel metal2 s 223877 -480 223933 240 8 la_data_in[90]
-port 263 nsew default input
-rlabel metal2 s 225625 -480 225681 240 8 la_data_in[91]
-port 264 nsew default input
-rlabel metal2 s 227419 -480 227475 240 8 la_data_in[92]
-port 265 nsew default input
-rlabel metal2 s 229213 -480 229269 240 8 la_data_in[93]
-port 266 nsew default input
-rlabel metal2 s 231007 -480 231063 240 8 la_data_in[94]
-port 267 nsew default input
-rlabel metal2 s 232801 -480 232857 240 8 la_data_in[95]
-port 268 nsew default input
-rlabel metal2 s 234549 -480 234605 240 8 la_data_in[96]
-port 269 nsew default input
-rlabel metal2 s 236343 -480 236399 240 8 la_data_in[97]
-port 270 nsew default input
-rlabel metal2 s 238137 -480 238193 240 8 la_data_in[98]
-port 271 nsew default input
-rlabel metal2 s 239931 -480 239987 240 8 la_data_in[99]
-port 272 nsew default input
-rlabel metal2 s 79345 -480 79401 240 8 la_data_in[9]
-port 273 nsew default input
-rlabel metal2 s 63889 -480 63945 240 8 la_data_out[0]
-port 274 nsew default output
-rlabel metal2 s 242277 -480 242333 240 8 la_data_out[100]
-port 275 nsew default output
-rlabel metal2 s 244071 -480 244127 240 8 la_data_out[101]
-port 276 nsew default output
-rlabel metal2 s 245865 -480 245921 240 8 la_data_out[102]
-port 277 nsew default output
-rlabel metal2 s 247659 -480 247715 240 8 la_data_out[103]
-port 278 nsew default output
-rlabel metal2 s 249453 -480 249509 240 8 la_data_out[104]
-port 279 nsew default output
-rlabel metal2 s 251201 -480 251257 240 8 la_data_out[105]
-port 280 nsew default output
-rlabel metal2 s 252995 -480 253051 240 8 la_data_out[106]
-port 281 nsew default output
-rlabel metal2 s 254789 -480 254845 240 8 la_data_out[107]
-port 282 nsew default output
-rlabel metal2 s 256583 -480 256639 240 8 la_data_out[108]
-port 283 nsew default output
-rlabel metal2 s 258377 -480 258433 240 8 la_data_out[109]
-port 284 nsew default output
-rlabel metal2 s 81737 -480 81793 240 8 la_data_out[10]
-port 285 nsew default output
-rlabel metal2 s 260125 -480 260181 240 8 la_data_out[110]
-port 286 nsew default output
-rlabel metal2 s 261919 -480 261975 240 8 la_data_out[111]
-port 287 nsew default output
-rlabel metal2 s 263713 -480 263769 240 8 la_data_out[112]
-port 288 nsew default output
-rlabel metal2 s 265507 -480 265563 240 8 la_data_out[113]
-port 289 nsew default output
-rlabel metal2 s 267255 -480 267311 240 8 la_data_out[114]
-port 290 nsew default output
-rlabel metal2 s 269049 -480 269105 240 8 la_data_out[115]
-port 291 nsew default output
-rlabel metal2 s 270843 -480 270899 240 8 la_data_out[116]
-port 292 nsew default output
-rlabel metal2 s 272637 -480 272693 240 8 la_data_out[117]
-port 293 nsew default output
-rlabel metal2 s 274431 -480 274487 240 8 la_data_out[118]
-port 294 nsew default output
-rlabel metal2 s 276179 -480 276235 240 8 la_data_out[119]
-port 295 nsew default output
-rlabel metal2 s 83531 -480 83587 240 8 la_data_out[11]
-port 296 nsew default output
-rlabel metal2 s 277973 -480 278029 240 8 la_data_out[120]
-port 297 nsew default output
-rlabel metal2 s 279767 -480 279823 240 8 la_data_out[121]
-port 298 nsew default output
-rlabel metal2 s 281561 -480 281617 240 8 la_data_out[122]
-port 299 nsew default output
-rlabel metal2 s 283355 -480 283411 240 8 la_data_out[123]
-port 300 nsew default output
-rlabel metal2 s 285103 -480 285159 240 8 la_data_out[124]
-port 301 nsew default output
-rlabel metal2 s 286897 -480 286953 240 8 la_data_out[125]
-port 302 nsew default output
-rlabel metal2 s 288691 -480 288747 240 8 la_data_out[126]
-port 303 nsew default output
-rlabel metal2 s 290485 -480 290541 240 8 la_data_out[127]
-port 304 nsew default output
-rlabel metal2 s 85279 -480 85335 240 8 la_data_out[12]
-port 305 nsew default output
-rlabel metal2 s 87073 -480 87129 240 8 la_data_out[13]
-port 306 nsew default output
-rlabel metal2 s 88867 -480 88923 240 8 la_data_out[14]
-port 307 nsew default output
-rlabel metal2 s 90661 -480 90717 240 8 la_data_out[15]
-port 308 nsew default output
-rlabel metal2 s 92409 -480 92465 240 8 la_data_out[16]
-port 309 nsew default output
-rlabel metal2 s 94203 -480 94259 240 8 la_data_out[17]
-port 310 nsew default output
-rlabel metal2 s 95997 -480 96053 240 8 la_data_out[18]
-port 311 nsew default output
-rlabel metal2 s 97791 -480 97847 240 8 la_data_out[19]
-port 312 nsew default output
-rlabel metal2 s 65683 -480 65739 240 8 la_data_out[1]
-port 313 nsew default output
-rlabel metal2 s 99585 -480 99641 240 8 la_data_out[20]
-port 314 nsew default output
-rlabel metal2 s 101333 -480 101389 240 8 la_data_out[21]
-port 315 nsew default output
-rlabel metal2 s 103127 -480 103183 240 8 la_data_out[22]
-port 316 nsew default output
-rlabel metal2 s 104921 -480 104977 240 8 la_data_out[23]
-port 317 nsew default output
-rlabel metal2 s 106715 -480 106771 240 8 la_data_out[24]
-port 318 nsew default output
-rlabel metal2 s 108509 -480 108565 240 8 la_data_out[25]
-port 319 nsew default output
-rlabel metal2 s 110257 -480 110313 240 8 la_data_out[26]
-port 320 nsew default output
-rlabel metal2 s 112051 -480 112107 240 8 la_data_out[27]
-port 321 nsew default output
-rlabel metal2 s 113845 -480 113901 240 8 la_data_out[28]
-port 322 nsew default output
-rlabel metal2 s 115639 -480 115695 240 8 la_data_out[29]
-port 323 nsew default output
-rlabel metal2 s 67431 -480 67487 240 8 la_data_out[2]
-port 324 nsew default output
-rlabel metal2 s 117387 -480 117443 240 8 la_data_out[30]
-port 325 nsew default output
-rlabel metal2 s 119181 -480 119237 240 8 la_data_out[31]
-port 326 nsew default output
-rlabel metal2 s 120975 -480 121031 240 8 la_data_out[32]
-port 327 nsew default output
-rlabel metal2 s 122769 -480 122825 240 8 la_data_out[33]
-port 328 nsew default output
-rlabel metal2 s 124563 -480 124619 240 8 la_data_out[34]
-port 329 nsew default output
-rlabel metal2 s 126311 -480 126367 240 8 la_data_out[35]
-port 330 nsew default output
-rlabel metal2 s 128105 -480 128161 240 8 la_data_out[36]
-port 331 nsew default output
-rlabel metal2 s 129899 -480 129955 240 8 la_data_out[37]
-port 332 nsew default output
-rlabel metal2 s 131693 -480 131749 240 8 la_data_out[38]
-port 333 nsew default output
-rlabel metal2 s 133487 -480 133543 240 8 la_data_out[39]
-port 334 nsew default output
-rlabel metal2 s 69225 -480 69281 240 8 la_data_out[3]
-port 335 nsew default output
-rlabel metal2 s 135235 -480 135291 240 8 la_data_out[40]
-port 336 nsew default output
-rlabel metal2 s 137029 -480 137085 240 8 la_data_out[41]
-port 337 nsew default output
-rlabel metal2 s 138823 -480 138879 240 8 la_data_out[42]
-port 338 nsew default output
-rlabel metal2 s 140617 -480 140673 240 8 la_data_out[43]
-port 339 nsew default output
-rlabel metal2 s 142365 -480 142421 240 8 la_data_out[44]
-port 340 nsew default output
-rlabel metal2 s 144159 -480 144215 240 8 la_data_out[45]
-port 341 nsew default output
-rlabel metal2 s 145953 -480 146009 240 8 la_data_out[46]
-port 342 nsew default output
-rlabel metal2 s 147747 -480 147803 240 8 la_data_out[47]
-port 343 nsew default output
-rlabel metal2 s 149541 -480 149597 240 8 la_data_out[48]
-port 344 nsew default output
-rlabel metal2 s 151289 -480 151345 240 8 la_data_out[49]
-port 345 nsew default output
-rlabel metal2 s 71019 -480 71075 240 8 la_data_out[4]
-port 346 nsew default output
-rlabel metal2 s 153083 -480 153139 240 8 la_data_out[50]
-port 347 nsew default output
-rlabel metal2 s 154877 -480 154933 240 8 la_data_out[51]
-port 348 nsew default output
-rlabel metal2 s 156671 -480 156727 240 8 la_data_out[52]
-port 349 nsew default output
-rlabel metal2 s 158465 -480 158521 240 8 la_data_out[53]
-port 350 nsew default output
-rlabel metal2 s 160213 -480 160269 240 8 la_data_out[54]
-port 351 nsew default output
-rlabel metal2 s 162007 -480 162063 240 8 la_data_out[55]
-port 352 nsew default output
-rlabel metal2 s 163801 -480 163857 240 8 la_data_out[56]
-port 353 nsew default output
-rlabel metal2 s 165595 -480 165651 240 8 la_data_out[57]
-port 354 nsew default output
-rlabel metal2 s 167343 -480 167399 240 8 la_data_out[58]
-port 355 nsew default output
-rlabel metal2 s 169137 -480 169193 240 8 la_data_out[59]
-port 356 nsew default output
-rlabel metal2 s 72813 -480 72869 240 8 la_data_out[5]
-port 357 nsew default output
-rlabel metal2 s 170931 -480 170987 240 8 la_data_out[60]
-port 358 nsew default output
-rlabel metal2 s 172725 -480 172781 240 8 la_data_out[61]
-port 359 nsew default output
-rlabel metal2 s 174519 -480 174575 240 8 la_data_out[62]
-port 360 nsew default output
-rlabel metal2 s 176267 -480 176323 240 8 la_data_out[63]
-port 361 nsew default output
-rlabel metal2 s 178061 -480 178117 240 8 la_data_out[64]
-port 362 nsew default output
-rlabel metal2 s 179855 -480 179911 240 8 la_data_out[65]
-port 363 nsew default output
-rlabel metal2 s 181649 -480 181705 240 8 la_data_out[66]
-port 364 nsew default output
-rlabel metal2 s 183443 -480 183499 240 8 la_data_out[67]
-port 365 nsew default output
-rlabel metal2 s 185191 -480 185247 240 8 la_data_out[68]
-port 366 nsew default output
-rlabel metal2 s 186985 -480 187041 240 8 la_data_out[69]
-port 367 nsew default output
-rlabel metal2 s 74607 -480 74663 240 8 la_data_out[6]
-port 368 nsew default output
-rlabel metal2 s 188779 -480 188835 240 8 la_data_out[70]
-port 369 nsew default output
-rlabel metal2 s 190573 -480 190629 240 8 la_data_out[71]
-port 370 nsew default output
-rlabel metal2 s 192321 -480 192377 240 8 la_data_out[72]
-port 371 nsew default output
-rlabel metal2 s 194115 -480 194171 240 8 la_data_out[73]
-port 372 nsew default output
-rlabel metal2 s 195909 -480 195965 240 8 la_data_out[74]
-port 373 nsew default output
-rlabel metal2 s 197703 -480 197759 240 8 la_data_out[75]
-port 374 nsew default output
-rlabel metal2 s 199497 -480 199553 240 8 la_data_out[76]
-port 375 nsew default output
-rlabel metal2 s 201245 -480 201301 240 8 la_data_out[77]
-port 376 nsew default output
-rlabel metal2 s 203039 -480 203095 240 8 la_data_out[78]
-port 377 nsew default output
-rlabel metal2 s 204833 -480 204889 240 8 la_data_out[79]
-port 378 nsew default output
-rlabel metal2 s 76355 -480 76411 240 8 la_data_out[7]
-port 379 nsew default output
-rlabel metal2 s 206627 -480 206683 240 8 la_data_out[80]
-port 380 nsew default output
-rlabel metal2 s 208421 -480 208477 240 8 la_data_out[81]
-port 381 nsew default output
-rlabel metal2 s 210169 -480 210225 240 8 la_data_out[82]
-port 382 nsew default output
-rlabel metal2 s 211963 -480 212019 240 8 la_data_out[83]
-port 383 nsew default output
-rlabel metal2 s 213757 -480 213813 240 8 la_data_out[84]
-port 384 nsew default output
-rlabel metal2 s 215551 -480 215607 240 8 la_data_out[85]
-port 385 nsew default output
-rlabel metal2 s 217299 -480 217355 240 8 la_data_out[86]
-port 386 nsew default output
-rlabel metal2 s 219093 -480 219149 240 8 la_data_out[87]
-port 387 nsew default output
-rlabel metal2 s 220887 -480 220943 240 8 la_data_out[88]
-port 388 nsew default output
-rlabel metal2 s 222681 -480 222737 240 8 la_data_out[89]
-port 389 nsew default output
-rlabel metal2 s 78149 -480 78205 240 8 la_data_out[8]
-port 390 nsew default output
-rlabel metal2 s 224475 -480 224531 240 8 la_data_out[90]
-port 391 nsew default output
-rlabel metal2 s 226223 -480 226279 240 8 la_data_out[91]
-port 392 nsew default output
-rlabel metal2 s 228017 -480 228073 240 8 la_data_out[92]
-port 393 nsew default output
-rlabel metal2 s 229811 -480 229867 240 8 la_data_out[93]
-port 394 nsew default output
-rlabel metal2 s 231605 -480 231661 240 8 la_data_out[94]
-port 395 nsew default output
-rlabel metal2 s 233399 -480 233455 240 8 la_data_out[95]
-port 396 nsew default output
-rlabel metal2 s 235147 -480 235203 240 8 la_data_out[96]
-port 397 nsew default output
-rlabel metal2 s 236941 -480 236997 240 8 la_data_out[97]
-port 398 nsew default output
-rlabel metal2 s 238735 -480 238791 240 8 la_data_out[98]
-port 399 nsew default output
-rlabel metal2 s 240529 -480 240585 240 8 la_data_out[99]
-port 400 nsew default output
-rlabel metal2 s 79943 -480 79999 240 8 la_data_out[9]
-port 401 nsew default output
-rlabel metal2 s 64487 -480 64543 240 8 la_oen[0]
-port 402 nsew default input
-rlabel metal2 s 242875 -480 242931 240 8 la_oen[100]
-port 403 nsew default input
-rlabel metal2 s 244669 -480 244725 240 8 la_oen[101]
-port 404 nsew default input
-rlabel metal2 s 246463 -480 246519 240 8 la_oen[102]
-port 405 nsew default input
-rlabel metal2 s 248257 -480 248313 240 8 la_oen[103]
-port 406 nsew default input
-rlabel metal2 s 250051 -480 250107 240 8 la_oen[104]
-port 407 nsew default input
-rlabel metal2 s 251799 -480 251855 240 8 la_oen[105]
-port 408 nsew default input
-rlabel metal2 s 253593 -480 253649 240 8 la_oen[106]
-port 409 nsew default input
-rlabel metal2 s 255387 -480 255443 240 8 la_oen[107]
-port 410 nsew default input
-rlabel metal2 s 257181 -480 257237 240 8 la_oen[108]
-port 411 nsew default input
-rlabel metal2 s 258929 -480 258985 240 8 la_oen[109]
-port 412 nsew default input
-rlabel metal2 s 82335 -480 82391 240 8 la_oen[10]
-port 413 nsew default input
-rlabel metal2 s 260723 -480 260779 240 8 la_oen[110]
-port 414 nsew default input
-rlabel metal2 s 262517 -480 262573 240 8 la_oen[111]
-port 415 nsew default input
-rlabel metal2 s 264311 -480 264367 240 8 la_oen[112]
-port 416 nsew default input
-rlabel metal2 s 266105 -480 266161 240 8 la_oen[113]
-port 417 nsew default input
-rlabel metal2 s 267853 -480 267909 240 8 la_oen[114]
-port 418 nsew default input
-rlabel metal2 s 269647 -480 269703 240 8 la_oen[115]
-port 419 nsew default input
-rlabel metal2 s 271441 -480 271497 240 8 la_oen[116]
-port 420 nsew default input
-rlabel metal2 s 273235 -480 273291 240 8 la_oen[117]
-port 421 nsew default input
-rlabel metal2 s 275029 -480 275085 240 8 la_oen[118]
-port 422 nsew default input
-rlabel metal2 s 276777 -480 276833 240 8 la_oen[119]
-port 423 nsew default input
-rlabel metal2 s 84083 -480 84139 240 8 la_oen[11]
-port 424 nsew default input
-rlabel metal2 s 278571 -480 278627 240 8 la_oen[120]
-port 425 nsew default input
-rlabel metal2 s 280365 -480 280421 240 8 la_oen[121]
-port 426 nsew default input
-rlabel metal2 s 282159 -480 282215 240 8 la_oen[122]
-port 427 nsew default input
-rlabel metal2 s 283907 -480 283963 240 8 la_oen[123]
-port 428 nsew default input
-rlabel metal2 s 285701 -480 285757 240 8 la_oen[124]
-port 429 nsew default input
-rlabel metal2 s 287495 -480 287551 240 8 la_oen[125]
-port 430 nsew default input
-rlabel metal2 s 289289 -480 289345 240 8 la_oen[126]
-port 431 nsew default input
-rlabel metal2 s 291083 -480 291139 240 8 la_oen[127]
-port 432 nsew default input
-rlabel metal2 s 85877 -480 85933 240 8 la_oen[12]
-port 433 nsew default input
-rlabel metal2 s 87671 -480 87727 240 8 la_oen[13]
-port 434 nsew default input
-rlabel metal2 s 89465 -480 89521 240 8 la_oen[14]
-port 435 nsew default input
-rlabel metal2 s 91259 -480 91315 240 8 la_oen[15]
-port 436 nsew default input
-rlabel metal2 s 93007 -480 93063 240 8 la_oen[16]
-port 437 nsew default input
-rlabel metal2 s 94801 -480 94857 240 8 la_oen[17]
-port 438 nsew default input
-rlabel metal2 s 96595 -480 96651 240 8 la_oen[18]
-port 439 nsew default input
-rlabel metal2 s 98389 -480 98445 240 8 la_oen[19]
-port 440 nsew default input
-rlabel metal2 s 66281 -480 66337 240 8 la_oen[1]
-port 441 nsew default input
-rlabel metal2 s 100183 -480 100239 240 8 la_oen[20]
-port 442 nsew default input
-rlabel metal2 s 101931 -480 101987 240 8 la_oen[21]
-port 443 nsew default input
-rlabel metal2 s 103725 -480 103781 240 8 la_oen[22]
-port 444 nsew default input
-rlabel metal2 s 105519 -480 105575 240 8 la_oen[23]
-port 445 nsew default input
-rlabel metal2 s 107313 -480 107369 240 8 la_oen[24]
-port 446 nsew default input
-rlabel metal2 s 109061 -480 109117 240 8 la_oen[25]
-port 447 nsew default input
-rlabel metal2 s 110855 -480 110911 240 8 la_oen[26]
-port 448 nsew default input
-rlabel metal2 s 112649 -480 112705 240 8 la_oen[27]
-port 449 nsew default input
-rlabel metal2 s 114443 -480 114499 240 8 la_oen[28]
-port 450 nsew default input
-rlabel metal2 s 116237 -480 116293 240 8 la_oen[29]
-port 451 nsew default input
-rlabel metal2 s 68029 -480 68085 240 8 la_oen[2]
-port 452 nsew default input
-rlabel metal2 s 117985 -480 118041 240 8 la_oen[30]
-port 453 nsew default input
-rlabel metal2 s 119779 -480 119835 240 8 la_oen[31]
-port 454 nsew default input
-rlabel metal2 s 121573 -480 121629 240 8 la_oen[32]
-port 455 nsew default input
-rlabel metal2 s 123367 -480 123423 240 8 la_oen[33]
-port 456 nsew default input
-rlabel metal2 s 125161 -480 125217 240 8 la_oen[34]
-port 457 nsew default input
-rlabel metal2 s 126909 -480 126965 240 8 la_oen[35]
-port 458 nsew default input
-rlabel metal2 s 128703 -480 128759 240 8 la_oen[36]
-port 459 nsew default input
-rlabel metal2 s 130497 -480 130553 240 8 la_oen[37]
-port 460 nsew default input
-rlabel metal2 s 132291 -480 132347 240 8 la_oen[38]
-port 461 nsew default input
-rlabel metal2 s 134039 -480 134095 240 8 la_oen[39]
-port 462 nsew default input
-rlabel metal2 s 69823 -480 69879 240 8 la_oen[3]
-port 463 nsew default input
-rlabel metal2 s 135833 -480 135889 240 8 la_oen[40]
-port 464 nsew default input
-rlabel metal2 s 137627 -480 137683 240 8 la_oen[41]
-port 465 nsew default input
-rlabel metal2 s 139421 -480 139477 240 8 la_oen[42]
-port 466 nsew default input
-rlabel metal2 s 141215 -480 141271 240 8 la_oen[43]
-port 467 nsew default input
-rlabel metal2 s 142963 -480 143019 240 8 la_oen[44]
-port 468 nsew default input
-rlabel metal2 s 144757 -480 144813 240 8 la_oen[45]
-port 469 nsew default input
-rlabel metal2 s 146551 -480 146607 240 8 la_oen[46]
-port 470 nsew default input
-rlabel metal2 s 148345 -480 148401 240 8 la_oen[47]
-port 471 nsew default input
-rlabel metal2 s 150139 -480 150195 240 8 la_oen[48]
-port 472 nsew default input
-rlabel metal2 s 151887 -480 151943 240 8 la_oen[49]
-port 473 nsew default input
-rlabel metal2 s 71617 -480 71673 240 8 la_oen[4]
-port 474 nsew default input
-rlabel metal2 s 153681 -480 153737 240 8 la_oen[50]
-port 475 nsew default input
-rlabel metal2 s 155475 -480 155531 240 8 la_oen[51]
-port 476 nsew default input
-rlabel metal2 s 157269 -480 157325 240 8 la_oen[52]
-port 477 nsew default input
-rlabel metal2 s 159017 -480 159073 240 8 la_oen[53]
-port 478 nsew default input
-rlabel metal2 s 160811 -480 160867 240 8 la_oen[54]
-port 479 nsew default input
-rlabel metal2 s 162605 -480 162661 240 8 la_oen[55]
-port 480 nsew default input
-rlabel metal2 s 164399 -480 164455 240 8 la_oen[56]
-port 481 nsew default input
-rlabel metal2 s 166193 -480 166249 240 8 la_oen[57]
-port 482 nsew default input
-rlabel metal2 s 167941 -480 167997 240 8 la_oen[58]
-port 483 nsew default input
-rlabel metal2 s 169735 -480 169791 240 8 la_oen[59]
-port 484 nsew default input
-rlabel metal2 s 73411 -480 73467 240 8 la_oen[5]
-port 485 nsew default input
-rlabel metal2 s 171529 -480 171585 240 8 la_oen[60]
-port 486 nsew default input
-rlabel metal2 s 173323 -480 173379 240 8 la_oen[61]
-port 487 nsew default input
-rlabel metal2 s 175117 -480 175173 240 8 la_oen[62]
-port 488 nsew default input
-rlabel metal2 s 176865 -480 176921 240 8 la_oen[63]
-port 489 nsew default input
-rlabel metal2 s 178659 -480 178715 240 8 la_oen[64]
-port 490 nsew default input
-rlabel metal2 s 180453 -480 180509 240 8 la_oen[65]
-port 491 nsew default input
-rlabel metal2 s 182247 -480 182303 240 8 la_oen[66]
-port 492 nsew default input
-rlabel metal2 s 183995 -480 184051 240 8 la_oen[67]
-port 493 nsew default input
-rlabel metal2 s 185789 -480 185845 240 8 la_oen[68]
-port 494 nsew default input
-rlabel metal2 s 187583 -480 187639 240 8 la_oen[69]
-port 495 nsew default input
-rlabel metal2 s 75205 -480 75261 240 8 la_oen[6]
-port 496 nsew default input
-rlabel metal2 s 189377 -480 189433 240 8 la_oen[70]
-port 497 nsew default input
-rlabel metal2 s 191171 -480 191227 240 8 la_oen[71]
-port 498 nsew default input
-rlabel metal2 s 192919 -480 192975 240 8 la_oen[72]
-port 499 nsew default input
-rlabel metal2 s 194713 -480 194769 240 8 la_oen[73]
-port 500 nsew default input
-rlabel metal2 s 196507 -480 196563 240 8 la_oen[74]
-port 501 nsew default input
-rlabel metal2 s 198301 -480 198357 240 8 la_oen[75]
-port 502 nsew default input
-rlabel metal2 s 200095 -480 200151 240 8 la_oen[76]
-port 503 nsew default input
-rlabel metal2 s 201843 -480 201899 240 8 la_oen[77]
-port 504 nsew default input
-rlabel metal2 s 203637 -480 203693 240 8 la_oen[78]
-port 505 nsew default input
-rlabel metal2 s 205431 -480 205487 240 8 la_oen[79]
-port 506 nsew default input
-rlabel metal2 s 76953 -480 77009 240 8 la_oen[7]
-port 507 nsew default input
-rlabel metal2 s 207225 -480 207281 240 8 la_oen[80]
-port 508 nsew default input
-rlabel metal2 s 208973 -480 209029 240 8 la_oen[81]
-port 509 nsew default input
-rlabel metal2 s 210767 -480 210823 240 8 la_oen[82]
-port 510 nsew default input
-rlabel metal2 s 212561 -480 212617 240 8 la_oen[83]
-port 511 nsew default input
-rlabel metal2 s 214355 -480 214411 240 8 la_oen[84]
-port 512 nsew default input
-rlabel metal2 s 216149 -480 216205 240 8 la_oen[85]
-port 513 nsew default input
-rlabel metal2 s 217897 -480 217953 240 8 la_oen[86]
-port 514 nsew default input
-rlabel metal2 s 219691 -480 219747 240 8 la_oen[87]
-port 515 nsew default input
-rlabel metal2 s 221485 -480 221541 240 8 la_oen[88]
-port 516 nsew default input
-rlabel metal2 s 223279 -480 223335 240 8 la_oen[89]
-port 517 nsew default input
-rlabel metal2 s 78747 -480 78803 240 8 la_oen[8]
-port 518 nsew default input
-rlabel metal2 s 225073 -480 225129 240 8 la_oen[90]
-port 519 nsew default input
-rlabel metal2 s 226821 -480 226877 240 8 la_oen[91]
-port 520 nsew default input
-rlabel metal2 s 228615 -480 228671 240 8 la_oen[92]
-port 521 nsew default input
-rlabel metal2 s 230409 -480 230465 240 8 la_oen[93]
-port 522 nsew default input
-rlabel metal2 s 232203 -480 232259 240 8 la_oen[94]
-port 523 nsew default input
-rlabel metal2 s 233951 -480 234007 240 8 la_oen[95]
-port 524 nsew default input
-rlabel metal2 s 235745 -480 235801 240 8 la_oen[96]
-port 525 nsew default input
-rlabel metal2 s 237539 -480 237595 240 8 la_oen[97]
-port 526 nsew default input
-rlabel metal2 s 239333 -480 239389 240 8 la_oen[98]
-port 527 nsew default input
-rlabel metal2 s 241127 -480 241183 240 8 la_oen[99]
-port 528 nsew default input
-rlabel metal2 s 80541 -480 80597 240 8 la_oen[9]
-port 529 nsew default input
-rlabel metal2 s 291681 -480 291737 240 8 user_clock2
-port 530 nsew default input
-rlabel metal2 s 271 -480 327 240 8 wb_clk_i
-port 531 nsew default input
-rlabel metal2 s 823 -480 879 240 8 wb_rst_i
-port 532 nsew default input
-rlabel metal2 s 1421 -480 1477 240 8 wbs_ack_o
-port 533 nsew default output
-rlabel metal2 s 3813 -480 3869 240 8 wbs_adr_i[0]
-port 534 nsew default input
-rlabel metal2 s 24053 -480 24109 240 8 wbs_adr_i[10]
-port 535 nsew default input
-rlabel metal2 s 25801 -480 25857 240 8 wbs_adr_i[11]
-port 536 nsew default input
-rlabel metal2 s 27595 -480 27651 240 8 wbs_adr_i[12]
-port 537 nsew default input
-rlabel metal2 s 29389 -480 29445 240 8 wbs_adr_i[13]
-port 538 nsew default input
-rlabel metal2 s 31183 -480 31239 240 8 wbs_adr_i[14]
-port 539 nsew default input
-rlabel metal2 s 32977 -480 33033 240 8 wbs_adr_i[15]
-port 540 nsew default input
-rlabel metal2 s 34725 -480 34781 240 8 wbs_adr_i[16]
-port 541 nsew default input
-rlabel metal2 s 36519 -480 36575 240 8 wbs_adr_i[17]
-port 542 nsew default input
-rlabel metal2 s 38313 -480 38369 240 8 wbs_adr_i[18]
-port 543 nsew default input
-rlabel metal2 s 40107 -480 40163 240 8 wbs_adr_i[19]
-port 544 nsew default input
-rlabel metal2 s 6205 -480 6261 240 8 wbs_adr_i[1]
-port 545 nsew default input
-rlabel metal2 s 41901 -480 41957 240 8 wbs_adr_i[20]
-port 546 nsew default input
-rlabel metal2 s 43649 -480 43705 240 8 wbs_adr_i[21]
-port 547 nsew default input
-rlabel metal2 s 45443 -480 45499 240 8 wbs_adr_i[22]
-port 548 nsew default input
-rlabel metal2 s 47237 -480 47293 240 8 wbs_adr_i[23]
-port 549 nsew default input
-rlabel metal2 s 49031 -480 49087 240 8 wbs_adr_i[24]
-port 550 nsew default input
-rlabel metal2 s 50779 -480 50835 240 8 wbs_adr_i[25]
-port 551 nsew default input
-rlabel metal2 s 52573 -480 52629 240 8 wbs_adr_i[26]
-port 552 nsew default input
-rlabel metal2 s 54367 -480 54423 240 8 wbs_adr_i[27]
-port 553 nsew default input
-rlabel metal2 s 56161 -480 56217 240 8 wbs_adr_i[28]
-port 554 nsew default input
-rlabel metal2 s 57955 -480 58011 240 8 wbs_adr_i[29]
-port 555 nsew default input
-rlabel metal2 s 8597 -480 8653 240 8 wbs_adr_i[2]
-port 556 nsew default input
-rlabel metal2 s 59703 -480 59759 240 8 wbs_adr_i[30]
-port 557 nsew default input
-rlabel metal2 s 61497 -480 61553 240 8 wbs_adr_i[31]
-port 558 nsew default input
-rlabel metal2 s 10943 -480 10999 240 8 wbs_adr_i[3]
-port 559 nsew default input
-rlabel metal2 s 13335 -480 13391 240 8 wbs_adr_i[4]
-port 560 nsew default input
-rlabel metal2 s 15129 -480 15185 240 8 wbs_adr_i[5]
-port 561 nsew default input
-rlabel metal2 s 16923 -480 16979 240 8 wbs_adr_i[6]
-port 562 nsew default input
-rlabel metal2 s 18671 -480 18727 240 8 wbs_adr_i[7]
-port 563 nsew default input
-rlabel metal2 s 20465 -480 20521 240 8 wbs_adr_i[8]
-port 564 nsew default input
-rlabel metal2 s 22259 -480 22315 240 8 wbs_adr_i[9]
-port 565 nsew default input
-rlabel metal2 s 2019 -480 2075 240 8 wbs_cyc_i
-port 566 nsew default input
-rlabel metal2 s 4411 -480 4467 240 8 wbs_dat_i[0]
-port 567 nsew default input
-rlabel metal2 s 24651 -480 24707 240 8 wbs_dat_i[10]
-port 568 nsew default input
-rlabel metal2 s 26399 -480 26455 240 8 wbs_dat_i[11]
-port 569 nsew default input
-rlabel metal2 s 28193 -480 28249 240 8 wbs_dat_i[12]
-port 570 nsew default input
-rlabel metal2 s 29987 -480 30043 240 8 wbs_dat_i[13]
-port 571 nsew default input
-rlabel metal2 s 31781 -480 31837 240 8 wbs_dat_i[14]
-port 572 nsew default input
-rlabel metal2 s 33575 -480 33631 240 8 wbs_dat_i[15]
-port 573 nsew default input
-rlabel metal2 s 35323 -480 35379 240 8 wbs_dat_i[16]
-port 574 nsew default input
-rlabel metal2 s 37117 -480 37173 240 8 wbs_dat_i[17]
-port 575 nsew default input
-rlabel metal2 s 38911 -480 38967 240 8 wbs_dat_i[18]
-port 576 nsew default input
-rlabel metal2 s 40705 -480 40761 240 8 wbs_dat_i[19]
-port 577 nsew default input
-rlabel metal2 s 6803 -480 6859 240 8 wbs_dat_i[1]
-port 578 nsew default input
-rlabel metal2 s 42453 -480 42509 240 8 wbs_dat_i[20]
-port 579 nsew default input
-rlabel metal2 s 44247 -480 44303 240 8 wbs_dat_i[21]
-port 580 nsew default input
-rlabel metal2 s 46041 -480 46097 240 8 wbs_dat_i[22]
-port 581 nsew default input
-rlabel metal2 s 47835 -480 47891 240 8 wbs_dat_i[23]
-port 582 nsew default input
-rlabel metal2 s 49629 -480 49685 240 8 wbs_dat_i[24]
-port 583 nsew default input
-rlabel metal2 s 51377 -480 51433 240 8 wbs_dat_i[25]
-port 584 nsew default input
-rlabel metal2 s 53171 -480 53227 240 8 wbs_dat_i[26]
-port 585 nsew default input
-rlabel metal2 s 54965 -480 55021 240 8 wbs_dat_i[27]
-port 586 nsew default input
-rlabel metal2 s 56759 -480 56815 240 8 wbs_dat_i[28]
-port 587 nsew default input
-rlabel metal2 s 58553 -480 58609 240 8 wbs_dat_i[29]
-port 588 nsew default input
-rlabel metal2 s 9149 -480 9205 240 8 wbs_dat_i[2]
-port 589 nsew default input
-rlabel metal2 s 60301 -480 60357 240 8 wbs_dat_i[30]
-port 590 nsew default input
-rlabel metal2 s 62095 -480 62151 240 8 wbs_dat_i[31]
-port 591 nsew default input
-rlabel metal2 s 11541 -480 11597 240 8 wbs_dat_i[3]
-port 592 nsew default input
-rlabel metal2 s 13933 -480 13989 240 8 wbs_dat_i[4]
-port 593 nsew default input
-rlabel metal2 s 15727 -480 15783 240 8 wbs_dat_i[5]
-port 594 nsew default input
-rlabel metal2 s 17475 -480 17531 240 8 wbs_dat_i[6]
-port 595 nsew default input
-rlabel metal2 s 19269 -480 19325 240 8 wbs_dat_i[7]
-port 596 nsew default input
-rlabel metal2 s 21063 -480 21119 240 8 wbs_dat_i[8]
-port 597 nsew default input
-rlabel metal2 s 22857 -480 22913 240 8 wbs_dat_i[9]
-port 598 nsew default input
-rlabel metal2 s 5009 -480 5065 240 8 wbs_dat_o[0]
-port 599 nsew default output
-rlabel metal2 s 25249 -480 25305 240 8 wbs_dat_o[10]
-port 600 nsew default output
-rlabel metal2 s 26997 -480 27053 240 8 wbs_dat_o[11]
-port 601 nsew default output
-rlabel metal2 s 28791 -480 28847 240 8 wbs_dat_o[12]
-port 602 nsew default output
-rlabel metal2 s 30585 -480 30641 240 8 wbs_dat_o[13]
-port 603 nsew default output
-rlabel metal2 s 32379 -480 32435 240 8 wbs_dat_o[14]
-port 604 nsew default output
-rlabel metal2 s 34127 -480 34183 240 8 wbs_dat_o[15]
-port 605 nsew default output
-rlabel metal2 s 35921 -480 35977 240 8 wbs_dat_o[16]
-port 606 nsew default output
-rlabel metal2 s 37715 -480 37771 240 8 wbs_dat_o[17]
-port 607 nsew default output
-rlabel metal2 s 39509 -480 39565 240 8 wbs_dat_o[18]
-port 608 nsew default output
-rlabel metal2 s 41303 -480 41359 240 8 wbs_dat_o[19]
-port 609 nsew default output
-rlabel metal2 s 7401 -480 7457 240 8 wbs_dat_o[1]
-port 610 nsew default output
-rlabel metal2 s 43051 -480 43107 240 8 wbs_dat_o[20]
-port 611 nsew default output
-rlabel metal2 s 44845 -480 44901 240 8 wbs_dat_o[21]
-port 612 nsew default output
-rlabel metal2 s 46639 -480 46695 240 8 wbs_dat_o[22]
-port 613 nsew default output
-rlabel metal2 s 48433 -480 48489 240 8 wbs_dat_o[23]
-port 614 nsew default output
-rlabel metal2 s 50227 -480 50283 240 8 wbs_dat_o[24]
-port 615 nsew default output
-rlabel metal2 s 51975 -480 52031 240 8 wbs_dat_o[25]
-port 616 nsew default output
-rlabel metal2 s 53769 -480 53825 240 8 wbs_dat_o[26]
-port 617 nsew default output
-rlabel metal2 s 55563 -480 55619 240 8 wbs_dat_o[27]
-port 618 nsew default output
-rlabel metal2 s 57357 -480 57413 240 8 wbs_dat_o[28]
-port 619 nsew default output
-rlabel metal2 s 59105 -480 59161 240 8 wbs_dat_o[29]
-port 620 nsew default output
-rlabel metal2 s 9747 -480 9803 240 8 wbs_dat_o[2]
-port 621 nsew default output
-rlabel metal2 s 60899 -480 60955 240 8 wbs_dat_o[30]
-port 622 nsew default output
-rlabel metal2 s 62693 -480 62749 240 8 wbs_dat_o[31]
-port 623 nsew default output
-rlabel metal2 s 12139 -480 12195 240 8 wbs_dat_o[3]
-port 624 nsew default output
-rlabel metal2 s 14531 -480 14587 240 8 wbs_dat_o[4]
-port 625 nsew default output
-rlabel metal2 s 16325 -480 16381 240 8 wbs_dat_o[5]
-port 626 nsew default output
-rlabel metal2 s 18073 -480 18129 240 8 wbs_dat_o[6]
-port 627 nsew default output
-rlabel metal2 s 19867 -480 19923 240 8 wbs_dat_o[7]
-port 628 nsew default output
-rlabel metal2 s 21661 -480 21717 240 8 wbs_dat_o[8]
-port 629 nsew default output
-rlabel metal2 s 23455 -480 23511 240 8 wbs_dat_o[9]
-port 630 nsew default output
-rlabel metal2 s 5607 -480 5663 240 8 wbs_sel_i[0]
-port 631 nsew default input
-rlabel metal2 s 7999 -480 8055 240 8 wbs_sel_i[1]
-port 632 nsew default input
-rlabel metal2 s 10345 -480 10401 240 8 wbs_sel_i[2]
-port 633 nsew default input
-rlabel metal2 s 12737 -480 12793 240 8 wbs_sel_i[3]
-port 634 nsew default input
-rlabel metal2 s 2617 -480 2673 240 8 wbs_stb_i
-port 635 nsew default input
-rlabel metal2 s 3215 -480 3271 240 8 wbs_we_i
-port 636 nsew default input
-rlabel metal5 s 292660 -463 292960 -462 8 vccd1
-port 637 nsew default input
-rlabel metal5 s 288402 -463 288702 -462 8 vccd1
-port 637 nsew default input
-rlabel metal5 s 270402 -463 270702 -462 8 vccd1
-port 637 nsew default input
-rlabel metal5 s 252402 -463 252702 -462 8 vccd1
-port 637 nsew default input
-rlabel metal5 s 234402 -463 234702 -462 8 vccd1
-port 637 nsew default input
-rlabel metal5 s 216402 -463 216702 -462 8 vccd1
-port 637 nsew default input
-rlabel metal5 s 198402 -463 198702 -462 8 vccd1
-port 637 nsew default input
-rlabel metal5 s 180402 -463 180702 -462 8 vccd1
-port 637 nsew default input
-rlabel metal5 s 162402 -463 162702 -462 8 vccd1
-port 637 nsew default input
-rlabel metal5 s 144402 -463 144702 -462 8 vccd1
-port 637 nsew default input
-rlabel metal5 s 126402 -463 126702 -462 8 vccd1
-port 637 nsew default input
-rlabel metal5 s 108402 -463 108702 -462 8 vccd1
-port 637 nsew default input
-rlabel metal5 s 90402 -463 90702 -462 8 vccd1
-port 637 nsew default input
-rlabel metal5 s 72402 -463 72702 -462 8 vccd1
-port 637 nsew default input
-rlabel metal5 s 54402 -463 54702 -462 8 vccd1
-port 637 nsew default input
-rlabel metal5 s 36402 -463 36702 -462 8 vccd1
-port 637 nsew default input
-rlabel metal5 s 18402 -463 18702 -462 8 vccd1
-port 637 nsew default input
-rlabel metal5 s 402 -463 702 -462 8 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 -463 -698 -462 2 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 -462 292960 -162 8 vccd1
-port 637 nsew default input
-rlabel metal5 s 292660 -162 292960 -161 8 vccd1
-port 637 nsew default input
-rlabel metal5 s 288402 -162 288702 -161 8 vccd1
-port 637 nsew default input
-rlabel metal5 s 270402 -162 270702 -161 8 vccd1
-port 637 nsew default input
-rlabel metal5 s 252402 -162 252702 -161 8 vccd1
-port 637 nsew default input
-rlabel metal5 s 234402 -162 234702 -161 8 vccd1
-port 637 nsew default input
-rlabel metal5 s 216402 -162 216702 -161 8 vccd1
-port 637 nsew default input
-rlabel metal5 s 198402 -162 198702 -161 8 vccd1
-port 637 nsew default input
-rlabel metal5 s 180402 -162 180702 -161 8 vccd1
-port 637 nsew default input
-rlabel metal5 s 162402 -162 162702 -161 8 vccd1
-port 637 nsew default input
-rlabel metal5 s 144402 -162 144702 -161 8 vccd1
-port 637 nsew default input
-rlabel metal5 s 126402 -162 126702 -161 8 vccd1
-port 637 nsew default input
-rlabel metal5 s 108402 -162 108702 -161 8 vccd1
-port 637 nsew default input
-rlabel metal5 s 90402 -162 90702 -161 8 vccd1
-port 637 nsew default input
-rlabel metal5 s 72402 -162 72702 -161 8 vccd1
-port 637 nsew default input
-rlabel metal5 s 54402 -162 54702 -161 8 vccd1
-port 637 nsew default input
-rlabel metal5 s 36402 -162 36702 -161 8 vccd1
-port 637 nsew default input
-rlabel metal5 s 18402 -162 18702 -161 8 vccd1
-port 637 nsew default input
-rlabel metal5 s 402 -162 702 -161 8 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 -162 -698 -161 2 vccd1
-port 637 nsew default input
-rlabel metal5 s 292660 937 292960 938 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 937 -698 938 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 291760 938 293420 1238 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -1458 938 240 1238 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 292660 1238 292960 1239 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 1238 -698 1239 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 292660 18937 292960 18938 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 18937 -698 18938 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 291760 18938 293420 19238 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -1458 18938 240 19238 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 292660 19238 292960 19239 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 19238 -698 19239 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 292660 36937 292960 36938 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 36937 -698 36938 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 291760 36938 293420 37238 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -1458 36938 240 37238 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 292660 37238 292960 37239 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 37238 -698 37239 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 292660 54937 292960 54938 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 54937 -698 54938 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 291760 54938 293420 55238 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -1458 54938 240 55238 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 292660 55238 292960 55239 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 55238 -698 55239 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 292660 72937 292960 72938 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 72937 -698 72938 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 291760 72938 293420 73238 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -1458 72938 240 73238 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 292660 73238 292960 73239 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 73238 -698 73239 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 292660 90937 292960 90938 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 90937 -698 90938 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 291760 90938 293420 91238 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -1458 90938 240 91238 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 292660 91238 292960 91239 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 91238 -698 91239 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 292660 108937 292960 108938 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 108937 -698 108938 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 291760 108938 293420 109238 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -1458 108938 240 109238 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 292660 109238 292960 109239 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 109238 -698 109239 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 292660 126937 292960 126938 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 126937 -698 126938 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 291760 126938 293420 127238 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -1458 126938 240 127238 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 292660 127238 292960 127239 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 127238 -698 127239 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 292660 144937 292960 144938 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 144937 -698 144938 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 291760 144938 293420 145238 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -1458 144938 240 145238 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 292660 145238 292960 145239 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 145238 -698 145239 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 292660 162937 292960 162938 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 162937 -698 162938 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 291760 162938 293420 163238 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -1458 162938 240 163238 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 292660 163238 292960 163239 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 163238 -698 163239 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 292660 180937 292960 180938 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 180937 -698 180938 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 291760 180938 293420 181238 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -1458 180938 240 181238 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 292660 181238 292960 181239 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 181238 -698 181239 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 292660 198937 292960 198938 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 198937 -698 198938 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 291760 198938 293420 199238 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -1458 198938 240 199238 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 292660 199238 292960 199239 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 199238 -698 199239 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 292660 216937 292960 216938 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 216937 -698 216938 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 291760 216938 293420 217238 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -1458 216938 240 217238 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 292660 217238 292960 217239 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 217238 -698 217239 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 292660 234937 292960 234938 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 234937 -698 234938 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 291760 234938 293420 235238 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -1458 234938 240 235238 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 292660 235238 292960 235239 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 235238 -698 235239 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 292660 252937 292960 252938 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 252937 -698 252938 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 291760 252938 293420 253238 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -1458 252938 240 253238 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 292660 253238 292960 253239 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 253238 -698 253239 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 292660 270937 292960 270938 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 270937 -698 270938 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 291760 270938 293420 271238 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -1458 270938 240 271238 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 292660 271238 292960 271239 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 271238 -698 271239 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 292660 288937 292960 288938 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 288937 -698 288938 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 291760 288938 293420 289238 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -1458 288938 240 289238 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 292660 289238 292960 289239 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 289238 -698 289239 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 292660 306937 292960 306938 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 306937 -698 306938 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 291760 306938 293420 307238 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -1458 306938 240 307238 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 292660 307238 292960 307239 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 307238 -698 307239 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 292660 324937 292960 324938 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 324937 -698 324938 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 291760 324938 293420 325238 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -1458 324938 240 325238 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 292660 325238 292960 325239 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 325238 -698 325239 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 292660 342937 292960 342938 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 342937 -698 342938 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 291760 342938 293420 343238 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -1458 342938 240 343238 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 292660 343238 292960 343239 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 343238 -698 343239 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 292660 352129 292960 352130 6 vccd1
-port 637 nsew default input
-rlabel metal5 s 288402 352129 288702 352130 6 vccd1
-port 637 nsew default input
-rlabel metal5 s 270402 352129 270702 352130 6 vccd1
-port 637 nsew default input
-rlabel metal5 s 252402 352129 252702 352130 6 vccd1
-port 637 nsew default input
-rlabel metal5 s 234402 352129 234702 352130 6 vccd1
-port 637 nsew default input
-rlabel metal5 s 216402 352129 216702 352130 6 vccd1
-port 637 nsew default input
-rlabel metal5 s 198402 352129 198702 352130 6 vccd1
-port 637 nsew default input
-rlabel metal5 s 180402 352129 180702 352130 6 vccd1
-port 637 nsew default input
-rlabel metal5 s 162402 352129 162702 352130 6 vccd1
-port 637 nsew default input
-rlabel metal5 s 144402 352129 144702 352130 6 vccd1
-port 637 nsew default input
-rlabel metal5 s 126402 352129 126702 352130 6 vccd1
-port 637 nsew default input
-rlabel metal5 s 108402 352129 108702 352130 6 vccd1
-port 637 nsew default input
-rlabel metal5 s 90402 352129 90702 352130 6 vccd1
-port 637 nsew default input
-rlabel metal5 s 72402 352129 72702 352130 6 vccd1
-port 637 nsew default input
-rlabel metal5 s 54402 352129 54702 352130 6 vccd1
-port 637 nsew default input
-rlabel metal5 s 36402 352129 36702 352130 6 vccd1
-port 637 nsew default input
-rlabel metal5 s 18402 352129 18702 352130 6 vccd1
-port 637 nsew default input
-rlabel metal5 s 402 352129 702 352130 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 352129 -698 352130 4 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 352130 292960 352430 6 vccd1
-port 637 nsew default input
-rlabel metal5 s 292660 352430 292960 352431 6 vccd1
-port 637 nsew default input
-rlabel metal5 s 288402 352430 288702 352431 6 vccd1
-port 637 nsew default input
-rlabel metal5 s 270402 352430 270702 352431 6 vccd1
-port 637 nsew default input
-rlabel metal5 s 252402 352430 252702 352431 6 vccd1
-port 637 nsew default input
-rlabel metal5 s 234402 352430 234702 352431 6 vccd1
-port 637 nsew default input
-rlabel metal5 s 216402 352430 216702 352431 6 vccd1
-port 637 nsew default input
-rlabel metal5 s 198402 352430 198702 352431 6 vccd1
-port 637 nsew default input
-rlabel metal5 s 180402 352430 180702 352431 6 vccd1
-port 637 nsew default input
-rlabel metal5 s 162402 352430 162702 352431 6 vccd1
-port 637 nsew default input
-rlabel metal5 s 144402 352430 144702 352431 6 vccd1
-port 637 nsew default input
-rlabel metal5 s 126402 352430 126702 352431 6 vccd1
-port 637 nsew default input
-rlabel metal5 s 108402 352430 108702 352431 6 vccd1
-port 637 nsew default input
-rlabel metal5 s 90402 352430 90702 352431 6 vccd1
-port 637 nsew default input
-rlabel metal5 s 72402 352430 72702 352431 6 vccd1
-port 637 nsew default input
-rlabel metal5 s 54402 352430 54702 352431 6 vccd1
-port 637 nsew default input
-rlabel metal5 s 36402 352430 36702 352431 6 vccd1
-port 637 nsew default input
-rlabel metal5 s 18402 352430 18702 352431 6 vccd1
-port 637 nsew default input
-rlabel metal5 s 402 352430 702 352431 6 vccd1
-port 637 nsew default input
-rlabel metal5 s -998 352430 -698 352431 4 vccd1
-port 637 nsew default input
-rlabel metal4 s 292660 -462 292960 352430 6 vccd1
-port 637 nsew default input
-rlabel metal4 s 288402 -922 288702 240 8 vccd1
-port 637 nsew default input
-rlabel metal4 s 270402 -922 270702 240 8 vccd1
-port 637 nsew default input
-rlabel metal4 s 252402 -922 252702 240 8 vccd1
-port 637 nsew default input
-rlabel metal4 s 234402 -922 234702 240 8 vccd1
-port 637 nsew default input
-rlabel metal4 s 216402 -922 216702 240 8 vccd1
-port 637 nsew default input
-rlabel metal4 s 198402 -922 198702 240 8 vccd1
-port 637 nsew default input
-rlabel metal4 s 180402 -922 180702 240 8 vccd1
-port 637 nsew default input
-rlabel metal4 s 162402 -922 162702 240 8 vccd1
-port 637 nsew default input
-rlabel metal4 s 144402 -922 144702 240 8 vccd1
-port 637 nsew default input
-rlabel metal4 s 126402 -922 126702 240 8 vccd1
-port 637 nsew default input
-rlabel metal4 s 108402 -922 108702 240 8 vccd1
-port 637 nsew default input
-rlabel metal4 s 90402 -922 90702 240 8 vccd1
-port 637 nsew default input
-rlabel metal4 s 72402 -922 72702 240 8 vccd1
-port 637 nsew default input
-rlabel metal4 s 54402 -922 54702 240 8 vccd1
-port 637 nsew default input
-rlabel metal4 s 36402 -922 36702 240 8 vccd1
-port 637 nsew default input
-rlabel metal4 s 18402 -922 18702 240 8 vccd1
-port 637 nsew default input
-rlabel metal4 s 402 -922 702 240 8 vccd1
-port 637 nsew default input
-rlabel metal4 s 288402 351760 288702 352890 6 vccd1
-port 637 nsew default input
-rlabel metal4 s 270402 351760 270702 352890 6 vccd1
-port 637 nsew default input
-rlabel metal4 s 252402 351760 252702 352890 6 vccd1
-port 637 nsew default input
-rlabel metal4 s 234402 351760 234702 352890 6 vccd1
-port 637 nsew default input
-rlabel metal4 s 216402 351760 216702 352890 6 vccd1
-port 637 nsew default input
-rlabel metal4 s 198402 351760 198702 352890 6 vccd1
-port 637 nsew default input
-rlabel metal4 s 180402 351760 180702 352890 6 vccd1
-port 637 nsew default input
-rlabel metal4 s 162402 351760 162702 352890 6 vccd1
-port 637 nsew default input
-rlabel metal4 s 144402 351760 144702 352890 6 vccd1
-port 637 nsew default input
-rlabel metal4 s 126402 351760 126702 352890 6 vccd1
-port 637 nsew default input
-rlabel metal4 s 108402 351760 108702 352890 6 vccd1
-port 637 nsew default input
-rlabel metal4 s 90402 351760 90702 352890 6 vccd1
-port 637 nsew default input
-rlabel metal4 s 72402 351760 72702 352890 6 vccd1
-port 637 nsew default input
-rlabel metal4 s 54402 351760 54702 352890 6 vccd1
-port 637 nsew default input
-rlabel metal4 s 36402 351760 36702 352890 6 vccd1
-port 637 nsew default input
-rlabel metal4 s 18402 351760 18702 352890 6 vccd1
-port 637 nsew default input
-rlabel metal4 s 402 351760 702 352890 6 vccd1
-port 637 nsew default input
-rlabel metal4 s -998 -462 -698 352430 4 vccd1
-port 637 nsew default input
-rlabel metal5 s 293120 -923 293420 -922 8 vssd1
-port 638 nsew default input
-rlabel metal5 s 279402 -923 279702 -922 8 vssd1
-port 638 nsew default input
-rlabel metal5 s 261402 -923 261702 -922 8 vssd1
-port 638 nsew default input
-rlabel metal5 s 243402 -923 243702 -922 8 vssd1
-port 638 nsew default input
-rlabel metal5 s 225402 -923 225702 -922 8 vssd1
-port 638 nsew default input
-rlabel metal5 s 207402 -923 207702 -922 8 vssd1
-port 638 nsew default input
-rlabel metal5 s 189402 -923 189702 -922 8 vssd1
-port 638 nsew default input
-rlabel metal5 s 171402 -923 171702 -922 8 vssd1
-port 638 nsew default input
-rlabel metal5 s 153402 -923 153702 -922 8 vssd1
-port 638 nsew default input
-rlabel metal5 s 135402 -923 135702 -922 8 vssd1
-port 638 nsew default input
-rlabel metal5 s 117402 -923 117702 -922 8 vssd1
-port 638 nsew default input
-rlabel metal5 s 99402 -923 99702 -922 8 vssd1
-port 638 nsew default input
-rlabel metal5 s 81402 -923 81702 -922 8 vssd1
-port 638 nsew default input
-rlabel metal5 s 63402 -923 63702 -922 8 vssd1
-port 638 nsew default input
-rlabel metal5 s 45402 -923 45702 -922 8 vssd1
-port 638 nsew default input
-rlabel metal5 s 27402 -923 27702 -922 8 vssd1
-port 638 nsew default input
-rlabel metal5 s 9402 -923 9702 -922 8 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 -923 -1158 -922 2 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 -922 293420 -622 8 vssd1
-port 638 nsew default input
-rlabel metal5 s 293120 -622 293420 -621 8 vssd1
-port 638 nsew default input
-rlabel metal5 s 279402 -622 279702 -621 8 vssd1
-port 638 nsew default input
-rlabel metal5 s 261402 -622 261702 -621 8 vssd1
-port 638 nsew default input
-rlabel metal5 s 243402 -622 243702 -621 8 vssd1
-port 638 nsew default input
-rlabel metal5 s 225402 -622 225702 -621 8 vssd1
-port 638 nsew default input
-rlabel metal5 s 207402 -622 207702 -621 8 vssd1
-port 638 nsew default input
-rlabel metal5 s 189402 -622 189702 -621 8 vssd1
-port 638 nsew default input
-rlabel metal5 s 171402 -622 171702 -621 8 vssd1
-port 638 nsew default input
-rlabel metal5 s 153402 -622 153702 -621 8 vssd1
-port 638 nsew default input
-rlabel metal5 s 135402 -622 135702 -621 8 vssd1
-port 638 nsew default input
-rlabel metal5 s 117402 -622 117702 -621 8 vssd1
-port 638 nsew default input
-rlabel metal5 s 99402 -622 99702 -621 8 vssd1
-port 638 nsew default input
-rlabel metal5 s 81402 -622 81702 -621 8 vssd1
-port 638 nsew default input
-rlabel metal5 s 63402 -622 63702 -621 8 vssd1
-port 638 nsew default input
-rlabel metal5 s 45402 -622 45702 -621 8 vssd1
-port 638 nsew default input
-rlabel metal5 s 27402 -622 27702 -621 8 vssd1
-port 638 nsew default input
-rlabel metal5 s 9402 -622 9702 -621 8 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 -622 -1158 -621 2 vssd1
-port 638 nsew default input
-rlabel metal5 s 293120 9937 293420 9938 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 9937 -1158 9938 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 291760 9938 293420 10238 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 9938 240 10238 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 293120 10238 293420 10239 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 10238 -1158 10239 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 293120 27937 293420 27938 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 27937 -1158 27938 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 291760 27938 293420 28238 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 27938 240 28238 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 293120 28238 293420 28239 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 28238 -1158 28239 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 293120 45937 293420 45938 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 45937 -1158 45938 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 291760 45938 293420 46238 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 45938 240 46238 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 293120 46238 293420 46239 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 46238 -1158 46239 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 293120 63937 293420 63938 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 63937 -1158 63938 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 291760 63938 293420 64238 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 63938 240 64238 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 293120 64238 293420 64239 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 64238 -1158 64239 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 293120 81937 293420 81938 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 81937 -1158 81938 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 291760 81938 293420 82238 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 81938 240 82238 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 293120 82238 293420 82239 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 82238 -1158 82239 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 293120 99937 293420 99938 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 99937 -1158 99938 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 291760 99938 293420 100238 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 99938 240 100238 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 293120 100238 293420 100239 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 100238 -1158 100239 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 293120 117937 293420 117938 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 117937 -1158 117938 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 291760 117938 293420 118238 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 117938 240 118238 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 293120 118238 293420 118239 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 118238 -1158 118239 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 293120 135937 293420 135938 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 135937 -1158 135938 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 291760 135938 293420 136238 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 135938 240 136238 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 293120 136238 293420 136239 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 136238 -1158 136239 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 293120 153937 293420 153938 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 153937 -1158 153938 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 291760 153938 293420 154238 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 153938 240 154238 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 293120 154238 293420 154239 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 154238 -1158 154239 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 293120 171937 293420 171938 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 171937 -1158 171938 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 291760 171938 293420 172238 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 171938 240 172238 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 293120 172238 293420 172239 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 172238 -1158 172239 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 293120 189937 293420 189938 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 189937 -1158 189938 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 291760 189938 293420 190238 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 189938 240 190238 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 293120 190238 293420 190239 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 190238 -1158 190239 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 293120 207937 293420 207938 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 207937 -1158 207938 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 291760 207938 293420 208238 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 207938 240 208238 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 293120 208238 293420 208239 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 208238 -1158 208239 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 293120 225937 293420 225938 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 225937 -1158 225938 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 291760 225938 293420 226238 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 225938 240 226238 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 293120 226238 293420 226239 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 226238 -1158 226239 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 293120 243937 293420 243938 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 243937 -1158 243938 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 291760 243938 293420 244238 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 243938 240 244238 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 293120 244238 293420 244239 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 244238 -1158 244239 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 293120 261937 293420 261938 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 261937 -1158 261938 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 291760 261938 293420 262238 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 261938 240 262238 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 293120 262238 293420 262239 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 262238 -1158 262239 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 293120 279937 293420 279938 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 279937 -1158 279938 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 291760 279938 293420 280238 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 279938 240 280238 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 293120 280238 293420 280239 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 280238 -1158 280239 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 293120 297937 293420 297938 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 297937 -1158 297938 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 291760 297938 293420 298238 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 297938 240 298238 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 293120 298238 293420 298239 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 298238 -1158 298239 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 293120 315937 293420 315938 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 315937 -1158 315938 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 291760 315938 293420 316238 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 315938 240 316238 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 293120 316238 293420 316239 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 316238 -1158 316239 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 293120 333937 293420 333938 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 333937 -1158 333938 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 291760 333938 293420 334238 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 333938 240 334238 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 293120 334238 293420 334239 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 334238 -1158 334239 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 293120 352589 293420 352590 6 vssd1
-port 638 nsew default input
-rlabel metal5 s 279402 352589 279702 352590 6 vssd1
-port 638 nsew default input
-rlabel metal5 s 261402 352589 261702 352590 6 vssd1
-port 638 nsew default input
-rlabel metal5 s 243402 352589 243702 352590 6 vssd1
-port 638 nsew default input
-rlabel metal5 s 225402 352589 225702 352590 6 vssd1
-port 638 nsew default input
-rlabel metal5 s 207402 352589 207702 352590 6 vssd1
-port 638 nsew default input
-rlabel metal5 s 189402 352589 189702 352590 6 vssd1
-port 638 nsew default input
-rlabel metal5 s 171402 352589 171702 352590 6 vssd1
-port 638 nsew default input
-rlabel metal5 s 153402 352589 153702 352590 6 vssd1
-port 638 nsew default input
-rlabel metal5 s 135402 352589 135702 352590 6 vssd1
-port 638 nsew default input
-rlabel metal5 s 117402 352589 117702 352590 6 vssd1
-port 638 nsew default input
-rlabel metal5 s 99402 352589 99702 352590 6 vssd1
-port 638 nsew default input
-rlabel metal5 s 81402 352589 81702 352590 6 vssd1
-port 638 nsew default input
-rlabel metal5 s 63402 352589 63702 352590 6 vssd1
-port 638 nsew default input
-rlabel metal5 s 45402 352589 45702 352590 6 vssd1
-port 638 nsew default input
-rlabel metal5 s 27402 352589 27702 352590 6 vssd1
-port 638 nsew default input
-rlabel metal5 s 9402 352589 9702 352590 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 352589 -1158 352590 4 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 352590 293420 352890 6 vssd1
-port 638 nsew default input
-rlabel metal5 s 293120 352890 293420 352891 6 vssd1
-port 638 nsew default input
-rlabel metal5 s 279402 352890 279702 352891 6 vssd1
-port 638 nsew default input
-rlabel metal5 s 261402 352890 261702 352891 6 vssd1
-port 638 nsew default input
-rlabel metal5 s 243402 352890 243702 352891 6 vssd1
-port 638 nsew default input
-rlabel metal5 s 225402 352890 225702 352891 6 vssd1
-port 638 nsew default input
-rlabel metal5 s 207402 352890 207702 352891 6 vssd1
-port 638 nsew default input
-rlabel metal5 s 189402 352890 189702 352891 6 vssd1
-port 638 nsew default input
-rlabel metal5 s 171402 352890 171702 352891 6 vssd1
-port 638 nsew default input
-rlabel metal5 s 153402 352890 153702 352891 6 vssd1
-port 638 nsew default input
-rlabel metal5 s 135402 352890 135702 352891 6 vssd1
-port 638 nsew default input
-rlabel metal5 s 117402 352890 117702 352891 6 vssd1
-port 638 nsew default input
-rlabel metal5 s 99402 352890 99702 352891 6 vssd1
-port 638 nsew default input
-rlabel metal5 s 81402 352890 81702 352891 6 vssd1
-port 638 nsew default input
-rlabel metal5 s 63402 352890 63702 352891 6 vssd1
-port 638 nsew default input
-rlabel metal5 s 45402 352890 45702 352891 6 vssd1
-port 638 nsew default input
-rlabel metal5 s 27402 352890 27702 352891 6 vssd1
-port 638 nsew default input
-rlabel metal5 s 9402 352890 9702 352891 6 vssd1
-port 638 nsew default input
-rlabel metal5 s -1458 352890 -1158 352891 4 vssd1
-port 638 nsew default input
-rlabel metal4 s 293120 -922 293420 352890 6 vssd1
-port 638 nsew default input
-rlabel metal4 s 279402 -922 279702 240 8 vssd1
-port 638 nsew default input
-rlabel metal4 s 261402 -922 261702 240 8 vssd1
-port 638 nsew default input
-rlabel metal4 s 243402 -922 243702 240 8 vssd1
-port 638 nsew default input
-rlabel metal4 s 225402 -922 225702 240 8 vssd1
-port 638 nsew default input
-rlabel metal4 s 207402 -922 207702 240 8 vssd1
-port 638 nsew default input
-rlabel metal4 s 189402 -922 189702 240 8 vssd1
-port 638 nsew default input
-rlabel metal4 s 171402 -922 171702 240 8 vssd1
-port 638 nsew default input
-rlabel metal4 s 153402 -922 153702 240 8 vssd1
-port 638 nsew default input
-rlabel metal4 s 135402 -922 135702 240 8 vssd1
-port 638 nsew default input
-rlabel metal4 s 117402 -922 117702 240 8 vssd1
-port 638 nsew default input
-rlabel metal4 s 99402 -922 99702 240 8 vssd1
-port 638 nsew default input
-rlabel metal4 s 81402 -922 81702 240 8 vssd1
-port 638 nsew default input
-rlabel metal4 s 63402 -922 63702 240 8 vssd1
-port 638 nsew default input
-rlabel metal4 s 45402 -922 45702 240 8 vssd1
-port 638 nsew default input
-rlabel metal4 s 27402 -922 27702 240 8 vssd1
-port 638 nsew default input
-rlabel metal4 s 9402 -922 9702 240 8 vssd1
-port 638 nsew default input
-rlabel metal4 s 279402 351760 279702 352890 6 vssd1
-port 638 nsew default input
-rlabel metal4 s 261402 351760 261702 352890 6 vssd1
-port 638 nsew default input
-rlabel metal4 s 243402 351760 243702 352890 6 vssd1
-port 638 nsew default input
-rlabel metal4 s 225402 351760 225702 352890 6 vssd1
-port 638 nsew default input
-rlabel metal4 s 207402 351760 207702 352890 6 vssd1
-port 638 nsew default input
-rlabel metal4 s 189402 351760 189702 352890 6 vssd1
-port 638 nsew default input
-rlabel metal4 s 171402 351760 171702 352890 6 vssd1
-port 638 nsew default input
-rlabel metal4 s 153402 351760 153702 352890 6 vssd1
-port 638 nsew default input
-rlabel metal4 s 135402 351760 135702 352890 6 vssd1
-port 638 nsew default input
-rlabel metal4 s 117402 351760 117702 352890 6 vssd1
-port 638 nsew default input
-rlabel metal4 s 99402 351760 99702 352890 6 vssd1
-port 638 nsew default input
-rlabel metal4 s 81402 351760 81702 352890 6 vssd1
-port 638 nsew default input
-rlabel metal4 s 63402 351760 63702 352890 6 vssd1
-port 638 nsew default input
-rlabel metal4 s 45402 351760 45702 352890 6 vssd1
-port 638 nsew default input
-rlabel metal4 s 27402 351760 27702 352890 6 vssd1
-port 638 nsew default input
-rlabel metal4 s 9402 351760 9702 352890 6 vssd1
-port 638 nsew default input
-rlabel metal4 s -1458 -922 -1158 352890 4 vssd1
-port 638 nsew default input
-rlabel metal5 s 293580 -1383 293880 -1382 8 vccd2
-port 639 nsew default input
-rlabel metal5 s 290202 -1383 290502 -1382 8 vccd2
-port 639 nsew default input
-rlabel metal5 s 272202 -1383 272502 -1382 8 vccd2
-port 639 nsew default input
-rlabel metal5 s 254202 -1383 254502 -1382 8 vccd2
-port 639 nsew default input
-rlabel metal5 s 236202 -1383 236502 -1382 8 vccd2
-port 639 nsew default input
-rlabel metal5 s 218202 -1383 218502 -1382 8 vccd2
-port 639 nsew default input
-rlabel metal5 s 200202 -1383 200502 -1382 8 vccd2
-port 639 nsew default input
-rlabel metal5 s 182202 -1383 182502 -1382 8 vccd2
-port 639 nsew default input
-rlabel metal5 s 164202 -1383 164502 -1382 8 vccd2
-port 639 nsew default input
-rlabel metal5 s 146202 -1383 146502 -1382 8 vccd2
-port 639 nsew default input
-rlabel metal5 s 128202 -1383 128502 -1382 8 vccd2
-port 639 nsew default input
-rlabel metal5 s 110202 -1383 110502 -1382 8 vccd2
-port 639 nsew default input
-rlabel metal5 s 92202 -1383 92502 -1382 8 vccd2
-port 639 nsew default input
-rlabel metal5 s 74202 -1383 74502 -1382 8 vccd2
-port 639 nsew default input
-rlabel metal5 s 56202 -1383 56502 -1382 8 vccd2
-port 639 nsew default input
-rlabel metal5 s 38202 -1383 38502 -1382 8 vccd2
-port 639 nsew default input
-rlabel metal5 s 20202 -1383 20502 -1382 8 vccd2
-port 639 nsew default input
-rlabel metal5 s 2202 -1383 2502 -1382 8 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 -1383 -1618 -1382 2 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 -1382 293880 -1082 8 vccd2
-port 639 nsew default input
-rlabel metal5 s 293580 -1082 293880 -1081 8 vccd2
-port 639 nsew default input
-rlabel metal5 s 290202 -1082 290502 -1081 8 vccd2
-port 639 nsew default input
-rlabel metal5 s 272202 -1082 272502 -1081 8 vccd2
-port 639 nsew default input
-rlabel metal5 s 254202 -1082 254502 -1081 8 vccd2
-port 639 nsew default input
-rlabel metal5 s 236202 -1082 236502 -1081 8 vccd2
-port 639 nsew default input
-rlabel metal5 s 218202 -1082 218502 -1081 8 vccd2
-port 639 nsew default input
-rlabel metal5 s 200202 -1082 200502 -1081 8 vccd2
-port 639 nsew default input
-rlabel metal5 s 182202 -1082 182502 -1081 8 vccd2
-port 639 nsew default input
-rlabel metal5 s 164202 -1082 164502 -1081 8 vccd2
-port 639 nsew default input
-rlabel metal5 s 146202 -1082 146502 -1081 8 vccd2
-port 639 nsew default input
-rlabel metal5 s 128202 -1082 128502 -1081 8 vccd2
-port 639 nsew default input
-rlabel metal5 s 110202 -1082 110502 -1081 8 vccd2
-port 639 nsew default input
-rlabel metal5 s 92202 -1082 92502 -1081 8 vccd2
-port 639 nsew default input
-rlabel metal5 s 74202 -1082 74502 -1081 8 vccd2
-port 639 nsew default input
-rlabel metal5 s 56202 -1082 56502 -1081 8 vccd2
-port 639 nsew default input
-rlabel metal5 s 38202 -1082 38502 -1081 8 vccd2
-port 639 nsew default input
-rlabel metal5 s 20202 -1082 20502 -1081 8 vccd2
-port 639 nsew default input
-rlabel metal5 s 2202 -1082 2502 -1081 8 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 -1082 -1618 -1081 2 vccd2
-port 639 nsew default input
-rlabel metal5 s 293580 2737 293880 2738 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 2737 -1618 2738 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 291760 2738 294340 3038 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -2378 2738 240 3038 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 293580 3038 293880 3039 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 3038 -1618 3039 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 293580 20737 293880 20738 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 20737 -1618 20738 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 291760 20738 294340 21038 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -2378 20738 240 21038 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 293580 21038 293880 21039 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 21038 -1618 21039 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 293580 38737 293880 38738 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 38737 -1618 38738 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 291760 38738 294340 39038 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -2378 38738 240 39038 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 293580 39038 293880 39039 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 39038 -1618 39039 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 293580 56737 293880 56738 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 56737 -1618 56738 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 291760 56738 294340 57038 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -2378 56738 240 57038 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 293580 57038 293880 57039 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 57038 -1618 57039 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 293580 74737 293880 74738 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 74737 -1618 74738 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 291760 74738 294340 75038 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -2378 74738 240 75038 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 293580 75038 293880 75039 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 75038 -1618 75039 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 293580 92737 293880 92738 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 92737 -1618 92738 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 291760 92738 294340 93038 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -2378 92738 240 93038 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 293580 93038 293880 93039 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 93038 -1618 93039 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 293580 110737 293880 110738 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 110737 -1618 110738 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 291760 110738 294340 111038 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -2378 110738 240 111038 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 293580 111038 293880 111039 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 111038 -1618 111039 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 293580 128737 293880 128738 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 128737 -1618 128738 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 291760 128738 294340 129038 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -2378 128738 240 129038 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 293580 129038 293880 129039 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 129038 -1618 129039 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 293580 146737 293880 146738 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 146737 -1618 146738 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 291760 146738 294340 147038 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -2378 146738 240 147038 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 293580 147038 293880 147039 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 147038 -1618 147039 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 293580 164737 293880 164738 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 164737 -1618 164738 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 291760 164738 294340 165038 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -2378 164738 240 165038 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 293580 165038 293880 165039 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 165038 -1618 165039 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 293580 182737 293880 182738 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 182737 -1618 182738 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 291760 182738 294340 183038 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -2378 182738 240 183038 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 293580 183038 293880 183039 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 183038 -1618 183039 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 293580 200737 293880 200738 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 200737 -1618 200738 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 291760 200738 294340 201038 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -2378 200738 240 201038 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 293580 201038 293880 201039 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 201038 -1618 201039 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 293580 218737 293880 218738 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 218737 -1618 218738 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 291760 218738 294340 219038 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -2378 218738 240 219038 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 293580 219038 293880 219039 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 219038 -1618 219039 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 293580 236737 293880 236738 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 236737 -1618 236738 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 291760 236738 294340 237038 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -2378 236738 240 237038 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 293580 237038 293880 237039 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 237038 -1618 237039 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 293580 254737 293880 254738 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 254737 -1618 254738 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 291760 254738 294340 255038 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -2378 254738 240 255038 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 293580 255038 293880 255039 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 255038 -1618 255039 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 293580 272737 293880 272738 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 272737 -1618 272738 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 291760 272738 294340 273038 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -2378 272738 240 273038 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 293580 273038 293880 273039 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 273038 -1618 273039 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 293580 290737 293880 290738 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 290737 -1618 290738 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 291760 290738 294340 291038 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -2378 290738 240 291038 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 293580 291038 293880 291039 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 291038 -1618 291039 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 293580 308737 293880 308738 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 308737 -1618 308738 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 291760 308738 294340 309038 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -2378 308738 240 309038 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 293580 309038 293880 309039 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 309038 -1618 309039 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 293580 326737 293880 326738 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 326737 -1618 326738 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 291760 326738 294340 327038 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -2378 326738 240 327038 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 293580 327038 293880 327039 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 327038 -1618 327039 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 293580 344737 293880 344738 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 344737 -1618 344738 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 291760 344738 294340 345038 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -2378 344738 240 345038 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 293580 345038 293880 345039 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 345038 -1618 345039 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 293580 353049 293880 353050 6 vccd2
-port 639 nsew default input
-rlabel metal5 s 290202 353049 290502 353050 6 vccd2
-port 639 nsew default input
-rlabel metal5 s 272202 353049 272502 353050 6 vccd2
-port 639 nsew default input
-rlabel metal5 s 254202 353049 254502 353050 6 vccd2
-port 639 nsew default input
-rlabel metal5 s 236202 353049 236502 353050 6 vccd2
-port 639 nsew default input
-rlabel metal5 s 218202 353049 218502 353050 6 vccd2
-port 639 nsew default input
-rlabel metal5 s 200202 353049 200502 353050 6 vccd2
-port 639 nsew default input
-rlabel metal5 s 182202 353049 182502 353050 6 vccd2
-port 639 nsew default input
-rlabel metal5 s 164202 353049 164502 353050 6 vccd2
-port 639 nsew default input
-rlabel metal5 s 146202 353049 146502 353050 6 vccd2
-port 639 nsew default input
-rlabel metal5 s 128202 353049 128502 353050 6 vccd2
-port 639 nsew default input
-rlabel metal5 s 110202 353049 110502 353050 6 vccd2
-port 639 nsew default input
-rlabel metal5 s 92202 353049 92502 353050 6 vccd2
-port 639 nsew default input
-rlabel metal5 s 74202 353049 74502 353050 6 vccd2
-port 639 nsew default input
-rlabel metal5 s 56202 353049 56502 353050 6 vccd2
-port 639 nsew default input
-rlabel metal5 s 38202 353049 38502 353050 6 vccd2
-port 639 nsew default input
-rlabel metal5 s 20202 353049 20502 353050 6 vccd2
-port 639 nsew default input
-rlabel metal5 s 2202 353049 2502 353050 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 353049 -1618 353050 4 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 353050 293880 353350 6 vccd2
-port 639 nsew default input
-rlabel metal5 s 293580 353350 293880 353351 6 vccd2
-port 639 nsew default input
-rlabel metal5 s 290202 353350 290502 353351 6 vccd2
-port 639 nsew default input
-rlabel metal5 s 272202 353350 272502 353351 6 vccd2
-port 639 nsew default input
-rlabel metal5 s 254202 353350 254502 353351 6 vccd2
-port 639 nsew default input
-rlabel metal5 s 236202 353350 236502 353351 6 vccd2
-port 639 nsew default input
-rlabel metal5 s 218202 353350 218502 353351 6 vccd2
-port 639 nsew default input
-rlabel metal5 s 200202 353350 200502 353351 6 vccd2
-port 639 nsew default input
-rlabel metal5 s 182202 353350 182502 353351 6 vccd2
-port 639 nsew default input
-rlabel metal5 s 164202 353350 164502 353351 6 vccd2
-port 639 nsew default input
-rlabel metal5 s 146202 353350 146502 353351 6 vccd2
-port 639 nsew default input
-rlabel metal5 s 128202 353350 128502 353351 6 vccd2
-port 639 nsew default input
-rlabel metal5 s 110202 353350 110502 353351 6 vccd2
-port 639 nsew default input
-rlabel metal5 s 92202 353350 92502 353351 6 vccd2
-port 639 nsew default input
-rlabel metal5 s 74202 353350 74502 353351 6 vccd2
-port 639 nsew default input
-rlabel metal5 s 56202 353350 56502 353351 6 vccd2
-port 639 nsew default input
-rlabel metal5 s 38202 353350 38502 353351 6 vccd2
-port 639 nsew default input
-rlabel metal5 s 20202 353350 20502 353351 6 vccd2
-port 639 nsew default input
-rlabel metal5 s 2202 353350 2502 353351 6 vccd2
-port 639 nsew default input
-rlabel metal5 s -1918 353350 -1618 353351 4 vccd2
-port 639 nsew default input
-rlabel metal4 s 293580 -1382 293880 353350 6 vccd2
-port 639 nsew default input
-rlabel metal4 s 290202 -1842 290502 240 8 vccd2
-port 639 nsew default input
-rlabel metal4 s 272202 -1842 272502 240 8 vccd2
-port 639 nsew default input
-rlabel metal4 s 254202 -1842 254502 240 8 vccd2
-port 639 nsew default input
-rlabel metal4 s 236202 -1842 236502 240 8 vccd2
-port 639 nsew default input
-rlabel metal4 s 218202 -1842 218502 240 8 vccd2
-port 639 nsew default input
-rlabel metal4 s 200202 -1842 200502 240 8 vccd2
-port 639 nsew default input
-rlabel metal4 s 182202 -1842 182502 240 8 vccd2
-port 639 nsew default input
-rlabel metal4 s 164202 -1842 164502 240 8 vccd2
-port 639 nsew default input
-rlabel metal4 s 146202 -1842 146502 240 8 vccd2
-port 639 nsew default input
-rlabel metal4 s 128202 -1842 128502 240 8 vccd2
-port 639 nsew default input
-rlabel metal4 s 110202 -1842 110502 240 8 vccd2
-port 639 nsew default input
-rlabel metal4 s 92202 -1842 92502 240 8 vccd2
-port 639 nsew default input
-rlabel metal4 s 74202 -1842 74502 240 8 vccd2
-port 639 nsew default input
-rlabel metal4 s 56202 -1842 56502 240 8 vccd2
-port 639 nsew default input
-rlabel metal4 s 38202 -1842 38502 240 8 vccd2
-port 639 nsew default input
-rlabel metal4 s 20202 -1842 20502 240 8 vccd2
-port 639 nsew default input
-rlabel metal4 s 2202 -1842 2502 240 8 vccd2
-port 639 nsew default input
-rlabel metal4 s 290202 351760 290502 353810 6 vccd2
-port 639 nsew default input
-rlabel metal4 s 272202 351760 272502 353810 6 vccd2
-port 639 nsew default input
-rlabel metal4 s 254202 351760 254502 353810 6 vccd2
-port 639 nsew default input
-rlabel metal4 s 236202 351760 236502 353810 6 vccd2
-port 639 nsew default input
-rlabel metal4 s 218202 351760 218502 353810 6 vccd2
-port 639 nsew default input
-rlabel metal4 s 200202 351760 200502 353810 6 vccd2
-port 639 nsew default input
-rlabel metal4 s 182202 351760 182502 353810 6 vccd2
-port 639 nsew default input
-rlabel metal4 s 164202 351760 164502 353810 6 vccd2
-port 639 nsew default input
-rlabel metal4 s 146202 351760 146502 353810 6 vccd2
-port 639 nsew default input
-rlabel metal4 s 128202 351760 128502 353810 6 vccd2
-port 639 nsew default input
-rlabel metal4 s 110202 351760 110502 353810 6 vccd2
-port 639 nsew default input
-rlabel metal4 s 92202 351760 92502 353810 6 vccd2
-port 639 nsew default input
-rlabel metal4 s 74202 351760 74502 353810 6 vccd2
-port 639 nsew default input
-rlabel metal4 s 56202 351760 56502 353810 6 vccd2
-port 639 nsew default input
-rlabel metal4 s 38202 351760 38502 353810 6 vccd2
-port 639 nsew default input
-rlabel metal4 s 20202 351760 20502 353810 6 vccd2
-port 639 nsew default input
-rlabel metal4 s 2202 351760 2502 353810 6 vccd2
-port 639 nsew default input
-rlabel metal4 s -1918 -1382 -1618 353350 4 vccd2
-port 639 nsew default input
-rlabel metal5 s 294040 -1843 294340 -1842 8 vssd2
-port 640 nsew default input
-rlabel metal5 s 281202 -1843 281502 -1842 8 vssd2
-port 640 nsew default input
-rlabel metal5 s 263202 -1843 263502 -1842 8 vssd2
-port 640 nsew default input
-rlabel metal5 s 245202 -1843 245502 -1842 8 vssd2
-port 640 nsew default input
-rlabel metal5 s 227202 -1843 227502 -1842 8 vssd2
-port 640 nsew default input
-rlabel metal5 s 209202 -1843 209502 -1842 8 vssd2
-port 640 nsew default input
-rlabel metal5 s 191202 -1843 191502 -1842 8 vssd2
-port 640 nsew default input
-rlabel metal5 s 173202 -1843 173502 -1842 8 vssd2
-port 640 nsew default input
-rlabel metal5 s 155202 -1843 155502 -1842 8 vssd2
-port 640 nsew default input
-rlabel metal5 s 137202 -1843 137502 -1842 8 vssd2
-port 640 nsew default input
-rlabel metal5 s 119202 -1843 119502 -1842 8 vssd2
-port 640 nsew default input
-rlabel metal5 s 101202 -1843 101502 -1842 8 vssd2
-port 640 nsew default input
-rlabel metal5 s 83202 -1843 83502 -1842 8 vssd2
-port 640 nsew default input
-rlabel metal5 s 65202 -1843 65502 -1842 8 vssd2
-port 640 nsew default input
-rlabel metal5 s 47202 -1843 47502 -1842 8 vssd2
-port 640 nsew default input
-rlabel metal5 s 29202 -1843 29502 -1842 8 vssd2
-port 640 nsew default input
-rlabel metal5 s 11202 -1843 11502 -1842 8 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 -1843 -2078 -1842 2 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 -1842 294340 -1542 8 vssd2
-port 640 nsew default input
-rlabel metal5 s 294040 -1542 294340 -1541 8 vssd2
-port 640 nsew default input
-rlabel metal5 s 281202 -1542 281502 -1541 8 vssd2
-port 640 nsew default input
-rlabel metal5 s 263202 -1542 263502 -1541 8 vssd2
-port 640 nsew default input
-rlabel metal5 s 245202 -1542 245502 -1541 8 vssd2
-port 640 nsew default input
-rlabel metal5 s 227202 -1542 227502 -1541 8 vssd2
-port 640 nsew default input
-rlabel metal5 s 209202 -1542 209502 -1541 8 vssd2
-port 640 nsew default input
-rlabel metal5 s 191202 -1542 191502 -1541 8 vssd2
-port 640 nsew default input
-rlabel metal5 s 173202 -1542 173502 -1541 8 vssd2
-port 640 nsew default input
-rlabel metal5 s 155202 -1542 155502 -1541 8 vssd2
-port 640 nsew default input
-rlabel metal5 s 137202 -1542 137502 -1541 8 vssd2
-port 640 nsew default input
-rlabel metal5 s 119202 -1542 119502 -1541 8 vssd2
-port 640 nsew default input
-rlabel metal5 s 101202 -1542 101502 -1541 8 vssd2
-port 640 nsew default input
-rlabel metal5 s 83202 -1542 83502 -1541 8 vssd2
-port 640 nsew default input
-rlabel metal5 s 65202 -1542 65502 -1541 8 vssd2
-port 640 nsew default input
-rlabel metal5 s 47202 -1542 47502 -1541 8 vssd2
-port 640 nsew default input
-rlabel metal5 s 29202 -1542 29502 -1541 8 vssd2
-port 640 nsew default input
-rlabel metal5 s 11202 -1542 11502 -1541 8 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 -1542 -2078 -1541 2 vssd2
-port 640 nsew default input
-rlabel metal5 s 294040 11737 294340 11738 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 11737 -2078 11738 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 291760 11738 294340 12038 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 11738 240 12038 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 294040 12038 294340 12039 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 12038 -2078 12039 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 294040 29737 294340 29738 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 29737 -2078 29738 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 291760 29738 294340 30038 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 29738 240 30038 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 294040 30038 294340 30039 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 30038 -2078 30039 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 294040 47737 294340 47738 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 47737 -2078 47738 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 291760 47738 294340 48038 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 47738 240 48038 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 294040 48038 294340 48039 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 48038 -2078 48039 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 294040 65737 294340 65738 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 65737 -2078 65738 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 291760 65738 294340 66038 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 65738 240 66038 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 294040 66038 294340 66039 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 66038 -2078 66039 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 294040 83737 294340 83738 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 83737 -2078 83738 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 291760 83738 294340 84038 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 83738 240 84038 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 294040 84038 294340 84039 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 84038 -2078 84039 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 294040 101737 294340 101738 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 101737 -2078 101738 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 291760 101738 294340 102038 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 101738 240 102038 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 294040 102038 294340 102039 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 102038 -2078 102039 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 294040 119737 294340 119738 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 119737 -2078 119738 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 291760 119738 294340 120038 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 119738 240 120038 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 294040 120038 294340 120039 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 120038 -2078 120039 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 294040 137737 294340 137738 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 137737 -2078 137738 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 291760 137738 294340 138038 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 137738 240 138038 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 294040 138038 294340 138039 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 138038 -2078 138039 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 294040 155737 294340 155738 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 155737 -2078 155738 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 291760 155738 294340 156038 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 155738 240 156038 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 294040 156038 294340 156039 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 156038 -2078 156039 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 294040 173737 294340 173738 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 173737 -2078 173738 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 291760 173738 294340 174038 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 173738 240 174038 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 294040 174038 294340 174039 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 174038 -2078 174039 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 294040 191737 294340 191738 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 191737 -2078 191738 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 291760 191738 294340 192038 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 191738 240 192038 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 294040 192038 294340 192039 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 192038 -2078 192039 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 294040 209737 294340 209738 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 209737 -2078 209738 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 291760 209738 294340 210038 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 209738 240 210038 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 294040 210038 294340 210039 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 210038 -2078 210039 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 294040 227737 294340 227738 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 227737 -2078 227738 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 291760 227738 294340 228038 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 227738 240 228038 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 294040 228038 294340 228039 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 228038 -2078 228039 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 294040 245737 294340 245738 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 245737 -2078 245738 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 291760 245738 294340 246038 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 245738 240 246038 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 294040 246038 294340 246039 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 246038 -2078 246039 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 294040 263737 294340 263738 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 263737 -2078 263738 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 291760 263738 294340 264038 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 263738 240 264038 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 294040 264038 294340 264039 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 264038 -2078 264039 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 294040 281737 294340 281738 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 281737 -2078 281738 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 291760 281738 294340 282038 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 281738 240 282038 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 294040 282038 294340 282039 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 282038 -2078 282039 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 294040 299737 294340 299738 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 299737 -2078 299738 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 291760 299738 294340 300038 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 299738 240 300038 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 294040 300038 294340 300039 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 300038 -2078 300039 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 294040 317737 294340 317738 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 317737 -2078 317738 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 291760 317738 294340 318038 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 317738 240 318038 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 294040 318038 294340 318039 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 318038 -2078 318039 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 294040 335737 294340 335738 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 335737 -2078 335738 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 291760 335738 294340 336038 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 335738 240 336038 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 294040 336038 294340 336039 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 336038 -2078 336039 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 294040 353509 294340 353510 6 vssd2
-port 640 nsew default input
-rlabel metal5 s 281202 353509 281502 353510 6 vssd2
-port 640 nsew default input
-rlabel metal5 s 263202 353509 263502 353510 6 vssd2
-port 640 nsew default input
-rlabel metal5 s 245202 353509 245502 353510 6 vssd2
-port 640 nsew default input
-rlabel metal5 s 227202 353509 227502 353510 6 vssd2
-port 640 nsew default input
-rlabel metal5 s 209202 353509 209502 353510 6 vssd2
-port 640 nsew default input
-rlabel metal5 s 191202 353509 191502 353510 6 vssd2
-port 640 nsew default input
-rlabel metal5 s 173202 353509 173502 353510 6 vssd2
-port 640 nsew default input
-rlabel metal5 s 155202 353509 155502 353510 6 vssd2
-port 640 nsew default input
-rlabel metal5 s 137202 353509 137502 353510 6 vssd2
-port 640 nsew default input
-rlabel metal5 s 119202 353509 119502 353510 6 vssd2
-port 640 nsew default input
-rlabel metal5 s 101202 353509 101502 353510 6 vssd2
-port 640 nsew default input
-rlabel metal5 s 83202 353509 83502 353510 6 vssd2
-port 640 nsew default input
-rlabel metal5 s 65202 353509 65502 353510 6 vssd2
-port 640 nsew default input
-rlabel metal5 s 47202 353509 47502 353510 6 vssd2
-port 640 nsew default input
-rlabel metal5 s 29202 353509 29502 353510 6 vssd2
-port 640 nsew default input
-rlabel metal5 s 11202 353509 11502 353510 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 353509 -2078 353510 4 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 353510 294340 353810 6 vssd2
-port 640 nsew default input
-rlabel metal5 s 294040 353810 294340 353811 6 vssd2
-port 640 nsew default input
-rlabel metal5 s 281202 353810 281502 353811 6 vssd2
-port 640 nsew default input
-rlabel metal5 s 263202 353810 263502 353811 6 vssd2
-port 640 nsew default input
-rlabel metal5 s 245202 353810 245502 353811 6 vssd2
-port 640 nsew default input
-rlabel metal5 s 227202 353810 227502 353811 6 vssd2
-port 640 nsew default input
-rlabel metal5 s 209202 353810 209502 353811 6 vssd2
-port 640 nsew default input
-rlabel metal5 s 191202 353810 191502 353811 6 vssd2
-port 640 nsew default input
-rlabel metal5 s 173202 353810 173502 353811 6 vssd2
-port 640 nsew default input
-rlabel metal5 s 155202 353810 155502 353811 6 vssd2
-port 640 nsew default input
-rlabel metal5 s 137202 353810 137502 353811 6 vssd2
-port 640 nsew default input
-rlabel metal5 s 119202 353810 119502 353811 6 vssd2
-port 640 nsew default input
-rlabel metal5 s 101202 353810 101502 353811 6 vssd2
-port 640 nsew default input
-rlabel metal5 s 83202 353810 83502 353811 6 vssd2
-port 640 nsew default input
-rlabel metal5 s 65202 353810 65502 353811 6 vssd2
-port 640 nsew default input
-rlabel metal5 s 47202 353810 47502 353811 6 vssd2
-port 640 nsew default input
-rlabel metal5 s 29202 353810 29502 353811 6 vssd2
-port 640 nsew default input
-rlabel metal5 s 11202 353810 11502 353811 6 vssd2
-port 640 nsew default input
-rlabel metal5 s -2378 353810 -2078 353811 4 vssd2
-port 640 nsew default input
-rlabel metal4 s 294040 -1842 294340 353810 6 vssd2
-port 640 nsew default input
-rlabel metal4 s 281202 -1842 281502 240 8 vssd2
-port 640 nsew default input
-rlabel metal4 s 263202 -1842 263502 240 8 vssd2
-port 640 nsew default input
-rlabel metal4 s 245202 -1842 245502 240 8 vssd2
-port 640 nsew default input
-rlabel metal4 s 227202 -1842 227502 240 8 vssd2
-port 640 nsew default input
-rlabel metal4 s 209202 -1842 209502 240 8 vssd2
-port 640 nsew default input
-rlabel metal4 s 191202 -1842 191502 240 8 vssd2
-port 640 nsew default input
-rlabel metal4 s 173202 -1842 173502 240 8 vssd2
-port 640 nsew default input
-rlabel metal4 s 155202 -1842 155502 240 8 vssd2
-port 640 nsew default input
-rlabel metal4 s 137202 -1842 137502 240 8 vssd2
-port 640 nsew default input
-rlabel metal4 s 119202 -1842 119502 240 8 vssd2
-port 640 nsew default input
-rlabel metal4 s 101202 -1842 101502 240 8 vssd2
-port 640 nsew default input
-rlabel metal4 s 83202 -1842 83502 240 8 vssd2
-port 640 nsew default input
-rlabel metal4 s 65202 -1842 65502 240 8 vssd2
-port 640 nsew default input
-rlabel metal4 s 47202 -1842 47502 240 8 vssd2
-port 640 nsew default input
-rlabel metal4 s 29202 -1842 29502 240 8 vssd2
-port 640 nsew default input
-rlabel metal4 s 11202 -1842 11502 240 8 vssd2
-port 640 nsew default input
-rlabel metal4 s 281202 351760 281502 353810 6 vssd2
-port 640 nsew default input
-rlabel metal4 s 263202 351760 263502 353810 6 vssd2
-port 640 nsew default input
-rlabel metal4 s 245202 351760 245502 353810 6 vssd2
-port 640 nsew default input
-rlabel metal4 s 227202 351760 227502 353810 6 vssd2
-port 640 nsew default input
-rlabel metal4 s 209202 351760 209502 353810 6 vssd2
-port 640 nsew default input
-rlabel metal4 s 191202 351760 191502 353810 6 vssd2
-port 640 nsew default input
-rlabel metal4 s 173202 351760 173502 353810 6 vssd2
-port 640 nsew default input
-rlabel metal4 s 155202 351760 155502 353810 6 vssd2
-port 640 nsew default input
-rlabel metal4 s 137202 351760 137502 353810 6 vssd2
-port 640 nsew default input
-rlabel metal4 s 119202 351760 119502 353810 6 vssd2
-port 640 nsew default input
-rlabel metal4 s 101202 351760 101502 353810 6 vssd2
-port 640 nsew default input
-rlabel metal4 s 83202 351760 83502 353810 6 vssd2
-port 640 nsew default input
-rlabel metal4 s 65202 351760 65502 353810 6 vssd2
-port 640 nsew default input
-rlabel metal4 s 47202 351760 47502 353810 6 vssd2
-port 640 nsew default input
-rlabel metal4 s 29202 351760 29502 353810 6 vssd2
-port 640 nsew default input
-rlabel metal4 s 11202 351760 11502 353810 6 vssd2
-port 640 nsew default input
-rlabel metal4 s -2378 -1842 -2078 353810 4 vssd2
-port 640 nsew default input
-rlabel metal5 s 294500 -2303 294800 -2302 8 vdda1
-port 641 nsew default input
-rlabel metal5 s 274002 -2303 274302 -2302 8 vdda1
-port 641 nsew default input
-rlabel metal5 s 256002 -2303 256302 -2302 8 vdda1
-port 641 nsew default input
-rlabel metal5 s 238002 -2303 238302 -2302 8 vdda1
-port 641 nsew default input
-rlabel metal5 s 220002 -2303 220302 -2302 8 vdda1
-port 641 nsew default input
-rlabel metal5 s 202002 -2303 202302 -2302 8 vdda1
-port 641 nsew default input
-rlabel metal5 s 184002 -2303 184302 -2302 8 vdda1
-port 641 nsew default input
-rlabel metal5 s 166002 -2303 166302 -2302 8 vdda1
-port 641 nsew default input
-rlabel metal5 s 148002 -2303 148302 -2302 8 vdda1
-port 641 nsew default input
-rlabel metal5 s 130002 -2303 130302 -2302 8 vdda1
-port 641 nsew default input
-rlabel metal5 s 112002 -2303 112302 -2302 8 vdda1
-port 641 nsew default input
-rlabel metal5 s 94002 -2303 94302 -2302 8 vdda1
-port 641 nsew default input
-rlabel metal5 s 76002 -2303 76302 -2302 8 vdda1
-port 641 nsew default input
-rlabel metal5 s 58002 -2303 58302 -2302 8 vdda1
-port 641 nsew default input
-rlabel metal5 s 40002 -2303 40302 -2302 8 vdda1
-port 641 nsew default input
-rlabel metal5 s 22002 -2303 22302 -2302 8 vdda1
-port 641 nsew default input
-rlabel metal5 s 4002 -2303 4302 -2302 8 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 -2303 -2538 -2302 2 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 -2302 294800 -2002 8 vdda1
-port 641 nsew default input
-rlabel metal5 s 294500 -2002 294800 -2001 8 vdda1
-port 641 nsew default input
-rlabel metal5 s 274002 -2002 274302 -2001 8 vdda1
-port 641 nsew default input
-rlabel metal5 s 256002 -2002 256302 -2001 8 vdda1
-port 641 nsew default input
-rlabel metal5 s 238002 -2002 238302 -2001 8 vdda1
-port 641 nsew default input
-rlabel metal5 s 220002 -2002 220302 -2001 8 vdda1
-port 641 nsew default input
-rlabel metal5 s 202002 -2002 202302 -2001 8 vdda1
-port 641 nsew default input
-rlabel metal5 s 184002 -2002 184302 -2001 8 vdda1
-port 641 nsew default input
-rlabel metal5 s 166002 -2002 166302 -2001 8 vdda1
-port 641 nsew default input
-rlabel metal5 s 148002 -2002 148302 -2001 8 vdda1
-port 641 nsew default input
-rlabel metal5 s 130002 -2002 130302 -2001 8 vdda1
-port 641 nsew default input
-rlabel metal5 s 112002 -2002 112302 -2001 8 vdda1
-port 641 nsew default input
-rlabel metal5 s 94002 -2002 94302 -2001 8 vdda1
-port 641 nsew default input
-rlabel metal5 s 76002 -2002 76302 -2001 8 vdda1
-port 641 nsew default input
-rlabel metal5 s 58002 -2002 58302 -2001 8 vdda1
-port 641 nsew default input
-rlabel metal5 s 40002 -2002 40302 -2001 8 vdda1
-port 641 nsew default input
-rlabel metal5 s 22002 -2002 22302 -2001 8 vdda1
-port 641 nsew default input
-rlabel metal5 s 4002 -2002 4302 -2001 8 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 -2002 -2538 -2001 2 vdda1
-port 641 nsew default input
-rlabel metal5 s 294500 4537 294800 4538 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 4537 -2538 4538 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 291760 4538 295260 4838 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -3298 4538 240 4838 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 294500 4838 294800 4839 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 4838 -2538 4839 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 294500 22537 294800 22538 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 22537 -2538 22538 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 291760 22538 295260 22838 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -3298 22538 240 22838 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 294500 22838 294800 22839 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 22838 -2538 22839 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 294500 40537 294800 40538 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 40537 -2538 40538 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 291760 40538 295260 40838 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -3298 40538 240 40838 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 294500 40838 294800 40839 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 40838 -2538 40839 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 294500 58537 294800 58538 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 58537 -2538 58538 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 291760 58538 295260 58838 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -3298 58538 240 58838 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 294500 58838 294800 58839 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 58838 -2538 58839 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 294500 76537 294800 76538 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 76537 -2538 76538 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 291760 76538 295260 76838 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -3298 76538 240 76838 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 294500 76838 294800 76839 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 76838 -2538 76839 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 294500 94537 294800 94538 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 94537 -2538 94538 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 291760 94538 295260 94838 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -3298 94538 240 94838 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 294500 94838 294800 94839 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 94838 -2538 94839 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 294500 112537 294800 112538 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 112537 -2538 112538 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 291760 112538 295260 112838 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -3298 112538 240 112838 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 294500 112838 294800 112839 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 112838 -2538 112839 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 294500 130537 294800 130538 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 130537 -2538 130538 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 291760 130538 295260 130838 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -3298 130538 240 130838 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 294500 130838 294800 130839 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 130838 -2538 130839 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 294500 148537 294800 148538 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 148537 -2538 148538 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 291760 148538 295260 148838 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -3298 148538 240 148838 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 294500 148838 294800 148839 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 148838 -2538 148839 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 294500 166537 294800 166538 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 166537 -2538 166538 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 291760 166538 295260 166838 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -3298 166538 240 166838 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 294500 166838 294800 166839 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 166838 -2538 166839 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 294500 184537 294800 184538 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 184537 -2538 184538 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 291760 184538 295260 184838 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -3298 184538 240 184838 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 294500 184838 294800 184839 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 184838 -2538 184839 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 294500 202537 294800 202538 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 202537 -2538 202538 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 291760 202538 295260 202838 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -3298 202538 240 202838 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 294500 202838 294800 202839 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 202838 -2538 202839 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 294500 220537 294800 220538 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 220537 -2538 220538 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 291760 220538 295260 220838 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -3298 220538 240 220838 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 294500 220838 294800 220839 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 220838 -2538 220839 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 294500 238537 294800 238538 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 238537 -2538 238538 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 291760 238538 295260 238838 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -3298 238538 240 238838 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 294500 238838 294800 238839 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 238838 -2538 238839 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 294500 256537 294800 256538 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 256537 -2538 256538 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 291760 256538 295260 256838 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -3298 256538 240 256838 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 294500 256838 294800 256839 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 256838 -2538 256839 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 294500 274537 294800 274538 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 274537 -2538 274538 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 291760 274538 295260 274838 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -3298 274538 240 274838 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 294500 274838 294800 274839 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 274838 -2538 274839 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 294500 292537 294800 292538 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 292537 -2538 292538 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 291760 292538 295260 292838 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -3298 292538 240 292838 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 294500 292838 294800 292839 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 292838 -2538 292839 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 294500 310537 294800 310538 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 310537 -2538 310538 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 291760 310538 295260 310838 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -3298 310538 240 310838 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 294500 310838 294800 310839 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 310838 -2538 310839 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 294500 328537 294800 328538 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 328537 -2538 328538 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 291760 328538 295260 328838 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -3298 328538 240 328838 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 294500 328838 294800 328839 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 328838 -2538 328839 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 294500 346537 294800 346538 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 346537 -2538 346538 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 291760 346538 295260 346838 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -3298 346538 240 346838 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 294500 346838 294800 346839 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 346838 -2538 346839 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 294500 353969 294800 353970 6 vdda1
-port 641 nsew default input
-rlabel metal5 s 274002 353969 274302 353970 6 vdda1
-port 641 nsew default input
-rlabel metal5 s 256002 353969 256302 353970 6 vdda1
-port 641 nsew default input
-rlabel metal5 s 238002 353969 238302 353970 6 vdda1
-port 641 nsew default input
-rlabel metal5 s 220002 353969 220302 353970 6 vdda1
-port 641 nsew default input
-rlabel metal5 s 202002 353969 202302 353970 6 vdda1
-port 641 nsew default input
-rlabel metal5 s 184002 353969 184302 353970 6 vdda1
-port 641 nsew default input
-rlabel metal5 s 166002 353969 166302 353970 6 vdda1
-port 641 nsew default input
-rlabel metal5 s 148002 353969 148302 353970 6 vdda1
-port 641 nsew default input
-rlabel metal5 s 130002 353969 130302 353970 6 vdda1
-port 641 nsew default input
-rlabel metal5 s 112002 353969 112302 353970 6 vdda1
-port 641 nsew default input
-rlabel metal5 s 94002 353969 94302 353970 6 vdda1
-port 641 nsew default input
-rlabel metal5 s 76002 353969 76302 353970 6 vdda1
-port 641 nsew default input
-rlabel metal5 s 58002 353969 58302 353970 6 vdda1
-port 641 nsew default input
-rlabel metal5 s 40002 353969 40302 353970 6 vdda1
-port 641 nsew default input
-rlabel metal5 s 22002 353969 22302 353970 6 vdda1
-port 641 nsew default input
-rlabel metal5 s 4002 353969 4302 353970 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 353969 -2538 353970 4 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 353970 294800 354270 6 vdda1
-port 641 nsew default input
-rlabel metal5 s 294500 354270 294800 354271 6 vdda1
-port 641 nsew default input
-rlabel metal5 s 274002 354270 274302 354271 6 vdda1
-port 641 nsew default input
-rlabel metal5 s 256002 354270 256302 354271 6 vdda1
-port 641 nsew default input
-rlabel metal5 s 238002 354270 238302 354271 6 vdda1
-port 641 nsew default input
-rlabel metal5 s 220002 354270 220302 354271 6 vdda1
-port 641 nsew default input
-rlabel metal5 s 202002 354270 202302 354271 6 vdda1
-port 641 nsew default input
-rlabel metal5 s 184002 354270 184302 354271 6 vdda1
-port 641 nsew default input
-rlabel metal5 s 166002 354270 166302 354271 6 vdda1
-port 641 nsew default input
-rlabel metal5 s 148002 354270 148302 354271 6 vdda1
-port 641 nsew default input
-rlabel metal5 s 130002 354270 130302 354271 6 vdda1
-port 641 nsew default input
-rlabel metal5 s 112002 354270 112302 354271 6 vdda1
-port 641 nsew default input
-rlabel metal5 s 94002 354270 94302 354271 6 vdda1
-port 641 nsew default input
-rlabel metal5 s 76002 354270 76302 354271 6 vdda1
-port 641 nsew default input
-rlabel metal5 s 58002 354270 58302 354271 6 vdda1
-port 641 nsew default input
-rlabel metal5 s 40002 354270 40302 354271 6 vdda1
-port 641 nsew default input
-rlabel metal5 s 22002 354270 22302 354271 6 vdda1
-port 641 nsew default input
-rlabel metal5 s 4002 354270 4302 354271 6 vdda1
-port 641 nsew default input
-rlabel metal5 s -2838 354270 -2538 354271 4 vdda1
-port 641 nsew default input
-rlabel metal4 s 294500 -2302 294800 354270 6 vdda1
-port 641 nsew default input
-rlabel metal4 s 274002 -2762 274302 240 8 vdda1
-port 641 nsew default input
-rlabel metal4 s 256002 -2762 256302 240 8 vdda1
-port 641 nsew default input
-rlabel metal4 s 238002 -2762 238302 240 8 vdda1
-port 641 nsew default input
-rlabel metal4 s 220002 -2762 220302 240 8 vdda1
-port 641 nsew default input
-rlabel metal4 s 202002 -2762 202302 240 8 vdda1
-port 641 nsew default input
-rlabel metal4 s 184002 -2762 184302 240 8 vdda1
-port 641 nsew default input
-rlabel metal4 s 166002 -2762 166302 240 8 vdda1
-port 641 nsew default input
-rlabel metal4 s 148002 -2762 148302 240 8 vdda1
-port 641 nsew default input
-rlabel metal4 s 130002 -2762 130302 240 8 vdda1
-port 641 nsew default input
-rlabel metal4 s 112002 -2762 112302 240 8 vdda1
-port 641 nsew default input
-rlabel metal4 s 94002 -2762 94302 240 8 vdda1
-port 641 nsew default input
-rlabel metal4 s 76002 -2762 76302 240 8 vdda1
-port 641 nsew default input
-rlabel metal4 s 58002 -2762 58302 240 8 vdda1
-port 641 nsew default input
-rlabel metal4 s 40002 -2762 40302 240 8 vdda1
-port 641 nsew default input
-rlabel metal4 s 22002 -2762 22302 240 8 vdda1
-port 641 nsew default input
-rlabel metal4 s 4002 -2762 4302 240 8 vdda1
-port 641 nsew default input
-rlabel metal4 s 274002 351760 274302 354730 6 vdda1
-port 641 nsew default input
-rlabel metal4 s 256002 351760 256302 354730 6 vdda1
-port 641 nsew default input
-rlabel metal4 s 238002 351760 238302 354730 6 vdda1
-port 641 nsew default input
-rlabel metal4 s 220002 351760 220302 354730 6 vdda1
-port 641 nsew default input
-rlabel metal4 s 202002 351760 202302 354730 6 vdda1
-port 641 nsew default input
-rlabel metal4 s 184002 351760 184302 354730 6 vdda1
-port 641 nsew default input
-rlabel metal4 s 166002 351760 166302 354730 6 vdda1
-port 641 nsew default input
-rlabel metal4 s 148002 351760 148302 354730 6 vdda1
-port 641 nsew default input
-rlabel metal4 s 130002 351760 130302 354730 6 vdda1
-port 641 nsew default input
-rlabel metal4 s 112002 351760 112302 354730 6 vdda1
-port 641 nsew default input
-rlabel metal4 s 94002 351760 94302 354730 6 vdda1
-port 641 nsew default input
-rlabel metal4 s 76002 351760 76302 354730 6 vdda1
-port 641 nsew default input
-rlabel metal4 s 58002 351760 58302 354730 6 vdda1
-port 641 nsew default input
-rlabel metal4 s 40002 351760 40302 354730 6 vdda1
-port 641 nsew default input
-rlabel metal4 s 22002 351760 22302 354730 6 vdda1
-port 641 nsew default input
-rlabel metal4 s 4002 351760 4302 354730 6 vdda1
-port 641 nsew default input
-rlabel metal4 s -2838 -2302 -2538 354270 4 vdda1
-port 641 nsew default input
-rlabel metal5 s 294960 -2763 295260 -2762 8 vssa1
-port 642 nsew default input
-rlabel metal5 s 283002 -2763 283302 -2762 8 vssa1
-port 642 nsew default input
-rlabel metal5 s 265002 -2763 265302 -2762 8 vssa1
-port 642 nsew default input
-rlabel metal5 s 247002 -2763 247302 -2762 8 vssa1
-port 642 nsew default input
-rlabel metal5 s 229002 -2763 229302 -2762 8 vssa1
-port 642 nsew default input
-rlabel metal5 s 211002 -2763 211302 -2762 8 vssa1
-port 642 nsew default input
-rlabel metal5 s 193002 -2763 193302 -2762 8 vssa1
-port 642 nsew default input
-rlabel metal5 s 175002 -2763 175302 -2762 8 vssa1
-port 642 nsew default input
-rlabel metal5 s 157002 -2763 157302 -2762 8 vssa1
-port 642 nsew default input
-rlabel metal5 s 139002 -2763 139302 -2762 8 vssa1
-port 642 nsew default input
-rlabel metal5 s 121002 -2763 121302 -2762 8 vssa1
-port 642 nsew default input
-rlabel metal5 s 103002 -2763 103302 -2762 8 vssa1
-port 642 nsew default input
-rlabel metal5 s 85002 -2763 85302 -2762 8 vssa1
-port 642 nsew default input
-rlabel metal5 s 67002 -2763 67302 -2762 8 vssa1
-port 642 nsew default input
-rlabel metal5 s 49002 -2763 49302 -2762 8 vssa1
-port 642 nsew default input
-rlabel metal5 s 31002 -2763 31302 -2762 8 vssa1
-port 642 nsew default input
-rlabel metal5 s 13002 -2763 13302 -2762 8 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 -2763 -2998 -2762 2 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 -2762 295260 -2462 8 vssa1
-port 642 nsew default input
-rlabel metal5 s 294960 -2462 295260 -2461 8 vssa1
-port 642 nsew default input
-rlabel metal5 s 283002 -2462 283302 -2461 8 vssa1
-port 642 nsew default input
-rlabel metal5 s 265002 -2462 265302 -2461 8 vssa1
-port 642 nsew default input
-rlabel metal5 s 247002 -2462 247302 -2461 8 vssa1
-port 642 nsew default input
-rlabel metal5 s 229002 -2462 229302 -2461 8 vssa1
-port 642 nsew default input
-rlabel metal5 s 211002 -2462 211302 -2461 8 vssa1
-port 642 nsew default input
-rlabel metal5 s 193002 -2462 193302 -2461 8 vssa1
-port 642 nsew default input
-rlabel metal5 s 175002 -2462 175302 -2461 8 vssa1
-port 642 nsew default input
-rlabel metal5 s 157002 -2462 157302 -2461 8 vssa1
-port 642 nsew default input
-rlabel metal5 s 139002 -2462 139302 -2461 8 vssa1
-port 642 nsew default input
-rlabel metal5 s 121002 -2462 121302 -2461 8 vssa1
-port 642 nsew default input
-rlabel metal5 s 103002 -2462 103302 -2461 8 vssa1
-port 642 nsew default input
-rlabel metal5 s 85002 -2462 85302 -2461 8 vssa1
-port 642 nsew default input
-rlabel metal5 s 67002 -2462 67302 -2461 8 vssa1
-port 642 nsew default input
-rlabel metal5 s 49002 -2462 49302 -2461 8 vssa1
-port 642 nsew default input
-rlabel metal5 s 31002 -2462 31302 -2461 8 vssa1
-port 642 nsew default input
-rlabel metal5 s 13002 -2462 13302 -2461 8 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 -2462 -2998 -2461 2 vssa1
-port 642 nsew default input
-rlabel metal5 s 294960 13537 295260 13538 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 13537 -2998 13538 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 291760 13538 295260 13838 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 13538 240 13838 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 294960 13838 295260 13839 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 13838 -2998 13839 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 294960 31537 295260 31538 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 31537 -2998 31538 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 291760 31538 295260 31838 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 31538 240 31838 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 294960 31838 295260 31839 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 31838 -2998 31839 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 294960 49537 295260 49538 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 49537 -2998 49538 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 291760 49538 295260 49838 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 49538 240 49838 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 294960 49838 295260 49839 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 49838 -2998 49839 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 294960 67537 295260 67538 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 67537 -2998 67538 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 291760 67538 295260 67838 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 67538 240 67838 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 294960 67838 295260 67839 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 67838 -2998 67839 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 294960 85537 295260 85538 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 85537 -2998 85538 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 291760 85538 295260 85838 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 85538 240 85838 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 294960 85838 295260 85839 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 85838 -2998 85839 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 294960 103537 295260 103538 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 103537 -2998 103538 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 291760 103538 295260 103838 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 103538 240 103838 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 294960 103838 295260 103839 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 103838 -2998 103839 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 294960 121537 295260 121538 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 121537 -2998 121538 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 291760 121538 295260 121838 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 121538 240 121838 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 294960 121838 295260 121839 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 121838 -2998 121839 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 294960 139537 295260 139538 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 139537 -2998 139538 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 291760 139538 295260 139838 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 139538 240 139838 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 294960 139838 295260 139839 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 139838 -2998 139839 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 294960 157537 295260 157538 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 157537 -2998 157538 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 291760 157538 295260 157838 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 157538 240 157838 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 294960 157838 295260 157839 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 157838 -2998 157839 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 294960 175537 295260 175538 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 175537 -2998 175538 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 291760 175538 295260 175838 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 175538 240 175838 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 294960 175838 295260 175839 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 175838 -2998 175839 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 294960 193537 295260 193538 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 193537 -2998 193538 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 291760 193538 295260 193838 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 193538 240 193838 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 294960 193838 295260 193839 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 193838 -2998 193839 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 294960 211537 295260 211538 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 211537 -2998 211538 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 291760 211538 295260 211838 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 211538 240 211838 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 294960 211838 295260 211839 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 211838 -2998 211839 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 294960 229537 295260 229538 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 229537 -2998 229538 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 291760 229538 295260 229838 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 229538 240 229838 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 294960 229838 295260 229839 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 229838 -2998 229839 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 294960 247537 295260 247538 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 247537 -2998 247538 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 291760 247538 295260 247838 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 247538 240 247838 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 294960 247838 295260 247839 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 247838 -2998 247839 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 294960 265537 295260 265538 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 265537 -2998 265538 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 291760 265538 295260 265838 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 265538 240 265838 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 294960 265838 295260 265839 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 265838 -2998 265839 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 294960 283537 295260 283538 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 283537 -2998 283538 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 291760 283538 295260 283838 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 283538 240 283838 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 294960 283838 295260 283839 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 283838 -2998 283839 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 294960 301537 295260 301538 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 301537 -2998 301538 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 291760 301538 295260 301838 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 301538 240 301838 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 294960 301838 295260 301839 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 301838 -2998 301839 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 294960 319537 295260 319538 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 319537 -2998 319538 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 291760 319538 295260 319838 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 319538 240 319838 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 294960 319838 295260 319839 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 319838 -2998 319839 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 294960 337537 295260 337538 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 337537 -2998 337538 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 291760 337538 295260 337838 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 337538 240 337838 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 294960 337838 295260 337839 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 337838 -2998 337839 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 294960 354429 295260 354430 6 vssa1
-port 642 nsew default input
-rlabel metal5 s 283002 354429 283302 354430 6 vssa1
-port 642 nsew default input
-rlabel metal5 s 265002 354429 265302 354430 6 vssa1
-port 642 nsew default input
-rlabel metal5 s 247002 354429 247302 354430 6 vssa1
-port 642 nsew default input
-rlabel metal5 s 229002 354429 229302 354430 6 vssa1
-port 642 nsew default input
-rlabel metal5 s 211002 354429 211302 354430 6 vssa1
-port 642 nsew default input
-rlabel metal5 s 193002 354429 193302 354430 6 vssa1
-port 642 nsew default input
-rlabel metal5 s 175002 354429 175302 354430 6 vssa1
-port 642 nsew default input
-rlabel metal5 s 157002 354429 157302 354430 6 vssa1
-port 642 nsew default input
-rlabel metal5 s 139002 354429 139302 354430 6 vssa1
-port 642 nsew default input
-rlabel metal5 s 121002 354429 121302 354430 6 vssa1
-port 642 nsew default input
-rlabel metal5 s 103002 354429 103302 354430 6 vssa1
-port 642 nsew default input
-rlabel metal5 s 85002 354429 85302 354430 6 vssa1
-port 642 nsew default input
-rlabel metal5 s 67002 354429 67302 354430 6 vssa1
-port 642 nsew default input
-rlabel metal5 s 49002 354429 49302 354430 6 vssa1
-port 642 nsew default input
-rlabel metal5 s 31002 354429 31302 354430 6 vssa1
-port 642 nsew default input
-rlabel metal5 s 13002 354429 13302 354430 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 354429 -2998 354430 4 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 354430 295260 354730 6 vssa1
-port 642 nsew default input
-rlabel metal5 s 294960 354730 295260 354731 6 vssa1
-port 642 nsew default input
-rlabel metal5 s 283002 354730 283302 354731 6 vssa1
-port 642 nsew default input
-rlabel metal5 s 265002 354730 265302 354731 6 vssa1
-port 642 nsew default input
-rlabel metal5 s 247002 354730 247302 354731 6 vssa1
-port 642 nsew default input
-rlabel metal5 s 229002 354730 229302 354731 6 vssa1
-port 642 nsew default input
-rlabel metal5 s 211002 354730 211302 354731 6 vssa1
-port 642 nsew default input
-rlabel metal5 s 193002 354730 193302 354731 6 vssa1
-port 642 nsew default input
-rlabel metal5 s 175002 354730 175302 354731 6 vssa1
-port 642 nsew default input
-rlabel metal5 s 157002 354730 157302 354731 6 vssa1
-port 642 nsew default input
-rlabel metal5 s 139002 354730 139302 354731 6 vssa1
-port 642 nsew default input
-rlabel metal5 s 121002 354730 121302 354731 6 vssa1
-port 642 nsew default input
-rlabel metal5 s 103002 354730 103302 354731 6 vssa1
-port 642 nsew default input
-rlabel metal5 s 85002 354730 85302 354731 6 vssa1
-port 642 nsew default input
-rlabel metal5 s 67002 354730 67302 354731 6 vssa1
-port 642 nsew default input
-rlabel metal5 s 49002 354730 49302 354731 6 vssa1
-port 642 nsew default input
-rlabel metal5 s 31002 354730 31302 354731 6 vssa1
-port 642 nsew default input
-rlabel metal5 s 13002 354730 13302 354731 6 vssa1
-port 642 nsew default input
-rlabel metal5 s -3298 354730 -2998 354731 4 vssa1
-port 642 nsew default input
-rlabel metal4 s 294960 -2762 295260 354730 6 vssa1
-port 642 nsew default input
-rlabel metal4 s 283002 -2762 283302 240 8 vssa1
-port 642 nsew default input
-rlabel metal4 s 265002 -2762 265302 240 8 vssa1
-port 642 nsew default input
-rlabel metal4 s 247002 -2762 247302 240 8 vssa1
-port 642 nsew default input
-rlabel metal4 s 229002 -2762 229302 240 8 vssa1
-port 642 nsew default input
-rlabel metal4 s 211002 -2762 211302 240 8 vssa1
-port 642 nsew default input
-rlabel metal4 s 193002 -2762 193302 240 8 vssa1
-port 642 nsew default input
-rlabel metal4 s 175002 -2762 175302 240 8 vssa1
-port 642 nsew default input
-rlabel metal4 s 157002 -2762 157302 240 8 vssa1
-port 642 nsew default input
-rlabel metal4 s 139002 -2762 139302 240 8 vssa1
-port 642 nsew default input
-rlabel metal4 s 121002 -2762 121302 240 8 vssa1
-port 642 nsew default input
-rlabel metal4 s 103002 -2762 103302 240 8 vssa1
-port 642 nsew default input
-rlabel metal4 s 85002 -2762 85302 240 8 vssa1
-port 642 nsew default input
-rlabel metal4 s 67002 -2762 67302 240 8 vssa1
-port 642 nsew default input
-rlabel metal4 s 49002 -2762 49302 240 8 vssa1
-port 642 nsew default input
-rlabel metal4 s 31002 -2762 31302 240 8 vssa1
-port 642 nsew default input
-rlabel metal4 s 13002 -2762 13302 240 8 vssa1
-port 642 nsew default input
-rlabel metal4 s 283002 351760 283302 354730 6 vssa1
-port 642 nsew default input
-rlabel metal4 s 265002 351760 265302 354730 6 vssa1
-port 642 nsew default input
-rlabel metal4 s 247002 351760 247302 354730 6 vssa1
-port 642 nsew default input
-rlabel metal4 s 229002 351760 229302 354730 6 vssa1
-port 642 nsew default input
-rlabel metal4 s 211002 351760 211302 354730 6 vssa1
-port 642 nsew default input
-rlabel metal4 s 193002 351760 193302 354730 6 vssa1
-port 642 nsew default input
-rlabel metal4 s 175002 351760 175302 354730 6 vssa1
-port 642 nsew default input
-rlabel metal4 s 157002 351760 157302 354730 6 vssa1
-port 642 nsew default input
-rlabel metal4 s 139002 351760 139302 354730 6 vssa1
-port 642 nsew default input
-rlabel metal4 s 121002 351760 121302 354730 6 vssa1
-port 642 nsew default input
-rlabel metal4 s 103002 351760 103302 354730 6 vssa1
-port 642 nsew default input
-rlabel metal4 s 85002 351760 85302 354730 6 vssa1
-port 642 nsew default input
-rlabel metal4 s 67002 351760 67302 354730 6 vssa1
-port 642 nsew default input
-rlabel metal4 s 49002 351760 49302 354730 6 vssa1
-port 642 nsew default input
-rlabel metal4 s 31002 351760 31302 354730 6 vssa1
-port 642 nsew default input
-rlabel metal4 s 13002 351760 13302 354730 6 vssa1
-port 642 nsew default input
-rlabel metal4 s -3298 -2762 -2998 354730 4 vssa1
-port 642 nsew default input
-rlabel metal5 s 295420 -3223 295720 -3222 8 vdda2
-port 643 nsew default input
-rlabel metal5 s 275802 -3223 276102 -3222 8 vdda2
-port 643 nsew default input
-rlabel metal5 s 257802 -3223 258102 -3222 8 vdda2
-port 643 nsew default input
-rlabel metal5 s 239802 -3223 240102 -3222 8 vdda2
-port 643 nsew default input
-rlabel metal5 s 221802 -3223 222102 -3222 8 vdda2
-port 643 nsew default input
-rlabel metal5 s 203802 -3223 204102 -3222 8 vdda2
-port 643 nsew default input
-rlabel metal5 s 185802 -3223 186102 -3222 8 vdda2
-port 643 nsew default input
-rlabel metal5 s 167802 -3223 168102 -3222 8 vdda2
-port 643 nsew default input
-rlabel metal5 s 149802 -3223 150102 -3222 8 vdda2
-port 643 nsew default input
-rlabel metal5 s 131802 -3223 132102 -3222 8 vdda2
-port 643 nsew default input
-rlabel metal5 s 113802 -3223 114102 -3222 8 vdda2
-port 643 nsew default input
-rlabel metal5 s 95802 -3223 96102 -3222 8 vdda2
-port 643 nsew default input
-rlabel metal5 s 77802 -3223 78102 -3222 8 vdda2
-port 643 nsew default input
-rlabel metal5 s 59802 -3223 60102 -3222 8 vdda2
-port 643 nsew default input
-rlabel metal5 s 41802 -3223 42102 -3222 8 vdda2
-port 643 nsew default input
-rlabel metal5 s 23802 -3223 24102 -3222 8 vdda2
-port 643 nsew default input
-rlabel metal5 s 5802 -3223 6102 -3222 8 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 -3223 -3458 -3222 2 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 -3222 295720 -2922 8 vdda2
-port 643 nsew default input
-rlabel metal5 s 295420 -2922 295720 -2921 8 vdda2
-port 643 nsew default input
-rlabel metal5 s 275802 -2922 276102 -2921 8 vdda2
-port 643 nsew default input
-rlabel metal5 s 257802 -2922 258102 -2921 8 vdda2
-port 643 nsew default input
-rlabel metal5 s 239802 -2922 240102 -2921 8 vdda2
-port 643 nsew default input
-rlabel metal5 s 221802 -2922 222102 -2921 8 vdda2
-port 643 nsew default input
-rlabel metal5 s 203802 -2922 204102 -2921 8 vdda2
-port 643 nsew default input
-rlabel metal5 s 185802 -2922 186102 -2921 8 vdda2
-port 643 nsew default input
-rlabel metal5 s 167802 -2922 168102 -2921 8 vdda2
-port 643 nsew default input
-rlabel metal5 s 149802 -2922 150102 -2921 8 vdda2
-port 643 nsew default input
-rlabel metal5 s 131802 -2922 132102 -2921 8 vdda2
-port 643 nsew default input
-rlabel metal5 s 113802 -2922 114102 -2921 8 vdda2
-port 643 nsew default input
-rlabel metal5 s 95802 -2922 96102 -2921 8 vdda2
-port 643 nsew default input
-rlabel metal5 s 77802 -2922 78102 -2921 8 vdda2
-port 643 nsew default input
-rlabel metal5 s 59802 -2922 60102 -2921 8 vdda2
-port 643 nsew default input
-rlabel metal5 s 41802 -2922 42102 -2921 8 vdda2
-port 643 nsew default input
-rlabel metal5 s 23802 -2922 24102 -2921 8 vdda2
-port 643 nsew default input
-rlabel metal5 s 5802 -2922 6102 -2921 8 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 -2922 -3458 -2921 2 vdda2
-port 643 nsew default input
-rlabel metal5 s 295420 6337 295720 6338 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 6337 -3458 6338 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 291760 6338 296180 6638 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -4218 6338 240 6638 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 295420 6638 295720 6639 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 6638 -3458 6639 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 295420 24337 295720 24338 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 24337 -3458 24338 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 291760 24338 296180 24638 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -4218 24338 240 24638 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 295420 24638 295720 24639 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 24638 -3458 24639 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 295420 42337 295720 42338 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 42337 -3458 42338 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 291760 42338 296180 42638 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -4218 42338 240 42638 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 295420 42638 295720 42639 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 42638 -3458 42639 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 295420 60337 295720 60338 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 60337 -3458 60338 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 291760 60338 296180 60638 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -4218 60338 240 60638 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 295420 60638 295720 60639 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 60638 -3458 60639 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 295420 78337 295720 78338 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 78337 -3458 78338 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 291760 78338 296180 78638 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -4218 78338 240 78638 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 295420 78638 295720 78639 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 78638 -3458 78639 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 295420 96337 295720 96338 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 96337 -3458 96338 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 291760 96338 296180 96638 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -4218 96338 240 96638 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 295420 96638 295720 96639 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 96638 -3458 96639 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 295420 114337 295720 114338 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 114337 -3458 114338 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 291760 114338 296180 114638 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -4218 114338 240 114638 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 295420 114638 295720 114639 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 114638 -3458 114639 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 295420 132337 295720 132338 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 132337 -3458 132338 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 291760 132338 296180 132638 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -4218 132338 240 132638 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 295420 132638 295720 132639 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 132638 -3458 132639 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 295420 150337 295720 150338 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 150337 -3458 150338 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 291760 150338 296180 150638 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -4218 150338 240 150638 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 295420 150638 295720 150639 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 150638 -3458 150639 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 295420 168337 295720 168338 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 168337 -3458 168338 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 291760 168338 296180 168638 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -4218 168338 240 168638 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 295420 168638 295720 168639 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 168638 -3458 168639 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 295420 186337 295720 186338 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 186337 -3458 186338 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 291760 186338 296180 186638 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -4218 186338 240 186638 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 295420 186638 295720 186639 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 186638 -3458 186639 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 295420 204337 295720 204338 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 204337 -3458 204338 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 291760 204338 296180 204638 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -4218 204338 240 204638 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 295420 204638 295720 204639 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 204638 -3458 204639 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 295420 222337 295720 222338 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 222337 -3458 222338 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 291760 222338 296180 222638 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -4218 222338 240 222638 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 295420 222638 295720 222639 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 222638 -3458 222639 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 295420 240337 295720 240338 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 240337 -3458 240338 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 291760 240338 296180 240638 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -4218 240338 240 240638 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 295420 240638 295720 240639 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 240638 -3458 240639 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 295420 258337 295720 258338 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 258337 -3458 258338 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 291760 258338 296180 258638 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -4218 258338 240 258638 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 295420 258638 295720 258639 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 258638 -3458 258639 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 295420 276337 295720 276338 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 276337 -3458 276338 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 291760 276338 296180 276638 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -4218 276338 240 276638 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 295420 276638 295720 276639 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 276638 -3458 276639 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 295420 294337 295720 294338 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 294337 -3458 294338 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 291760 294338 296180 294638 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -4218 294338 240 294638 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 295420 294638 295720 294639 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 294638 -3458 294639 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 295420 312337 295720 312338 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 312337 -3458 312338 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 291760 312338 296180 312638 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -4218 312338 240 312638 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 295420 312638 295720 312639 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 312638 -3458 312639 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 295420 330337 295720 330338 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 330337 -3458 330338 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 291760 330338 296180 330638 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -4218 330338 240 330638 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 295420 330638 295720 330639 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 330638 -3458 330639 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 295420 348337 295720 348338 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 348337 -3458 348338 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 291760 348338 296180 348638 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -4218 348338 240 348638 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 295420 348638 295720 348639 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 348638 -3458 348639 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 295420 354889 295720 354890 6 vdda2
-port 643 nsew default input
-rlabel metal5 s 275802 354889 276102 354890 6 vdda2
-port 643 nsew default input
-rlabel metal5 s 257802 354889 258102 354890 6 vdda2
-port 643 nsew default input
-rlabel metal5 s 239802 354889 240102 354890 6 vdda2
-port 643 nsew default input
-rlabel metal5 s 221802 354889 222102 354890 6 vdda2
-port 643 nsew default input
-rlabel metal5 s 203802 354889 204102 354890 6 vdda2
-port 643 nsew default input
-rlabel metal5 s 185802 354889 186102 354890 6 vdda2
-port 643 nsew default input
-rlabel metal5 s 167802 354889 168102 354890 6 vdda2
-port 643 nsew default input
-rlabel metal5 s 149802 354889 150102 354890 6 vdda2
-port 643 nsew default input
-rlabel metal5 s 131802 354889 132102 354890 6 vdda2
-port 643 nsew default input
-rlabel metal5 s 113802 354889 114102 354890 6 vdda2
-port 643 nsew default input
-rlabel metal5 s 95802 354889 96102 354890 6 vdda2
-port 643 nsew default input
-rlabel metal5 s 77802 354889 78102 354890 6 vdda2
-port 643 nsew default input
-rlabel metal5 s 59802 354889 60102 354890 6 vdda2
-port 643 nsew default input
-rlabel metal5 s 41802 354889 42102 354890 6 vdda2
-port 643 nsew default input
-rlabel metal5 s 23802 354889 24102 354890 6 vdda2
-port 643 nsew default input
-rlabel metal5 s 5802 354889 6102 354890 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 354889 -3458 354890 4 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 354890 295720 355190 6 vdda2
-port 643 nsew default input
-rlabel metal5 s 295420 355190 295720 355191 6 vdda2
-port 643 nsew default input
-rlabel metal5 s 275802 355190 276102 355191 6 vdda2
-port 643 nsew default input
-rlabel metal5 s 257802 355190 258102 355191 6 vdda2
-port 643 nsew default input
-rlabel metal5 s 239802 355190 240102 355191 6 vdda2
-port 643 nsew default input
-rlabel metal5 s 221802 355190 222102 355191 6 vdda2
-port 643 nsew default input
-rlabel metal5 s 203802 355190 204102 355191 6 vdda2
-port 643 nsew default input
-rlabel metal5 s 185802 355190 186102 355191 6 vdda2
-port 643 nsew default input
-rlabel metal5 s 167802 355190 168102 355191 6 vdda2
-port 643 nsew default input
-rlabel metal5 s 149802 355190 150102 355191 6 vdda2
-port 643 nsew default input
-rlabel metal5 s 131802 355190 132102 355191 6 vdda2
-port 643 nsew default input
-rlabel metal5 s 113802 355190 114102 355191 6 vdda2
-port 643 nsew default input
-rlabel metal5 s 95802 355190 96102 355191 6 vdda2
-port 643 nsew default input
-rlabel metal5 s 77802 355190 78102 355191 6 vdda2
-port 643 nsew default input
-rlabel metal5 s 59802 355190 60102 355191 6 vdda2
-port 643 nsew default input
-rlabel metal5 s 41802 355190 42102 355191 6 vdda2
-port 643 nsew default input
-rlabel metal5 s 23802 355190 24102 355191 6 vdda2
-port 643 nsew default input
-rlabel metal5 s 5802 355190 6102 355191 6 vdda2
-port 643 nsew default input
-rlabel metal5 s -3758 355190 -3458 355191 4 vdda2
-port 643 nsew default input
-rlabel metal4 s 295420 -3222 295720 355190 6 vdda2
-port 643 nsew default input
-rlabel metal4 s 275802 -3682 276102 240 8 vdda2
-port 643 nsew default input
-rlabel metal4 s 257802 -3682 258102 240 8 vdda2
-port 643 nsew default input
-rlabel metal4 s 239802 -3682 240102 240 8 vdda2
-port 643 nsew default input
-rlabel metal4 s 221802 -3682 222102 240 8 vdda2
-port 643 nsew default input
-rlabel metal4 s 203802 -3682 204102 240 8 vdda2
-port 643 nsew default input
-rlabel metal4 s 185802 -3682 186102 240 8 vdda2
-port 643 nsew default input
-rlabel metal4 s 167802 -3682 168102 240 8 vdda2
-port 643 nsew default input
-rlabel metal4 s 149802 -3682 150102 240 8 vdda2
-port 643 nsew default input
-rlabel metal4 s 131802 -3682 132102 240 8 vdda2
-port 643 nsew default input
-rlabel metal4 s 113802 -3682 114102 240 8 vdda2
-port 643 nsew default input
-rlabel metal4 s 95802 -3682 96102 240 8 vdda2
-port 643 nsew default input
-rlabel metal4 s 77802 -3682 78102 240 8 vdda2
-port 643 nsew default input
-rlabel metal4 s 59802 -3682 60102 240 8 vdda2
-port 643 nsew default input
-rlabel metal4 s 41802 -3682 42102 240 8 vdda2
-port 643 nsew default input
-rlabel metal4 s 23802 -3682 24102 240 8 vdda2
-port 643 nsew default input
-rlabel metal4 s 5802 -3682 6102 240 8 vdda2
-port 643 nsew default input
-rlabel metal4 s 275802 351760 276102 355650 6 vdda2
-port 643 nsew default input
-rlabel metal4 s 257802 351760 258102 355650 6 vdda2
-port 643 nsew default input
-rlabel metal4 s 239802 351760 240102 355650 6 vdda2
-port 643 nsew default input
-rlabel metal4 s 221802 351760 222102 355650 6 vdda2
-port 643 nsew default input
-rlabel metal4 s 203802 351760 204102 355650 6 vdda2
-port 643 nsew default input
-rlabel metal4 s 185802 351760 186102 355650 6 vdda2
-port 643 nsew default input
-rlabel metal4 s 167802 351760 168102 355650 6 vdda2
-port 643 nsew default input
-rlabel metal4 s 149802 351760 150102 355650 6 vdda2
-port 643 nsew default input
-rlabel metal4 s 131802 351760 132102 355650 6 vdda2
-port 643 nsew default input
-rlabel metal4 s 113802 351760 114102 355650 6 vdda2
-port 643 nsew default input
-rlabel metal4 s 95802 351760 96102 355650 6 vdda2
-port 643 nsew default input
-rlabel metal4 s 77802 351760 78102 355650 6 vdda2
-port 643 nsew default input
-rlabel metal4 s 59802 351760 60102 355650 6 vdda2
-port 643 nsew default input
-rlabel metal4 s 41802 351760 42102 355650 6 vdda2
-port 643 nsew default input
-rlabel metal4 s 23802 351760 24102 355650 6 vdda2
-port 643 nsew default input
-rlabel metal4 s 5802 351760 6102 355650 6 vdda2
-port 643 nsew default input
-rlabel metal4 s -3758 -3222 -3458 355190 4 vdda2
-port 643 nsew default input
-rlabel metal5 s 295880 -3683 296180 -3682 8 vssa2
-port 644 nsew default input
-rlabel metal5 s 284802 -3683 285102 -3682 8 vssa2
-port 644 nsew default input
-rlabel metal5 s 266802 -3683 267102 -3682 8 vssa2
-port 644 nsew default input
-rlabel metal5 s 248802 -3683 249102 -3682 8 vssa2
-port 644 nsew default input
-rlabel metal5 s 230802 -3683 231102 -3682 8 vssa2
-port 644 nsew default input
-rlabel metal5 s 212802 -3683 213102 -3682 8 vssa2
-port 644 nsew default input
-rlabel metal5 s 194802 -3683 195102 -3682 8 vssa2
-port 644 nsew default input
-rlabel metal5 s 176802 -3683 177102 -3682 8 vssa2
-port 644 nsew default input
-rlabel metal5 s 158802 -3683 159102 -3682 8 vssa2
-port 644 nsew default input
-rlabel metal5 s 140802 -3683 141102 -3682 8 vssa2
-port 644 nsew default input
-rlabel metal5 s 122802 -3683 123102 -3682 8 vssa2
-port 644 nsew default input
-rlabel metal5 s 104802 -3683 105102 -3682 8 vssa2
-port 644 nsew default input
-rlabel metal5 s 86802 -3683 87102 -3682 8 vssa2
-port 644 nsew default input
-rlabel metal5 s 68802 -3683 69102 -3682 8 vssa2
-port 644 nsew default input
-rlabel metal5 s 50802 -3683 51102 -3682 8 vssa2
-port 644 nsew default input
-rlabel metal5 s 32802 -3683 33102 -3682 8 vssa2
-port 644 nsew default input
-rlabel metal5 s 14802 -3683 15102 -3682 8 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 -3683 -3918 -3682 2 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 -3682 296180 -3382 8 vssa2
-port 644 nsew default input
-rlabel metal5 s 295880 -3382 296180 -3381 8 vssa2
-port 644 nsew default input
-rlabel metal5 s 284802 -3382 285102 -3381 8 vssa2
-port 644 nsew default input
-rlabel metal5 s 266802 -3382 267102 -3381 8 vssa2
-port 644 nsew default input
-rlabel metal5 s 248802 -3382 249102 -3381 8 vssa2
-port 644 nsew default input
-rlabel metal5 s 230802 -3382 231102 -3381 8 vssa2
-port 644 nsew default input
-rlabel metal5 s 212802 -3382 213102 -3381 8 vssa2
-port 644 nsew default input
-rlabel metal5 s 194802 -3382 195102 -3381 8 vssa2
-port 644 nsew default input
-rlabel metal5 s 176802 -3382 177102 -3381 8 vssa2
-port 644 nsew default input
-rlabel metal5 s 158802 -3382 159102 -3381 8 vssa2
-port 644 nsew default input
-rlabel metal5 s 140802 -3382 141102 -3381 8 vssa2
-port 644 nsew default input
-rlabel metal5 s 122802 -3382 123102 -3381 8 vssa2
-port 644 nsew default input
-rlabel metal5 s 104802 -3382 105102 -3381 8 vssa2
-port 644 nsew default input
-rlabel metal5 s 86802 -3382 87102 -3381 8 vssa2
-port 644 nsew default input
-rlabel metal5 s 68802 -3382 69102 -3381 8 vssa2
-port 644 nsew default input
-rlabel metal5 s 50802 -3382 51102 -3381 8 vssa2
-port 644 nsew default input
-rlabel metal5 s 32802 -3382 33102 -3381 8 vssa2
-port 644 nsew default input
-rlabel metal5 s 14802 -3382 15102 -3381 8 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 -3382 -3918 -3381 2 vssa2
-port 644 nsew default input
-rlabel metal5 s 295880 15337 296180 15338 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 15337 -3918 15338 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 291760 15338 296180 15638 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 15338 240 15638 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 295880 15638 296180 15639 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 15638 -3918 15639 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 295880 33337 296180 33338 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 33337 -3918 33338 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 291760 33338 296180 33638 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 33338 240 33638 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 295880 33638 296180 33639 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 33638 -3918 33639 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 295880 51337 296180 51338 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 51337 -3918 51338 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 291760 51338 296180 51638 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 51338 240 51638 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 295880 51638 296180 51639 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 51638 -3918 51639 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 295880 69337 296180 69338 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 69337 -3918 69338 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 291760 69338 296180 69638 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 69338 240 69638 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 295880 69638 296180 69639 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 69638 -3918 69639 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 295880 87337 296180 87338 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 87337 -3918 87338 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 291760 87338 296180 87638 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 87338 240 87638 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 295880 87638 296180 87639 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 87638 -3918 87639 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 295880 105337 296180 105338 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 105337 -3918 105338 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 291760 105338 296180 105638 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 105338 240 105638 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 295880 105638 296180 105639 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 105638 -3918 105639 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 295880 123337 296180 123338 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 123337 -3918 123338 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 291760 123338 296180 123638 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 123338 240 123638 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 295880 123638 296180 123639 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 123638 -3918 123639 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 295880 141337 296180 141338 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 141337 -3918 141338 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 291760 141338 296180 141638 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 141338 240 141638 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 295880 141638 296180 141639 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 141638 -3918 141639 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 295880 159337 296180 159338 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 159337 -3918 159338 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 291760 159338 296180 159638 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 159338 240 159638 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 295880 159638 296180 159639 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 159638 -3918 159639 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 295880 177337 296180 177338 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 177337 -3918 177338 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 291760 177338 296180 177638 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 177338 240 177638 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 295880 177638 296180 177639 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 177638 -3918 177639 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 295880 195337 296180 195338 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 195337 -3918 195338 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 291760 195338 296180 195638 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 195338 240 195638 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 295880 195638 296180 195639 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 195638 -3918 195639 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 295880 213337 296180 213338 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 213337 -3918 213338 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 291760 213338 296180 213638 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 213338 240 213638 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 295880 213638 296180 213639 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 213638 -3918 213639 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 295880 231337 296180 231338 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 231337 -3918 231338 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 291760 231338 296180 231638 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 231338 240 231638 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 295880 231638 296180 231639 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 231638 -3918 231639 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 295880 249337 296180 249338 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 249337 -3918 249338 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 291760 249338 296180 249638 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 249338 240 249638 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 295880 249638 296180 249639 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 249638 -3918 249639 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 295880 267337 296180 267338 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 267337 -3918 267338 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 291760 267338 296180 267638 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 267338 240 267638 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 295880 267638 296180 267639 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 267638 -3918 267639 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 295880 285337 296180 285338 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 285337 -3918 285338 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 291760 285338 296180 285638 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 285338 240 285638 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 295880 285638 296180 285639 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 285638 -3918 285639 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 295880 303337 296180 303338 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 303337 -3918 303338 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 291760 303338 296180 303638 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 303338 240 303638 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 295880 303638 296180 303639 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 303638 -3918 303639 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 295880 321337 296180 321338 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 321337 -3918 321338 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 291760 321338 296180 321638 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 321338 240 321638 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 295880 321638 296180 321639 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 321638 -3918 321639 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 295880 339337 296180 339338 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 339337 -3918 339338 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 291760 339338 296180 339638 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 339338 240 339638 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 295880 339638 296180 339639 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 339638 -3918 339639 4 vssa2
-port 644 nsew default input
-rlabel metal5 s 295880 355349 296180 355350 6 vssa2
-port 644 nsew default input
-rlabel metal5 s 284802 355349 285102 355350 6 vssa2
-port 644 nsew default input
-rlabel metal5 s 266802 355349 267102 355350 6 vssa2
-port 644 nsew default input
-rlabel metal5 s 248802 355349 249102 355350 6 vssa2
-port 644 nsew default input
-rlabel metal5 s 230802 355349 231102 355350 6 vssa2
-port 644 nsew default input
-rlabel metal5 s 212802 355349 213102 355350 6 vssa2
-port 644 nsew default input
-rlabel metal5 s 194802 355349 195102 355350 6 vssa2
-port 644 nsew default input
-rlabel metal5 s 176802 355349 177102 355350 6 vssa2
-port 644 nsew default input
-rlabel metal5 s 158802 355349 159102 355350 6 vssa2
-port 644 nsew default input
-rlabel metal5 s 140802 355349 141102 355350 6 vssa2
-port 644 nsew default input
-rlabel metal5 s 122802 355349 123102 355350 6 vssa2
-port 644 nsew default input
-rlabel metal5 s 104802 355349 105102 355350 6 vssa2
-port 644 nsew default input
-rlabel metal5 s 86802 355349 87102 355350 6 vssa2
-port 644 nsew default input
-rlabel metal5 s 68802 355349 69102 355350 6 vssa2
-port 644 nsew default input
-rlabel metal5 s 50802 355349 51102 355350 6 vssa2
-port 644 nsew default input
-rlabel metal5 s 32802 355349 33102 355350 6 vssa2
-port 644 nsew default input
-rlabel metal5 s 14802 355349 15102 355350 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 355349 -3918 355350 4 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 355350 296180 355650 6 vssa2
-port 644 nsew default input
-rlabel metal5 s 295880 355650 296180 355651 6 vssa2
-port 644 nsew default input
-rlabel metal5 s 284802 355650 285102 355651 6 vssa2
-port 644 nsew default input
-rlabel metal5 s 266802 355650 267102 355651 6 vssa2
-port 644 nsew default input
-rlabel metal5 s 248802 355650 249102 355651 6 vssa2
-port 644 nsew default input
-rlabel metal5 s 230802 355650 231102 355651 6 vssa2
-port 644 nsew default input
-rlabel metal5 s 212802 355650 213102 355651 6 vssa2
-port 644 nsew default input
-rlabel metal5 s 194802 355650 195102 355651 6 vssa2
-port 644 nsew default input
-rlabel metal5 s 176802 355650 177102 355651 6 vssa2
-port 644 nsew default input
-rlabel metal5 s 158802 355650 159102 355651 6 vssa2
-port 644 nsew default input
-rlabel metal5 s 140802 355650 141102 355651 6 vssa2
-port 644 nsew default input
-rlabel metal5 s 122802 355650 123102 355651 6 vssa2
-port 644 nsew default input
-rlabel metal5 s 104802 355650 105102 355651 6 vssa2
-port 644 nsew default input
-rlabel metal5 s 86802 355650 87102 355651 6 vssa2
-port 644 nsew default input
-rlabel metal5 s 68802 355650 69102 355651 6 vssa2
-port 644 nsew default input
-rlabel metal5 s 50802 355650 51102 355651 6 vssa2
-port 644 nsew default input
-rlabel metal5 s 32802 355650 33102 355651 6 vssa2
-port 644 nsew default input
-rlabel metal5 s 14802 355650 15102 355651 6 vssa2
-port 644 nsew default input
-rlabel metal5 s -4218 355650 -3918 355651 4 vssa2
-port 644 nsew default input
-rlabel metal4 s 295880 -3682 296180 355650 6 vssa2
-port 644 nsew default input
-rlabel metal4 s 284802 -3682 285102 240 8 vssa2
-port 644 nsew default input
-rlabel metal4 s 266802 -3682 267102 240 8 vssa2
-port 644 nsew default input
-rlabel metal4 s 248802 -3682 249102 240 8 vssa2
-port 644 nsew default input
-rlabel metal4 s 230802 -3682 231102 240 8 vssa2
-port 644 nsew default input
-rlabel metal4 s 212802 -3682 213102 240 8 vssa2
-port 644 nsew default input
-rlabel metal4 s 194802 -3682 195102 240 8 vssa2
-port 644 nsew default input
-rlabel metal4 s 176802 -3682 177102 240 8 vssa2
-port 644 nsew default input
-rlabel metal4 s 158802 -3682 159102 240 8 vssa2
-port 644 nsew default input
-rlabel metal4 s 140802 -3682 141102 240 8 vssa2
-port 644 nsew default input
-rlabel metal4 s 122802 -3682 123102 240 8 vssa2
-port 644 nsew default input
-rlabel metal4 s 104802 -3682 105102 240 8 vssa2
-port 644 nsew default input
-rlabel metal4 s 86802 -3682 87102 240 8 vssa2
-port 644 nsew default input
-rlabel metal4 s 68802 -3682 69102 240 8 vssa2
-port 644 nsew default input
-rlabel metal4 s 50802 -3682 51102 240 8 vssa2
-port 644 nsew default input
-rlabel metal4 s 32802 -3682 33102 240 8 vssa2
-port 644 nsew default input
-rlabel metal4 s 14802 -3682 15102 240 8 vssa2
-port 644 nsew default input
-rlabel metal4 s 284802 351760 285102 355650 6 vssa2
-port 644 nsew default input
-rlabel metal4 s 266802 351760 267102 355650 6 vssa2
-port 644 nsew default input
-rlabel metal4 s 248802 351760 249102 355650 6 vssa2
-port 644 nsew default input
-rlabel metal4 s 230802 351760 231102 355650 6 vssa2
-port 644 nsew default input
-rlabel metal4 s 212802 351760 213102 355650 6 vssa2
-port 644 nsew default input
-rlabel metal4 s 194802 351760 195102 355650 6 vssa2
-port 644 nsew default input
-rlabel metal4 s 176802 351760 177102 355650 6 vssa2
-port 644 nsew default input
-rlabel metal4 s 158802 351760 159102 355650 6 vssa2
-port 644 nsew default input
-rlabel metal4 s 140802 351760 141102 355650 6 vssa2
-port 644 nsew default input
-rlabel metal4 s 122802 351760 123102 355650 6 vssa2
-port 644 nsew default input
-rlabel metal4 s 104802 351760 105102 355650 6 vssa2
-port 644 nsew default input
-rlabel metal4 s 86802 351760 87102 355650 6 vssa2
-port 644 nsew default input
-rlabel metal4 s 68802 351760 69102 355650 6 vssa2
-port 644 nsew default input
-rlabel metal4 s 50802 351760 51102 355650 6 vssa2
-port 644 nsew default input
-rlabel metal4 s 32802 351760 33102 355650 6 vssa2
-port 644 nsew default input
-rlabel metal4 s 14802 351760 15102 355650 6 vssa2
-port 644 nsew default input
-rlabel metal4 s -4218 -3682 -3918 355650 4 vssa2
-port 644 nsew default input
-<< properties >>
-string LEFclass BLOCK
-string FIXED_BBOX 0 0 292000 352000
-string LEFview TRUE
-string GDS_FILE /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/user_project_wrapper.gds
-string GDS_END 306838
-string GDS_START 130
-<< end >>
-
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/user_project_wrapper.mag b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/user_project_wrapper.mag
deleted file mode 100644
index e41b51f..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/user_project_wrapper.mag
+++ /dev/null
@@ -1,11105 +0,0 @@
-magic
-tech sky130A
-timestamp 1606415645
-<< checkpaint >>
-rect -4848 -4313 296810 356281
-<< metal2 >>
-rect 4043 351760 4099 352480
-rect 12139 351760 12195 352480
-rect 20235 351760 20291 352480
-rect 28377 351760 28433 352480
-rect 36473 351760 36529 352480
-rect 44569 351760 44625 352480
-rect 52711 351760 52767 352480
-rect 60807 351760 60863 352480
-rect 68903 351760 68959 352480
-rect 77045 351760 77101 352480
-rect 85141 351760 85197 352480
-rect 93237 351760 93293 352480
-rect 101379 351760 101435 352480
-rect 109475 351760 109531 352480
-rect 117571 351760 117627 352480
-rect 125713 351760 125769 352480
-rect 133809 351760 133865 352480
-rect 141905 351760 141961 352480
-rect 150047 351760 150103 352480
-rect 158143 351760 158199 352480
-rect 166239 351760 166295 352480
-rect 174381 351760 174437 352480
-rect 182477 351760 182533 352480
-rect 190573 351760 190629 352480
-rect 198715 351760 198771 352480
-rect 206811 351760 206867 352480
-rect 214907 351760 214963 352480
-rect 223049 351760 223105 352480
-rect 231145 351760 231201 352480
-rect 239241 351760 239297 352480
-rect 247383 351760 247439 352480
-rect 255479 351760 255535 352480
-rect 263575 351760 263631 352480
-rect 271717 351760 271773 352480
-rect 279813 351760 279869 352480
-rect 287909 351760 287965 352480
-rect 271 -480 327 240
-rect 823 -480 879 240
-rect 1421 -480 1477 240
-rect 2019 -480 2075 240
-rect 2617 -480 2673 240
-rect 3215 -480 3271 240
-rect 3813 -480 3869 240
-rect 4411 -480 4467 240
-rect 5009 -480 5065 240
-rect 5607 -480 5663 240
-rect 6205 -480 6261 240
-rect 6803 -480 6859 240
-rect 7401 -480 7457 240
-rect 7999 -480 8055 240
-rect 8597 -480 8653 240
-rect 9149 -480 9205 240
-rect 9747 -480 9803 240
-rect 10345 -480 10401 240
-rect 10943 -480 10999 240
-rect 11541 -480 11597 240
-rect 12139 -480 12195 240
-rect 12737 -480 12793 240
-rect 13335 -480 13391 240
-rect 13933 -480 13989 240
-rect 14531 -480 14587 240
-rect 15129 -480 15185 240
-rect 15727 -480 15783 240
-rect 16325 -480 16381 240
-rect 16923 -480 16979 240
-rect 17475 -480 17531 240
-rect 18073 -480 18129 240
-rect 18671 -480 18727 240
-rect 19269 -480 19325 240
-rect 19867 -480 19923 240
-rect 20465 -480 20521 240
-rect 21063 -480 21119 240
-rect 21661 -480 21717 240
-rect 22259 -480 22315 240
-rect 22857 -480 22913 240
-rect 23455 -480 23511 240
-rect 24053 -480 24109 240
-rect 24651 -480 24707 240
-rect 25249 -480 25305 240
-rect 25801 -480 25857 240
-rect 26399 -480 26455 240
-rect 26997 -480 27053 240
-rect 27595 -480 27651 240
-rect 28193 -480 28249 240
-rect 28791 -480 28847 240
-rect 29389 -480 29445 240
-rect 29987 -480 30043 240
-rect 30585 -480 30641 240
-rect 31183 -480 31239 240
-rect 31781 -480 31837 240
-rect 32379 -480 32435 240
-rect 32977 -480 33033 240
-rect 33575 -480 33631 240
-rect 34127 -480 34183 240
-rect 34725 -480 34781 240
-rect 35323 -480 35379 240
-rect 35921 -480 35977 240
-rect 36519 -480 36575 240
-rect 37117 -480 37173 240
-rect 37715 -480 37771 240
-rect 38313 -480 38369 240
-rect 38911 -480 38967 240
-rect 39509 -480 39565 240
-rect 40107 -480 40163 240
-rect 40705 -480 40761 240
-rect 41303 -480 41359 240
-rect 41901 -480 41957 240
-rect 42453 -480 42509 240
-rect 43051 -480 43107 240
-rect 43649 -480 43705 240
-rect 44247 -480 44303 240
-rect 44845 -480 44901 240
-rect 45443 -480 45499 240
-rect 46041 -480 46097 240
-rect 46639 -480 46695 240
-rect 47237 -480 47293 240
-rect 47835 -480 47891 240
-rect 48433 -480 48489 240
-rect 49031 -480 49087 240
-rect 49629 -480 49685 240
-rect 50227 -480 50283 240
-rect 50779 -480 50835 240
-rect 51377 -480 51433 240
-rect 51975 -480 52031 240
-rect 52573 -480 52629 240
-rect 53171 -480 53227 240
-rect 53769 -480 53825 240
-rect 54367 -480 54423 240
-rect 54965 -480 55021 240
-rect 55563 -480 55619 240
-rect 56161 -480 56217 240
-rect 56759 -480 56815 240
-rect 57357 -480 57413 240
-rect 57955 -480 58011 240
-rect 58553 -480 58609 240
-rect 59105 -480 59161 240
-rect 59703 -480 59759 240
-rect 60301 -480 60357 240
-rect 60899 -480 60955 240
-rect 61497 -480 61553 240
-rect 62095 -480 62151 240
-rect 62693 -480 62749 240
-rect 63291 -480 63347 240
-rect 63889 -480 63945 240
-rect 64487 -480 64543 240
-rect 65085 -480 65141 240
-rect 65683 -480 65739 240
-rect 66281 -480 66337 240
-rect 66879 -480 66935 240
-rect 67431 -480 67487 240
-rect 68029 -480 68085 240
-rect 68627 -480 68683 240
-rect 69225 -480 69281 240
-rect 69823 -480 69879 240
-rect 70421 -480 70477 240
-rect 71019 -480 71075 240
-rect 71617 -480 71673 240
-rect 72215 -480 72271 240
-rect 72813 -480 72869 240
-rect 73411 -480 73467 240
-rect 74009 -480 74065 240
-rect 74607 -480 74663 240
-rect 75205 -480 75261 240
-rect 75757 -480 75813 240
-rect 76355 -480 76411 240
-rect 76953 -480 77009 240
-rect 77551 -480 77607 240
-rect 78149 -480 78205 240
-rect 78747 -480 78803 240
-rect 79345 -480 79401 240
-rect 79943 -480 79999 240
-rect 80541 -480 80597 240
-rect 81139 -480 81195 240
-rect 81737 -480 81793 240
-rect 82335 -480 82391 240
-rect 82933 -480 82989 240
-rect 83531 -480 83587 240
-rect 84083 -480 84139 240
-rect 84681 -480 84737 240
-rect 85279 -480 85335 240
-rect 85877 -480 85933 240
-rect 86475 -480 86531 240
-rect 87073 -480 87129 240
-rect 87671 -480 87727 240
-rect 88269 -480 88325 240
-rect 88867 -480 88923 240
-rect 89465 -480 89521 240
-rect 90063 -480 90119 240
-rect 90661 -480 90717 240
-rect 91259 -480 91315 240
-rect 91857 -480 91913 240
-rect 92409 -480 92465 240
-rect 93007 -480 93063 240
-rect 93605 -480 93661 240
-rect 94203 -480 94259 240
-rect 94801 -480 94857 240
-rect 95399 -480 95455 240
-rect 95997 -480 96053 240
-rect 96595 -480 96651 240
-rect 97193 -480 97249 240
-rect 97791 -480 97847 240
-rect 98389 -480 98445 240
-rect 98987 -480 99043 240
-rect 99585 -480 99641 240
-rect 100183 -480 100239 240
-rect 100735 -480 100791 240
-rect 101333 -480 101389 240
-rect 101931 -480 101987 240
-rect 102529 -480 102585 240
-rect 103127 -480 103183 240
-rect 103725 -480 103781 240
-rect 104323 -480 104379 240
-rect 104921 -480 104977 240
-rect 105519 -480 105575 240
-rect 106117 -480 106173 240
-rect 106715 -480 106771 240
-rect 107313 -480 107369 240
-rect 107911 -480 107967 240
-rect 108509 -480 108565 240
-rect 109061 -480 109117 240
-rect 109659 -480 109715 240
-rect 110257 -480 110313 240
-rect 110855 -480 110911 240
-rect 111453 -480 111509 240
-rect 112051 -480 112107 240
-rect 112649 -480 112705 240
-rect 113247 -480 113303 240
-rect 113845 -480 113901 240
-rect 114443 -480 114499 240
-rect 115041 -480 115097 240
-rect 115639 -480 115695 240
-rect 116237 -480 116293 240
-rect 116835 -480 116891 240
-rect 117387 -480 117443 240
-rect 117985 -480 118041 240
-rect 118583 -480 118639 240
-rect 119181 -480 119237 240
-rect 119779 -480 119835 240
-rect 120377 -480 120433 240
-rect 120975 -480 121031 240
-rect 121573 -480 121629 240
-rect 122171 -480 122227 240
-rect 122769 -480 122825 240
-rect 123367 -480 123423 240
-rect 123965 -480 124021 240
-rect 124563 -480 124619 240
-rect 125161 -480 125217 240
-rect 125713 -480 125769 240
-rect 126311 -480 126367 240
-rect 126909 -480 126965 240
-rect 127507 -480 127563 240
-rect 128105 -480 128161 240
-rect 128703 -480 128759 240
-rect 129301 -480 129357 240
-rect 129899 -480 129955 240
-rect 130497 -480 130553 240
-rect 131095 -480 131151 240
-rect 131693 -480 131749 240
-rect 132291 -480 132347 240
-rect 132889 -480 132945 240
-rect 133487 -480 133543 240
-rect 134039 -480 134095 240
-rect 134637 -480 134693 240
-rect 135235 -480 135291 240
-rect 135833 -480 135889 240
-rect 136431 -480 136487 240
-rect 137029 -480 137085 240
-rect 137627 -480 137683 240
-rect 138225 -480 138281 240
-rect 138823 -480 138879 240
-rect 139421 -480 139477 240
-rect 140019 -480 140075 240
-rect 140617 -480 140673 240
-rect 141215 -480 141271 240
-rect 141813 -480 141869 240
-rect 142365 -480 142421 240
-rect 142963 -480 143019 240
-rect 143561 -480 143617 240
-rect 144159 -480 144215 240
-rect 144757 -480 144813 240
-rect 145355 -480 145411 240
-rect 145953 -480 146009 240
-rect 146551 -480 146607 240
-rect 147149 -480 147205 240
-rect 147747 -480 147803 240
-rect 148345 -480 148401 240
-rect 148943 -480 148999 240
-rect 149541 -480 149597 240
-rect 150139 -480 150195 240
-rect 150691 -480 150747 240
-rect 151289 -480 151345 240
-rect 151887 -480 151943 240
-rect 152485 -480 152541 240
-rect 153083 -480 153139 240
-rect 153681 -480 153737 240
-rect 154279 -480 154335 240
-rect 154877 -480 154933 240
-rect 155475 -480 155531 240
-rect 156073 -480 156129 240
-rect 156671 -480 156727 240
-rect 157269 -480 157325 240
-rect 157867 -480 157923 240
-rect 158465 -480 158521 240
-rect 159017 -480 159073 240
-rect 159615 -480 159671 240
-rect 160213 -480 160269 240
-rect 160811 -480 160867 240
-rect 161409 -480 161465 240
-rect 162007 -480 162063 240
-rect 162605 -480 162661 240
-rect 163203 -480 163259 240
-rect 163801 -480 163857 240
-rect 164399 -480 164455 240
-rect 164997 -480 165053 240
-rect 165595 -480 165651 240
-rect 166193 -480 166249 240
-rect 166791 -480 166847 240
-rect 167343 -480 167399 240
-rect 167941 -480 167997 240
-rect 168539 -480 168595 240
-rect 169137 -480 169193 240
-rect 169735 -480 169791 240
-rect 170333 -480 170389 240
-rect 170931 -480 170987 240
-rect 171529 -480 171585 240
-rect 172127 -480 172183 240
-rect 172725 -480 172781 240
-rect 173323 -480 173379 240
-rect 173921 -480 173977 240
-rect 174519 -480 174575 240
-rect 175117 -480 175173 240
-rect 175669 -480 175725 240
-rect 176267 -480 176323 240
-rect 176865 -480 176921 240
-rect 177463 -480 177519 240
-rect 178061 -480 178117 240
-rect 178659 -480 178715 240
-rect 179257 -480 179313 240
-rect 179855 -480 179911 240
-rect 180453 -480 180509 240
-rect 181051 -480 181107 240
-rect 181649 -480 181705 240
-rect 182247 -480 182303 240
-rect 182845 -480 182901 240
-rect 183443 -480 183499 240
-rect 183995 -480 184051 240
-rect 184593 -480 184649 240
-rect 185191 -480 185247 240
-rect 185789 -480 185845 240
-rect 186387 -480 186443 240
-rect 186985 -480 187041 240
-rect 187583 -480 187639 240
-rect 188181 -480 188237 240
-rect 188779 -480 188835 240
-rect 189377 -480 189433 240
-rect 189975 -480 190031 240
-rect 190573 -480 190629 240
-rect 191171 -480 191227 240
-rect 191769 -480 191825 240
-rect 192321 -480 192377 240
-rect 192919 -480 192975 240
-rect 193517 -480 193573 240
-rect 194115 -480 194171 240
-rect 194713 -480 194769 240
-rect 195311 -480 195367 240
-rect 195909 -480 195965 240
-rect 196507 -480 196563 240
-rect 197105 -480 197161 240
-rect 197703 -480 197759 240
-rect 198301 -480 198357 240
-rect 198899 -480 198955 240
-rect 199497 -480 199553 240
-rect 200095 -480 200151 240
-rect 200647 -480 200703 240
-rect 201245 -480 201301 240
-rect 201843 -480 201899 240
-rect 202441 -480 202497 240
-rect 203039 -480 203095 240
-rect 203637 -480 203693 240
-rect 204235 -480 204291 240
-rect 204833 -480 204889 240
-rect 205431 -480 205487 240
-rect 206029 -480 206085 240
-rect 206627 -480 206683 240
-rect 207225 -480 207281 240
-rect 207823 -480 207879 240
-rect 208421 -480 208477 240
-rect 208973 -480 209029 240
-rect 209571 -480 209627 240
-rect 210169 -480 210225 240
-rect 210767 -480 210823 240
-rect 211365 -480 211421 240
-rect 211963 -480 212019 240
-rect 212561 -480 212617 240
-rect 213159 -480 213215 240
-rect 213757 -480 213813 240
-rect 214355 -480 214411 240
-rect 214953 -480 215009 240
-rect 215551 -480 215607 240
-rect 216149 -480 216205 240
-rect 216747 -480 216803 240
-rect 217299 -480 217355 240
-rect 217897 -480 217953 240
-rect 218495 -480 218551 240
-rect 219093 -480 219149 240
-rect 219691 -480 219747 240
-rect 220289 -480 220345 240
-rect 220887 -480 220943 240
-rect 221485 -480 221541 240
-rect 222083 -480 222139 240
-rect 222681 -480 222737 240
-rect 223279 -480 223335 240
-rect 223877 -480 223933 240
-rect 224475 -480 224531 240
-rect 225073 -480 225129 240
-rect 225625 -480 225681 240
-rect 226223 -480 226279 240
-rect 226821 -480 226877 240
-rect 227419 -480 227475 240
-rect 228017 -480 228073 240
-rect 228615 -480 228671 240
-rect 229213 -480 229269 240
-rect 229811 -480 229867 240
-rect 230409 -480 230465 240
-rect 231007 -480 231063 240
-rect 231605 -480 231661 240
-rect 232203 -480 232259 240
-rect 232801 -480 232857 240
-rect 233399 -480 233455 240
-rect 233951 -480 234007 240
-rect 234549 -480 234605 240
-rect 235147 -480 235203 240
-rect 235745 -480 235801 240
-rect 236343 -480 236399 240
-rect 236941 -480 236997 240
-rect 237539 -480 237595 240
-rect 238137 -480 238193 240
-rect 238735 -480 238791 240
-rect 239333 -480 239389 240
-rect 239931 -480 239987 240
-rect 240529 -480 240585 240
-rect 241127 -480 241183 240
-rect 241725 -480 241781 240
-rect 242277 -480 242333 240
-rect 242875 -480 242931 240
-rect 243473 -480 243529 240
-rect 244071 -480 244127 240
-rect 244669 -480 244725 240
-rect 245267 -480 245323 240
-rect 245865 -480 245921 240
-rect 246463 -480 246519 240
-rect 247061 -480 247117 240
-rect 247659 -480 247715 240
-rect 248257 -480 248313 240
-rect 248855 -480 248911 240
-rect 249453 -480 249509 240
-rect 250051 -480 250107 240
-rect 250603 -480 250659 240
-rect 251201 -480 251257 240
-rect 251799 -480 251855 240
-rect 252397 -480 252453 240
-rect 252995 -480 253051 240
-rect 253593 -480 253649 240
-rect 254191 -480 254247 240
-rect 254789 -480 254845 240
-rect 255387 -480 255443 240
-rect 255985 -480 256041 240
-rect 256583 -480 256639 240
-rect 257181 -480 257237 240
-rect 257779 -480 257835 240
-rect 258377 -480 258433 240
-rect 258929 -480 258985 240
-rect 259527 -480 259583 240
-rect 260125 -480 260181 240
-rect 260723 -480 260779 240
-rect 261321 -480 261377 240
-rect 261919 -480 261975 240
-rect 262517 -480 262573 240
-rect 263115 -480 263171 240
-rect 263713 -480 263769 240
-rect 264311 -480 264367 240
-rect 264909 -480 264965 240
-rect 265507 -480 265563 240
-rect 266105 -480 266161 240
-rect 266703 -480 266759 240
-rect 267255 -480 267311 240
-rect 267853 -480 267909 240
-rect 268451 -480 268507 240
-rect 269049 -480 269105 240
-rect 269647 -480 269703 240
-rect 270245 -480 270301 240
-rect 270843 -480 270899 240
-rect 271441 -480 271497 240
-rect 272039 -480 272095 240
-rect 272637 -480 272693 240
-rect 273235 -480 273291 240
-rect 273833 -480 273889 240
-rect 274431 -480 274487 240
-rect 275029 -480 275085 240
-rect 275581 -480 275637 240
-rect 276179 -480 276235 240
-rect 276777 -480 276833 240
-rect 277375 -480 277431 240
-rect 277973 -480 278029 240
-rect 278571 -480 278627 240
-rect 279169 -480 279225 240
-rect 279767 -480 279823 240
-rect 280365 -480 280421 240
-rect 280963 -480 281019 240
-rect 281561 -480 281617 240
-rect 282159 -480 282215 240
-rect 282757 -480 282813 240
-rect 283355 -480 283411 240
-rect 283907 -480 283963 240
-rect 284505 -480 284561 240
-rect 285103 -480 285159 240
-rect 285701 -480 285757 240
-rect 286299 -480 286355 240
-rect 286897 -480 286953 240
-rect 287495 -480 287551 240
-rect 288093 -480 288149 240
-rect 288691 -480 288747 240
-rect 289289 -480 289345 240
-rect 289887 -480 289943 240
-rect 290485 -480 290541 240
-rect 291083 -480 291139 240
-rect 291681 -480 291737 240
-<< metal3 >>
-rect 291760 348950 292480 349070
-rect -480 348270 240 348390
-rect 291760 343102 292480 343222
-rect -480 341062 240 341182
-rect 291760 337254 292480 337374
-rect -480 333922 240 334042
-rect 291760 331338 292480 331458
-rect -480 326714 240 326834
-rect 291760 325490 292480 325610
-rect 291760 319642 292480 319762
-rect -480 319506 240 319626
-rect 291760 313794 292480 313914
-rect -480 312366 240 312486
-rect 291760 307878 292480 307998
-rect -480 305158 240 305278
-rect 291760 302030 292480 302150
-rect -480 297950 240 298070
-rect 291760 296182 292480 296302
-rect -480 290810 240 290930
-rect 291760 290334 292480 290454
-rect 291760 284418 292480 284538
-rect -480 283602 240 283722
-rect 291760 278570 292480 278690
-rect -480 276462 240 276582
-rect 291760 272722 292480 272842
-rect -480 269254 240 269374
-rect 291760 266874 292480 266994
-rect -480 262046 240 262166
-rect 291760 260958 292480 261078
-rect 291760 255110 292480 255230
-rect -480 254906 240 255026
-rect 291760 249262 292480 249382
-rect -480 247698 240 247818
-rect 291760 243346 292480 243466
-rect -480 240490 240 240610
-rect 291760 237498 292480 237618
-rect -480 233350 240 233470
-rect 291760 231650 292480 231770
-rect -480 226142 240 226262
-rect 291760 225802 292480 225922
-rect 291760 219886 292480 220006
-rect -480 218934 240 219054
-rect 291760 214038 292480 214158
-rect -480 211794 240 211914
-rect 291760 208190 292480 208310
-rect -480 204586 240 204706
-rect 291760 202342 292480 202462
-rect -480 197446 240 197566
-rect 291760 196426 292480 196546
-rect 291760 190578 292480 190698
-rect -480 190238 240 190358
-rect 291760 184730 292480 184850
-rect -480 183030 240 183150
-rect 291760 178882 292480 179002
-rect -480 175890 240 176010
-rect 291760 172966 292480 173086
-rect -480 168682 240 168802
-rect 291760 167118 292480 167238
-rect -480 161474 240 161594
-rect 291760 161270 292480 161390
-rect 291760 155354 292480 155474
-rect -480 154334 240 154454
-rect 291760 149506 292480 149626
-rect -480 147126 240 147246
-rect 291760 143658 292480 143778
-rect -480 139986 240 140106
-rect 291760 137810 292480 137930
-rect -480 132778 240 132898
-rect 291760 131894 292480 132014
-rect 291760 126046 292480 126166
-rect -480 125570 240 125690
-rect 291760 120198 292480 120318
-rect -480 118430 240 118550
-rect 291760 114350 292480 114470
-rect -480 111222 240 111342
-rect 291760 108434 292480 108554
-rect -480 104014 240 104134
-rect 291760 102586 292480 102706
-rect -480 96874 240 96994
-rect 291760 96738 292480 96858
-rect 291760 90890 292480 91010
-rect -480 89666 240 89786
-rect 291760 84974 292480 85094
-rect -480 82458 240 82578
-rect 291760 79126 292480 79246
-rect -480 75318 240 75438
-rect 291760 73278 292480 73398
-rect -480 68110 240 68230
-rect 291760 67362 292480 67482
-rect 291760 61514 292480 61634
-rect -480 60970 240 61090
-rect 291760 55666 292480 55786
-rect -480 53762 240 53882
-rect 291760 49818 292480 49938
-rect -480 46554 240 46674
-rect 291760 43902 292480 44022
-rect -480 39414 240 39534
-rect 291760 38054 292480 38174
-rect -480 32206 240 32326
-rect 291760 32206 292480 32326
-rect 291760 26358 292480 26478
-rect -480 24998 240 25118
-rect 291760 20442 292480 20562
-rect -480 17858 240 17978
-rect 291760 14594 292480 14714
-rect -480 10650 240 10770
-rect 291760 8746 292480 8866
-rect -480 3510 240 3630
-rect 291760 2898 292480 3018
-<< metal4 >>
-rect -4218 355639 -3918 355650
-rect -4218 355521 -4127 355639
-rect -4009 355521 -3918 355639
-rect -4218 355479 -3918 355521
-rect -4218 355361 -4127 355479
-rect -4009 355361 -3918 355479
-rect -4218 339627 -3918 355361
-rect -4218 339509 -4127 339627
-rect -4009 339509 -3918 339627
-rect -4218 339467 -3918 339509
-rect -4218 339349 -4127 339467
-rect -4009 339349 -3918 339467
-rect -4218 321627 -3918 339349
-rect -4218 321509 -4127 321627
-rect -4009 321509 -3918 321627
-rect -4218 321467 -3918 321509
-rect -4218 321349 -4127 321467
-rect -4009 321349 -3918 321467
-rect -4218 303627 -3918 321349
-rect -4218 303509 -4127 303627
-rect -4009 303509 -3918 303627
-rect -4218 303467 -3918 303509
-rect -4218 303349 -4127 303467
-rect -4009 303349 -3918 303467
-rect -4218 285627 -3918 303349
-rect -4218 285509 -4127 285627
-rect -4009 285509 -3918 285627
-rect -4218 285467 -3918 285509
-rect -4218 285349 -4127 285467
-rect -4009 285349 -3918 285467
-rect -4218 267627 -3918 285349
-rect -4218 267509 -4127 267627
-rect -4009 267509 -3918 267627
-rect -4218 267467 -3918 267509
-rect -4218 267349 -4127 267467
-rect -4009 267349 -3918 267467
-rect -4218 249627 -3918 267349
-rect -4218 249509 -4127 249627
-rect -4009 249509 -3918 249627
-rect -4218 249467 -3918 249509
-rect -4218 249349 -4127 249467
-rect -4009 249349 -3918 249467
-rect -4218 231627 -3918 249349
-rect -4218 231509 -4127 231627
-rect -4009 231509 -3918 231627
-rect -4218 231467 -3918 231509
-rect -4218 231349 -4127 231467
-rect -4009 231349 -3918 231467
-rect -4218 213627 -3918 231349
-rect -4218 213509 -4127 213627
-rect -4009 213509 -3918 213627
-rect -4218 213467 -3918 213509
-rect -4218 213349 -4127 213467
-rect -4009 213349 -3918 213467
-rect -4218 195627 -3918 213349
-rect -4218 195509 -4127 195627
-rect -4009 195509 -3918 195627
-rect -4218 195467 -3918 195509
-rect -4218 195349 -4127 195467
-rect -4009 195349 -3918 195467
-rect -4218 177627 -3918 195349
-rect -4218 177509 -4127 177627
-rect -4009 177509 -3918 177627
-rect -4218 177467 -3918 177509
-rect -4218 177349 -4127 177467
-rect -4009 177349 -3918 177467
-rect -4218 159627 -3918 177349
-rect -4218 159509 -4127 159627
-rect -4009 159509 -3918 159627
-rect -4218 159467 -3918 159509
-rect -4218 159349 -4127 159467
-rect -4009 159349 -3918 159467
-rect -4218 141627 -3918 159349
-rect -4218 141509 -4127 141627
-rect -4009 141509 -3918 141627
-rect -4218 141467 -3918 141509
-rect -4218 141349 -4127 141467
-rect -4009 141349 -3918 141467
-rect -4218 123627 -3918 141349
-rect -4218 123509 -4127 123627
-rect -4009 123509 -3918 123627
-rect -4218 123467 -3918 123509
-rect -4218 123349 -4127 123467
-rect -4009 123349 -3918 123467
-rect -4218 105627 -3918 123349
-rect -4218 105509 -4127 105627
-rect -4009 105509 -3918 105627
-rect -4218 105467 -3918 105509
-rect -4218 105349 -4127 105467
-rect -4009 105349 -3918 105467
-rect -4218 87627 -3918 105349
-rect -4218 87509 -4127 87627
-rect -4009 87509 -3918 87627
-rect -4218 87467 -3918 87509
-rect -4218 87349 -4127 87467
-rect -4009 87349 -3918 87467
-rect -4218 69627 -3918 87349
-rect -4218 69509 -4127 69627
-rect -4009 69509 -3918 69627
-rect -4218 69467 -3918 69509
-rect -4218 69349 -4127 69467
-rect -4009 69349 -3918 69467
-rect -4218 51627 -3918 69349
-rect -4218 51509 -4127 51627
-rect -4009 51509 -3918 51627
-rect -4218 51467 -3918 51509
-rect -4218 51349 -4127 51467
-rect -4009 51349 -3918 51467
-rect -4218 33627 -3918 51349
-rect -4218 33509 -4127 33627
-rect -4009 33509 -3918 33627
-rect -4218 33467 -3918 33509
-rect -4218 33349 -4127 33467
-rect -4009 33349 -3918 33467
-rect -4218 15627 -3918 33349
-rect -4218 15509 -4127 15627
-rect -4009 15509 -3918 15627
-rect -4218 15467 -3918 15509
-rect -4218 15349 -4127 15467
-rect -4009 15349 -3918 15467
-rect -4218 -3393 -3918 15349
-rect -3758 355179 -3458 355190
-rect -3758 355061 -3667 355179
-rect -3549 355061 -3458 355179
-rect -3758 355019 -3458 355061
-rect -3758 354901 -3667 355019
-rect -3549 354901 -3458 355019
-rect -3758 348627 -3458 354901
-rect 5802 355179 6102 355650
-rect 5802 355061 5893 355179
-rect 6011 355061 6102 355179
-rect 5802 355019 6102 355061
-rect 5802 354901 5893 355019
-rect 6011 354901 6102 355019
-rect -3758 348509 -3667 348627
-rect -3549 348509 -3458 348627
-rect -3758 348467 -3458 348509
-rect -3758 348349 -3667 348467
-rect -3549 348349 -3458 348467
-rect -3758 330627 -3458 348349
-rect -3758 330509 -3667 330627
-rect -3549 330509 -3458 330627
-rect -3758 330467 -3458 330509
-rect -3758 330349 -3667 330467
-rect -3549 330349 -3458 330467
-rect -3758 312627 -3458 330349
-rect -3758 312509 -3667 312627
-rect -3549 312509 -3458 312627
-rect -3758 312467 -3458 312509
-rect -3758 312349 -3667 312467
-rect -3549 312349 -3458 312467
-rect -3758 294627 -3458 312349
-rect -3758 294509 -3667 294627
-rect -3549 294509 -3458 294627
-rect -3758 294467 -3458 294509
-rect -3758 294349 -3667 294467
-rect -3549 294349 -3458 294467
-rect -3758 276627 -3458 294349
-rect -3758 276509 -3667 276627
-rect -3549 276509 -3458 276627
-rect -3758 276467 -3458 276509
-rect -3758 276349 -3667 276467
-rect -3549 276349 -3458 276467
-rect -3758 258627 -3458 276349
-rect -3758 258509 -3667 258627
-rect -3549 258509 -3458 258627
-rect -3758 258467 -3458 258509
-rect -3758 258349 -3667 258467
-rect -3549 258349 -3458 258467
-rect -3758 240627 -3458 258349
-rect -3758 240509 -3667 240627
-rect -3549 240509 -3458 240627
-rect -3758 240467 -3458 240509
-rect -3758 240349 -3667 240467
-rect -3549 240349 -3458 240467
-rect -3758 222627 -3458 240349
-rect -3758 222509 -3667 222627
-rect -3549 222509 -3458 222627
-rect -3758 222467 -3458 222509
-rect -3758 222349 -3667 222467
-rect -3549 222349 -3458 222467
-rect -3758 204627 -3458 222349
-rect -3758 204509 -3667 204627
-rect -3549 204509 -3458 204627
-rect -3758 204467 -3458 204509
-rect -3758 204349 -3667 204467
-rect -3549 204349 -3458 204467
-rect -3758 186627 -3458 204349
-rect -3758 186509 -3667 186627
-rect -3549 186509 -3458 186627
-rect -3758 186467 -3458 186509
-rect -3758 186349 -3667 186467
-rect -3549 186349 -3458 186467
-rect -3758 168627 -3458 186349
-rect -3758 168509 -3667 168627
-rect -3549 168509 -3458 168627
-rect -3758 168467 -3458 168509
-rect -3758 168349 -3667 168467
-rect -3549 168349 -3458 168467
-rect -3758 150627 -3458 168349
-rect -3758 150509 -3667 150627
-rect -3549 150509 -3458 150627
-rect -3758 150467 -3458 150509
-rect -3758 150349 -3667 150467
-rect -3549 150349 -3458 150467
-rect -3758 132627 -3458 150349
-rect -3758 132509 -3667 132627
-rect -3549 132509 -3458 132627
-rect -3758 132467 -3458 132509
-rect -3758 132349 -3667 132467
-rect -3549 132349 -3458 132467
-rect -3758 114627 -3458 132349
-rect -3758 114509 -3667 114627
-rect -3549 114509 -3458 114627
-rect -3758 114467 -3458 114509
-rect -3758 114349 -3667 114467
-rect -3549 114349 -3458 114467
-rect -3758 96627 -3458 114349
-rect -3758 96509 -3667 96627
-rect -3549 96509 -3458 96627
-rect -3758 96467 -3458 96509
-rect -3758 96349 -3667 96467
-rect -3549 96349 -3458 96467
-rect -3758 78627 -3458 96349
-rect -3758 78509 -3667 78627
-rect -3549 78509 -3458 78627
-rect -3758 78467 -3458 78509
-rect -3758 78349 -3667 78467
-rect -3549 78349 -3458 78467
-rect -3758 60627 -3458 78349
-rect -3758 60509 -3667 60627
-rect -3549 60509 -3458 60627
-rect -3758 60467 -3458 60509
-rect -3758 60349 -3667 60467
-rect -3549 60349 -3458 60467
-rect -3758 42627 -3458 60349
-rect -3758 42509 -3667 42627
-rect -3549 42509 -3458 42627
-rect -3758 42467 -3458 42509
-rect -3758 42349 -3667 42467
-rect -3549 42349 -3458 42467
-rect -3758 24627 -3458 42349
-rect -3758 24509 -3667 24627
-rect -3549 24509 -3458 24627
-rect -3758 24467 -3458 24509
-rect -3758 24349 -3667 24467
-rect -3549 24349 -3458 24467
-rect -3758 6627 -3458 24349
-rect -3758 6509 -3667 6627
-rect -3549 6509 -3458 6627
-rect -3758 6467 -3458 6509
-rect -3758 6349 -3667 6467
-rect -3549 6349 -3458 6467
-rect -3758 -2933 -3458 6349
-rect -3298 354719 -2998 354730
-rect -3298 354601 -3207 354719
-rect -3089 354601 -2998 354719
-rect -3298 354559 -2998 354601
-rect -3298 354441 -3207 354559
-rect -3089 354441 -2998 354559
-rect -3298 337827 -2998 354441
-rect -3298 337709 -3207 337827
-rect -3089 337709 -2998 337827
-rect -3298 337667 -2998 337709
-rect -3298 337549 -3207 337667
-rect -3089 337549 -2998 337667
-rect -3298 319827 -2998 337549
-rect -3298 319709 -3207 319827
-rect -3089 319709 -2998 319827
-rect -3298 319667 -2998 319709
-rect -3298 319549 -3207 319667
-rect -3089 319549 -2998 319667
-rect -3298 301827 -2998 319549
-rect -3298 301709 -3207 301827
-rect -3089 301709 -2998 301827
-rect -3298 301667 -2998 301709
-rect -3298 301549 -3207 301667
-rect -3089 301549 -2998 301667
-rect -3298 283827 -2998 301549
-rect -3298 283709 -3207 283827
-rect -3089 283709 -2998 283827
-rect -3298 283667 -2998 283709
-rect -3298 283549 -3207 283667
-rect -3089 283549 -2998 283667
-rect -3298 265827 -2998 283549
-rect -3298 265709 -3207 265827
-rect -3089 265709 -2998 265827
-rect -3298 265667 -2998 265709
-rect -3298 265549 -3207 265667
-rect -3089 265549 -2998 265667
-rect -3298 247827 -2998 265549
-rect -3298 247709 -3207 247827
-rect -3089 247709 -2998 247827
-rect -3298 247667 -2998 247709
-rect -3298 247549 -3207 247667
-rect -3089 247549 -2998 247667
-rect -3298 229827 -2998 247549
-rect -3298 229709 -3207 229827
-rect -3089 229709 -2998 229827
-rect -3298 229667 -2998 229709
-rect -3298 229549 -3207 229667
-rect -3089 229549 -2998 229667
-rect -3298 211827 -2998 229549
-rect -3298 211709 -3207 211827
-rect -3089 211709 -2998 211827
-rect -3298 211667 -2998 211709
-rect -3298 211549 -3207 211667
-rect -3089 211549 -2998 211667
-rect -3298 193827 -2998 211549
-rect -3298 193709 -3207 193827
-rect -3089 193709 -2998 193827
-rect -3298 193667 -2998 193709
-rect -3298 193549 -3207 193667
-rect -3089 193549 -2998 193667
-rect -3298 175827 -2998 193549
-rect -3298 175709 -3207 175827
-rect -3089 175709 -2998 175827
-rect -3298 175667 -2998 175709
-rect -3298 175549 -3207 175667
-rect -3089 175549 -2998 175667
-rect -3298 157827 -2998 175549
-rect -3298 157709 -3207 157827
-rect -3089 157709 -2998 157827
-rect -3298 157667 -2998 157709
-rect -3298 157549 -3207 157667
-rect -3089 157549 -2998 157667
-rect -3298 139827 -2998 157549
-rect -3298 139709 -3207 139827
-rect -3089 139709 -2998 139827
-rect -3298 139667 -2998 139709
-rect -3298 139549 -3207 139667
-rect -3089 139549 -2998 139667
-rect -3298 121827 -2998 139549
-rect -3298 121709 -3207 121827
-rect -3089 121709 -2998 121827
-rect -3298 121667 -2998 121709
-rect -3298 121549 -3207 121667
-rect -3089 121549 -2998 121667
-rect -3298 103827 -2998 121549
-rect -3298 103709 -3207 103827
-rect -3089 103709 -2998 103827
-rect -3298 103667 -2998 103709
-rect -3298 103549 -3207 103667
-rect -3089 103549 -2998 103667
-rect -3298 85827 -2998 103549
-rect -3298 85709 -3207 85827
-rect -3089 85709 -2998 85827
-rect -3298 85667 -2998 85709
-rect -3298 85549 -3207 85667
-rect -3089 85549 -2998 85667
-rect -3298 67827 -2998 85549
-rect -3298 67709 -3207 67827
-rect -3089 67709 -2998 67827
-rect -3298 67667 -2998 67709
-rect -3298 67549 -3207 67667
-rect -3089 67549 -2998 67667
-rect -3298 49827 -2998 67549
-rect -3298 49709 -3207 49827
-rect -3089 49709 -2998 49827
-rect -3298 49667 -2998 49709
-rect -3298 49549 -3207 49667
-rect -3089 49549 -2998 49667
-rect -3298 31827 -2998 49549
-rect -3298 31709 -3207 31827
-rect -3089 31709 -2998 31827
-rect -3298 31667 -2998 31709
-rect -3298 31549 -3207 31667
-rect -3089 31549 -2998 31667
-rect -3298 13827 -2998 31549
-rect -3298 13709 -3207 13827
-rect -3089 13709 -2998 13827
-rect -3298 13667 -2998 13709
-rect -3298 13549 -3207 13667
-rect -3089 13549 -2998 13667
-rect -3298 -2473 -2998 13549
-rect -2838 354259 -2538 354270
-rect -2838 354141 -2747 354259
-rect -2629 354141 -2538 354259
-rect -2838 354099 -2538 354141
-rect -2838 353981 -2747 354099
-rect -2629 353981 -2538 354099
-rect -2838 346827 -2538 353981
-rect 4002 354259 4302 354730
-rect 4002 354141 4093 354259
-rect 4211 354141 4302 354259
-rect 4002 354099 4302 354141
-rect 4002 353981 4093 354099
-rect 4211 353981 4302 354099
-rect -2838 346709 -2747 346827
-rect -2629 346709 -2538 346827
-rect -2838 346667 -2538 346709
-rect -2838 346549 -2747 346667
-rect -2629 346549 -2538 346667
-rect -2838 328827 -2538 346549
-rect -2838 328709 -2747 328827
-rect -2629 328709 -2538 328827
-rect -2838 328667 -2538 328709
-rect -2838 328549 -2747 328667
-rect -2629 328549 -2538 328667
-rect -2838 310827 -2538 328549
-rect -2838 310709 -2747 310827
-rect -2629 310709 -2538 310827
-rect -2838 310667 -2538 310709
-rect -2838 310549 -2747 310667
-rect -2629 310549 -2538 310667
-rect -2838 292827 -2538 310549
-rect -2838 292709 -2747 292827
-rect -2629 292709 -2538 292827
-rect -2838 292667 -2538 292709
-rect -2838 292549 -2747 292667
-rect -2629 292549 -2538 292667
-rect -2838 274827 -2538 292549
-rect -2838 274709 -2747 274827
-rect -2629 274709 -2538 274827
-rect -2838 274667 -2538 274709
-rect -2838 274549 -2747 274667
-rect -2629 274549 -2538 274667
-rect -2838 256827 -2538 274549
-rect -2838 256709 -2747 256827
-rect -2629 256709 -2538 256827
-rect -2838 256667 -2538 256709
-rect -2838 256549 -2747 256667
-rect -2629 256549 -2538 256667
-rect -2838 238827 -2538 256549
-rect -2838 238709 -2747 238827
-rect -2629 238709 -2538 238827
-rect -2838 238667 -2538 238709
-rect -2838 238549 -2747 238667
-rect -2629 238549 -2538 238667
-rect -2838 220827 -2538 238549
-rect -2838 220709 -2747 220827
-rect -2629 220709 -2538 220827
-rect -2838 220667 -2538 220709
-rect -2838 220549 -2747 220667
-rect -2629 220549 -2538 220667
-rect -2838 202827 -2538 220549
-rect -2838 202709 -2747 202827
-rect -2629 202709 -2538 202827
-rect -2838 202667 -2538 202709
-rect -2838 202549 -2747 202667
-rect -2629 202549 -2538 202667
-rect -2838 184827 -2538 202549
-rect -2838 184709 -2747 184827
-rect -2629 184709 -2538 184827
-rect -2838 184667 -2538 184709
-rect -2838 184549 -2747 184667
-rect -2629 184549 -2538 184667
-rect -2838 166827 -2538 184549
-rect -2838 166709 -2747 166827
-rect -2629 166709 -2538 166827
-rect -2838 166667 -2538 166709
-rect -2838 166549 -2747 166667
-rect -2629 166549 -2538 166667
-rect -2838 148827 -2538 166549
-rect -2838 148709 -2747 148827
-rect -2629 148709 -2538 148827
-rect -2838 148667 -2538 148709
-rect -2838 148549 -2747 148667
-rect -2629 148549 -2538 148667
-rect -2838 130827 -2538 148549
-rect -2838 130709 -2747 130827
-rect -2629 130709 -2538 130827
-rect -2838 130667 -2538 130709
-rect -2838 130549 -2747 130667
-rect -2629 130549 -2538 130667
-rect -2838 112827 -2538 130549
-rect -2838 112709 -2747 112827
-rect -2629 112709 -2538 112827
-rect -2838 112667 -2538 112709
-rect -2838 112549 -2747 112667
-rect -2629 112549 -2538 112667
-rect -2838 94827 -2538 112549
-rect -2838 94709 -2747 94827
-rect -2629 94709 -2538 94827
-rect -2838 94667 -2538 94709
-rect -2838 94549 -2747 94667
-rect -2629 94549 -2538 94667
-rect -2838 76827 -2538 94549
-rect -2838 76709 -2747 76827
-rect -2629 76709 -2538 76827
-rect -2838 76667 -2538 76709
-rect -2838 76549 -2747 76667
-rect -2629 76549 -2538 76667
-rect -2838 58827 -2538 76549
-rect -2838 58709 -2747 58827
-rect -2629 58709 -2538 58827
-rect -2838 58667 -2538 58709
-rect -2838 58549 -2747 58667
-rect -2629 58549 -2538 58667
-rect -2838 40827 -2538 58549
-rect -2838 40709 -2747 40827
-rect -2629 40709 -2538 40827
-rect -2838 40667 -2538 40709
-rect -2838 40549 -2747 40667
-rect -2629 40549 -2538 40667
-rect -2838 22827 -2538 40549
-rect -2838 22709 -2747 22827
-rect -2629 22709 -2538 22827
-rect -2838 22667 -2538 22709
-rect -2838 22549 -2747 22667
-rect -2629 22549 -2538 22667
-rect -2838 4827 -2538 22549
-rect -2838 4709 -2747 4827
-rect -2629 4709 -2538 4827
-rect -2838 4667 -2538 4709
-rect -2838 4549 -2747 4667
-rect -2629 4549 -2538 4667
-rect -2838 -2013 -2538 4549
-rect -2378 353799 -2078 353810
-rect -2378 353681 -2287 353799
-rect -2169 353681 -2078 353799
-rect -2378 353639 -2078 353681
-rect -2378 353521 -2287 353639
-rect -2169 353521 -2078 353639
-rect -2378 336027 -2078 353521
-rect -2378 335909 -2287 336027
-rect -2169 335909 -2078 336027
-rect -2378 335867 -2078 335909
-rect -2378 335749 -2287 335867
-rect -2169 335749 -2078 335867
-rect -2378 318027 -2078 335749
-rect -2378 317909 -2287 318027
-rect -2169 317909 -2078 318027
-rect -2378 317867 -2078 317909
-rect -2378 317749 -2287 317867
-rect -2169 317749 -2078 317867
-rect -2378 300027 -2078 317749
-rect -2378 299909 -2287 300027
-rect -2169 299909 -2078 300027
-rect -2378 299867 -2078 299909
-rect -2378 299749 -2287 299867
-rect -2169 299749 -2078 299867
-rect -2378 282027 -2078 299749
-rect -2378 281909 -2287 282027
-rect -2169 281909 -2078 282027
-rect -2378 281867 -2078 281909
-rect -2378 281749 -2287 281867
-rect -2169 281749 -2078 281867
-rect -2378 264027 -2078 281749
-rect -2378 263909 -2287 264027
-rect -2169 263909 -2078 264027
-rect -2378 263867 -2078 263909
-rect -2378 263749 -2287 263867
-rect -2169 263749 -2078 263867
-rect -2378 246027 -2078 263749
-rect -2378 245909 -2287 246027
-rect -2169 245909 -2078 246027
-rect -2378 245867 -2078 245909
-rect -2378 245749 -2287 245867
-rect -2169 245749 -2078 245867
-rect -2378 228027 -2078 245749
-rect -2378 227909 -2287 228027
-rect -2169 227909 -2078 228027
-rect -2378 227867 -2078 227909
-rect -2378 227749 -2287 227867
-rect -2169 227749 -2078 227867
-rect -2378 210027 -2078 227749
-rect -2378 209909 -2287 210027
-rect -2169 209909 -2078 210027
-rect -2378 209867 -2078 209909
-rect -2378 209749 -2287 209867
-rect -2169 209749 -2078 209867
-rect -2378 192027 -2078 209749
-rect -2378 191909 -2287 192027
-rect -2169 191909 -2078 192027
-rect -2378 191867 -2078 191909
-rect -2378 191749 -2287 191867
-rect -2169 191749 -2078 191867
-rect -2378 174027 -2078 191749
-rect -2378 173909 -2287 174027
-rect -2169 173909 -2078 174027
-rect -2378 173867 -2078 173909
-rect -2378 173749 -2287 173867
-rect -2169 173749 -2078 173867
-rect -2378 156027 -2078 173749
-rect -2378 155909 -2287 156027
-rect -2169 155909 -2078 156027
-rect -2378 155867 -2078 155909
-rect -2378 155749 -2287 155867
-rect -2169 155749 -2078 155867
-rect -2378 138027 -2078 155749
-rect -2378 137909 -2287 138027
-rect -2169 137909 -2078 138027
-rect -2378 137867 -2078 137909
-rect -2378 137749 -2287 137867
-rect -2169 137749 -2078 137867
-rect -2378 120027 -2078 137749
-rect -2378 119909 -2287 120027
-rect -2169 119909 -2078 120027
-rect -2378 119867 -2078 119909
-rect -2378 119749 -2287 119867
-rect -2169 119749 -2078 119867
-rect -2378 102027 -2078 119749
-rect -2378 101909 -2287 102027
-rect -2169 101909 -2078 102027
-rect -2378 101867 -2078 101909
-rect -2378 101749 -2287 101867
-rect -2169 101749 -2078 101867
-rect -2378 84027 -2078 101749
-rect -2378 83909 -2287 84027
-rect -2169 83909 -2078 84027
-rect -2378 83867 -2078 83909
-rect -2378 83749 -2287 83867
-rect -2169 83749 -2078 83867
-rect -2378 66027 -2078 83749
-rect -2378 65909 -2287 66027
-rect -2169 65909 -2078 66027
-rect -2378 65867 -2078 65909
-rect -2378 65749 -2287 65867
-rect -2169 65749 -2078 65867
-rect -2378 48027 -2078 65749
-rect -2378 47909 -2287 48027
-rect -2169 47909 -2078 48027
-rect -2378 47867 -2078 47909
-rect -2378 47749 -2287 47867
-rect -2169 47749 -2078 47867
-rect -2378 30027 -2078 47749
-rect -2378 29909 -2287 30027
-rect -2169 29909 -2078 30027
-rect -2378 29867 -2078 29909
-rect -2378 29749 -2287 29867
-rect -2169 29749 -2078 29867
-rect -2378 12027 -2078 29749
-rect -2378 11909 -2287 12027
-rect -2169 11909 -2078 12027
-rect -2378 11867 -2078 11909
-rect -2378 11749 -2287 11867
-rect -2169 11749 -2078 11867
-rect -2378 -1553 -2078 11749
-rect -1918 353339 -1618 353350
-rect -1918 353221 -1827 353339
-rect -1709 353221 -1618 353339
-rect -1918 353179 -1618 353221
-rect -1918 353061 -1827 353179
-rect -1709 353061 -1618 353179
-rect -1918 345027 -1618 353061
-rect 2202 353339 2502 353810
-rect 2202 353221 2293 353339
-rect 2411 353221 2502 353339
-rect 2202 353179 2502 353221
-rect 2202 353061 2293 353179
-rect 2411 353061 2502 353179
-rect -1918 344909 -1827 345027
-rect -1709 344909 -1618 345027
-rect -1918 344867 -1618 344909
-rect -1918 344749 -1827 344867
-rect -1709 344749 -1618 344867
-rect -1918 327027 -1618 344749
-rect -1918 326909 -1827 327027
-rect -1709 326909 -1618 327027
-rect -1918 326867 -1618 326909
-rect -1918 326749 -1827 326867
-rect -1709 326749 -1618 326867
-rect -1918 309027 -1618 326749
-rect -1918 308909 -1827 309027
-rect -1709 308909 -1618 309027
-rect -1918 308867 -1618 308909
-rect -1918 308749 -1827 308867
-rect -1709 308749 -1618 308867
-rect -1918 291027 -1618 308749
-rect -1918 290909 -1827 291027
-rect -1709 290909 -1618 291027
-rect -1918 290867 -1618 290909
-rect -1918 290749 -1827 290867
-rect -1709 290749 -1618 290867
-rect -1918 273027 -1618 290749
-rect -1918 272909 -1827 273027
-rect -1709 272909 -1618 273027
-rect -1918 272867 -1618 272909
-rect -1918 272749 -1827 272867
-rect -1709 272749 -1618 272867
-rect -1918 255027 -1618 272749
-rect -1918 254909 -1827 255027
-rect -1709 254909 -1618 255027
-rect -1918 254867 -1618 254909
-rect -1918 254749 -1827 254867
-rect -1709 254749 -1618 254867
-rect -1918 237027 -1618 254749
-rect -1918 236909 -1827 237027
-rect -1709 236909 -1618 237027
-rect -1918 236867 -1618 236909
-rect -1918 236749 -1827 236867
-rect -1709 236749 -1618 236867
-rect -1918 219027 -1618 236749
-rect -1918 218909 -1827 219027
-rect -1709 218909 -1618 219027
-rect -1918 218867 -1618 218909
-rect -1918 218749 -1827 218867
-rect -1709 218749 -1618 218867
-rect -1918 201027 -1618 218749
-rect -1918 200909 -1827 201027
-rect -1709 200909 -1618 201027
-rect -1918 200867 -1618 200909
-rect -1918 200749 -1827 200867
-rect -1709 200749 -1618 200867
-rect -1918 183027 -1618 200749
-rect -1918 182909 -1827 183027
-rect -1709 182909 -1618 183027
-rect -1918 182867 -1618 182909
-rect -1918 182749 -1827 182867
-rect -1709 182749 -1618 182867
-rect -1918 165027 -1618 182749
-rect -1918 164909 -1827 165027
-rect -1709 164909 -1618 165027
-rect -1918 164867 -1618 164909
-rect -1918 164749 -1827 164867
-rect -1709 164749 -1618 164867
-rect -1918 147027 -1618 164749
-rect -1918 146909 -1827 147027
-rect -1709 146909 -1618 147027
-rect -1918 146867 -1618 146909
-rect -1918 146749 -1827 146867
-rect -1709 146749 -1618 146867
-rect -1918 129027 -1618 146749
-rect -1918 128909 -1827 129027
-rect -1709 128909 -1618 129027
-rect -1918 128867 -1618 128909
-rect -1918 128749 -1827 128867
-rect -1709 128749 -1618 128867
-rect -1918 111027 -1618 128749
-rect -1918 110909 -1827 111027
-rect -1709 110909 -1618 111027
-rect -1918 110867 -1618 110909
-rect -1918 110749 -1827 110867
-rect -1709 110749 -1618 110867
-rect -1918 93027 -1618 110749
-rect -1918 92909 -1827 93027
-rect -1709 92909 -1618 93027
-rect -1918 92867 -1618 92909
-rect -1918 92749 -1827 92867
-rect -1709 92749 -1618 92867
-rect -1918 75027 -1618 92749
-rect -1918 74909 -1827 75027
-rect -1709 74909 -1618 75027
-rect -1918 74867 -1618 74909
-rect -1918 74749 -1827 74867
-rect -1709 74749 -1618 74867
-rect -1918 57027 -1618 74749
-rect -1918 56909 -1827 57027
-rect -1709 56909 -1618 57027
-rect -1918 56867 -1618 56909
-rect -1918 56749 -1827 56867
-rect -1709 56749 -1618 56867
-rect -1918 39027 -1618 56749
-rect -1918 38909 -1827 39027
-rect -1709 38909 -1618 39027
-rect -1918 38867 -1618 38909
-rect -1918 38749 -1827 38867
-rect -1709 38749 -1618 38867
-rect -1918 21027 -1618 38749
-rect -1918 20909 -1827 21027
-rect -1709 20909 -1618 21027
-rect -1918 20867 -1618 20909
-rect -1918 20749 -1827 20867
-rect -1709 20749 -1618 20867
-rect -1918 3027 -1618 20749
-rect -1918 2909 -1827 3027
-rect -1709 2909 -1618 3027
-rect -1918 2867 -1618 2909
-rect -1918 2749 -1827 2867
-rect -1709 2749 -1618 2867
-rect -1918 -1093 -1618 2749
-rect -1458 352879 -1158 352890
-rect -1458 352761 -1367 352879
-rect -1249 352761 -1158 352879
-rect -1458 352719 -1158 352761
-rect -1458 352601 -1367 352719
-rect -1249 352601 -1158 352719
-rect -1458 334227 -1158 352601
-rect -1458 334109 -1367 334227
-rect -1249 334109 -1158 334227
-rect -1458 334067 -1158 334109
-rect -1458 333949 -1367 334067
-rect -1249 333949 -1158 334067
-rect -1458 316227 -1158 333949
-rect -1458 316109 -1367 316227
-rect -1249 316109 -1158 316227
-rect -1458 316067 -1158 316109
-rect -1458 315949 -1367 316067
-rect -1249 315949 -1158 316067
-rect -1458 298227 -1158 315949
-rect -1458 298109 -1367 298227
-rect -1249 298109 -1158 298227
-rect -1458 298067 -1158 298109
-rect -1458 297949 -1367 298067
-rect -1249 297949 -1158 298067
-rect -1458 280227 -1158 297949
-rect -1458 280109 -1367 280227
-rect -1249 280109 -1158 280227
-rect -1458 280067 -1158 280109
-rect -1458 279949 -1367 280067
-rect -1249 279949 -1158 280067
-rect -1458 262227 -1158 279949
-rect -1458 262109 -1367 262227
-rect -1249 262109 -1158 262227
-rect -1458 262067 -1158 262109
-rect -1458 261949 -1367 262067
-rect -1249 261949 -1158 262067
-rect -1458 244227 -1158 261949
-rect -1458 244109 -1367 244227
-rect -1249 244109 -1158 244227
-rect -1458 244067 -1158 244109
-rect -1458 243949 -1367 244067
-rect -1249 243949 -1158 244067
-rect -1458 226227 -1158 243949
-rect -1458 226109 -1367 226227
-rect -1249 226109 -1158 226227
-rect -1458 226067 -1158 226109
-rect -1458 225949 -1367 226067
-rect -1249 225949 -1158 226067
-rect -1458 208227 -1158 225949
-rect -1458 208109 -1367 208227
-rect -1249 208109 -1158 208227
-rect -1458 208067 -1158 208109
-rect -1458 207949 -1367 208067
-rect -1249 207949 -1158 208067
-rect -1458 190227 -1158 207949
-rect -1458 190109 -1367 190227
-rect -1249 190109 -1158 190227
-rect -1458 190067 -1158 190109
-rect -1458 189949 -1367 190067
-rect -1249 189949 -1158 190067
-rect -1458 172227 -1158 189949
-rect -1458 172109 -1367 172227
-rect -1249 172109 -1158 172227
-rect -1458 172067 -1158 172109
-rect -1458 171949 -1367 172067
-rect -1249 171949 -1158 172067
-rect -1458 154227 -1158 171949
-rect -1458 154109 -1367 154227
-rect -1249 154109 -1158 154227
-rect -1458 154067 -1158 154109
-rect -1458 153949 -1367 154067
-rect -1249 153949 -1158 154067
-rect -1458 136227 -1158 153949
-rect -1458 136109 -1367 136227
-rect -1249 136109 -1158 136227
-rect -1458 136067 -1158 136109
-rect -1458 135949 -1367 136067
-rect -1249 135949 -1158 136067
-rect -1458 118227 -1158 135949
-rect -1458 118109 -1367 118227
-rect -1249 118109 -1158 118227
-rect -1458 118067 -1158 118109
-rect -1458 117949 -1367 118067
-rect -1249 117949 -1158 118067
-rect -1458 100227 -1158 117949
-rect -1458 100109 -1367 100227
-rect -1249 100109 -1158 100227
-rect -1458 100067 -1158 100109
-rect -1458 99949 -1367 100067
-rect -1249 99949 -1158 100067
-rect -1458 82227 -1158 99949
-rect -1458 82109 -1367 82227
-rect -1249 82109 -1158 82227
-rect -1458 82067 -1158 82109
-rect -1458 81949 -1367 82067
-rect -1249 81949 -1158 82067
-rect -1458 64227 -1158 81949
-rect -1458 64109 -1367 64227
-rect -1249 64109 -1158 64227
-rect -1458 64067 -1158 64109
-rect -1458 63949 -1367 64067
-rect -1249 63949 -1158 64067
-rect -1458 46227 -1158 63949
-rect -1458 46109 -1367 46227
-rect -1249 46109 -1158 46227
-rect -1458 46067 -1158 46109
-rect -1458 45949 -1367 46067
-rect -1249 45949 -1158 46067
-rect -1458 28227 -1158 45949
-rect -1458 28109 -1367 28227
-rect -1249 28109 -1158 28227
-rect -1458 28067 -1158 28109
-rect -1458 27949 -1367 28067
-rect -1249 27949 -1158 28067
-rect -1458 10227 -1158 27949
-rect -1458 10109 -1367 10227
-rect -1249 10109 -1158 10227
-rect -1458 10067 -1158 10109
-rect -1458 9949 -1367 10067
-rect -1249 9949 -1158 10067
-rect -1458 -633 -1158 9949
-rect -998 352419 -698 352430
-rect -998 352301 -907 352419
-rect -789 352301 -698 352419
-rect -998 352259 -698 352301
-rect -998 352141 -907 352259
-rect -789 352141 -698 352259
-rect -998 343227 -698 352141
-rect 402 352419 702 352890
-rect 402 352301 493 352419
-rect 611 352301 702 352419
-rect 402 352259 702 352301
-rect 402 352141 493 352259
-rect 611 352141 702 352259
-rect 402 351760 702 352141
-rect 2202 351760 2502 353061
-rect 4002 351760 4302 353981
-rect 5802 351760 6102 354901
-rect 14802 355639 15102 355650
-rect 14802 355521 14893 355639
-rect 15011 355521 15102 355639
-rect 14802 355479 15102 355521
-rect 14802 355361 14893 355479
-rect 15011 355361 15102 355479
-rect 13002 354719 13302 354730
-rect 13002 354601 13093 354719
-rect 13211 354601 13302 354719
-rect 13002 354559 13302 354601
-rect 13002 354441 13093 354559
-rect 13211 354441 13302 354559
-rect 11202 353799 11502 353810
-rect 11202 353681 11293 353799
-rect 11411 353681 11502 353799
-rect 11202 353639 11502 353681
-rect 11202 353521 11293 353639
-rect 11411 353521 11502 353639
-rect 9402 352879 9702 352890
-rect 9402 352761 9493 352879
-rect 9611 352761 9702 352879
-rect 9402 352719 9702 352761
-rect 9402 352601 9493 352719
-rect 9611 352601 9702 352719
-rect 9402 351760 9702 352601
-rect 11202 351760 11502 353521
-rect 13002 351760 13302 354441
-rect 14802 351760 15102 355361
-rect 23802 355179 24102 355650
-rect 23802 355061 23893 355179
-rect 24011 355061 24102 355179
-rect 23802 355019 24102 355061
-rect 23802 354901 23893 355019
-rect 24011 354901 24102 355019
-rect 22002 354259 22302 354730
-rect 22002 354141 22093 354259
-rect 22211 354141 22302 354259
-rect 22002 354099 22302 354141
-rect 22002 353981 22093 354099
-rect 22211 353981 22302 354099
-rect 20202 353339 20502 353810
-rect 20202 353221 20293 353339
-rect 20411 353221 20502 353339
-rect 20202 353179 20502 353221
-rect 20202 353061 20293 353179
-rect 20411 353061 20502 353179
-rect 18402 352419 18702 352890
-rect 18402 352301 18493 352419
-rect 18611 352301 18702 352419
-rect 18402 352259 18702 352301
-rect 18402 352141 18493 352259
-rect 18611 352141 18702 352259
-rect 18402 351760 18702 352141
-rect 20202 351760 20502 353061
-rect 22002 351760 22302 353981
-rect 23802 351760 24102 354901
-rect 32802 355639 33102 355650
-rect 32802 355521 32893 355639
-rect 33011 355521 33102 355639
-rect 32802 355479 33102 355521
-rect 32802 355361 32893 355479
-rect 33011 355361 33102 355479
-rect 31002 354719 31302 354730
-rect 31002 354601 31093 354719
-rect 31211 354601 31302 354719
-rect 31002 354559 31302 354601
-rect 31002 354441 31093 354559
-rect 31211 354441 31302 354559
-rect 29202 353799 29502 353810
-rect 29202 353681 29293 353799
-rect 29411 353681 29502 353799
-rect 29202 353639 29502 353681
-rect 29202 353521 29293 353639
-rect 29411 353521 29502 353639
-rect 27402 352879 27702 352890
-rect 27402 352761 27493 352879
-rect 27611 352761 27702 352879
-rect 27402 352719 27702 352761
-rect 27402 352601 27493 352719
-rect 27611 352601 27702 352719
-rect 27402 351760 27702 352601
-rect 29202 351760 29502 353521
-rect 31002 351760 31302 354441
-rect 32802 351760 33102 355361
-rect 41802 355179 42102 355650
-rect 41802 355061 41893 355179
-rect 42011 355061 42102 355179
-rect 41802 355019 42102 355061
-rect 41802 354901 41893 355019
-rect 42011 354901 42102 355019
-rect 40002 354259 40302 354730
-rect 40002 354141 40093 354259
-rect 40211 354141 40302 354259
-rect 40002 354099 40302 354141
-rect 40002 353981 40093 354099
-rect 40211 353981 40302 354099
-rect 38202 353339 38502 353810
-rect 38202 353221 38293 353339
-rect 38411 353221 38502 353339
-rect 38202 353179 38502 353221
-rect 38202 353061 38293 353179
-rect 38411 353061 38502 353179
-rect 36402 352419 36702 352890
-rect 36402 352301 36493 352419
-rect 36611 352301 36702 352419
-rect 36402 352259 36702 352301
-rect 36402 352141 36493 352259
-rect 36611 352141 36702 352259
-rect 36402 351760 36702 352141
-rect 38202 351760 38502 353061
-rect 40002 351760 40302 353981
-rect 41802 351760 42102 354901
-rect 50802 355639 51102 355650
-rect 50802 355521 50893 355639
-rect 51011 355521 51102 355639
-rect 50802 355479 51102 355521
-rect 50802 355361 50893 355479
-rect 51011 355361 51102 355479
-rect 49002 354719 49302 354730
-rect 49002 354601 49093 354719
-rect 49211 354601 49302 354719
-rect 49002 354559 49302 354601
-rect 49002 354441 49093 354559
-rect 49211 354441 49302 354559
-rect 47202 353799 47502 353810
-rect 47202 353681 47293 353799
-rect 47411 353681 47502 353799
-rect 47202 353639 47502 353681
-rect 47202 353521 47293 353639
-rect 47411 353521 47502 353639
-rect 45402 352879 45702 352890
-rect 45402 352761 45493 352879
-rect 45611 352761 45702 352879
-rect 45402 352719 45702 352761
-rect 45402 352601 45493 352719
-rect 45611 352601 45702 352719
-rect 45402 351760 45702 352601
-rect 47202 351760 47502 353521
-rect 49002 351760 49302 354441
-rect 50802 351760 51102 355361
-rect 59802 355179 60102 355650
-rect 59802 355061 59893 355179
-rect 60011 355061 60102 355179
-rect 59802 355019 60102 355061
-rect 59802 354901 59893 355019
-rect 60011 354901 60102 355019
-rect 58002 354259 58302 354730
-rect 58002 354141 58093 354259
-rect 58211 354141 58302 354259
-rect 58002 354099 58302 354141
-rect 58002 353981 58093 354099
-rect 58211 353981 58302 354099
-rect 56202 353339 56502 353810
-rect 56202 353221 56293 353339
-rect 56411 353221 56502 353339
-rect 56202 353179 56502 353221
-rect 56202 353061 56293 353179
-rect 56411 353061 56502 353179
-rect 54402 352419 54702 352890
-rect 54402 352301 54493 352419
-rect 54611 352301 54702 352419
-rect 54402 352259 54702 352301
-rect 54402 352141 54493 352259
-rect 54611 352141 54702 352259
-rect 54402 351760 54702 352141
-rect 56202 351760 56502 353061
-rect 58002 351760 58302 353981
-rect 59802 351760 60102 354901
-rect 68802 355639 69102 355650
-rect 68802 355521 68893 355639
-rect 69011 355521 69102 355639
-rect 68802 355479 69102 355521
-rect 68802 355361 68893 355479
-rect 69011 355361 69102 355479
-rect 67002 354719 67302 354730
-rect 67002 354601 67093 354719
-rect 67211 354601 67302 354719
-rect 67002 354559 67302 354601
-rect 67002 354441 67093 354559
-rect 67211 354441 67302 354559
-rect 65202 353799 65502 353810
-rect 65202 353681 65293 353799
-rect 65411 353681 65502 353799
-rect 65202 353639 65502 353681
-rect 65202 353521 65293 353639
-rect 65411 353521 65502 353639
-rect 63402 352879 63702 352890
-rect 63402 352761 63493 352879
-rect 63611 352761 63702 352879
-rect 63402 352719 63702 352761
-rect 63402 352601 63493 352719
-rect 63611 352601 63702 352719
-rect 63402 351760 63702 352601
-rect 65202 351760 65502 353521
-rect 67002 351760 67302 354441
-rect 68802 351760 69102 355361
-rect 77802 355179 78102 355650
-rect 77802 355061 77893 355179
-rect 78011 355061 78102 355179
-rect 77802 355019 78102 355061
-rect 77802 354901 77893 355019
-rect 78011 354901 78102 355019
-rect 76002 354259 76302 354730
-rect 76002 354141 76093 354259
-rect 76211 354141 76302 354259
-rect 76002 354099 76302 354141
-rect 76002 353981 76093 354099
-rect 76211 353981 76302 354099
-rect 74202 353339 74502 353810
-rect 74202 353221 74293 353339
-rect 74411 353221 74502 353339
-rect 74202 353179 74502 353221
-rect 74202 353061 74293 353179
-rect 74411 353061 74502 353179
-rect 72402 352419 72702 352890
-rect 72402 352301 72493 352419
-rect 72611 352301 72702 352419
-rect 72402 352259 72702 352301
-rect 72402 352141 72493 352259
-rect 72611 352141 72702 352259
-rect 72402 351760 72702 352141
-rect 74202 351760 74502 353061
-rect 76002 351760 76302 353981
-rect 77802 351760 78102 354901
-rect 86802 355639 87102 355650
-rect 86802 355521 86893 355639
-rect 87011 355521 87102 355639
-rect 86802 355479 87102 355521
-rect 86802 355361 86893 355479
-rect 87011 355361 87102 355479
-rect 85002 354719 85302 354730
-rect 85002 354601 85093 354719
-rect 85211 354601 85302 354719
-rect 85002 354559 85302 354601
-rect 85002 354441 85093 354559
-rect 85211 354441 85302 354559
-rect 83202 353799 83502 353810
-rect 83202 353681 83293 353799
-rect 83411 353681 83502 353799
-rect 83202 353639 83502 353681
-rect 83202 353521 83293 353639
-rect 83411 353521 83502 353639
-rect 81402 352879 81702 352890
-rect 81402 352761 81493 352879
-rect 81611 352761 81702 352879
-rect 81402 352719 81702 352761
-rect 81402 352601 81493 352719
-rect 81611 352601 81702 352719
-rect 81402 351760 81702 352601
-rect 83202 351760 83502 353521
-rect 85002 351760 85302 354441
-rect 86802 351760 87102 355361
-rect 95802 355179 96102 355650
-rect 95802 355061 95893 355179
-rect 96011 355061 96102 355179
-rect 95802 355019 96102 355061
-rect 95802 354901 95893 355019
-rect 96011 354901 96102 355019
-rect 94002 354259 94302 354730
-rect 94002 354141 94093 354259
-rect 94211 354141 94302 354259
-rect 94002 354099 94302 354141
-rect 94002 353981 94093 354099
-rect 94211 353981 94302 354099
-rect 92202 353339 92502 353810
-rect 92202 353221 92293 353339
-rect 92411 353221 92502 353339
-rect 92202 353179 92502 353221
-rect 92202 353061 92293 353179
-rect 92411 353061 92502 353179
-rect 90402 352419 90702 352890
-rect 90402 352301 90493 352419
-rect 90611 352301 90702 352419
-rect 90402 352259 90702 352301
-rect 90402 352141 90493 352259
-rect 90611 352141 90702 352259
-rect 90402 351760 90702 352141
-rect 92202 351760 92502 353061
-rect 94002 351760 94302 353981
-rect 95802 351760 96102 354901
-rect 104802 355639 105102 355650
-rect 104802 355521 104893 355639
-rect 105011 355521 105102 355639
-rect 104802 355479 105102 355521
-rect 104802 355361 104893 355479
-rect 105011 355361 105102 355479
-rect 103002 354719 103302 354730
-rect 103002 354601 103093 354719
-rect 103211 354601 103302 354719
-rect 103002 354559 103302 354601
-rect 103002 354441 103093 354559
-rect 103211 354441 103302 354559
-rect 101202 353799 101502 353810
-rect 101202 353681 101293 353799
-rect 101411 353681 101502 353799
-rect 101202 353639 101502 353681
-rect 101202 353521 101293 353639
-rect 101411 353521 101502 353639
-rect 99402 352879 99702 352890
-rect 99402 352761 99493 352879
-rect 99611 352761 99702 352879
-rect 99402 352719 99702 352761
-rect 99402 352601 99493 352719
-rect 99611 352601 99702 352719
-rect 99402 351760 99702 352601
-rect 101202 351760 101502 353521
-rect 103002 351760 103302 354441
-rect 104802 351760 105102 355361
-rect 113802 355179 114102 355650
-rect 113802 355061 113893 355179
-rect 114011 355061 114102 355179
-rect 113802 355019 114102 355061
-rect 113802 354901 113893 355019
-rect 114011 354901 114102 355019
-rect 112002 354259 112302 354730
-rect 112002 354141 112093 354259
-rect 112211 354141 112302 354259
-rect 112002 354099 112302 354141
-rect 112002 353981 112093 354099
-rect 112211 353981 112302 354099
-rect 110202 353339 110502 353810
-rect 110202 353221 110293 353339
-rect 110411 353221 110502 353339
-rect 110202 353179 110502 353221
-rect 110202 353061 110293 353179
-rect 110411 353061 110502 353179
-rect 108402 352419 108702 352890
-rect 108402 352301 108493 352419
-rect 108611 352301 108702 352419
-rect 108402 352259 108702 352301
-rect 108402 352141 108493 352259
-rect 108611 352141 108702 352259
-rect 108402 351760 108702 352141
-rect 110202 351760 110502 353061
-rect 112002 351760 112302 353981
-rect 113802 351760 114102 354901
-rect 122802 355639 123102 355650
-rect 122802 355521 122893 355639
-rect 123011 355521 123102 355639
-rect 122802 355479 123102 355521
-rect 122802 355361 122893 355479
-rect 123011 355361 123102 355479
-rect 121002 354719 121302 354730
-rect 121002 354601 121093 354719
-rect 121211 354601 121302 354719
-rect 121002 354559 121302 354601
-rect 121002 354441 121093 354559
-rect 121211 354441 121302 354559
-rect 119202 353799 119502 353810
-rect 119202 353681 119293 353799
-rect 119411 353681 119502 353799
-rect 119202 353639 119502 353681
-rect 119202 353521 119293 353639
-rect 119411 353521 119502 353639
-rect 117402 352879 117702 352890
-rect 117402 352761 117493 352879
-rect 117611 352761 117702 352879
-rect 117402 352719 117702 352761
-rect 117402 352601 117493 352719
-rect 117611 352601 117702 352719
-rect 117402 351760 117702 352601
-rect 119202 351760 119502 353521
-rect 121002 351760 121302 354441
-rect 122802 351760 123102 355361
-rect 131802 355179 132102 355650
-rect 131802 355061 131893 355179
-rect 132011 355061 132102 355179
-rect 131802 355019 132102 355061
-rect 131802 354901 131893 355019
-rect 132011 354901 132102 355019
-rect 130002 354259 130302 354730
-rect 130002 354141 130093 354259
-rect 130211 354141 130302 354259
-rect 130002 354099 130302 354141
-rect 130002 353981 130093 354099
-rect 130211 353981 130302 354099
-rect 128202 353339 128502 353810
-rect 128202 353221 128293 353339
-rect 128411 353221 128502 353339
-rect 128202 353179 128502 353221
-rect 128202 353061 128293 353179
-rect 128411 353061 128502 353179
-rect 126402 352419 126702 352890
-rect 126402 352301 126493 352419
-rect 126611 352301 126702 352419
-rect 126402 352259 126702 352301
-rect 126402 352141 126493 352259
-rect 126611 352141 126702 352259
-rect 126402 351760 126702 352141
-rect 128202 351760 128502 353061
-rect 130002 351760 130302 353981
-rect 131802 351760 132102 354901
-rect 140802 355639 141102 355650
-rect 140802 355521 140893 355639
-rect 141011 355521 141102 355639
-rect 140802 355479 141102 355521
-rect 140802 355361 140893 355479
-rect 141011 355361 141102 355479
-rect 139002 354719 139302 354730
-rect 139002 354601 139093 354719
-rect 139211 354601 139302 354719
-rect 139002 354559 139302 354601
-rect 139002 354441 139093 354559
-rect 139211 354441 139302 354559
-rect 137202 353799 137502 353810
-rect 137202 353681 137293 353799
-rect 137411 353681 137502 353799
-rect 137202 353639 137502 353681
-rect 137202 353521 137293 353639
-rect 137411 353521 137502 353639
-rect 135402 352879 135702 352890
-rect 135402 352761 135493 352879
-rect 135611 352761 135702 352879
-rect 135402 352719 135702 352761
-rect 135402 352601 135493 352719
-rect 135611 352601 135702 352719
-rect 135402 351760 135702 352601
-rect 137202 351760 137502 353521
-rect 139002 351760 139302 354441
-rect 140802 351760 141102 355361
-rect 149802 355179 150102 355650
-rect 149802 355061 149893 355179
-rect 150011 355061 150102 355179
-rect 149802 355019 150102 355061
-rect 149802 354901 149893 355019
-rect 150011 354901 150102 355019
-rect 148002 354259 148302 354730
-rect 148002 354141 148093 354259
-rect 148211 354141 148302 354259
-rect 148002 354099 148302 354141
-rect 148002 353981 148093 354099
-rect 148211 353981 148302 354099
-rect 146202 353339 146502 353810
-rect 146202 353221 146293 353339
-rect 146411 353221 146502 353339
-rect 146202 353179 146502 353221
-rect 146202 353061 146293 353179
-rect 146411 353061 146502 353179
-rect 144402 352419 144702 352890
-rect 144402 352301 144493 352419
-rect 144611 352301 144702 352419
-rect 144402 352259 144702 352301
-rect 144402 352141 144493 352259
-rect 144611 352141 144702 352259
-rect 144402 351760 144702 352141
-rect 146202 351760 146502 353061
-rect 148002 351760 148302 353981
-rect 149802 351760 150102 354901
-rect 158802 355639 159102 355650
-rect 158802 355521 158893 355639
-rect 159011 355521 159102 355639
-rect 158802 355479 159102 355521
-rect 158802 355361 158893 355479
-rect 159011 355361 159102 355479
-rect 157002 354719 157302 354730
-rect 157002 354601 157093 354719
-rect 157211 354601 157302 354719
-rect 157002 354559 157302 354601
-rect 157002 354441 157093 354559
-rect 157211 354441 157302 354559
-rect 155202 353799 155502 353810
-rect 155202 353681 155293 353799
-rect 155411 353681 155502 353799
-rect 155202 353639 155502 353681
-rect 155202 353521 155293 353639
-rect 155411 353521 155502 353639
-rect 153402 352879 153702 352890
-rect 153402 352761 153493 352879
-rect 153611 352761 153702 352879
-rect 153402 352719 153702 352761
-rect 153402 352601 153493 352719
-rect 153611 352601 153702 352719
-rect 153402 351760 153702 352601
-rect 155202 351760 155502 353521
-rect 157002 351760 157302 354441
-rect 158802 351760 159102 355361
-rect 167802 355179 168102 355650
-rect 167802 355061 167893 355179
-rect 168011 355061 168102 355179
-rect 167802 355019 168102 355061
-rect 167802 354901 167893 355019
-rect 168011 354901 168102 355019
-rect 166002 354259 166302 354730
-rect 166002 354141 166093 354259
-rect 166211 354141 166302 354259
-rect 166002 354099 166302 354141
-rect 166002 353981 166093 354099
-rect 166211 353981 166302 354099
-rect 164202 353339 164502 353810
-rect 164202 353221 164293 353339
-rect 164411 353221 164502 353339
-rect 164202 353179 164502 353221
-rect 164202 353061 164293 353179
-rect 164411 353061 164502 353179
-rect 162402 352419 162702 352890
-rect 162402 352301 162493 352419
-rect 162611 352301 162702 352419
-rect 162402 352259 162702 352301
-rect 162402 352141 162493 352259
-rect 162611 352141 162702 352259
-rect 162402 351760 162702 352141
-rect 164202 351760 164502 353061
-rect 166002 351760 166302 353981
-rect 167802 351760 168102 354901
-rect 176802 355639 177102 355650
-rect 176802 355521 176893 355639
-rect 177011 355521 177102 355639
-rect 176802 355479 177102 355521
-rect 176802 355361 176893 355479
-rect 177011 355361 177102 355479
-rect 175002 354719 175302 354730
-rect 175002 354601 175093 354719
-rect 175211 354601 175302 354719
-rect 175002 354559 175302 354601
-rect 175002 354441 175093 354559
-rect 175211 354441 175302 354559
-rect 173202 353799 173502 353810
-rect 173202 353681 173293 353799
-rect 173411 353681 173502 353799
-rect 173202 353639 173502 353681
-rect 173202 353521 173293 353639
-rect 173411 353521 173502 353639
-rect 171402 352879 171702 352890
-rect 171402 352761 171493 352879
-rect 171611 352761 171702 352879
-rect 171402 352719 171702 352761
-rect 171402 352601 171493 352719
-rect 171611 352601 171702 352719
-rect 171402 351760 171702 352601
-rect 173202 351760 173502 353521
-rect 175002 351760 175302 354441
-rect 176802 351760 177102 355361
-rect 185802 355179 186102 355650
-rect 185802 355061 185893 355179
-rect 186011 355061 186102 355179
-rect 185802 355019 186102 355061
-rect 185802 354901 185893 355019
-rect 186011 354901 186102 355019
-rect 184002 354259 184302 354730
-rect 184002 354141 184093 354259
-rect 184211 354141 184302 354259
-rect 184002 354099 184302 354141
-rect 184002 353981 184093 354099
-rect 184211 353981 184302 354099
-rect 182202 353339 182502 353810
-rect 182202 353221 182293 353339
-rect 182411 353221 182502 353339
-rect 182202 353179 182502 353221
-rect 182202 353061 182293 353179
-rect 182411 353061 182502 353179
-rect 180402 352419 180702 352890
-rect 180402 352301 180493 352419
-rect 180611 352301 180702 352419
-rect 180402 352259 180702 352301
-rect 180402 352141 180493 352259
-rect 180611 352141 180702 352259
-rect 180402 351760 180702 352141
-rect 182202 351760 182502 353061
-rect 184002 351760 184302 353981
-rect 185802 351760 186102 354901
-rect 194802 355639 195102 355650
-rect 194802 355521 194893 355639
-rect 195011 355521 195102 355639
-rect 194802 355479 195102 355521
-rect 194802 355361 194893 355479
-rect 195011 355361 195102 355479
-rect 193002 354719 193302 354730
-rect 193002 354601 193093 354719
-rect 193211 354601 193302 354719
-rect 193002 354559 193302 354601
-rect 193002 354441 193093 354559
-rect 193211 354441 193302 354559
-rect 191202 353799 191502 353810
-rect 191202 353681 191293 353799
-rect 191411 353681 191502 353799
-rect 191202 353639 191502 353681
-rect 191202 353521 191293 353639
-rect 191411 353521 191502 353639
-rect 189402 352879 189702 352890
-rect 189402 352761 189493 352879
-rect 189611 352761 189702 352879
-rect 189402 352719 189702 352761
-rect 189402 352601 189493 352719
-rect 189611 352601 189702 352719
-rect 189402 351760 189702 352601
-rect 191202 351760 191502 353521
-rect 193002 351760 193302 354441
-rect 194802 351760 195102 355361
-rect 203802 355179 204102 355650
-rect 203802 355061 203893 355179
-rect 204011 355061 204102 355179
-rect 203802 355019 204102 355061
-rect 203802 354901 203893 355019
-rect 204011 354901 204102 355019
-rect 202002 354259 202302 354730
-rect 202002 354141 202093 354259
-rect 202211 354141 202302 354259
-rect 202002 354099 202302 354141
-rect 202002 353981 202093 354099
-rect 202211 353981 202302 354099
-rect 200202 353339 200502 353810
-rect 200202 353221 200293 353339
-rect 200411 353221 200502 353339
-rect 200202 353179 200502 353221
-rect 200202 353061 200293 353179
-rect 200411 353061 200502 353179
-rect 198402 352419 198702 352890
-rect 198402 352301 198493 352419
-rect 198611 352301 198702 352419
-rect 198402 352259 198702 352301
-rect 198402 352141 198493 352259
-rect 198611 352141 198702 352259
-rect 198402 351760 198702 352141
-rect 200202 351760 200502 353061
-rect 202002 351760 202302 353981
-rect 203802 351760 204102 354901
-rect 212802 355639 213102 355650
-rect 212802 355521 212893 355639
-rect 213011 355521 213102 355639
-rect 212802 355479 213102 355521
-rect 212802 355361 212893 355479
-rect 213011 355361 213102 355479
-rect 211002 354719 211302 354730
-rect 211002 354601 211093 354719
-rect 211211 354601 211302 354719
-rect 211002 354559 211302 354601
-rect 211002 354441 211093 354559
-rect 211211 354441 211302 354559
-rect 209202 353799 209502 353810
-rect 209202 353681 209293 353799
-rect 209411 353681 209502 353799
-rect 209202 353639 209502 353681
-rect 209202 353521 209293 353639
-rect 209411 353521 209502 353639
-rect 207402 352879 207702 352890
-rect 207402 352761 207493 352879
-rect 207611 352761 207702 352879
-rect 207402 352719 207702 352761
-rect 207402 352601 207493 352719
-rect 207611 352601 207702 352719
-rect 207402 351760 207702 352601
-rect 209202 351760 209502 353521
-rect 211002 351760 211302 354441
-rect 212802 351760 213102 355361
-rect 221802 355179 222102 355650
-rect 221802 355061 221893 355179
-rect 222011 355061 222102 355179
-rect 221802 355019 222102 355061
-rect 221802 354901 221893 355019
-rect 222011 354901 222102 355019
-rect 220002 354259 220302 354730
-rect 220002 354141 220093 354259
-rect 220211 354141 220302 354259
-rect 220002 354099 220302 354141
-rect 220002 353981 220093 354099
-rect 220211 353981 220302 354099
-rect 218202 353339 218502 353810
-rect 218202 353221 218293 353339
-rect 218411 353221 218502 353339
-rect 218202 353179 218502 353221
-rect 218202 353061 218293 353179
-rect 218411 353061 218502 353179
-rect 216402 352419 216702 352890
-rect 216402 352301 216493 352419
-rect 216611 352301 216702 352419
-rect 216402 352259 216702 352301
-rect 216402 352141 216493 352259
-rect 216611 352141 216702 352259
-rect 216402 351760 216702 352141
-rect 218202 351760 218502 353061
-rect 220002 351760 220302 353981
-rect 221802 351760 222102 354901
-rect 230802 355639 231102 355650
-rect 230802 355521 230893 355639
-rect 231011 355521 231102 355639
-rect 230802 355479 231102 355521
-rect 230802 355361 230893 355479
-rect 231011 355361 231102 355479
-rect 229002 354719 229302 354730
-rect 229002 354601 229093 354719
-rect 229211 354601 229302 354719
-rect 229002 354559 229302 354601
-rect 229002 354441 229093 354559
-rect 229211 354441 229302 354559
-rect 227202 353799 227502 353810
-rect 227202 353681 227293 353799
-rect 227411 353681 227502 353799
-rect 227202 353639 227502 353681
-rect 227202 353521 227293 353639
-rect 227411 353521 227502 353639
-rect 225402 352879 225702 352890
-rect 225402 352761 225493 352879
-rect 225611 352761 225702 352879
-rect 225402 352719 225702 352761
-rect 225402 352601 225493 352719
-rect 225611 352601 225702 352719
-rect 225402 351760 225702 352601
-rect 227202 351760 227502 353521
-rect 229002 351760 229302 354441
-rect 230802 351760 231102 355361
-rect 239802 355179 240102 355650
-rect 239802 355061 239893 355179
-rect 240011 355061 240102 355179
-rect 239802 355019 240102 355061
-rect 239802 354901 239893 355019
-rect 240011 354901 240102 355019
-rect 238002 354259 238302 354730
-rect 238002 354141 238093 354259
-rect 238211 354141 238302 354259
-rect 238002 354099 238302 354141
-rect 238002 353981 238093 354099
-rect 238211 353981 238302 354099
-rect 236202 353339 236502 353810
-rect 236202 353221 236293 353339
-rect 236411 353221 236502 353339
-rect 236202 353179 236502 353221
-rect 236202 353061 236293 353179
-rect 236411 353061 236502 353179
-rect 234402 352419 234702 352890
-rect 234402 352301 234493 352419
-rect 234611 352301 234702 352419
-rect 234402 352259 234702 352301
-rect 234402 352141 234493 352259
-rect 234611 352141 234702 352259
-rect 234402 351760 234702 352141
-rect 236202 351760 236502 353061
-rect 238002 351760 238302 353981
-rect 239802 351760 240102 354901
-rect 248802 355639 249102 355650
-rect 248802 355521 248893 355639
-rect 249011 355521 249102 355639
-rect 248802 355479 249102 355521
-rect 248802 355361 248893 355479
-rect 249011 355361 249102 355479
-rect 247002 354719 247302 354730
-rect 247002 354601 247093 354719
-rect 247211 354601 247302 354719
-rect 247002 354559 247302 354601
-rect 247002 354441 247093 354559
-rect 247211 354441 247302 354559
-rect 245202 353799 245502 353810
-rect 245202 353681 245293 353799
-rect 245411 353681 245502 353799
-rect 245202 353639 245502 353681
-rect 245202 353521 245293 353639
-rect 245411 353521 245502 353639
-rect 243402 352879 243702 352890
-rect 243402 352761 243493 352879
-rect 243611 352761 243702 352879
-rect 243402 352719 243702 352761
-rect 243402 352601 243493 352719
-rect 243611 352601 243702 352719
-rect 243402 351760 243702 352601
-rect 245202 351760 245502 353521
-rect 247002 351760 247302 354441
-rect 248802 351760 249102 355361
-rect 257802 355179 258102 355650
-rect 257802 355061 257893 355179
-rect 258011 355061 258102 355179
-rect 257802 355019 258102 355061
-rect 257802 354901 257893 355019
-rect 258011 354901 258102 355019
-rect 256002 354259 256302 354730
-rect 256002 354141 256093 354259
-rect 256211 354141 256302 354259
-rect 256002 354099 256302 354141
-rect 256002 353981 256093 354099
-rect 256211 353981 256302 354099
-rect 254202 353339 254502 353810
-rect 254202 353221 254293 353339
-rect 254411 353221 254502 353339
-rect 254202 353179 254502 353221
-rect 254202 353061 254293 353179
-rect 254411 353061 254502 353179
-rect 252402 352419 252702 352890
-rect 252402 352301 252493 352419
-rect 252611 352301 252702 352419
-rect 252402 352259 252702 352301
-rect 252402 352141 252493 352259
-rect 252611 352141 252702 352259
-rect 252402 351760 252702 352141
-rect 254202 351760 254502 353061
-rect 256002 351760 256302 353981
-rect 257802 351760 258102 354901
-rect 266802 355639 267102 355650
-rect 266802 355521 266893 355639
-rect 267011 355521 267102 355639
-rect 266802 355479 267102 355521
-rect 266802 355361 266893 355479
-rect 267011 355361 267102 355479
-rect 265002 354719 265302 354730
-rect 265002 354601 265093 354719
-rect 265211 354601 265302 354719
-rect 265002 354559 265302 354601
-rect 265002 354441 265093 354559
-rect 265211 354441 265302 354559
-rect 263202 353799 263502 353810
-rect 263202 353681 263293 353799
-rect 263411 353681 263502 353799
-rect 263202 353639 263502 353681
-rect 263202 353521 263293 353639
-rect 263411 353521 263502 353639
-rect 261402 352879 261702 352890
-rect 261402 352761 261493 352879
-rect 261611 352761 261702 352879
-rect 261402 352719 261702 352761
-rect 261402 352601 261493 352719
-rect 261611 352601 261702 352719
-rect 261402 351760 261702 352601
-rect 263202 351760 263502 353521
-rect 265002 351760 265302 354441
-rect 266802 351760 267102 355361
-rect 275802 355179 276102 355650
-rect 275802 355061 275893 355179
-rect 276011 355061 276102 355179
-rect 275802 355019 276102 355061
-rect 275802 354901 275893 355019
-rect 276011 354901 276102 355019
-rect 274002 354259 274302 354730
-rect 274002 354141 274093 354259
-rect 274211 354141 274302 354259
-rect 274002 354099 274302 354141
-rect 274002 353981 274093 354099
-rect 274211 353981 274302 354099
-rect 272202 353339 272502 353810
-rect 272202 353221 272293 353339
-rect 272411 353221 272502 353339
-rect 272202 353179 272502 353221
-rect 272202 353061 272293 353179
-rect 272411 353061 272502 353179
-rect 270402 352419 270702 352890
-rect 270402 352301 270493 352419
-rect 270611 352301 270702 352419
-rect 270402 352259 270702 352301
-rect 270402 352141 270493 352259
-rect 270611 352141 270702 352259
-rect 270402 351760 270702 352141
-rect 272202 351760 272502 353061
-rect 274002 351760 274302 353981
-rect 275802 351760 276102 354901
-rect 284802 355639 285102 355650
-rect 284802 355521 284893 355639
-rect 285011 355521 285102 355639
-rect 284802 355479 285102 355521
-rect 284802 355361 284893 355479
-rect 285011 355361 285102 355479
-rect 283002 354719 283302 354730
-rect 283002 354601 283093 354719
-rect 283211 354601 283302 354719
-rect 283002 354559 283302 354601
-rect 283002 354441 283093 354559
-rect 283211 354441 283302 354559
-rect 281202 353799 281502 353810
-rect 281202 353681 281293 353799
-rect 281411 353681 281502 353799
-rect 281202 353639 281502 353681
-rect 281202 353521 281293 353639
-rect 281411 353521 281502 353639
-rect 279402 352879 279702 352890
-rect 279402 352761 279493 352879
-rect 279611 352761 279702 352879
-rect 279402 352719 279702 352761
-rect 279402 352601 279493 352719
-rect 279611 352601 279702 352719
-rect 279402 351760 279702 352601
-rect 281202 351760 281502 353521
-rect 283002 351760 283302 354441
-rect 284802 351760 285102 355361
-rect 295880 355639 296180 355650
-rect 295880 355521 295971 355639
-rect 296089 355521 296180 355639
-rect 295880 355479 296180 355521
-rect 295880 355361 295971 355479
-rect 296089 355361 296180 355479
-rect 295420 355179 295720 355190
-rect 295420 355061 295511 355179
-rect 295629 355061 295720 355179
-rect 295420 355019 295720 355061
-rect 295420 354901 295511 355019
-rect 295629 354901 295720 355019
-rect 294960 354719 295260 354730
-rect 294960 354601 295051 354719
-rect 295169 354601 295260 354719
-rect 294960 354559 295260 354601
-rect 294960 354441 295051 354559
-rect 295169 354441 295260 354559
-rect 294500 354259 294800 354270
-rect 294500 354141 294591 354259
-rect 294709 354141 294800 354259
-rect 294500 354099 294800 354141
-rect 294500 353981 294591 354099
-rect 294709 353981 294800 354099
-rect 290202 353339 290502 353810
-rect 294040 353799 294340 353810
-rect 294040 353681 294131 353799
-rect 294249 353681 294340 353799
-rect 294040 353639 294340 353681
-rect 294040 353521 294131 353639
-rect 294249 353521 294340 353639
-rect 290202 353221 290293 353339
-rect 290411 353221 290502 353339
-rect 290202 353179 290502 353221
-rect 290202 353061 290293 353179
-rect 290411 353061 290502 353179
-rect 288402 352419 288702 352890
-rect 288402 352301 288493 352419
-rect 288611 352301 288702 352419
-rect 288402 352259 288702 352301
-rect 288402 352141 288493 352259
-rect 288611 352141 288702 352259
-rect 288402 351760 288702 352141
-rect 290202 351760 290502 353061
-rect 293580 353339 293880 353350
-rect 293580 353221 293671 353339
-rect 293789 353221 293880 353339
-rect 293580 353179 293880 353221
-rect 293580 353061 293671 353179
-rect 293789 353061 293880 353179
-rect 293120 352879 293420 352890
-rect 293120 352761 293211 352879
-rect 293329 352761 293420 352879
-rect 293120 352719 293420 352761
-rect 293120 352601 293211 352719
-rect 293329 352601 293420 352719
-rect 292660 352419 292960 352430
-rect 292660 352301 292751 352419
-rect 292869 352301 292960 352419
-rect 292660 352259 292960 352301
-rect 292660 352141 292751 352259
-rect 292869 352141 292960 352259
-rect -998 343109 -907 343227
-rect -789 343109 -698 343227
-rect -998 343067 -698 343109
-rect -998 342949 -907 343067
-rect -789 342949 -698 343067
-rect -998 325227 -698 342949
-rect -998 325109 -907 325227
-rect -789 325109 -698 325227
-rect -998 325067 -698 325109
-rect -998 324949 -907 325067
-rect -789 324949 -698 325067
-rect -998 307227 -698 324949
-rect -998 307109 -907 307227
-rect -789 307109 -698 307227
-rect -998 307067 -698 307109
-rect -998 306949 -907 307067
-rect -789 306949 -698 307067
-rect -998 289227 -698 306949
-rect -998 289109 -907 289227
-rect -789 289109 -698 289227
-rect -998 289067 -698 289109
-rect -998 288949 -907 289067
-rect -789 288949 -698 289067
-rect -998 271227 -698 288949
-rect -998 271109 -907 271227
-rect -789 271109 -698 271227
-rect -998 271067 -698 271109
-rect -998 270949 -907 271067
-rect -789 270949 -698 271067
-rect -998 253227 -698 270949
-rect -998 253109 -907 253227
-rect -789 253109 -698 253227
-rect -998 253067 -698 253109
-rect -998 252949 -907 253067
-rect -789 252949 -698 253067
-rect -998 235227 -698 252949
-rect -998 235109 -907 235227
-rect -789 235109 -698 235227
-rect -998 235067 -698 235109
-rect -998 234949 -907 235067
-rect -789 234949 -698 235067
-rect -998 217227 -698 234949
-rect -998 217109 -907 217227
-rect -789 217109 -698 217227
-rect -998 217067 -698 217109
-rect -998 216949 -907 217067
-rect -789 216949 -698 217067
-rect -998 199227 -698 216949
-rect -998 199109 -907 199227
-rect -789 199109 -698 199227
-rect -998 199067 -698 199109
-rect -998 198949 -907 199067
-rect -789 198949 -698 199067
-rect -998 181227 -698 198949
-rect -998 181109 -907 181227
-rect -789 181109 -698 181227
-rect -998 181067 -698 181109
-rect -998 180949 -907 181067
-rect -789 180949 -698 181067
-rect -998 163227 -698 180949
-rect -998 163109 -907 163227
-rect -789 163109 -698 163227
-rect -998 163067 -698 163109
-rect -998 162949 -907 163067
-rect -789 162949 -698 163067
-rect -998 145227 -698 162949
-rect -998 145109 -907 145227
-rect -789 145109 -698 145227
-rect -998 145067 -698 145109
-rect -998 144949 -907 145067
-rect -789 144949 -698 145067
-rect -998 127227 -698 144949
-rect -998 127109 -907 127227
-rect -789 127109 -698 127227
-rect -998 127067 -698 127109
-rect -998 126949 -907 127067
-rect -789 126949 -698 127067
-rect -998 109227 -698 126949
-rect -998 109109 -907 109227
-rect -789 109109 -698 109227
-rect -998 109067 -698 109109
-rect -998 108949 -907 109067
-rect -789 108949 -698 109067
-rect -998 91227 -698 108949
-rect -998 91109 -907 91227
-rect -789 91109 -698 91227
-rect -998 91067 -698 91109
-rect -998 90949 -907 91067
-rect -789 90949 -698 91067
-rect -998 73227 -698 90949
-rect -998 73109 -907 73227
-rect -789 73109 -698 73227
-rect -998 73067 -698 73109
-rect -998 72949 -907 73067
-rect -789 72949 -698 73067
-rect -998 55227 -698 72949
-rect -998 55109 -907 55227
-rect -789 55109 -698 55227
-rect -998 55067 -698 55109
-rect -998 54949 -907 55067
-rect -789 54949 -698 55067
-rect -998 37227 -698 54949
-rect -998 37109 -907 37227
-rect -789 37109 -698 37227
-rect -998 37067 -698 37109
-rect -998 36949 -907 37067
-rect -789 36949 -698 37067
-rect -998 19227 -698 36949
-rect -998 19109 -907 19227
-rect -789 19109 -698 19227
-rect -998 19067 -698 19109
-rect -998 18949 -907 19067
-rect -789 18949 -698 19067
-rect -998 1227 -698 18949
-rect -998 1109 -907 1227
-rect -789 1109 -698 1227
-rect -998 1067 -698 1109
-rect -998 949 -907 1067
-rect -789 949 -698 1067
-rect -998 -173 -698 949
-rect 292660 343227 292960 352141
-rect 292660 343109 292751 343227
-rect 292869 343109 292960 343227
-rect 292660 343067 292960 343109
-rect 292660 342949 292751 343067
-rect 292869 342949 292960 343067
-rect 292660 325227 292960 342949
-rect 292660 325109 292751 325227
-rect 292869 325109 292960 325227
-rect 292660 325067 292960 325109
-rect 292660 324949 292751 325067
-rect 292869 324949 292960 325067
-rect 292660 307227 292960 324949
-rect 292660 307109 292751 307227
-rect 292869 307109 292960 307227
-rect 292660 307067 292960 307109
-rect 292660 306949 292751 307067
-rect 292869 306949 292960 307067
-rect 292660 289227 292960 306949
-rect 292660 289109 292751 289227
-rect 292869 289109 292960 289227
-rect 292660 289067 292960 289109
-rect 292660 288949 292751 289067
-rect 292869 288949 292960 289067
-rect 292660 271227 292960 288949
-rect 292660 271109 292751 271227
-rect 292869 271109 292960 271227
-rect 292660 271067 292960 271109
-rect 292660 270949 292751 271067
-rect 292869 270949 292960 271067
-rect 292660 253227 292960 270949
-rect 292660 253109 292751 253227
-rect 292869 253109 292960 253227
-rect 292660 253067 292960 253109
-rect 292660 252949 292751 253067
-rect 292869 252949 292960 253067
-rect 292660 235227 292960 252949
-rect 292660 235109 292751 235227
-rect 292869 235109 292960 235227
-rect 292660 235067 292960 235109
-rect 292660 234949 292751 235067
-rect 292869 234949 292960 235067
-rect 292660 217227 292960 234949
-rect 292660 217109 292751 217227
-rect 292869 217109 292960 217227
-rect 292660 217067 292960 217109
-rect 292660 216949 292751 217067
-rect 292869 216949 292960 217067
-rect 292660 199227 292960 216949
-rect 292660 199109 292751 199227
-rect 292869 199109 292960 199227
-rect 292660 199067 292960 199109
-rect 292660 198949 292751 199067
-rect 292869 198949 292960 199067
-rect 292660 181227 292960 198949
-rect 292660 181109 292751 181227
-rect 292869 181109 292960 181227
-rect 292660 181067 292960 181109
-rect 292660 180949 292751 181067
-rect 292869 180949 292960 181067
-rect 292660 163227 292960 180949
-rect 292660 163109 292751 163227
-rect 292869 163109 292960 163227
-rect 292660 163067 292960 163109
-rect 292660 162949 292751 163067
-rect 292869 162949 292960 163067
-rect 292660 145227 292960 162949
-rect 292660 145109 292751 145227
-rect 292869 145109 292960 145227
-rect 292660 145067 292960 145109
-rect 292660 144949 292751 145067
-rect 292869 144949 292960 145067
-rect 292660 127227 292960 144949
-rect 292660 127109 292751 127227
-rect 292869 127109 292960 127227
-rect 292660 127067 292960 127109
-rect 292660 126949 292751 127067
-rect 292869 126949 292960 127067
-rect 292660 109227 292960 126949
-rect 292660 109109 292751 109227
-rect 292869 109109 292960 109227
-rect 292660 109067 292960 109109
-rect 292660 108949 292751 109067
-rect 292869 108949 292960 109067
-rect 292660 91227 292960 108949
-rect 292660 91109 292751 91227
-rect 292869 91109 292960 91227
-rect 292660 91067 292960 91109
-rect 292660 90949 292751 91067
-rect 292869 90949 292960 91067
-rect 292660 73227 292960 90949
-rect 292660 73109 292751 73227
-rect 292869 73109 292960 73227
-rect 292660 73067 292960 73109
-rect 292660 72949 292751 73067
-rect 292869 72949 292960 73067
-rect 292660 55227 292960 72949
-rect 292660 55109 292751 55227
-rect 292869 55109 292960 55227
-rect 292660 55067 292960 55109
-rect 292660 54949 292751 55067
-rect 292869 54949 292960 55067
-rect 292660 37227 292960 54949
-rect 292660 37109 292751 37227
-rect 292869 37109 292960 37227
-rect 292660 37067 292960 37109
-rect 292660 36949 292751 37067
-rect 292869 36949 292960 37067
-rect 292660 19227 292960 36949
-rect 292660 19109 292751 19227
-rect 292869 19109 292960 19227
-rect 292660 19067 292960 19109
-rect 292660 18949 292751 19067
-rect 292869 18949 292960 19067
-rect 292660 1227 292960 18949
-rect 292660 1109 292751 1227
-rect 292869 1109 292960 1227
-rect 292660 1067 292960 1109
-rect 292660 949 292751 1067
-rect 292869 949 292960 1067
-rect -998 -291 -907 -173
-rect -789 -291 -698 -173
-rect -998 -333 -698 -291
-rect -998 -451 -907 -333
-rect -789 -451 -698 -333
-rect -998 -462 -698 -451
-rect 402 -173 702 240
-rect 402 -291 493 -173
-rect 611 -291 702 -173
-rect 402 -333 702 -291
-rect 402 -451 493 -333
-rect 611 -451 702 -333
-rect -1458 -751 -1367 -633
-rect -1249 -751 -1158 -633
-rect -1458 -793 -1158 -751
-rect -1458 -911 -1367 -793
-rect -1249 -911 -1158 -793
-rect -1458 -922 -1158 -911
-rect 402 -922 702 -451
-rect -1918 -1211 -1827 -1093
-rect -1709 -1211 -1618 -1093
-rect -1918 -1253 -1618 -1211
-rect -1918 -1371 -1827 -1253
-rect -1709 -1371 -1618 -1253
-rect -1918 -1382 -1618 -1371
-rect 2202 -1093 2502 240
-rect 2202 -1211 2293 -1093
-rect 2411 -1211 2502 -1093
-rect 2202 -1253 2502 -1211
-rect 2202 -1371 2293 -1253
-rect 2411 -1371 2502 -1253
-rect -2378 -1671 -2287 -1553
-rect -2169 -1671 -2078 -1553
-rect -2378 -1713 -2078 -1671
-rect -2378 -1831 -2287 -1713
-rect -2169 -1831 -2078 -1713
-rect -2378 -1842 -2078 -1831
-rect 2202 -1842 2502 -1371
-rect -2838 -2131 -2747 -2013
-rect -2629 -2131 -2538 -2013
-rect -2838 -2173 -2538 -2131
-rect -2838 -2291 -2747 -2173
-rect -2629 -2291 -2538 -2173
-rect -2838 -2302 -2538 -2291
-rect 4002 -2013 4302 240
-rect 4002 -2131 4093 -2013
-rect 4211 -2131 4302 -2013
-rect 4002 -2173 4302 -2131
-rect 4002 -2291 4093 -2173
-rect 4211 -2291 4302 -2173
-rect -3298 -2591 -3207 -2473
-rect -3089 -2591 -2998 -2473
-rect -3298 -2633 -2998 -2591
-rect -3298 -2751 -3207 -2633
-rect -3089 -2751 -2998 -2633
-rect -3298 -2762 -2998 -2751
-rect 4002 -2762 4302 -2291
-rect -3758 -3051 -3667 -2933
-rect -3549 -3051 -3458 -2933
-rect -3758 -3093 -3458 -3051
-rect -3758 -3211 -3667 -3093
-rect -3549 -3211 -3458 -3093
-rect -3758 -3222 -3458 -3211
-rect 5802 -2933 6102 240
-rect 9402 -633 9702 240
-rect 9402 -751 9493 -633
-rect 9611 -751 9702 -633
-rect 9402 -793 9702 -751
-rect 9402 -911 9493 -793
-rect 9611 -911 9702 -793
-rect 9402 -922 9702 -911
-rect 11202 -1553 11502 240
-rect 11202 -1671 11293 -1553
-rect 11411 -1671 11502 -1553
-rect 11202 -1713 11502 -1671
-rect 11202 -1831 11293 -1713
-rect 11411 -1831 11502 -1713
-rect 11202 -1842 11502 -1831
-rect 13002 -2473 13302 240
-rect 13002 -2591 13093 -2473
-rect 13211 -2591 13302 -2473
-rect 13002 -2633 13302 -2591
-rect 13002 -2751 13093 -2633
-rect 13211 -2751 13302 -2633
-rect 13002 -2762 13302 -2751
-rect 5802 -3051 5893 -2933
-rect 6011 -3051 6102 -2933
-rect 5802 -3093 6102 -3051
-rect 5802 -3211 5893 -3093
-rect 6011 -3211 6102 -3093
-rect -4218 -3511 -4127 -3393
-rect -4009 -3511 -3918 -3393
-rect -4218 -3553 -3918 -3511
-rect -4218 -3671 -4127 -3553
-rect -4009 -3671 -3918 -3553
-rect -4218 -3682 -3918 -3671
-rect 5802 -3682 6102 -3211
-rect 14802 -3393 15102 240
-rect 18402 -173 18702 240
-rect 18402 -291 18493 -173
-rect 18611 -291 18702 -173
-rect 18402 -333 18702 -291
-rect 18402 -451 18493 -333
-rect 18611 -451 18702 -333
-rect 18402 -922 18702 -451
-rect 20202 -1093 20502 240
-rect 20202 -1211 20293 -1093
-rect 20411 -1211 20502 -1093
-rect 20202 -1253 20502 -1211
-rect 20202 -1371 20293 -1253
-rect 20411 -1371 20502 -1253
-rect 20202 -1842 20502 -1371
-rect 22002 -2013 22302 240
-rect 22002 -2131 22093 -2013
-rect 22211 -2131 22302 -2013
-rect 22002 -2173 22302 -2131
-rect 22002 -2291 22093 -2173
-rect 22211 -2291 22302 -2173
-rect 22002 -2762 22302 -2291
-rect 14802 -3511 14893 -3393
-rect 15011 -3511 15102 -3393
-rect 14802 -3553 15102 -3511
-rect 14802 -3671 14893 -3553
-rect 15011 -3671 15102 -3553
-rect 14802 -3682 15102 -3671
-rect 23802 -2933 24102 240
-rect 27402 -633 27702 240
-rect 27402 -751 27493 -633
-rect 27611 -751 27702 -633
-rect 27402 -793 27702 -751
-rect 27402 -911 27493 -793
-rect 27611 -911 27702 -793
-rect 27402 -922 27702 -911
-rect 29202 -1553 29502 240
-rect 29202 -1671 29293 -1553
-rect 29411 -1671 29502 -1553
-rect 29202 -1713 29502 -1671
-rect 29202 -1831 29293 -1713
-rect 29411 -1831 29502 -1713
-rect 29202 -1842 29502 -1831
-rect 31002 -2473 31302 240
-rect 31002 -2591 31093 -2473
-rect 31211 -2591 31302 -2473
-rect 31002 -2633 31302 -2591
-rect 31002 -2751 31093 -2633
-rect 31211 -2751 31302 -2633
-rect 31002 -2762 31302 -2751
-rect 23802 -3051 23893 -2933
-rect 24011 -3051 24102 -2933
-rect 23802 -3093 24102 -3051
-rect 23802 -3211 23893 -3093
-rect 24011 -3211 24102 -3093
-rect 23802 -3682 24102 -3211
-rect 32802 -3393 33102 240
-rect 36402 -173 36702 240
-rect 36402 -291 36493 -173
-rect 36611 -291 36702 -173
-rect 36402 -333 36702 -291
-rect 36402 -451 36493 -333
-rect 36611 -451 36702 -333
-rect 36402 -922 36702 -451
-rect 38202 -1093 38502 240
-rect 38202 -1211 38293 -1093
-rect 38411 -1211 38502 -1093
-rect 38202 -1253 38502 -1211
-rect 38202 -1371 38293 -1253
-rect 38411 -1371 38502 -1253
-rect 38202 -1842 38502 -1371
-rect 40002 -2013 40302 240
-rect 40002 -2131 40093 -2013
-rect 40211 -2131 40302 -2013
-rect 40002 -2173 40302 -2131
-rect 40002 -2291 40093 -2173
-rect 40211 -2291 40302 -2173
-rect 40002 -2762 40302 -2291
-rect 32802 -3511 32893 -3393
-rect 33011 -3511 33102 -3393
-rect 32802 -3553 33102 -3511
-rect 32802 -3671 32893 -3553
-rect 33011 -3671 33102 -3553
-rect 32802 -3682 33102 -3671
-rect 41802 -2933 42102 240
-rect 45402 -633 45702 240
-rect 45402 -751 45493 -633
-rect 45611 -751 45702 -633
-rect 45402 -793 45702 -751
-rect 45402 -911 45493 -793
-rect 45611 -911 45702 -793
-rect 45402 -922 45702 -911
-rect 47202 -1553 47502 240
-rect 47202 -1671 47293 -1553
-rect 47411 -1671 47502 -1553
-rect 47202 -1713 47502 -1671
-rect 47202 -1831 47293 -1713
-rect 47411 -1831 47502 -1713
-rect 47202 -1842 47502 -1831
-rect 49002 -2473 49302 240
-rect 49002 -2591 49093 -2473
-rect 49211 -2591 49302 -2473
-rect 49002 -2633 49302 -2591
-rect 49002 -2751 49093 -2633
-rect 49211 -2751 49302 -2633
-rect 49002 -2762 49302 -2751
-rect 41802 -3051 41893 -2933
-rect 42011 -3051 42102 -2933
-rect 41802 -3093 42102 -3051
-rect 41802 -3211 41893 -3093
-rect 42011 -3211 42102 -3093
-rect 41802 -3682 42102 -3211
-rect 50802 -3393 51102 240
-rect 54402 -173 54702 240
-rect 54402 -291 54493 -173
-rect 54611 -291 54702 -173
-rect 54402 -333 54702 -291
-rect 54402 -451 54493 -333
-rect 54611 -451 54702 -333
-rect 54402 -922 54702 -451
-rect 56202 -1093 56502 240
-rect 56202 -1211 56293 -1093
-rect 56411 -1211 56502 -1093
-rect 56202 -1253 56502 -1211
-rect 56202 -1371 56293 -1253
-rect 56411 -1371 56502 -1253
-rect 56202 -1842 56502 -1371
-rect 58002 -2013 58302 240
-rect 58002 -2131 58093 -2013
-rect 58211 -2131 58302 -2013
-rect 58002 -2173 58302 -2131
-rect 58002 -2291 58093 -2173
-rect 58211 -2291 58302 -2173
-rect 58002 -2762 58302 -2291
-rect 50802 -3511 50893 -3393
-rect 51011 -3511 51102 -3393
-rect 50802 -3553 51102 -3511
-rect 50802 -3671 50893 -3553
-rect 51011 -3671 51102 -3553
-rect 50802 -3682 51102 -3671
-rect 59802 -2933 60102 240
-rect 63402 -633 63702 240
-rect 63402 -751 63493 -633
-rect 63611 -751 63702 -633
-rect 63402 -793 63702 -751
-rect 63402 -911 63493 -793
-rect 63611 -911 63702 -793
-rect 63402 -922 63702 -911
-rect 65202 -1553 65502 240
-rect 65202 -1671 65293 -1553
-rect 65411 -1671 65502 -1553
-rect 65202 -1713 65502 -1671
-rect 65202 -1831 65293 -1713
-rect 65411 -1831 65502 -1713
-rect 65202 -1842 65502 -1831
-rect 67002 -2473 67302 240
-rect 67002 -2591 67093 -2473
-rect 67211 -2591 67302 -2473
-rect 67002 -2633 67302 -2591
-rect 67002 -2751 67093 -2633
-rect 67211 -2751 67302 -2633
-rect 67002 -2762 67302 -2751
-rect 59802 -3051 59893 -2933
-rect 60011 -3051 60102 -2933
-rect 59802 -3093 60102 -3051
-rect 59802 -3211 59893 -3093
-rect 60011 -3211 60102 -3093
-rect 59802 -3682 60102 -3211
-rect 68802 -3393 69102 240
-rect 72402 -173 72702 240
-rect 72402 -291 72493 -173
-rect 72611 -291 72702 -173
-rect 72402 -333 72702 -291
-rect 72402 -451 72493 -333
-rect 72611 -451 72702 -333
-rect 72402 -922 72702 -451
-rect 74202 -1093 74502 240
-rect 74202 -1211 74293 -1093
-rect 74411 -1211 74502 -1093
-rect 74202 -1253 74502 -1211
-rect 74202 -1371 74293 -1253
-rect 74411 -1371 74502 -1253
-rect 74202 -1842 74502 -1371
-rect 76002 -2013 76302 240
-rect 76002 -2131 76093 -2013
-rect 76211 -2131 76302 -2013
-rect 76002 -2173 76302 -2131
-rect 76002 -2291 76093 -2173
-rect 76211 -2291 76302 -2173
-rect 76002 -2762 76302 -2291
-rect 68802 -3511 68893 -3393
-rect 69011 -3511 69102 -3393
-rect 68802 -3553 69102 -3511
-rect 68802 -3671 68893 -3553
-rect 69011 -3671 69102 -3553
-rect 68802 -3682 69102 -3671
-rect 77802 -2933 78102 240
-rect 81402 -633 81702 240
-rect 81402 -751 81493 -633
-rect 81611 -751 81702 -633
-rect 81402 -793 81702 -751
-rect 81402 -911 81493 -793
-rect 81611 -911 81702 -793
-rect 81402 -922 81702 -911
-rect 83202 -1553 83502 240
-rect 83202 -1671 83293 -1553
-rect 83411 -1671 83502 -1553
-rect 83202 -1713 83502 -1671
-rect 83202 -1831 83293 -1713
-rect 83411 -1831 83502 -1713
-rect 83202 -1842 83502 -1831
-rect 85002 -2473 85302 240
-rect 85002 -2591 85093 -2473
-rect 85211 -2591 85302 -2473
-rect 85002 -2633 85302 -2591
-rect 85002 -2751 85093 -2633
-rect 85211 -2751 85302 -2633
-rect 85002 -2762 85302 -2751
-rect 77802 -3051 77893 -2933
-rect 78011 -3051 78102 -2933
-rect 77802 -3093 78102 -3051
-rect 77802 -3211 77893 -3093
-rect 78011 -3211 78102 -3093
-rect 77802 -3682 78102 -3211
-rect 86802 -3393 87102 240
-rect 90402 -173 90702 240
-rect 90402 -291 90493 -173
-rect 90611 -291 90702 -173
-rect 90402 -333 90702 -291
-rect 90402 -451 90493 -333
-rect 90611 -451 90702 -333
-rect 90402 -922 90702 -451
-rect 92202 -1093 92502 240
-rect 92202 -1211 92293 -1093
-rect 92411 -1211 92502 -1093
-rect 92202 -1253 92502 -1211
-rect 92202 -1371 92293 -1253
-rect 92411 -1371 92502 -1253
-rect 92202 -1842 92502 -1371
-rect 94002 -2013 94302 240
-rect 94002 -2131 94093 -2013
-rect 94211 -2131 94302 -2013
-rect 94002 -2173 94302 -2131
-rect 94002 -2291 94093 -2173
-rect 94211 -2291 94302 -2173
-rect 94002 -2762 94302 -2291
-rect 86802 -3511 86893 -3393
-rect 87011 -3511 87102 -3393
-rect 86802 -3553 87102 -3511
-rect 86802 -3671 86893 -3553
-rect 87011 -3671 87102 -3553
-rect 86802 -3682 87102 -3671
-rect 95802 -2933 96102 240
-rect 99402 -633 99702 240
-rect 99402 -751 99493 -633
-rect 99611 -751 99702 -633
-rect 99402 -793 99702 -751
-rect 99402 -911 99493 -793
-rect 99611 -911 99702 -793
-rect 99402 -922 99702 -911
-rect 101202 -1553 101502 240
-rect 101202 -1671 101293 -1553
-rect 101411 -1671 101502 -1553
-rect 101202 -1713 101502 -1671
-rect 101202 -1831 101293 -1713
-rect 101411 -1831 101502 -1713
-rect 101202 -1842 101502 -1831
-rect 103002 -2473 103302 240
-rect 103002 -2591 103093 -2473
-rect 103211 -2591 103302 -2473
-rect 103002 -2633 103302 -2591
-rect 103002 -2751 103093 -2633
-rect 103211 -2751 103302 -2633
-rect 103002 -2762 103302 -2751
-rect 95802 -3051 95893 -2933
-rect 96011 -3051 96102 -2933
-rect 95802 -3093 96102 -3051
-rect 95802 -3211 95893 -3093
-rect 96011 -3211 96102 -3093
-rect 95802 -3682 96102 -3211
-rect 104802 -3393 105102 240
-rect 108402 -173 108702 240
-rect 108402 -291 108493 -173
-rect 108611 -291 108702 -173
-rect 108402 -333 108702 -291
-rect 108402 -451 108493 -333
-rect 108611 -451 108702 -333
-rect 108402 -922 108702 -451
-rect 110202 -1093 110502 240
-rect 110202 -1211 110293 -1093
-rect 110411 -1211 110502 -1093
-rect 110202 -1253 110502 -1211
-rect 110202 -1371 110293 -1253
-rect 110411 -1371 110502 -1253
-rect 110202 -1842 110502 -1371
-rect 112002 -2013 112302 240
-rect 112002 -2131 112093 -2013
-rect 112211 -2131 112302 -2013
-rect 112002 -2173 112302 -2131
-rect 112002 -2291 112093 -2173
-rect 112211 -2291 112302 -2173
-rect 112002 -2762 112302 -2291
-rect 104802 -3511 104893 -3393
-rect 105011 -3511 105102 -3393
-rect 104802 -3553 105102 -3511
-rect 104802 -3671 104893 -3553
-rect 105011 -3671 105102 -3553
-rect 104802 -3682 105102 -3671
-rect 113802 -2933 114102 240
-rect 117402 -633 117702 240
-rect 117402 -751 117493 -633
-rect 117611 -751 117702 -633
-rect 117402 -793 117702 -751
-rect 117402 -911 117493 -793
-rect 117611 -911 117702 -793
-rect 117402 -922 117702 -911
-rect 119202 -1553 119502 240
-rect 119202 -1671 119293 -1553
-rect 119411 -1671 119502 -1553
-rect 119202 -1713 119502 -1671
-rect 119202 -1831 119293 -1713
-rect 119411 -1831 119502 -1713
-rect 119202 -1842 119502 -1831
-rect 121002 -2473 121302 240
-rect 121002 -2591 121093 -2473
-rect 121211 -2591 121302 -2473
-rect 121002 -2633 121302 -2591
-rect 121002 -2751 121093 -2633
-rect 121211 -2751 121302 -2633
-rect 121002 -2762 121302 -2751
-rect 113802 -3051 113893 -2933
-rect 114011 -3051 114102 -2933
-rect 113802 -3093 114102 -3051
-rect 113802 -3211 113893 -3093
-rect 114011 -3211 114102 -3093
-rect 113802 -3682 114102 -3211
-rect 122802 -3393 123102 240
-rect 126402 -173 126702 240
-rect 126402 -291 126493 -173
-rect 126611 -291 126702 -173
-rect 126402 -333 126702 -291
-rect 126402 -451 126493 -333
-rect 126611 -451 126702 -333
-rect 126402 -922 126702 -451
-rect 128202 -1093 128502 240
-rect 128202 -1211 128293 -1093
-rect 128411 -1211 128502 -1093
-rect 128202 -1253 128502 -1211
-rect 128202 -1371 128293 -1253
-rect 128411 -1371 128502 -1253
-rect 128202 -1842 128502 -1371
-rect 130002 -2013 130302 240
-rect 130002 -2131 130093 -2013
-rect 130211 -2131 130302 -2013
-rect 130002 -2173 130302 -2131
-rect 130002 -2291 130093 -2173
-rect 130211 -2291 130302 -2173
-rect 130002 -2762 130302 -2291
-rect 122802 -3511 122893 -3393
-rect 123011 -3511 123102 -3393
-rect 122802 -3553 123102 -3511
-rect 122802 -3671 122893 -3553
-rect 123011 -3671 123102 -3553
-rect 122802 -3682 123102 -3671
-rect 131802 -2933 132102 240
-rect 135402 -633 135702 240
-rect 135402 -751 135493 -633
-rect 135611 -751 135702 -633
-rect 135402 -793 135702 -751
-rect 135402 -911 135493 -793
-rect 135611 -911 135702 -793
-rect 135402 -922 135702 -911
-rect 137202 -1553 137502 240
-rect 137202 -1671 137293 -1553
-rect 137411 -1671 137502 -1553
-rect 137202 -1713 137502 -1671
-rect 137202 -1831 137293 -1713
-rect 137411 -1831 137502 -1713
-rect 137202 -1842 137502 -1831
-rect 139002 -2473 139302 240
-rect 139002 -2591 139093 -2473
-rect 139211 -2591 139302 -2473
-rect 139002 -2633 139302 -2591
-rect 139002 -2751 139093 -2633
-rect 139211 -2751 139302 -2633
-rect 139002 -2762 139302 -2751
-rect 131802 -3051 131893 -2933
-rect 132011 -3051 132102 -2933
-rect 131802 -3093 132102 -3051
-rect 131802 -3211 131893 -3093
-rect 132011 -3211 132102 -3093
-rect 131802 -3682 132102 -3211
-rect 140802 -3393 141102 240
-rect 144402 -173 144702 240
-rect 144402 -291 144493 -173
-rect 144611 -291 144702 -173
-rect 144402 -333 144702 -291
-rect 144402 -451 144493 -333
-rect 144611 -451 144702 -333
-rect 144402 -922 144702 -451
-rect 146202 -1093 146502 240
-rect 146202 -1211 146293 -1093
-rect 146411 -1211 146502 -1093
-rect 146202 -1253 146502 -1211
-rect 146202 -1371 146293 -1253
-rect 146411 -1371 146502 -1253
-rect 146202 -1842 146502 -1371
-rect 148002 -2013 148302 240
-rect 148002 -2131 148093 -2013
-rect 148211 -2131 148302 -2013
-rect 148002 -2173 148302 -2131
-rect 148002 -2291 148093 -2173
-rect 148211 -2291 148302 -2173
-rect 148002 -2762 148302 -2291
-rect 140802 -3511 140893 -3393
-rect 141011 -3511 141102 -3393
-rect 140802 -3553 141102 -3511
-rect 140802 -3671 140893 -3553
-rect 141011 -3671 141102 -3553
-rect 140802 -3682 141102 -3671
-rect 149802 -2933 150102 240
-rect 153402 -633 153702 240
-rect 153402 -751 153493 -633
-rect 153611 -751 153702 -633
-rect 153402 -793 153702 -751
-rect 153402 -911 153493 -793
-rect 153611 -911 153702 -793
-rect 153402 -922 153702 -911
-rect 155202 -1553 155502 240
-rect 155202 -1671 155293 -1553
-rect 155411 -1671 155502 -1553
-rect 155202 -1713 155502 -1671
-rect 155202 -1831 155293 -1713
-rect 155411 -1831 155502 -1713
-rect 155202 -1842 155502 -1831
-rect 157002 -2473 157302 240
-rect 157002 -2591 157093 -2473
-rect 157211 -2591 157302 -2473
-rect 157002 -2633 157302 -2591
-rect 157002 -2751 157093 -2633
-rect 157211 -2751 157302 -2633
-rect 157002 -2762 157302 -2751
-rect 149802 -3051 149893 -2933
-rect 150011 -3051 150102 -2933
-rect 149802 -3093 150102 -3051
-rect 149802 -3211 149893 -3093
-rect 150011 -3211 150102 -3093
-rect 149802 -3682 150102 -3211
-rect 158802 -3393 159102 240
-rect 162402 -173 162702 240
-rect 162402 -291 162493 -173
-rect 162611 -291 162702 -173
-rect 162402 -333 162702 -291
-rect 162402 -451 162493 -333
-rect 162611 -451 162702 -333
-rect 162402 -922 162702 -451
-rect 164202 -1093 164502 240
-rect 164202 -1211 164293 -1093
-rect 164411 -1211 164502 -1093
-rect 164202 -1253 164502 -1211
-rect 164202 -1371 164293 -1253
-rect 164411 -1371 164502 -1253
-rect 164202 -1842 164502 -1371
-rect 166002 -2013 166302 240
-rect 166002 -2131 166093 -2013
-rect 166211 -2131 166302 -2013
-rect 166002 -2173 166302 -2131
-rect 166002 -2291 166093 -2173
-rect 166211 -2291 166302 -2173
-rect 166002 -2762 166302 -2291
-rect 158802 -3511 158893 -3393
-rect 159011 -3511 159102 -3393
-rect 158802 -3553 159102 -3511
-rect 158802 -3671 158893 -3553
-rect 159011 -3671 159102 -3553
-rect 158802 -3682 159102 -3671
-rect 167802 -2933 168102 240
-rect 171402 -633 171702 240
-rect 171402 -751 171493 -633
-rect 171611 -751 171702 -633
-rect 171402 -793 171702 -751
-rect 171402 -911 171493 -793
-rect 171611 -911 171702 -793
-rect 171402 -922 171702 -911
-rect 173202 -1553 173502 240
-rect 173202 -1671 173293 -1553
-rect 173411 -1671 173502 -1553
-rect 173202 -1713 173502 -1671
-rect 173202 -1831 173293 -1713
-rect 173411 -1831 173502 -1713
-rect 173202 -1842 173502 -1831
-rect 175002 -2473 175302 240
-rect 175002 -2591 175093 -2473
-rect 175211 -2591 175302 -2473
-rect 175002 -2633 175302 -2591
-rect 175002 -2751 175093 -2633
-rect 175211 -2751 175302 -2633
-rect 175002 -2762 175302 -2751
-rect 167802 -3051 167893 -2933
-rect 168011 -3051 168102 -2933
-rect 167802 -3093 168102 -3051
-rect 167802 -3211 167893 -3093
-rect 168011 -3211 168102 -3093
-rect 167802 -3682 168102 -3211
-rect 176802 -3393 177102 240
-rect 180402 -173 180702 240
-rect 180402 -291 180493 -173
-rect 180611 -291 180702 -173
-rect 180402 -333 180702 -291
-rect 180402 -451 180493 -333
-rect 180611 -451 180702 -333
-rect 180402 -922 180702 -451
-rect 182202 -1093 182502 240
-rect 182202 -1211 182293 -1093
-rect 182411 -1211 182502 -1093
-rect 182202 -1253 182502 -1211
-rect 182202 -1371 182293 -1253
-rect 182411 -1371 182502 -1253
-rect 182202 -1842 182502 -1371
-rect 184002 -2013 184302 240
-rect 184002 -2131 184093 -2013
-rect 184211 -2131 184302 -2013
-rect 184002 -2173 184302 -2131
-rect 184002 -2291 184093 -2173
-rect 184211 -2291 184302 -2173
-rect 184002 -2762 184302 -2291
-rect 176802 -3511 176893 -3393
-rect 177011 -3511 177102 -3393
-rect 176802 -3553 177102 -3511
-rect 176802 -3671 176893 -3553
-rect 177011 -3671 177102 -3553
-rect 176802 -3682 177102 -3671
-rect 185802 -2933 186102 240
-rect 189402 -633 189702 240
-rect 189402 -751 189493 -633
-rect 189611 -751 189702 -633
-rect 189402 -793 189702 -751
-rect 189402 -911 189493 -793
-rect 189611 -911 189702 -793
-rect 189402 -922 189702 -911
-rect 191202 -1553 191502 240
-rect 191202 -1671 191293 -1553
-rect 191411 -1671 191502 -1553
-rect 191202 -1713 191502 -1671
-rect 191202 -1831 191293 -1713
-rect 191411 -1831 191502 -1713
-rect 191202 -1842 191502 -1831
-rect 193002 -2473 193302 240
-rect 193002 -2591 193093 -2473
-rect 193211 -2591 193302 -2473
-rect 193002 -2633 193302 -2591
-rect 193002 -2751 193093 -2633
-rect 193211 -2751 193302 -2633
-rect 193002 -2762 193302 -2751
-rect 185802 -3051 185893 -2933
-rect 186011 -3051 186102 -2933
-rect 185802 -3093 186102 -3051
-rect 185802 -3211 185893 -3093
-rect 186011 -3211 186102 -3093
-rect 185802 -3682 186102 -3211
-rect 194802 -3393 195102 240
-rect 198402 -173 198702 240
-rect 198402 -291 198493 -173
-rect 198611 -291 198702 -173
-rect 198402 -333 198702 -291
-rect 198402 -451 198493 -333
-rect 198611 -451 198702 -333
-rect 198402 -922 198702 -451
-rect 200202 -1093 200502 240
-rect 200202 -1211 200293 -1093
-rect 200411 -1211 200502 -1093
-rect 200202 -1253 200502 -1211
-rect 200202 -1371 200293 -1253
-rect 200411 -1371 200502 -1253
-rect 200202 -1842 200502 -1371
-rect 202002 -2013 202302 240
-rect 202002 -2131 202093 -2013
-rect 202211 -2131 202302 -2013
-rect 202002 -2173 202302 -2131
-rect 202002 -2291 202093 -2173
-rect 202211 -2291 202302 -2173
-rect 202002 -2762 202302 -2291
-rect 194802 -3511 194893 -3393
-rect 195011 -3511 195102 -3393
-rect 194802 -3553 195102 -3511
-rect 194802 -3671 194893 -3553
-rect 195011 -3671 195102 -3553
-rect 194802 -3682 195102 -3671
-rect 203802 -2933 204102 240
-rect 207402 -633 207702 240
-rect 207402 -751 207493 -633
-rect 207611 -751 207702 -633
-rect 207402 -793 207702 -751
-rect 207402 -911 207493 -793
-rect 207611 -911 207702 -793
-rect 207402 -922 207702 -911
-rect 209202 -1553 209502 240
-rect 209202 -1671 209293 -1553
-rect 209411 -1671 209502 -1553
-rect 209202 -1713 209502 -1671
-rect 209202 -1831 209293 -1713
-rect 209411 -1831 209502 -1713
-rect 209202 -1842 209502 -1831
-rect 211002 -2473 211302 240
-rect 211002 -2591 211093 -2473
-rect 211211 -2591 211302 -2473
-rect 211002 -2633 211302 -2591
-rect 211002 -2751 211093 -2633
-rect 211211 -2751 211302 -2633
-rect 211002 -2762 211302 -2751
-rect 203802 -3051 203893 -2933
-rect 204011 -3051 204102 -2933
-rect 203802 -3093 204102 -3051
-rect 203802 -3211 203893 -3093
-rect 204011 -3211 204102 -3093
-rect 203802 -3682 204102 -3211
-rect 212802 -3393 213102 240
-rect 216402 -173 216702 240
-rect 216402 -291 216493 -173
-rect 216611 -291 216702 -173
-rect 216402 -333 216702 -291
-rect 216402 -451 216493 -333
-rect 216611 -451 216702 -333
-rect 216402 -922 216702 -451
-rect 218202 -1093 218502 240
-rect 218202 -1211 218293 -1093
-rect 218411 -1211 218502 -1093
-rect 218202 -1253 218502 -1211
-rect 218202 -1371 218293 -1253
-rect 218411 -1371 218502 -1253
-rect 218202 -1842 218502 -1371
-rect 220002 -2013 220302 240
-rect 220002 -2131 220093 -2013
-rect 220211 -2131 220302 -2013
-rect 220002 -2173 220302 -2131
-rect 220002 -2291 220093 -2173
-rect 220211 -2291 220302 -2173
-rect 220002 -2762 220302 -2291
-rect 212802 -3511 212893 -3393
-rect 213011 -3511 213102 -3393
-rect 212802 -3553 213102 -3511
-rect 212802 -3671 212893 -3553
-rect 213011 -3671 213102 -3553
-rect 212802 -3682 213102 -3671
-rect 221802 -2933 222102 240
-rect 225402 -633 225702 240
-rect 225402 -751 225493 -633
-rect 225611 -751 225702 -633
-rect 225402 -793 225702 -751
-rect 225402 -911 225493 -793
-rect 225611 -911 225702 -793
-rect 225402 -922 225702 -911
-rect 227202 -1553 227502 240
-rect 227202 -1671 227293 -1553
-rect 227411 -1671 227502 -1553
-rect 227202 -1713 227502 -1671
-rect 227202 -1831 227293 -1713
-rect 227411 -1831 227502 -1713
-rect 227202 -1842 227502 -1831
-rect 229002 -2473 229302 240
-rect 229002 -2591 229093 -2473
-rect 229211 -2591 229302 -2473
-rect 229002 -2633 229302 -2591
-rect 229002 -2751 229093 -2633
-rect 229211 -2751 229302 -2633
-rect 229002 -2762 229302 -2751
-rect 221802 -3051 221893 -2933
-rect 222011 -3051 222102 -2933
-rect 221802 -3093 222102 -3051
-rect 221802 -3211 221893 -3093
-rect 222011 -3211 222102 -3093
-rect 221802 -3682 222102 -3211
-rect 230802 -3393 231102 240
-rect 234402 -173 234702 240
-rect 234402 -291 234493 -173
-rect 234611 -291 234702 -173
-rect 234402 -333 234702 -291
-rect 234402 -451 234493 -333
-rect 234611 -451 234702 -333
-rect 234402 -922 234702 -451
-rect 236202 -1093 236502 240
-rect 236202 -1211 236293 -1093
-rect 236411 -1211 236502 -1093
-rect 236202 -1253 236502 -1211
-rect 236202 -1371 236293 -1253
-rect 236411 -1371 236502 -1253
-rect 236202 -1842 236502 -1371
-rect 238002 -2013 238302 240
-rect 238002 -2131 238093 -2013
-rect 238211 -2131 238302 -2013
-rect 238002 -2173 238302 -2131
-rect 238002 -2291 238093 -2173
-rect 238211 -2291 238302 -2173
-rect 238002 -2762 238302 -2291
-rect 230802 -3511 230893 -3393
-rect 231011 -3511 231102 -3393
-rect 230802 -3553 231102 -3511
-rect 230802 -3671 230893 -3553
-rect 231011 -3671 231102 -3553
-rect 230802 -3682 231102 -3671
-rect 239802 -2933 240102 240
-rect 243402 -633 243702 240
-rect 243402 -751 243493 -633
-rect 243611 -751 243702 -633
-rect 243402 -793 243702 -751
-rect 243402 -911 243493 -793
-rect 243611 -911 243702 -793
-rect 243402 -922 243702 -911
-rect 245202 -1553 245502 240
-rect 245202 -1671 245293 -1553
-rect 245411 -1671 245502 -1553
-rect 245202 -1713 245502 -1671
-rect 245202 -1831 245293 -1713
-rect 245411 -1831 245502 -1713
-rect 245202 -1842 245502 -1831
-rect 247002 -2473 247302 240
-rect 247002 -2591 247093 -2473
-rect 247211 -2591 247302 -2473
-rect 247002 -2633 247302 -2591
-rect 247002 -2751 247093 -2633
-rect 247211 -2751 247302 -2633
-rect 247002 -2762 247302 -2751
-rect 239802 -3051 239893 -2933
-rect 240011 -3051 240102 -2933
-rect 239802 -3093 240102 -3051
-rect 239802 -3211 239893 -3093
-rect 240011 -3211 240102 -3093
-rect 239802 -3682 240102 -3211
-rect 248802 -3393 249102 240
-rect 252402 -173 252702 240
-rect 252402 -291 252493 -173
-rect 252611 -291 252702 -173
-rect 252402 -333 252702 -291
-rect 252402 -451 252493 -333
-rect 252611 -451 252702 -333
-rect 252402 -922 252702 -451
-rect 254202 -1093 254502 240
-rect 254202 -1211 254293 -1093
-rect 254411 -1211 254502 -1093
-rect 254202 -1253 254502 -1211
-rect 254202 -1371 254293 -1253
-rect 254411 -1371 254502 -1253
-rect 254202 -1842 254502 -1371
-rect 256002 -2013 256302 240
-rect 256002 -2131 256093 -2013
-rect 256211 -2131 256302 -2013
-rect 256002 -2173 256302 -2131
-rect 256002 -2291 256093 -2173
-rect 256211 -2291 256302 -2173
-rect 256002 -2762 256302 -2291
-rect 248802 -3511 248893 -3393
-rect 249011 -3511 249102 -3393
-rect 248802 -3553 249102 -3511
-rect 248802 -3671 248893 -3553
-rect 249011 -3671 249102 -3553
-rect 248802 -3682 249102 -3671
-rect 257802 -2933 258102 240
-rect 261402 -633 261702 240
-rect 261402 -751 261493 -633
-rect 261611 -751 261702 -633
-rect 261402 -793 261702 -751
-rect 261402 -911 261493 -793
-rect 261611 -911 261702 -793
-rect 261402 -922 261702 -911
-rect 263202 -1553 263502 240
-rect 263202 -1671 263293 -1553
-rect 263411 -1671 263502 -1553
-rect 263202 -1713 263502 -1671
-rect 263202 -1831 263293 -1713
-rect 263411 -1831 263502 -1713
-rect 263202 -1842 263502 -1831
-rect 265002 -2473 265302 240
-rect 265002 -2591 265093 -2473
-rect 265211 -2591 265302 -2473
-rect 265002 -2633 265302 -2591
-rect 265002 -2751 265093 -2633
-rect 265211 -2751 265302 -2633
-rect 265002 -2762 265302 -2751
-rect 257802 -3051 257893 -2933
-rect 258011 -3051 258102 -2933
-rect 257802 -3093 258102 -3051
-rect 257802 -3211 257893 -3093
-rect 258011 -3211 258102 -3093
-rect 257802 -3682 258102 -3211
-rect 266802 -3393 267102 240
-rect 270402 -173 270702 240
-rect 270402 -291 270493 -173
-rect 270611 -291 270702 -173
-rect 270402 -333 270702 -291
-rect 270402 -451 270493 -333
-rect 270611 -451 270702 -333
-rect 270402 -922 270702 -451
-rect 272202 -1093 272502 240
-rect 272202 -1211 272293 -1093
-rect 272411 -1211 272502 -1093
-rect 272202 -1253 272502 -1211
-rect 272202 -1371 272293 -1253
-rect 272411 -1371 272502 -1253
-rect 272202 -1842 272502 -1371
-rect 274002 -2013 274302 240
-rect 274002 -2131 274093 -2013
-rect 274211 -2131 274302 -2013
-rect 274002 -2173 274302 -2131
-rect 274002 -2291 274093 -2173
-rect 274211 -2291 274302 -2173
-rect 274002 -2762 274302 -2291
-rect 266802 -3511 266893 -3393
-rect 267011 -3511 267102 -3393
-rect 266802 -3553 267102 -3511
-rect 266802 -3671 266893 -3553
-rect 267011 -3671 267102 -3553
-rect 266802 -3682 267102 -3671
-rect 275802 -2933 276102 240
-rect 279402 -633 279702 240
-rect 279402 -751 279493 -633
-rect 279611 -751 279702 -633
-rect 279402 -793 279702 -751
-rect 279402 -911 279493 -793
-rect 279611 -911 279702 -793
-rect 279402 -922 279702 -911
-rect 281202 -1553 281502 240
-rect 281202 -1671 281293 -1553
-rect 281411 -1671 281502 -1553
-rect 281202 -1713 281502 -1671
-rect 281202 -1831 281293 -1713
-rect 281411 -1831 281502 -1713
-rect 281202 -1842 281502 -1831
-rect 283002 -2473 283302 240
-rect 283002 -2591 283093 -2473
-rect 283211 -2591 283302 -2473
-rect 283002 -2633 283302 -2591
-rect 283002 -2751 283093 -2633
-rect 283211 -2751 283302 -2633
-rect 283002 -2762 283302 -2751
-rect 275802 -3051 275893 -2933
-rect 276011 -3051 276102 -2933
-rect 275802 -3093 276102 -3051
-rect 275802 -3211 275893 -3093
-rect 276011 -3211 276102 -3093
-rect 275802 -3682 276102 -3211
-rect 284802 -3393 285102 240
-rect 288402 -173 288702 240
-rect 288402 -291 288493 -173
-rect 288611 -291 288702 -173
-rect 288402 -333 288702 -291
-rect 288402 -451 288493 -333
-rect 288611 -451 288702 -333
-rect 288402 -922 288702 -451
-rect 290202 -1093 290502 240
-rect 292660 -173 292960 949
-rect 292660 -291 292751 -173
-rect 292869 -291 292960 -173
-rect 292660 -333 292960 -291
-rect 292660 -451 292751 -333
-rect 292869 -451 292960 -333
-rect 292660 -462 292960 -451
-rect 293120 334227 293420 352601
-rect 293120 334109 293211 334227
-rect 293329 334109 293420 334227
-rect 293120 334067 293420 334109
-rect 293120 333949 293211 334067
-rect 293329 333949 293420 334067
-rect 293120 316227 293420 333949
-rect 293120 316109 293211 316227
-rect 293329 316109 293420 316227
-rect 293120 316067 293420 316109
-rect 293120 315949 293211 316067
-rect 293329 315949 293420 316067
-rect 293120 298227 293420 315949
-rect 293120 298109 293211 298227
-rect 293329 298109 293420 298227
-rect 293120 298067 293420 298109
-rect 293120 297949 293211 298067
-rect 293329 297949 293420 298067
-rect 293120 280227 293420 297949
-rect 293120 280109 293211 280227
-rect 293329 280109 293420 280227
-rect 293120 280067 293420 280109
-rect 293120 279949 293211 280067
-rect 293329 279949 293420 280067
-rect 293120 262227 293420 279949
-rect 293120 262109 293211 262227
-rect 293329 262109 293420 262227
-rect 293120 262067 293420 262109
-rect 293120 261949 293211 262067
-rect 293329 261949 293420 262067
-rect 293120 244227 293420 261949
-rect 293120 244109 293211 244227
-rect 293329 244109 293420 244227
-rect 293120 244067 293420 244109
-rect 293120 243949 293211 244067
-rect 293329 243949 293420 244067
-rect 293120 226227 293420 243949
-rect 293120 226109 293211 226227
-rect 293329 226109 293420 226227
-rect 293120 226067 293420 226109
-rect 293120 225949 293211 226067
-rect 293329 225949 293420 226067
-rect 293120 208227 293420 225949
-rect 293120 208109 293211 208227
-rect 293329 208109 293420 208227
-rect 293120 208067 293420 208109
-rect 293120 207949 293211 208067
-rect 293329 207949 293420 208067
-rect 293120 190227 293420 207949
-rect 293120 190109 293211 190227
-rect 293329 190109 293420 190227
-rect 293120 190067 293420 190109
-rect 293120 189949 293211 190067
-rect 293329 189949 293420 190067
-rect 293120 172227 293420 189949
-rect 293120 172109 293211 172227
-rect 293329 172109 293420 172227
-rect 293120 172067 293420 172109
-rect 293120 171949 293211 172067
-rect 293329 171949 293420 172067
-rect 293120 154227 293420 171949
-rect 293120 154109 293211 154227
-rect 293329 154109 293420 154227
-rect 293120 154067 293420 154109
-rect 293120 153949 293211 154067
-rect 293329 153949 293420 154067
-rect 293120 136227 293420 153949
-rect 293120 136109 293211 136227
-rect 293329 136109 293420 136227
-rect 293120 136067 293420 136109
-rect 293120 135949 293211 136067
-rect 293329 135949 293420 136067
-rect 293120 118227 293420 135949
-rect 293120 118109 293211 118227
-rect 293329 118109 293420 118227
-rect 293120 118067 293420 118109
-rect 293120 117949 293211 118067
-rect 293329 117949 293420 118067
-rect 293120 100227 293420 117949
-rect 293120 100109 293211 100227
-rect 293329 100109 293420 100227
-rect 293120 100067 293420 100109
-rect 293120 99949 293211 100067
-rect 293329 99949 293420 100067
-rect 293120 82227 293420 99949
-rect 293120 82109 293211 82227
-rect 293329 82109 293420 82227
-rect 293120 82067 293420 82109
-rect 293120 81949 293211 82067
-rect 293329 81949 293420 82067
-rect 293120 64227 293420 81949
-rect 293120 64109 293211 64227
-rect 293329 64109 293420 64227
-rect 293120 64067 293420 64109
-rect 293120 63949 293211 64067
-rect 293329 63949 293420 64067
-rect 293120 46227 293420 63949
-rect 293120 46109 293211 46227
-rect 293329 46109 293420 46227
-rect 293120 46067 293420 46109
-rect 293120 45949 293211 46067
-rect 293329 45949 293420 46067
-rect 293120 28227 293420 45949
-rect 293120 28109 293211 28227
-rect 293329 28109 293420 28227
-rect 293120 28067 293420 28109
-rect 293120 27949 293211 28067
-rect 293329 27949 293420 28067
-rect 293120 10227 293420 27949
-rect 293120 10109 293211 10227
-rect 293329 10109 293420 10227
-rect 293120 10067 293420 10109
-rect 293120 9949 293211 10067
-rect 293329 9949 293420 10067
-rect 293120 -633 293420 9949
-rect 293120 -751 293211 -633
-rect 293329 -751 293420 -633
-rect 293120 -793 293420 -751
-rect 293120 -911 293211 -793
-rect 293329 -911 293420 -793
-rect 293120 -922 293420 -911
-rect 293580 345027 293880 353061
-rect 293580 344909 293671 345027
-rect 293789 344909 293880 345027
-rect 293580 344867 293880 344909
-rect 293580 344749 293671 344867
-rect 293789 344749 293880 344867
-rect 293580 327027 293880 344749
-rect 293580 326909 293671 327027
-rect 293789 326909 293880 327027
-rect 293580 326867 293880 326909
-rect 293580 326749 293671 326867
-rect 293789 326749 293880 326867
-rect 293580 309027 293880 326749
-rect 293580 308909 293671 309027
-rect 293789 308909 293880 309027
-rect 293580 308867 293880 308909
-rect 293580 308749 293671 308867
-rect 293789 308749 293880 308867
-rect 293580 291027 293880 308749
-rect 293580 290909 293671 291027
-rect 293789 290909 293880 291027
-rect 293580 290867 293880 290909
-rect 293580 290749 293671 290867
-rect 293789 290749 293880 290867
-rect 293580 273027 293880 290749
-rect 293580 272909 293671 273027
-rect 293789 272909 293880 273027
-rect 293580 272867 293880 272909
-rect 293580 272749 293671 272867
-rect 293789 272749 293880 272867
-rect 293580 255027 293880 272749
-rect 293580 254909 293671 255027
-rect 293789 254909 293880 255027
-rect 293580 254867 293880 254909
-rect 293580 254749 293671 254867
-rect 293789 254749 293880 254867
-rect 293580 237027 293880 254749
-rect 293580 236909 293671 237027
-rect 293789 236909 293880 237027
-rect 293580 236867 293880 236909
-rect 293580 236749 293671 236867
-rect 293789 236749 293880 236867
-rect 293580 219027 293880 236749
-rect 293580 218909 293671 219027
-rect 293789 218909 293880 219027
-rect 293580 218867 293880 218909
-rect 293580 218749 293671 218867
-rect 293789 218749 293880 218867
-rect 293580 201027 293880 218749
-rect 293580 200909 293671 201027
-rect 293789 200909 293880 201027
-rect 293580 200867 293880 200909
-rect 293580 200749 293671 200867
-rect 293789 200749 293880 200867
-rect 293580 183027 293880 200749
-rect 293580 182909 293671 183027
-rect 293789 182909 293880 183027
-rect 293580 182867 293880 182909
-rect 293580 182749 293671 182867
-rect 293789 182749 293880 182867
-rect 293580 165027 293880 182749
-rect 293580 164909 293671 165027
-rect 293789 164909 293880 165027
-rect 293580 164867 293880 164909
-rect 293580 164749 293671 164867
-rect 293789 164749 293880 164867
-rect 293580 147027 293880 164749
-rect 293580 146909 293671 147027
-rect 293789 146909 293880 147027
-rect 293580 146867 293880 146909
-rect 293580 146749 293671 146867
-rect 293789 146749 293880 146867
-rect 293580 129027 293880 146749
-rect 293580 128909 293671 129027
-rect 293789 128909 293880 129027
-rect 293580 128867 293880 128909
-rect 293580 128749 293671 128867
-rect 293789 128749 293880 128867
-rect 293580 111027 293880 128749
-rect 293580 110909 293671 111027
-rect 293789 110909 293880 111027
-rect 293580 110867 293880 110909
-rect 293580 110749 293671 110867
-rect 293789 110749 293880 110867
-rect 293580 93027 293880 110749
-rect 293580 92909 293671 93027
-rect 293789 92909 293880 93027
-rect 293580 92867 293880 92909
-rect 293580 92749 293671 92867
-rect 293789 92749 293880 92867
-rect 293580 75027 293880 92749
-rect 293580 74909 293671 75027
-rect 293789 74909 293880 75027
-rect 293580 74867 293880 74909
-rect 293580 74749 293671 74867
-rect 293789 74749 293880 74867
-rect 293580 57027 293880 74749
-rect 293580 56909 293671 57027
-rect 293789 56909 293880 57027
-rect 293580 56867 293880 56909
-rect 293580 56749 293671 56867
-rect 293789 56749 293880 56867
-rect 293580 39027 293880 56749
-rect 293580 38909 293671 39027
-rect 293789 38909 293880 39027
-rect 293580 38867 293880 38909
-rect 293580 38749 293671 38867
-rect 293789 38749 293880 38867
-rect 293580 21027 293880 38749
-rect 293580 20909 293671 21027
-rect 293789 20909 293880 21027
-rect 293580 20867 293880 20909
-rect 293580 20749 293671 20867
-rect 293789 20749 293880 20867
-rect 293580 3027 293880 20749
-rect 293580 2909 293671 3027
-rect 293789 2909 293880 3027
-rect 293580 2867 293880 2909
-rect 293580 2749 293671 2867
-rect 293789 2749 293880 2867
-rect 290202 -1211 290293 -1093
-rect 290411 -1211 290502 -1093
-rect 290202 -1253 290502 -1211
-rect 290202 -1371 290293 -1253
-rect 290411 -1371 290502 -1253
-rect 290202 -1842 290502 -1371
-rect 293580 -1093 293880 2749
-rect 293580 -1211 293671 -1093
-rect 293789 -1211 293880 -1093
-rect 293580 -1253 293880 -1211
-rect 293580 -1371 293671 -1253
-rect 293789 -1371 293880 -1253
-rect 293580 -1382 293880 -1371
-rect 294040 336027 294340 353521
-rect 294040 335909 294131 336027
-rect 294249 335909 294340 336027
-rect 294040 335867 294340 335909
-rect 294040 335749 294131 335867
-rect 294249 335749 294340 335867
-rect 294040 318027 294340 335749
-rect 294040 317909 294131 318027
-rect 294249 317909 294340 318027
-rect 294040 317867 294340 317909
-rect 294040 317749 294131 317867
-rect 294249 317749 294340 317867
-rect 294040 300027 294340 317749
-rect 294040 299909 294131 300027
-rect 294249 299909 294340 300027
-rect 294040 299867 294340 299909
-rect 294040 299749 294131 299867
-rect 294249 299749 294340 299867
-rect 294040 282027 294340 299749
-rect 294040 281909 294131 282027
-rect 294249 281909 294340 282027
-rect 294040 281867 294340 281909
-rect 294040 281749 294131 281867
-rect 294249 281749 294340 281867
-rect 294040 264027 294340 281749
-rect 294040 263909 294131 264027
-rect 294249 263909 294340 264027
-rect 294040 263867 294340 263909
-rect 294040 263749 294131 263867
-rect 294249 263749 294340 263867
-rect 294040 246027 294340 263749
-rect 294040 245909 294131 246027
-rect 294249 245909 294340 246027
-rect 294040 245867 294340 245909
-rect 294040 245749 294131 245867
-rect 294249 245749 294340 245867
-rect 294040 228027 294340 245749
-rect 294040 227909 294131 228027
-rect 294249 227909 294340 228027
-rect 294040 227867 294340 227909
-rect 294040 227749 294131 227867
-rect 294249 227749 294340 227867
-rect 294040 210027 294340 227749
-rect 294040 209909 294131 210027
-rect 294249 209909 294340 210027
-rect 294040 209867 294340 209909
-rect 294040 209749 294131 209867
-rect 294249 209749 294340 209867
-rect 294040 192027 294340 209749
-rect 294040 191909 294131 192027
-rect 294249 191909 294340 192027
-rect 294040 191867 294340 191909
-rect 294040 191749 294131 191867
-rect 294249 191749 294340 191867
-rect 294040 174027 294340 191749
-rect 294040 173909 294131 174027
-rect 294249 173909 294340 174027
-rect 294040 173867 294340 173909
-rect 294040 173749 294131 173867
-rect 294249 173749 294340 173867
-rect 294040 156027 294340 173749
-rect 294040 155909 294131 156027
-rect 294249 155909 294340 156027
-rect 294040 155867 294340 155909
-rect 294040 155749 294131 155867
-rect 294249 155749 294340 155867
-rect 294040 138027 294340 155749
-rect 294040 137909 294131 138027
-rect 294249 137909 294340 138027
-rect 294040 137867 294340 137909
-rect 294040 137749 294131 137867
-rect 294249 137749 294340 137867
-rect 294040 120027 294340 137749
-rect 294040 119909 294131 120027
-rect 294249 119909 294340 120027
-rect 294040 119867 294340 119909
-rect 294040 119749 294131 119867
-rect 294249 119749 294340 119867
-rect 294040 102027 294340 119749
-rect 294040 101909 294131 102027
-rect 294249 101909 294340 102027
-rect 294040 101867 294340 101909
-rect 294040 101749 294131 101867
-rect 294249 101749 294340 101867
-rect 294040 84027 294340 101749
-rect 294040 83909 294131 84027
-rect 294249 83909 294340 84027
-rect 294040 83867 294340 83909
-rect 294040 83749 294131 83867
-rect 294249 83749 294340 83867
-rect 294040 66027 294340 83749
-rect 294040 65909 294131 66027
-rect 294249 65909 294340 66027
-rect 294040 65867 294340 65909
-rect 294040 65749 294131 65867
-rect 294249 65749 294340 65867
-rect 294040 48027 294340 65749
-rect 294040 47909 294131 48027
-rect 294249 47909 294340 48027
-rect 294040 47867 294340 47909
-rect 294040 47749 294131 47867
-rect 294249 47749 294340 47867
-rect 294040 30027 294340 47749
-rect 294040 29909 294131 30027
-rect 294249 29909 294340 30027
-rect 294040 29867 294340 29909
-rect 294040 29749 294131 29867
-rect 294249 29749 294340 29867
-rect 294040 12027 294340 29749
-rect 294040 11909 294131 12027
-rect 294249 11909 294340 12027
-rect 294040 11867 294340 11909
-rect 294040 11749 294131 11867
-rect 294249 11749 294340 11867
-rect 294040 -1553 294340 11749
-rect 294040 -1671 294131 -1553
-rect 294249 -1671 294340 -1553
-rect 294040 -1713 294340 -1671
-rect 294040 -1831 294131 -1713
-rect 294249 -1831 294340 -1713
-rect 294040 -1842 294340 -1831
-rect 294500 346827 294800 353981
-rect 294500 346709 294591 346827
-rect 294709 346709 294800 346827
-rect 294500 346667 294800 346709
-rect 294500 346549 294591 346667
-rect 294709 346549 294800 346667
-rect 294500 328827 294800 346549
-rect 294500 328709 294591 328827
-rect 294709 328709 294800 328827
-rect 294500 328667 294800 328709
-rect 294500 328549 294591 328667
-rect 294709 328549 294800 328667
-rect 294500 310827 294800 328549
-rect 294500 310709 294591 310827
-rect 294709 310709 294800 310827
-rect 294500 310667 294800 310709
-rect 294500 310549 294591 310667
-rect 294709 310549 294800 310667
-rect 294500 292827 294800 310549
-rect 294500 292709 294591 292827
-rect 294709 292709 294800 292827
-rect 294500 292667 294800 292709
-rect 294500 292549 294591 292667
-rect 294709 292549 294800 292667
-rect 294500 274827 294800 292549
-rect 294500 274709 294591 274827
-rect 294709 274709 294800 274827
-rect 294500 274667 294800 274709
-rect 294500 274549 294591 274667
-rect 294709 274549 294800 274667
-rect 294500 256827 294800 274549
-rect 294500 256709 294591 256827
-rect 294709 256709 294800 256827
-rect 294500 256667 294800 256709
-rect 294500 256549 294591 256667
-rect 294709 256549 294800 256667
-rect 294500 238827 294800 256549
-rect 294500 238709 294591 238827
-rect 294709 238709 294800 238827
-rect 294500 238667 294800 238709
-rect 294500 238549 294591 238667
-rect 294709 238549 294800 238667
-rect 294500 220827 294800 238549
-rect 294500 220709 294591 220827
-rect 294709 220709 294800 220827
-rect 294500 220667 294800 220709
-rect 294500 220549 294591 220667
-rect 294709 220549 294800 220667
-rect 294500 202827 294800 220549
-rect 294500 202709 294591 202827
-rect 294709 202709 294800 202827
-rect 294500 202667 294800 202709
-rect 294500 202549 294591 202667
-rect 294709 202549 294800 202667
-rect 294500 184827 294800 202549
-rect 294500 184709 294591 184827
-rect 294709 184709 294800 184827
-rect 294500 184667 294800 184709
-rect 294500 184549 294591 184667
-rect 294709 184549 294800 184667
-rect 294500 166827 294800 184549
-rect 294500 166709 294591 166827
-rect 294709 166709 294800 166827
-rect 294500 166667 294800 166709
-rect 294500 166549 294591 166667
-rect 294709 166549 294800 166667
-rect 294500 148827 294800 166549
-rect 294500 148709 294591 148827
-rect 294709 148709 294800 148827
-rect 294500 148667 294800 148709
-rect 294500 148549 294591 148667
-rect 294709 148549 294800 148667
-rect 294500 130827 294800 148549
-rect 294500 130709 294591 130827
-rect 294709 130709 294800 130827
-rect 294500 130667 294800 130709
-rect 294500 130549 294591 130667
-rect 294709 130549 294800 130667
-rect 294500 112827 294800 130549
-rect 294500 112709 294591 112827
-rect 294709 112709 294800 112827
-rect 294500 112667 294800 112709
-rect 294500 112549 294591 112667
-rect 294709 112549 294800 112667
-rect 294500 94827 294800 112549
-rect 294500 94709 294591 94827
-rect 294709 94709 294800 94827
-rect 294500 94667 294800 94709
-rect 294500 94549 294591 94667
-rect 294709 94549 294800 94667
-rect 294500 76827 294800 94549
-rect 294500 76709 294591 76827
-rect 294709 76709 294800 76827
-rect 294500 76667 294800 76709
-rect 294500 76549 294591 76667
-rect 294709 76549 294800 76667
-rect 294500 58827 294800 76549
-rect 294500 58709 294591 58827
-rect 294709 58709 294800 58827
-rect 294500 58667 294800 58709
-rect 294500 58549 294591 58667
-rect 294709 58549 294800 58667
-rect 294500 40827 294800 58549
-rect 294500 40709 294591 40827
-rect 294709 40709 294800 40827
-rect 294500 40667 294800 40709
-rect 294500 40549 294591 40667
-rect 294709 40549 294800 40667
-rect 294500 22827 294800 40549
-rect 294500 22709 294591 22827
-rect 294709 22709 294800 22827
-rect 294500 22667 294800 22709
-rect 294500 22549 294591 22667
-rect 294709 22549 294800 22667
-rect 294500 4827 294800 22549
-rect 294500 4709 294591 4827
-rect 294709 4709 294800 4827
-rect 294500 4667 294800 4709
-rect 294500 4549 294591 4667
-rect 294709 4549 294800 4667
-rect 294500 -2013 294800 4549
-rect 294500 -2131 294591 -2013
-rect 294709 -2131 294800 -2013
-rect 294500 -2173 294800 -2131
-rect 294500 -2291 294591 -2173
-rect 294709 -2291 294800 -2173
-rect 294500 -2302 294800 -2291
-rect 294960 337827 295260 354441
-rect 294960 337709 295051 337827
-rect 295169 337709 295260 337827
-rect 294960 337667 295260 337709
-rect 294960 337549 295051 337667
-rect 295169 337549 295260 337667
-rect 294960 319827 295260 337549
-rect 294960 319709 295051 319827
-rect 295169 319709 295260 319827
-rect 294960 319667 295260 319709
-rect 294960 319549 295051 319667
-rect 295169 319549 295260 319667
-rect 294960 301827 295260 319549
-rect 294960 301709 295051 301827
-rect 295169 301709 295260 301827
-rect 294960 301667 295260 301709
-rect 294960 301549 295051 301667
-rect 295169 301549 295260 301667
-rect 294960 283827 295260 301549
-rect 294960 283709 295051 283827
-rect 295169 283709 295260 283827
-rect 294960 283667 295260 283709
-rect 294960 283549 295051 283667
-rect 295169 283549 295260 283667
-rect 294960 265827 295260 283549
-rect 294960 265709 295051 265827
-rect 295169 265709 295260 265827
-rect 294960 265667 295260 265709
-rect 294960 265549 295051 265667
-rect 295169 265549 295260 265667
-rect 294960 247827 295260 265549
-rect 294960 247709 295051 247827
-rect 295169 247709 295260 247827
-rect 294960 247667 295260 247709
-rect 294960 247549 295051 247667
-rect 295169 247549 295260 247667
-rect 294960 229827 295260 247549
-rect 294960 229709 295051 229827
-rect 295169 229709 295260 229827
-rect 294960 229667 295260 229709
-rect 294960 229549 295051 229667
-rect 295169 229549 295260 229667
-rect 294960 211827 295260 229549
-rect 294960 211709 295051 211827
-rect 295169 211709 295260 211827
-rect 294960 211667 295260 211709
-rect 294960 211549 295051 211667
-rect 295169 211549 295260 211667
-rect 294960 193827 295260 211549
-rect 294960 193709 295051 193827
-rect 295169 193709 295260 193827
-rect 294960 193667 295260 193709
-rect 294960 193549 295051 193667
-rect 295169 193549 295260 193667
-rect 294960 175827 295260 193549
-rect 294960 175709 295051 175827
-rect 295169 175709 295260 175827
-rect 294960 175667 295260 175709
-rect 294960 175549 295051 175667
-rect 295169 175549 295260 175667
-rect 294960 157827 295260 175549
-rect 294960 157709 295051 157827
-rect 295169 157709 295260 157827
-rect 294960 157667 295260 157709
-rect 294960 157549 295051 157667
-rect 295169 157549 295260 157667
-rect 294960 139827 295260 157549
-rect 294960 139709 295051 139827
-rect 295169 139709 295260 139827
-rect 294960 139667 295260 139709
-rect 294960 139549 295051 139667
-rect 295169 139549 295260 139667
-rect 294960 121827 295260 139549
-rect 294960 121709 295051 121827
-rect 295169 121709 295260 121827
-rect 294960 121667 295260 121709
-rect 294960 121549 295051 121667
-rect 295169 121549 295260 121667
-rect 294960 103827 295260 121549
-rect 294960 103709 295051 103827
-rect 295169 103709 295260 103827
-rect 294960 103667 295260 103709
-rect 294960 103549 295051 103667
-rect 295169 103549 295260 103667
-rect 294960 85827 295260 103549
-rect 294960 85709 295051 85827
-rect 295169 85709 295260 85827
-rect 294960 85667 295260 85709
-rect 294960 85549 295051 85667
-rect 295169 85549 295260 85667
-rect 294960 67827 295260 85549
-rect 294960 67709 295051 67827
-rect 295169 67709 295260 67827
-rect 294960 67667 295260 67709
-rect 294960 67549 295051 67667
-rect 295169 67549 295260 67667
-rect 294960 49827 295260 67549
-rect 294960 49709 295051 49827
-rect 295169 49709 295260 49827
-rect 294960 49667 295260 49709
-rect 294960 49549 295051 49667
-rect 295169 49549 295260 49667
-rect 294960 31827 295260 49549
-rect 294960 31709 295051 31827
-rect 295169 31709 295260 31827
-rect 294960 31667 295260 31709
-rect 294960 31549 295051 31667
-rect 295169 31549 295260 31667
-rect 294960 13827 295260 31549
-rect 294960 13709 295051 13827
-rect 295169 13709 295260 13827
-rect 294960 13667 295260 13709
-rect 294960 13549 295051 13667
-rect 295169 13549 295260 13667
-rect 294960 -2473 295260 13549
-rect 294960 -2591 295051 -2473
-rect 295169 -2591 295260 -2473
-rect 294960 -2633 295260 -2591
-rect 294960 -2751 295051 -2633
-rect 295169 -2751 295260 -2633
-rect 294960 -2762 295260 -2751
-rect 295420 348627 295720 354901
-rect 295420 348509 295511 348627
-rect 295629 348509 295720 348627
-rect 295420 348467 295720 348509
-rect 295420 348349 295511 348467
-rect 295629 348349 295720 348467
-rect 295420 330627 295720 348349
-rect 295420 330509 295511 330627
-rect 295629 330509 295720 330627
-rect 295420 330467 295720 330509
-rect 295420 330349 295511 330467
-rect 295629 330349 295720 330467
-rect 295420 312627 295720 330349
-rect 295420 312509 295511 312627
-rect 295629 312509 295720 312627
-rect 295420 312467 295720 312509
-rect 295420 312349 295511 312467
-rect 295629 312349 295720 312467
-rect 295420 294627 295720 312349
-rect 295420 294509 295511 294627
-rect 295629 294509 295720 294627
-rect 295420 294467 295720 294509
-rect 295420 294349 295511 294467
-rect 295629 294349 295720 294467
-rect 295420 276627 295720 294349
-rect 295420 276509 295511 276627
-rect 295629 276509 295720 276627
-rect 295420 276467 295720 276509
-rect 295420 276349 295511 276467
-rect 295629 276349 295720 276467
-rect 295420 258627 295720 276349
-rect 295420 258509 295511 258627
-rect 295629 258509 295720 258627
-rect 295420 258467 295720 258509
-rect 295420 258349 295511 258467
-rect 295629 258349 295720 258467
-rect 295420 240627 295720 258349
-rect 295420 240509 295511 240627
-rect 295629 240509 295720 240627
-rect 295420 240467 295720 240509
-rect 295420 240349 295511 240467
-rect 295629 240349 295720 240467
-rect 295420 222627 295720 240349
-rect 295420 222509 295511 222627
-rect 295629 222509 295720 222627
-rect 295420 222467 295720 222509
-rect 295420 222349 295511 222467
-rect 295629 222349 295720 222467
-rect 295420 204627 295720 222349
-rect 295420 204509 295511 204627
-rect 295629 204509 295720 204627
-rect 295420 204467 295720 204509
-rect 295420 204349 295511 204467
-rect 295629 204349 295720 204467
-rect 295420 186627 295720 204349
-rect 295420 186509 295511 186627
-rect 295629 186509 295720 186627
-rect 295420 186467 295720 186509
-rect 295420 186349 295511 186467
-rect 295629 186349 295720 186467
-rect 295420 168627 295720 186349
-rect 295420 168509 295511 168627
-rect 295629 168509 295720 168627
-rect 295420 168467 295720 168509
-rect 295420 168349 295511 168467
-rect 295629 168349 295720 168467
-rect 295420 150627 295720 168349
-rect 295420 150509 295511 150627
-rect 295629 150509 295720 150627
-rect 295420 150467 295720 150509
-rect 295420 150349 295511 150467
-rect 295629 150349 295720 150467
-rect 295420 132627 295720 150349
-rect 295420 132509 295511 132627
-rect 295629 132509 295720 132627
-rect 295420 132467 295720 132509
-rect 295420 132349 295511 132467
-rect 295629 132349 295720 132467
-rect 295420 114627 295720 132349
-rect 295420 114509 295511 114627
-rect 295629 114509 295720 114627
-rect 295420 114467 295720 114509
-rect 295420 114349 295511 114467
-rect 295629 114349 295720 114467
-rect 295420 96627 295720 114349
-rect 295420 96509 295511 96627
-rect 295629 96509 295720 96627
-rect 295420 96467 295720 96509
-rect 295420 96349 295511 96467
-rect 295629 96349 295720 96467
-rect 295420 78627 295720 96349
-rect 295420 78509 295511 78627
-rect 295629 78509 295720 78627
-rect 295420 78467 295720 78509
-rect 295420 78349 295511 78467
-rect 295629 78349 295720 78467
-rect 295420 60627 295720 78349
-rect 295420 60509 295511 60627
-rect 295629 60509 295720 60627
-rect 295420 60467 295720 60509
-rect 295420 60349 295511 60467
-rect 295629 60349 295720 60467
-rect 295420 42627 295720 60349
-rect 295420 42509 295511 42627
-rect 295629 42509 295720 42627
-rect 295420 42467 295720 42509
-rect 295420 42349 295511 42467
-rect 295629 42349 295720 42467
-rect 295420 24627 295720 42349
-rect 295420 24509 295511 24627
-rect 295629 24509 295720 24627
-rect 295420 24467 295720 24509
-rect 295420 24349 295511 24467
-rect 295629 24349 295720 24467
-rect 295420 6627 295720 24349
-rect 295420 6509 295511 6627
-rect 295629 6509 295720 6627
-rect 295420 6467 295720 6509
-rect 295420 6349 295511 6467
-rect 295629 6349 295720 6467
-rect 295420 -2933 295720 6349
-rect 295420 -3051 295511 -2933
-rect 295629 -3051 295720 -2933
-rect 295420 -3093 295720 -3051
-rect 295420 -3211 295511 -3093
-rect 295629 -3211 295720 -3093
-rect 295420 -3222 295720 -3211
-rect 295880 339627 296180 355361
-rect 295880 339509 295971 339627
-rect 296089 339509 296180 339627
-rect 295880 339467 296180 339509
-rect 295880 339349 295971 339467
-rect 296089 339349 296180 339467
-rect 295880 321627 296180 339349
-rect 295880 321509 295971 321627
-rect 296089 321509 296180 321627
-rect 295880 321467 296180 321509
-rect 295880 321349 295971 321467
-rect 296089 321349 296180 321467
-rect 295880 303627 296180 321349
-rect 295880 303509 295971 303627
-rect 296089 303509 296180 303627
-rect 295880 303467 296180 303509
-rect 295880 303349 295971 303467
-rect 296089 303349 296180 303467
-rect 295880 285627 296180 303349
-rect 295880 285509 295971 285627
-rect 296089 285509 296180 285627
-rect 295880 285467 296180 285509
-rect 295880 285349 295971 285467
-rect 296089 285349 296180 285467
-rect 295880 267627 296180 285349
-rect 295880 267509 295971 267627
-rect 296089 267509 296180 267627
-rect 295880 267467 296180 267509
-rect 295880 267349 295971 267467
-rect 296089 267349 296180 267467
-rect 295880 249627 296180 267349
-rect 295880 249509 295971 249627
-rect 296089 249509 296180 249627
-rect 295880 249467 296180 249509
-rect 295880 249349 295971 249467
-rect 296089 249349 296180 249467
-rect 295880 231627 296180 249349
-rect 295880 231509 295971 231627
-rect 296089 231509 296180 231627
-rect 295880 231467 296180 231509
-rect 295880 231349 295971 231467
-rect 296089 231349 296180 231467
-rect 295880 213627 296180 231349
-rect 295880 213509 295971 213627
-rect 296089 213509 296180 213627
-rect 295880 213467 296180 213509
-rect 295880 213349 295971 213467
-rect 296089 213349 296180 213467
-rect 295880 195627 296180 213349
-rect 295880 195509 295971 195627
-rect 296089 195509 296180 195627
-rect 295880 195467 296180 195509
-rect 295880 195349 295971 195467
-rect 296089 195349 296180 195467
-rect 295880 177627 296180 195349
-rect 295880 177509 295971 177627
-rect 296089 177509 296180 177627
-rect 295880 177467 296180 177509
-rect 295880 177349 295971 177467
-rect 296089 177349 296180 177467
-rect 295880 159627 296180 177349
-rect 295880 159509 295971 159627
-rect 296089 159509 296180 159627
-rect 295880 159467 296180 159509
-rect 295880 159349 295971 159467
-rect 296089 159349 296180 159467
-rect 295880 141627 296180 159349
-rect 295880 141509 295971 141627
-rect 296089 141509 296180 141627
-rect 295880 141467 296180 141509
-rect 295880 141349 295971 141467
-rect 296089 141349 296180 141467
-rect 295880 123627 296180 141349
-rect 295880 123509 295971 123627
-rect 296089 123509 296180 123627
-rect 295880 123467 296180 123509
-rect 295880 123349 295971 123467
-rect 296089 123349 296180 123467
-rect 295880 105627 296180 123349
-rect 295880 105509 295971 105627
-rect 296089 105509 296180 105627
-rect 295880 105467 296180 105509
-rect 295880 105349 295971 105467
-rect 296089 105349 296180 105467
-rect 295880 87627 296180 105349
-rect 295880 87509 295971 87627
-rect 296089 87509 296180 87627
-rect 295880 87467 296180 87509
-rect 295880 87349 295971 87467
-rect 296089 87349 296180 87467
-rect 295880 69627 296180 87349
-rect 295880 69509 295971 69627
-rect 296089 69509 296180 69627
-rect 295880 69467 296180 69509
-rect 295880 69349 295971 69467
-rect 296089 69349 296180 69467
-rect 295880 51627 296180 69349
-rect 295880 51509 295971 51627
-rect 296089 51509 296180 51627
-rect 295880 51467 296180 51509
-rect 295880 51349 295971 51467
-rect 296089 51349 296180 51467
-rect 295880 33627 296180 51349
-rect 295880 33509 295971 33627
-rect 296089 33509 296180 33627
-rect 295880 33467 296180 33509
-rect 295880 33349 295971 33467
-rect 296089 33349 296180 33467
-rect 295880 15627 296180 33349
-rect 295880 15509 295971 15627
-rect 296089 15509 296180 15627
-rect 295880 15467 296180 15509
-rect 295880 15349 295971 15467
-rect 296089 15349 296180 15467
-rect 284802 -3511 284893 -3393
-rect 285011 -3511 285102 -3393
-rect 284802 -3553 285102 -3511
-rect 284802 -3671 284893 -3553
-rect 285011 -3671 285102 -3553
-rect 284802 -3682 285102 -3671
-rect 295880 -3393 296180 15349
-rect 295880 -3511 295971 -3393
-rect 296089 -3511 296180 -3393
-rect 295880 -3553 296180 -3511
-rect 295880 -3671 295971 -3553
-rect 296089 -3671 296180 -3553
-rect 295880 -3682 296180 -3671
-<< via4 >>
-rect -4127 355521 -4009 355639
-rect -4127 355361 -4009 355479
-rect -4127 339509 -4009 339627
-rect -4127 339349 -4009 339467
-rect -4127 321509 -4009 321627
-rect -4127 321349 -4009 321467
-rect -4127 303509 -4009 303627
-rect -4127 303349 -4009 303467
-rect -4127 285509 -4009 285627
-rect -4127 285349 -4009 285467
-rect -4127 267509 -4009 267627
-rect -4127 267349 -4009 267467
-rect -4127 249509 -4009 249627
-rect -4127 249349 -4009 249467
-rect -4127 231509 -4009 231627
-rect -4127 231349 -4009 231467
-rect -4127 213509 -4009 213627
-rect -4127 213349 -4009 213467
-rect -4127 195509 -4009 195627
-rect -4127 195349 -4009 195467
-rect -4127 177509 -4009 177627
-rect -4127 177349 -4009 177467
-rect -4127 159509 -4009 159627
-rect -4127 159349 -4009 159467
-rect -4127 141509 -4009 141627
-rect -4127 141349 -4009 141467
-rect -4127 123509 -4009 123627
-rect -4127 123349 -4009 123467
-rect -4127 105509 -4009 105627
-rect -4127 105349 -4009 105467
-rect -4127 87509 -4009 87627
-rect -4127 87349 -4009 87467
-rect -4127 69509 -4009 69627
-rect -4127 69349 -4009 69467
-rect -4127 51509 -4009 51627
-rect -4127 51349 -4009 51467
-rect -4127 33509 -4009 33627
-rect -4127 33349 -4009 33467
-rect -4127 15509 -4009 15627
-rect -4127 15349 -4009 15467
-rect -3667 355061 -3549 355179
-rect -3667 354901 -3549 355019
-rect 5893 355061 6011 355179
-rect 5893 354901 6011 355019
-rect -3667 348509 -3549 348627
-rect -3667 348349 -3549 348467
-rect -3667 330509 -3549 330627
-rect -3667 330349 -3549 330467
-rect -3667 312509 -3549 312627
-rect -3667 312349 -3549 312467
-rect -3667 294509 -3549 294627
-rect -3667 294349 -3549 294467
-rect -3667 276509 -3549 276627
-rect -3667 276349 -3549 276467
-rect -3667 258509 -3549 258627
-rect -3667 258349 -3549 258467
-rect -3667 240509 -3549 240627
-rect -3667 240349 -3549 240467
-rect -3667 222509 -3549 222627
-rect -3667 222349 -3549 222467
-rect -3667 204509 -3549 204627
-rect -3667 204349 -3549 204467
-rect -3667 186509 -3549 186627
-rect -3667 186349 -3549 186467
-rect -3667 168509 -3549 168627
-rect -3667 168349 -3549 168467
-rect -3667 150509 -3549 150627
-rect -3667 150349 -3549 150467
-rect -3667 132509 -3549 132627
-rect -3667 132349 -3549 132467
-rect -3667 114509 -3549 114627
-rect -3667 114349 -3549 114467
-rect -3667 96509 -3549 96627
-rect -3667 96349 -3549 96467
-rect -3667 78509 -3549 78627
-rect -3667 78349 -3549 78467
-rect -3667 60509 -3549 60627
-rect -3667 60349 -3549 60467
-rect -3667 42509 -3549 42627
-rect -3667 42349 -3549 42467
-rect -3667 24509 -3549 24627
-rect -3667 24349 -3549 24467
-rect -3667 6509 -3549 6627
-rect -3667 6349 -3549 6467
-rect -3207 354601 -3089 354719
-rect -3207 354441 -3089 354559
-rect -3207 337709 -3089 337827
-rect -3207 337549 -3089 337667
-rect -3207 319709 -3089 319827
-rect -3207 319549 -3089 319667
-rect -3207 301709 -3089 301827
-rect -3207 301549 -3089 301667
-rect -3207 283709 -3089 283827
-rect -3207 283549 -3089 283667
-rect -3207 265709 -3089 265827
-rect -3207 265549 -3089 265667
-rect -3207 247709 -3089 247827
-rect -3207 247549 -3089 247667
-rect -3207 229709 -3089 229827
-rect -3207 229549 -3089 229667
-rect -3207 211709 -3089 211827
-rect -3207 211549 -3089 211667
-rect -3207 193709 -3089 193827
-rect -3207 193549 -3089 193667
-rect -3207 175709 -3089 175827
-rect -3207 175549 -3089 175667
-rect -3207 157709 -3089 157827
-rect -3207 157549 -3089 157667
-rect -3207 139709 -3089 139827
-rect -3207 139549 -3089 139667
-rect -3207 121709 -3089 121827
-rect -3207 121549 -3089 121667
-rect -3207 103709 -3089 103827
-rect -3207 103549 -3089 103667
-rect -3207 85709 -3089 85827
-rect -3207 85549 -3089 85667
-rect -3207 67709 -3089 67827
-rect -3207 67549 -3089 67667
-rect -3207 49709 -3089 49827
-rect -3207 49549 -3089 49667
-rect -3207 31709 -3089 31827
-rect -3207 31549 -3089 31667
-rect -3207 13709 -3089 13827
-rect -3207 13549 -3089 13667
-rect -2747 354141 -2629 354259
-rect -2747 353981 -2629 354099
-rect 4093 354141 4211 354259
-rect 4093 353981 4211 354099
-rect -2747 346709 -2629 346827
-rect -2747 346549 -2629 346667
-rect -2747 328709 -2629 328827
-rect -2747 328549 -2629 328667
-rect -2747 310709 -2629 310827
-rect -2747 310549 -2629 310667
-rect -2747 292709 -2629 292827
-rect -2747 292549 -2629 292667
-rect -2747 274709 -2629 274827
-rect -2747 274549 -2629 274667
-rect -2747 256709 -2629 256827
-rect -2747 256549 -2629 256667
-rect -2747 238709 -2629 238827
-rect -2747 238549 -2629 238667
-rect -2747 220709 -2629 220827
-rect -2747 220549 -2629 220667
-rect -2747 202709 -2629 202827
-rect -2747 202549 -2629 202667
-rect -2747 184709 -2629 184827
-rect -2747 184549 -2629 184667
-rect -2747 166709 -2629 166827
-rect -2747 166549 -2629 166667
-rect -2747 148709 -2629 148827
-rect -2747 148549 -2629 148667
-rect -2747 130709 -2629 130827
-rect -2747 130549 -2629 130667
-rect -2747 112709 -2629 112827
-rect -2747 112549 -2629 112667
-rect -2747 94709 -2629 94827
-rect -2747 94549 -2629 94667
-rect -2747 76709 -2629 76827
-rect -2747 76549 -2629 76667
-rect -2747 58709 -2629 58827
-rect -2747 58549 -2629 58667
-rect -2747 40709 -2629 40827
-rect -2747 40549 -2629 40667
-rect -2747 22709 -2629 22827
-rect -2747 22549 -2629 22667
-rect -2747 4709 -2629 4827
-rect -2747 4549 -2629 4667
-rect -2287 353681 -2169 353799
-rect -2287 353521 -2169 353639
-rect -2287 335909 -2169 336027
-rect -2287 335749 -2169 335867
-rect -2287 317909 -2169 318027
-rect -2287 317749 -2169 317867
-rect -2287 299909 -2169 300027
-rect -2287 299749 -2169 299867
-rect -2287 281909 -2169 282027
-rect -2287 281749 -2169 281867
-rect -2287 263909 -2169 264027
-rect -2287 263749 -2169 263867
-rect -2287 245909 -2169 246027
-rect -2287 245749 -2169 245867
-rect -2287 227909 -2169 228027
-rect -2287 227749 -2169 227867
-rect -2287 209909 -2169 210027
-rect -2287 209749 -2169 209867
-rect -2287 191909 -2169 192027
-rect -2287 191749 -2169 191867
-rect -2287 173909 -2169 174027
-rect -2287 173749 -2169 173867
-rect -2287 155909 -2169 156027
-rect -2287 155749 -2169 155867
-rect -2287 137909 -2169 138027
-rect -2287 137749 -2169 137867
-rect -2287 119909 -2169 120027
-rect -2287 119749 -2169 119867
-rect -2287 101909 -2169 102027
-rect -2287 101749 -2169 101867
-rect -2287 83909 -2169 84027
-rect -2287 83749 -2169 83867
-rect -2287 65909 -2169 66027
-rect -2287 65749 -2169 65867
-rect -2287 47909 -2169 48027
-rect -2287 47749 -2169 47867
-rect -2287 29909 -2169 30027
-rect -2287 29749 -2169 29867
-rect -2287 11909 -2169 12027
-rect -2287 11749 -2169 11867
-rect -1827 353221 -1709 353339
-rect -1827 353061 -1709 353179
-rect 2293 353221 2411 353339
-rect 2293 353061 2411 353179
-rect -1827 344909 -1709 345027
-rect -1827 344749 -1709 344867
-rect -1827 326909 -1709 327027
-rect -1827 326749 -1709 326867
-rect -1827 308909 -1709 309027
-rect -1827 308749 -1709 308867
-rect -1827 290909 -1709 291027
-rect -1827 290749 -1709 290867
-rect -1827 272909 -1709 273027
-rect -1827 272749 -1709 272867
-rect -1827 254909 -1709 255027
-rect -1827 254749 -1709 254867
-rect -1827 236909 -1709 237027
-rect -1827 236749 -1709 236867
-rect -1827 218909 -1709 219027
-rect -1827 218749 -1709 218867
-rect -1827 200909 -1709 201027
-rect -1827 200749 -1709 200867
-rect -1827 182909 -1709 183027
-rect -1827 182749 -1709 182867
-rect -1827 164909 -1709 165027
-rect -1827 164749 -1709 164867
-rect -1827 146909 -1709 147027
-rect -1827 146749 -1709 146867
-rect -1827 128909 -1709 129027
-rect -1827 128749 -1709 128867
-rect -1827 110909 -1709 111027
-rect -1827 110749 -1709 110867
-rect -1827 92909 -1709 93027
-rect -1827 92749 -1709 92867
-rect -1827 74909 -1709 75027
-rect -1827 74749 -1709 74867
-rect -1827 56909 -1709 57027
-rect -1827 56749 -1709 56867
-rect -1827 38909 -1709 39027
-rect -1827 38749 -1709 38867
-rect -1827 20909 -1709 21027
-rect -1827 20749 -1709 20867
-rect -1827 2909 -1709 3027
-rect -1827 2749 -1709 2867
-rect -1367 352761 -1249 352879
-rect -1367 352601 -1249 352719
-rect -1367 334109 -1249 334227
-rect -1367 333949 -1249 334067
-rect -1367 316109 -1249 316227
-rect -1367 315949 -1249 316067
-rect -1367 298109 -1249 298227
-rect -1367 297949 -1249 298067
-rect -1367 280109 -1249 280227
-rect -1367 279949 -1249 280067
-rect -1367 262109 -1249 262227
-rect -1367 261949 -1249 262067
-rect -1367 244109 -1249 244227
-rect -1367 243949 -1249 244067
-rect -1367 226109 -1249 226227
-rect -1367 225949 -1249 226067
-rect -1367 208109 -1249 208227
-rect -1367 207949 -1249 208067
-rect -1367 190109 -1249 190227
-rect -1367 189949 -1249 190067
-rect -1367 172109 -1249 172227
-rect -1367 171949 -1249 172067
-rect -1367 154109 -1249 154227
-rect -1367 153949 -1249 154067
-rect -1367 136109 -1249 136227
-rect -1367 135949 -1249 136067
-rect -1367 118109 -1249 118227
-rect -1367 117949 -1249 118067
-rect -1367 100109 -1249 100227
-rect -1367 99949 -1249 100067
-rect -1367 82109 -1249 82227
-rect -1367 81949 -1249 82067
-rect -1367 64109 -1249 64227
-rect -1367 63949 -1249 64067
-rect -1367 46109 -1249 46227
-rect -1367 45949 -1249 46067
-rect -1367 28109 -1249 28227
-rect -1367 27949 -1249 28067
-rect -1367 10109 -1249 10227
-rect -1367 9949 -1249 10067
-rect -907 352301 -789 352419
-rect -907 352141 -789 352259
-rect 493 352301 611 352419
-rect 493 352141 611 352259
-rect 14893 355521 15011 355639
-rect 14893 355361 15011 355479
-rect 13093 354601 13211 354719
-rect 13093 354441 13211 354559
-rect 11293 353681 11411 353799
-rect 11293 353521 11411 353639
-rect 9493 352761 9611 352879
-rect 9493 352601 9611 352719
-rect 23893 355061 24011 355179
-rect 23893 354901 24011 355019
-rect 22093 354141 22211 354259
-rect 22093 353981 22211 354099
-rect 20293 353221 20411 353339
-rect 20293 353061 20411 353179
-rect 18493 352301 18611 352419
-rect 18493 352141 18611 352259
-rect 32893 355521 33011 355639
-rect 32893 355361 33011 355479
-rect 31093 354601 31211 354719
-rect 31093 354441 31211 354559
-rect 29293 353681 29411 353799
-rect 29293 353521 29411 353639
-rect 27493 352761 27611 352879
-rect 27493 352601 27611 352719
-rect 41893 355061 42011 355179
-rect 41893 354901 42011 355019
-rect 40093 354141 40211 354259
-rect 40093 353981 40211 354099
-rect 38293 353221 38411 353339
-rect 38293 353061 38411 353179
-rect 36493 352301 36611 352419
-rect 36493 352141 36611 352259
-rect 50893 355521 51011 355639
-rect 50893 355361 51011 355479
-rect 49093 354601 49211 354719
-rect 49093 354441 49211 354559
-rect 47293 353681 47411 353799
-rect 47293 353521 47411 353639
-rect 45493 352761 45611 352879
-rect 45493 352601 45611 352719
-rect 59893 355061 60011 355179
-rect 59893 354901 60011 355019
-rect 58093 354141 58211 354259
-rect 58093 353981 58211 354099
-rect 56293 353221 56411 353339
-rect 56293 353061 56411 353179
-rect 54493 352301 54611 352419
-rect 54493 352141 54611 352259
-rect 68893 355521 69011 355639
-rect 68893 355361 69011 355479
-rect 67093 354601 67211 354719
-rect 67093 354441 67211 354559
-rect 65293 353681 65411 353799
-rect 65293 353521 65411 353639
-rect 63493 352761 63611 352879
-rect 63493 352601 63611 352719
-rect 77893 355061 78011 355179
-rect 77893 354901 78011 355019
-rect 76093 354141 76211 354259
-rect 76093 353981 76211 354099
-rect 74293 353221 74411 353339
-rect 74293 353061 74411 353179
-rect 72493 352301 72611 352419
-rect 72493 352141 72611 352259
-rect 86893 355521 87011 355639
-rect 86893 355361 87011 355479
-rect 85093 354601 85211 354719
-rect 85093 354441 85211 354559
-rect 83293 353681 83411 353799
-rect 83293 353521 83411 353639
-rect 81493 352761 81611 352879
-rect 81493 352601 81611 352719
-rect 95893 355061 96011 355179
-rect 95893 354901 96011 355019
-rect 94093 354141 94211 354259
-rect 94093 353981 94211 354099
-rect 92293 353221 92411 353339
-rect 92293 353061 92411 353179
-rect 90493 352301 90611 352419
-rect 90493 352141 90611 352259
-rect 104893 355521 105011 355639
-rect 104893 355361 105011 355479
-rect 103093 354601 103211 354719
-rect 103093 354441 103211 354559
-rect 101293 353681 101411 353799
-rect 101293 353521 101411 353639
-rect 99493 352761 99611 352879
-rect 99493 352601 99611 352719
-rect 113893 355061 114011 355179
-rect 113893 354901 114011 355019
-rect 112093 354141 112211 354259
-rect 112093 353981 112211 354099
-rect 110293 353221 110411 353339
-rect 110293 353061 110411 353179
-rect 108493 352301 108611 352419
-rect 108493 352141 108611 352259
-rect 122893 355521 123011 355639
-rect 122893 355361 123011 355479
-rect 121093 354601 121211 354719
-rect 121093 354441 121211 354559
-rect 119293 353681 119411 353799
-rect 119293 353521 119411 353639
-rect 117493 352761 117611 352879
-rect 117493 352601 117611 352719
-rect 131893 355061 132011 355179
-rect 131893 354901 132011 355019
-rect 130093 354141 130211 354259
-rect 130093 353981 130211 354099
-rect 128293 353221 128411 353339
-rect 128293 353061 128411 353179
-rect 126493 352301 126611 352419
-rect 126493 352141 126611 352259
-rect 140893 355521 141011 355639
-rect 140893 355361 141011 355479
-rect 139093 354601 139211 354719
-rect 139093 354441 139211 354559
-rect 137293 353681 137411 353799
-rect 137293 353521 137411 353639
-rect 135493 352761 135611 352879
-rect 135493 352601 135611 352719
-rect 149893 355061 150011 355179
-rect 149893 354901 150011 355019
-rect 148093 354141 148211 354259
-rect 148093 353981 148211 354099
-rect 146293 353221 146411 353339
-rect 146293 353061 146411 353179
-rect 144493 352301 144611 352419
-rect 144493 352141 144611 352259
-rect 158893 355521 159011 355639
-rect 158893 355361 159011 355479
-rect 157093 354601 157211 354719
-rect 157093 354441 157211 354559
-rect 155293 353681 155411 353799
-rect 155293 353521 155411 353639
-rect 153493 352761 153611 352879
-rect 153493 352601 153611 352719
-rect 167893 355061 168011 355179
-rect 167893 354901 168011 355019
-rect 166093 354141 166211 354259
-rect 166093 353981 166211 354099
-rect 164293 353221 164411 353339
-rect 164293 353061 164411 353179
-rect 162493 352301 162611 352419
-rect 162493 352141 162611 352259
-rect 176893 355521 177011 355639
-rect 176893 355361 177011 355479
-rect 175093 354601 175211 354719
-rect 175093 354441 175211 354559
-rect 173293 353681 173411 353799
-rect 173293 353521 173411 353639
-rect 171493 352761 171611 352879
-rect 171493 352601 171611 352719
-rect 185893 355061 186011 355179
-rect 185893 354901 186011 355019
-rect 184093 354141 184211 354259
-rect 184093 353981 184211 354099
-rect 182293 353221 182411 353339
-rect 182293 353061 182411 353179
-rect 180493 352301 180611 352419
-rect 180493 352141 180611 352259
-rect 194893 355521 195011 355639
-rect 194893 355361 195011 355479
-rect 193093 354601 193211 354719
-rect 193093 354441 193211 354559
-rect 191293 353681 191411 353799
-rect 191293 353521 191411 353639
-rect 189493 352761 189611 352879
-rect 189493 352601 189611 352719
-rect 203893 355061 204011 355179
-rect 203893 354901 204011 355019
-rect 202093 354141 202211 354259
-rect 202093 353981 202211 354099
-rect 200293 353221 200411 353339
-rect 200293 353061 200411 353179
-rect 198493 352301 198611 352419
-rect 198493 352141 198611 352259
-rect 212893 355521 213011 355639
-rect 212893 355361 213011 355479
-rect 211093 354601 211211 354719
-rect 211093 354441 211211 354559
-rect 209293 353681 209411 353799
-rect 209293 353521 209411 353639
-rect 207493 352761 207611 352879
-rect 207493 352601 207611 352719
-rect 221893 355061 222011 355179
-rect 221893 354901 222011 355019
-rect 220093 354141 220211 354259
-rect 220093 353981 220211 354099
-rect 218293 353221 218411 353339
-rect 218293 353061 218411 353179
-rect 216493 352301 216611 352419
-rect 216493 352141 216611 352259
-rect 230893 355521 231011 355639
-rect 230893 355361 231011 355479
-rect 229093 354601 229211 354719
-rect 229093 354441 229211 354559
-rect 227293 353681 227411 353799
-rect 227293 353521 227411 353639
-rect 225493 352761 225611 352879
-rect 225493 352601 225611 352719
-rect 239893 355061 240011 355179
-rect 239893 354901 240011 355019
-rect 238093 354141 238211 354259
-rect 238093 353981 238211 354099
-rect 236293 353221 236411 353339
-rect 236293 353061 236411 353179
-rect 234493 352301 234611 352419
-rect 234493 352141 234611 352259
-rect 248893 355521 249011 355639
-rect 248893 355361 249011 355479
-rect 247093 354601 247211 354719
-rect 247093 354441 247211 354559
-rect 245293 353681 245411 353799
-rect 245293 353521 245411 353639
-rect 243493 352761 243611 352879
-rect 243493 352601 243611 352719
-rect 257893 355061 258011 355179
-rect 257893 354901 258011 355019
-rect 256093 354141 256211 354259
-rect 256093 353981 256211 354099
-rect 254293 353221 254411 353339
-rect 254293 353061 254411 353179
-rect 252493 352301 252611 352419
-rect 252493 352141 252611 352259
-rect 266893 355521 267011 355639
-rect 266893 355361 267011 355479
-rect 265093 354601 265211 354719
-rect 265093 354441 265211 354559
-rect 263293 353681 263411 353799
-rect 263293 353521 263411 353639
-rect 261493 352761 261611 352879
-rect 261493 352601 261611 352719
-rect 275893 355061 276011 355179
-rect 275893 354901 276011 355019
-rect 274093 354141 274211 354259
-rect 274093 353981 274211 354099
-rect 272293 353221 272411 353339
-rect 272293 353061 272411 353179
-rect 270493 352301 270611 352419
-rect 270493 352141 270611 352259
-rect 284893 355521 285011 355639
-rect 284893 355361 285011 355479
-rect 283093 354601 283211 354719
-rect 283093 354441 283211 354559
-rect 281293 353681 281411 353799
-rect 281293 353521 281411 353639
-rect 279493 352761 279611 352879
-rect 279493 352601 279611 352719
-rect 295971 355521 296089 355639
-rect 295971 355361 296089 355479
-rect 295511 355061 295629 355179
-rect 295511 354901 295629 355019
-rect 295051 354601 295169 354719
-rect 295051 354441 295169 354559
-rect 294591 354141 294709 354259
-rect 294591 353981 294709 354099
-rect 294131 353681 294249 353799
-rect 294131 353521 294249 353639
-rect 290293 353221 290411 353339
-rect 290293 353061 290411 353179
-rect 288493 352301 288611 352419
-rect 288493 352141 288611 352259
-rect 293671 353221 293789 353339
-rect 293671 353061 293789 353179
-rect 293211 352761 293329 352879
-rect 293211 352601 293329 352719
-rect 292751 352301 292869 352419
-rect 292751 352141 292869 352259
-rect -907 343109 -789 343227
-rect -907 342949 -789 343067
-rect -907 325109 -789 325227
-rect -907 324949 -789 325067
-rect -907 307109 -789 307227
-rect -907 306949 -789 307067
-rect -907 289109 -789 289227
-rect -907 288949 -789 289067
-rect -907 271109 -789 271227
-rect -907 270949 -789 271067
-rect -907 253109 -789 253227
-rect -907 252949 -789 253067
-rect -907 235109 -789 235227
-rect -907 234949 -789 235067
-rect -907 217109 -789 217227
-rect -907 216949 -789 217067
-rect -907 199109 -789 199227
-rect -907 198949 -789 199067
-rect -907 181109 -789 181227
-rect -907 180949 -789 181067
-rect -907 163109 -789 163227
-rect -907 162949 -789 163067
-rect -907 145109 -789 145227
-rect -907 144949 -789 145067
-rect -907 127109 -789 127227
-rect -907 126949 -789 127067
-rect -907 109109 -789 109227
-rect -907 108949 -789 109067
-rect -907 91109 -789 91227
-rect -907 90949 -789 91067
-rect -907 73109 -789 73227
-rect -907 72949 -789 73067
-rect -907 55109 -789 55227
-rect -907 54949 -789 55067
-rect -907 37109 -789 37227
-rect -907 36949 -789 37067
-rect -907 19109 -789 19227
-rect -907 18949 -789 19067
-rect -907 1109 -789 1227
-rect -907 949 -789 1067
-rect 292751 343109 292869 343227
-rect 292751 342949 292869 343067
-rect 292751 325109 292869 325227
-rect 292751 324949 292869 325067
-rect 292751 307109 292869 307227
-rect 292751 306949 292869 307067
-rect 292751 289109 292869 289227
-rect 292751 288949 292869 289067
-rect 292751 271109 292869 271227
-rect 292751 270949 292869 271067
-rect 292751 253109 292869 253227
-rect 292751 252949 292869 253067
-rect 292751 235109 292869 235227
-rect 292751 234949 292869 235067
-rect 292751 217109 292869 217227
-rect 292751 216949 292869 217067
-rect 292751 199109 292869 199227
-rect 292751 198949 292869 199067
-rect 292751 181109 292869 181227
-rect 292751 180949 292869 181067
-rect 292751 163109 292869 163227
-rect 292751 162949 292869 163067
-rect 292751 145109 292869 145227
-rect 292751 144949 292869 145067
-rect 292751 127109 292869 127227
-rect 292751 126949 292869 127067
-rect 292751 109109 292869 109227
-rect 292751 108949 292869 109067
-rect 292751 91109 292869 91227
-rect 292751 90949 292869 91067
-rect 292751 73109 292869 73227
-rect 292751 72949 292869 73067
-rect 292751 55109 292869 55227
-rect 292751 54949 292869 55067
-rect 292751 37109 292869 37227
-rect 292751 36949 292869 37067
-rect 292751 19109 292869 19227
-rect 292751 18949 292869 19067
-rect 292751 1109 292869 1227
-rect 292751 949 292869 1067
-rect -907 -291 -789 -173
-rect -907 -451 -789 -333
-rect 493 -291 611 -173
-rect 493 -451 611 -333
-rect -1367 -751 -1249 -633
-rect -1367 -911 -1249 -793
-rect -1827 -1211 -1709 -1093
-rect -1827 -1371 -1709 -1253
-rect 2293 -1211 2411 -1093
-rect 2293 -1371 2411 -1253
-rect -2287 -1671 -2169 -1553
-rect -2287 -1831 -2169 -1713
-rect -2747 -2131 -2629 -2013
-rect -2747 -2291 -2629 -2173
-rect 4093 -2131 4211 -2013
-rect 4093 -2291 4211 -2173
-rect -3207 -2591 -3089 -2473
-rect -3207 -2751 -3089 -2633
-rect -3667 -3051 -3549 -2933
-rect -3667 -3211 -3549 -3093
-rect 9493 -751 9611 -633
-rect 9493 -911 9611 -793
-rect 11293 -1671 11411 -1553
-rect 11293 -1831 11411 -1713
-rect 13093 -2591 13211 -2473
-rect 13093 -2751 13211 -2633
-rect 5893 -3051 6011 -2933
-rect 5893 -3211 6011 -3093
-rect -4127 -3511 -4009 -3393
-rect -4127 -3671 -4009 -3553
-rect 18493 -291 18611 -173
-rect 18493 -451 18611 -333
-rect 20293 -1211 20411 -1093
-rect 20293 -1371 20411 -1253
-rect 22093 -2131 22211 -2013
-rect 22093 -2291 22211 -2173
-rect 14893 -3511 15011 -3393
-rect 14893 -3671 15011 -3553
-rect 27493 -751 27611 -633
-rect 27493 -911 27611 -793
-rect 29293 -1671 29411 -1553
-rect 29293 -1831 29411 -1713
-rect 31093 -2591 31211 -2473
-rect 31093 -2751 31211 -2633
-rect 23893 -3051 24011 -2933
-rect 23893 -3211 24011 -3093
-rect 36493 -291 36611 -173
-rect 36493 -451 36611 -333
-rect 38293 -1211 38411 -1093
-rect 38293 -1371 38411 -1253
-rect 40093 -2131 40211 -2013
-rect 40093 -2291 40211 -2173
-rect 32893 -3511 33011 -3393
-rect 32893 -3671 33011 -3553
-rect 45493 -751 45611 -633
-rect 45493 -911 45611 -793
-rect 47293 -1671 47411 -1553
-rect 47293 -1831 47411 -1713
-rect 49093 -2591 49211 -2473
-rect 49093 -2751 49211 -2633
-rect 41893 -3051 42011 -2933
-rect 41893 -3211 42011 -3093
-rect 54493 -291 54611 -173
-rect 54493 -451 54611 -333
-rect 56293 -1211 56411 -1093
-rect 56293 -1371 56411 -1253
-rect 58093 -2131 58211 -2013
-rect 58093 -2291 58211 -2173
-rect 50893 -3511 51011 -3393
-rect 50893 -3671 51011 -3553
-rect 63493 -751 63611 -633
-rect 63493 -911 63611 -793
-rect 65293 -1671 65411 -1553
-rect 65293 -1831 65411 -1713
-rect 67093 -2591 67211 -2473
-rect 67093 -2751 67211 -2633
-rect 59893 -3051 60011 -2933
-rect 59893 -3211 60011 -3093
-rect 72493 -291 72611 -173
-rect 72493 -451 72611 -333
-rect 74293 -1211 74411 -1093
-rect 74293 -1371 74411 -1253
-rect 76093 -2131 76211 -2013
-rect 76093 -2291 76211 -2173
-rect 68893 -3511 69011 -3393
-rect 68893 -3671 69011 -3553
-rect 81493 -751 81611 -633
-rect 81493 -911 81611 -793
-rect 83293 -1671 83411 -1553
-rect 83293 -1831 83411 -1713
-rect 85093 -2591 85211 -2473
-rect 85093 -2751 85211 -2633
-rect 77893 -3051 78011 -2933
-rect 77893 -3211 78011 -3093
-rect 90493 -291 90611 -173
-rect 90493 -451 90611 -333
-rect 92293 -1211 92411 -1093
-rect 92293 -1371 92411 -1253
-rect 94093 -2131 94211 -2013
-rect 94093 -2291 94211 -2173
-rect 86893 -3511 87011 -3393
-rect 86893 -3671 87011 -3553
-rect 99493 -751 99611 -633
-rect 99493 -911 99611 -793
-rect 101293 -1671 101411 -1553
-rect 101293 -1831 101411 -1713
-rect 103093 -2591 103211 -2473
-rect 103093 -2751 103211 -2633
-rect 95893 -3051 96011 -2933
-rect 95893 -3211 96011 -3093
-rect 108493 -291 108611 -173
-rect 108493 -451 108611 -333
-rect 110293 -1211 110411 -1093
-rect 110293 -1371 110411 -1253
-rect 112093 -2131 112211 -2013
-rect 112093 -2291 112211 -2173
-rect 104893 -3511 105011 -3393
-rect 104893 -3671 105011 -3553
-rect 117493 -751 117611 -633
-rect 117493 -911 117611 -793
-rect 119293 -1671 119411 -1553
-rect 119293 -1831 119411 -1713
-rect 121093 -2591 121211 -2473
-rect 121093 -2751 121211 -2633
-rect 113893 -3051 114011 -2933
-rect 113893 -3211 114011 -3093
-rect 126493 -291 126611 -173
-rect 126493 -451 126611 -333
-rect 128293 -1211 128411 -1093
-rect 128293 -1371 128411 -1253
-rect 130093 -2131 130211 -2013
-rect 130093 -2291 130211 -2173
-rect 122893 -3511 123011 -3393
-rect 122893 -3671 123011 -3553
-rect 135493 -751 135611 -633
-rect 135493 -911 135611 -793
-rect 137293 -1671 137411 -1553
-rect 137293 -1831 137411 -1713
-rect 139093 -2591 139211 -2473
-rect 139093 -2751 139211 -2633
-rect 131893 -3051 132011 -2933
-rect 131893 -3211 132011 -3093
-rect 144493 -291 144611 -173
-rect 144493 -451 144611 -333
-rect 146293 -1211 146411 -1093
-rect 146293 -1371 146411 -1253
-rect 148093 -2131 148211 -2013
-rect 148093 -2291 148211 -2173
-rect 140893 -3511 141011 -3393
-rect 140893 -3671 141011 -3553
-rect 153493 -751 153611 -633
-rect 153493 -911 153611 -793
-rect 155293 -1671 155411 -1553
-rect 155293 -1831 155411 -1713
-rect 157093 -2591 157211 -2473
-rect 157093 -2751 157211 -2633
-rect 149893 -3051 150011 -2933
-rect 149893 -3211 150011 -3093
-rect 162493 -291 162611 -173
-rect 162493 -451 162611 -333
-rect 164293 -1211 164411 -1093
-rect 164293 -1371 164411 -1253
-rect 166093 -2131 166211 -2013
-rect 166093 -2291 166211 -2173
-rect 158893 -3511 159011 -3393
-rect 158893 -3671 159011 -3553
-rect 171493 -751 171611 -633
-rect 171493 -911 171611 -793
-rect 173293 -1671 173411 -1553
-rect 173293 -1831 173411 -1713
-rect 175093 -2591 175211 -2473
-rect 175093 -2751 175211 -2633
-rect 167893 -3051 168011 -2933
-rect 167893 -3211 168011 -3093
-rect 180493 -291 180611 -173
-rect 180493 -451 180611 -333
-rect 182293 -1211 182411 -1093
-rect 182293 -1371 182411 -1253
-rect 184093 -2131 184211 -2013
-rect 184093 -2291 184211 -2173
-rect 176893 -3511 177011 -3393
-rect 176893 -3671 177011 -3553
-rect 189493 -751 189611 -633
-rect 189493 -911 189611 -793
-rect 191293 -1671 191411 -1553
-rect 191293 -1831 191411 -1713
-rect 193093 -2591 193211 -2473
-rect 193093 -2751 193211 -2633
-rect 185893 -3051 186011 -2933
-rect 185893 -3211 186011 -3093
-rect 198493 -291 198611 -173
-rect 198493 -451 198611 -333
-rect 200293 -1211 200411 -1093
-rect 200293 -1371 200411 -1253
-rect 202093 -2131 202211 -2013
-rect 202093 -2291 202211 -2173
-rect 194893 -3511 195011 -3393
-rect 194893 -3671 195011 -3553
-rect 207493 -751 207611 -633
-rect 207493 -911 207611 -793
-rect 209293 -1671 209411 -1553
-rect 209293 -1831 209411 -1713
-rect 211093 -2591 211211 -2473
-rect 211093 -2751 211211 -2633
-rect 203893 -3051 204011 -2933
-rect 203893 -3211 204011 -3093
-rect 216493 -291 216611 -173
-rect 216493 -451 216611 -333
-rect 218293 -1211 218411 -1093
-rect 218293 -1371 218411 -1253
-rect 220093 -2131 220211 -2013
-rect 220093 -2291 220211 -2173
-rect 212893 -3511 213011 -3393
-rect 212893 -3671 213011 -3553
-rect 225493 -751 225611 -633
-rect 225493 -911 225611 -793
-rect 227293 -1671 227411 -1553
-rect 227293 -1831 227411 -1713
-rect 229093 -2591 229211 -2473
-rect 229093 -2751 229211 -2633
-rect 221893 -3051 222011 -2933
-rect 221893 -3211 222011 -3093
-rect 234493 -291 234611 -173
-rect 234493 -451 234611 -333
-rect 236293 -1211 236411 -1093
-rect 236293 -1371 236411 -1253
-rect 238093 -2131 238211 -2013
-rect 238093 -2291 238211 -2173
-rect 230893 -3511 231011 -3393
-rect 230893 -3671 231011 -3553
-rect 243493 -751 243611 -633
-rect 243493 -911 243611 -793
-rect 245293 -1671 245411 -1553
-rect 245293 -1831 245411 -1713
-rect 247093 -2591 247211 -2473
-rect 247093 -2751 247211 -2633
-rect 239893 -3051 240011 -2933
-rect 239893 -3211 240011 -3093
-rect 252493 -291 252611 -173
-rect 252493 -451 252611 -333
-rect 254293 -1211 254411 -1093
-rect 254293 -1371 254411 -1253
-rect 256093 -2131 256211 -2013
-rect 256093 -2291 256211 -2173
-rect 248893 -3511 249011 -3393
-rect 248893 -3671 249011 -3553
-rect 261493 -751 261611 -633
-rect 261493 -911 261611 -793
-rect 263293 -1671 263411 -1553
-rect 263293 -1831 263411 -1713
-rect 265093 -2591 265211 -2473
-rect 265093 -2751 265211 -2633
-rect 257893 -3051 258011 -2933
-rect 257893 -3211 258011 -3093
-rect 270493 -291 270611 -173
-rect 270493 -451 270611 -333
-rect 272293 -1211 272411 -1093
-rect 272293 -1371 272411 -1253
-rect 274093 -2131 274211 -2013
-rect 274093 -2291 274211 -2173
-rect 266893 -3511 267011 -3393
-rect 266893 -3671 267011 -3553
-rect 279493 -751 279611 -633
-rect 279493 -911 279611 -793
-rect 281293 -1671 281411 -1553
-rect 281293 -1831 281411 -1713
-rect 283093 -2591 283211 -2473
-rect 283093 -2751 283211 -2633
-rect 275893 -3051 276011 -2933
-rect 275893 -3211 276011 -3093
-rect 288493 -291 288611 -173
-rect 288493 -451 288611 -333
-rect 292751 -291 292869 -173
-rect 292751 -451 292869 -333
-rect 293211 334109 293329 334227
-rect 293211 333949 293329 334067
-rect 293211 316109 293329 316227
-rect 293211 315949 293329 316067
-rect 293211 298109 293329 298227
-rect 293211 297949 293329 298067
-rect 293211 280109 293329 280227
-rect 293211 279949 293329 280067
-rect 293211 262109 293329 262227
-rect 293211 261949 293329 262067
-rect 293211 244109 293329 244227
-rect 293211 243949 293329 244067
-rect 293211 226109 293329 226227
-rect 293211 225949 293329 226067
-rect 293211 208109 293329 208227
-rect 293211 207949 293329 208067
-rect 293211 190109 293329 190227
-rect 293211 189949 293329 190067
-rect 293211 172109 293329 172227
-rect 293211 171949 293329 172067
-rect 293211 154109 293329 154227
-rect 293211 153949 293329 154067
-rect 293211 136109 293329 136227
-rect 293211 135949 293329 136067
-rect 293211 118109 293329 118227
-rect 293211 117949 293329 118067
-rect 293211 100109 293329 100227
-rect 293211 99949 293329 100067
-rect 293211 82109 293329 82227
-rect 293211 81949 293329 82067
-rect 293211 64109 293329 64227
-rect 293211 63949 293329 64067
-rect 293211 46109 293329 46227
-rect 293211 45949 293329 46067
-rect 293211 28109 293329 28227
-rect 293211 27949 293329 28067
-rect 293211 10109 293329 10227
-rect 293211 9949 293329 10067
-rect 293211 -751 293329 -633
-rect 293211 -911 293329 -793
-rect 293671 344909 293789 345027
-rect 293671 344749 293789 344867
-rect 293671 326909 293789 327027
-rect 293671 326749 293789 326867
-rect 293671 308909 293789 309027
-rect 293671 308749 293789 308867
-rect 293671 290909 293789 291027
-rect 293671 290749 293789 290867
-rect 293671 272909 293789 273027
-rect 293671 272749 293789 272867
-rect 293671 254909 293789 255027
-rect 293671 254749 293789 254867
-rect 293671 236909 293789 237027
-rect 293671 236749 293789 236867
-rect 293671 218909 293789 219027
-rect 293671 218749 293789 218867
-rect 293671 200909 293789 201027
-rect 293671 200749 293789 200867
-rect 293671 182909 293789 183027
-rect 293671 182749 293789 182867
-rect 293671 164909 293789 165027
-rect 293671 164749 293789 164867
-rect 293671 146909 293789 147027
-rect 293671 146749 293789 146867
-rect 293671 128909 293789 129027
-rect 293671 128749 293789 128867
-rect 293671 110909 293789 111027
-rect 293671 110749 293789 110867
-rect 293671 92909 293789 93027
-rect 293671 92749 293789 92867
-rect 293671 74909 293789 75027
-rect 293671 74749 293789 74867
-rect 293671 56909 293789 57027
-rect 293671 56749 293789 56867
-rect 293671 38909 293789 39027
-rect 293671 38749 293789 38867
-rect 293671 20909 293789 21027
-rect 293671 20749 293789 20867
-rect 293671 2909 293789 3027
-rect 293671 2749 293789 2867
-rect 290293 -1211 290411 -1093
-rect 290293 -1371 290411 -1253
-rect 293671 -1211 293789 -1093
-rect 293671 -1371 293789 -1253
-rect 294131 335909 294249 336027
-rect 294131 335749 294249 335867
-rect 294131 317909 294249 318027
-rect 294131 317749 294249 317867
-rect 294131 299909 294249 300027
-rect 294131 299749 294249 299867
-rect 294131 281909 294249 282027
-rect 294131 281749 294249 281867
-rect 294131 263909 294249 264027
-rect 294131 263749 294249 263867
-rect 294131 245909 294249 246027
-rect 294131 245749 294249 245867
-rect 294131 227909 294249 228027
-rect 294131 227749 294249 227867
-rect 294131 209909 294249 210027
-rect 294131 209749 294249 209867
-rect 294131 191909 294249 192027
-rect 294131 191749 294249 191867
-rect 294131 173909 294249 174027
-rect 294131 173749 294249 173867
-rect 294131 155909 294249 156027
-rect 294131 155749 294249 155867
-rect 294131 137909 294249 138027
-rect 294131 137749 294249 137867
-rect 294131 119909 294249 120027
-rect 294131 119749 294249 119867
-rect 294131 101909 294249 102027
-rect 294131 101749 294249 101867
-rect 294131 83909 294249 84027
-rect 294131 83749 294249 83867
-rect 294131 65909 294249 66027
-rect 294131 65749 294249 65867
-rect 294131 47909 294249 48027
-rect 294131 47749 294249 47867
-rect 294131 29909 294249 30027
-rect 294131 29749 294249 29867
-rect 294131 11909 294249 12027
-rect 294131 11749 294249 11867
-rect 294131 -1671 294249 -1553
-rect 294131 -1831 294249 -1713
-rect 294591 346709 294709 346827
-rect 294591 346549 294709 346667
-rect 294591 328709 294709 328827
-rect 294591 328549 294709 328667
-rect 294591 310709 294709 310827
-rect 294591 310549 294709 310667
-rect 294591 292709 294709 292827
-rect 294591 292549 294709 292667
-rect 294591 274709 294709 274827
-rect 294591 274549 294709 274667
-rect 294591 256709 294709 256827
-rect 294591 256549 294709 256667
-rect 294591 238709 294709 238827
-rect 294591 238549 294709 238667
-rect 294591 220709 294709 220827
-rect 294591 220549 294709 220667
-rect 294591 202709 294709 202827
-rect 294591 202549 294709 202667
-rect 294591 184709 294709 184827
-rect 294591 184549 294709 184667
-rect 294591 166709 294709 166827
-rect 294591 166549 294709 166667
-rect 294591 148709 294709 148827
-rect 294591 148549 294709 148667
-rect 294591 130709 294709 130827
-rect 294591 130549 294709 130667
-rect 294591 112709 294709 112827
-rect 294591 112549 294709 112667
-rect 294591 94709 294709 94827
-rect 294591 94549 294709 94667
-rect 294591 76709 294709 76827
-rect 294591 76549 294709 76667
-rect 294591 58709 294709 58827
-rect 294591 58549 294709 58667
-rect 294591 40709 294709 40827
-rect 294591 40549 294709 40667
-rect 294591 22709 294709 22827
-rect 294591 22549 294709 22667
-rect 294591 4709 294709 4827
-rect 294591 4549 294709 4667
-rect 294591 -2131 294709 -2013
-rect 294591 -2291 294709 -2173
-rect 295051 337709 295169 337827
-rect 295051 337549 295169 337667
-rect 295051 319709 295169 319827
-rect 295051 319549 295169 319667
-rect 295051 301709 295169 301827
-rect 295051 301549 295169 301667
-rect 295051 283709 295169 283827
-rect 295051 283549 295169 283667
-rect 295051 265709 295169 265827
-rect 295051 265549 295169 265667
-rect 295051 247709 295169 247827
-rect 295051 247549 295169 247667
-rect 295051 229709 295169 229827
-rect 295051 229549 295169 229667
-rect 295051 211709 295169 211827
-rect 295051 211549 295169 211667
-rect 295051 193709 295169 193827
-rect 295051 193549 295169 193667
-rect 295051 175709 295169 175827
-rect 295051 175549 295169 175667
-rect 295051 157709 295169 157827
-rect 295051 157549 295169 157667
-rect 295051 139709 295169 139827
-rect 295051 139549 295169 139667
-rect 295051 121709 295169 121827
-rect 295051 121549 295169 121667
-rect 295051 103709 295169 103827
-rect 295051 103549 295169 103667
-rect 295051 85709 295169 85827
-rect 295051 85549 295169 85667
-rect 295051 67709 295169 67827
-rect 295051 67549 295169 67667
-rect 295051 49709 295169 49827
-rect 295051 49549 295169 49667
-rect 295051 31709 295169 31827
-rect 295051 31549 295169 31667
-rect 295051 13709 295169 13827
-rect 295051 13549 295169 13667
-rect 295051 -2591 295169 -2473
-rect 295051 -2751 295169 -2633
-rect 295511 348509 295629 348627
-rect 295511 348349 295629 348467
-rect 295511 330509 295629 330627
-rect 295511 330349 295629 330467
-rect 295511 312509 295629 312627
-rect 295511 312349 295629 312467
-rect 295511 294509 295629 294627
-rect 295511 294349 295629 294467
-rect 295511 276509 295629 276627
-rect 295511 276349 295629 276467
-rect 295511 258509 295629 258627
-rect 295511 258349 295629 258467
-rect 295511 240509 295629 240627
-rect 295511 240349 295629 240467
-rect 295511 222509 295629 222627
-rect 295511 222349 295629 222467
-rect 295511 204509 295629 204627
-rect 295511 204349 295629 204467
-rect 295511 186509 295629 186627
-rect 295511 186349 295629 186467
-rect 295511 168509 295629 168627
-rect 295511 168349 295629 168467
-rect 295511 150509 295629 150627
-rect 295511 150349 295629 150467
-rect 295511 132509 295629 132627
-rect 295511 132349 295629 132467
-rect 295511 114509 295629 114627
-rect 295511 114349 295629 114467
-rect 295511 96509 295629 96627
-rect 295511 96349 295629 96467
-rect 295511 78509 295629 78627
-rect 295511 78349 295629 78467
-rect 295511 60509 295629 60627
-rect 295511 60349 295629 60467
-rect 295511 42509 295629 42627
-rect 295511 42349 295629 42467
-rect 295511 24509 295629 24627
-rect 295511 24349 295629 24467
-rect 295511 6509 295629 6627
-rect 295511 6349 295629 6467
-rect 295511 -3051 295629 -2933
-rect 295511 -3211 295629 -3093
-rect 295971 339509 296089 339627
-rect 295971 339349 296089 339467
-rect 295971 321509 296089 321627
-rect 295971 321349 296089 321467
-rect 295971 303509 296089 303627
-rect 295971 303349 296089 303467
-rect 295971 285509 296089 285627
-rect 295971 285349 296089 285467
-rect 295971 267509 296089 267627
-rect 295971 267349 296089 267467
-rect 295971 249509 296089 249627
-rect 295971 249349 296089 249467
-rect 295971 231509 296089 231627
-rect 295971 231349 296089 231467
-rect 295971 213509 296089 213627
-rect 295971 213349 296089 213467
-rect 295971 195509 296089 195627
-rect 295971 195349 296089 195467
-rect 295971 177509 296089 177627
-rect 295971 177349 296089 177467
-rect 295971 159509 296089 159627
-rect 295971 159349 296089 159467
-rect 295971 141509 296089 141627
-rect 295971 141349 296089 141467
-rect 295971 123509 296089 123627
-rect 295971 123349 296089 123467
-rect 295971 105509 296089 105627
-rect 295971 105349 296089 105467
-rect 295971 87509 296089 87627
-rect 295971 87349 296089 87467
-rect 295971 69509 296089 69627
-rect 295971 69349 296089 69467
-rect 295971 51509 296089 51627
-rect 295971 51349 296089 51467
-rect 295971 33509 296089 33627
-rect 295971 33349 296089 33467
-rect 295971 15509 296089 15627
-rect 295971 15349 296089 15467
-rect 284893 -3511 285011 -3393
-rect 284893 -3671 285011 -3553
-rect 295971 -3511 296089 -3393
-rect 295971 -3671 296089 -3553
-<< metal5 >>
-rect -4218 355650 -3918 355651
-rect 14802 355650 15102 355651
-rect 32802 355650 33102 355651
-rect 50802 355650 51102 355651
-rect 68802 355650 69102 355651
-rect 86802 355650 87102 355651
-rect 104802 355650 105102 355651
-rect 122802 355650 123102 355651
-rect 140802 355650 141102 355651
-rect 158802 355650 159102 355651
-rect 176802 355650 177102 355651
-rect 194802 355650 195102 355651
-rect 212802 355650 213102 355651
-rect 230802 355650 231102 355651
-rect 248802 355650 249102 355651
-rect 266802 355650 267102 355651
-rect 284802 355650 285102 355651
-rect 295880 355650 296180 355651
-rect -4218 355639 296180 355650
-rect -4218 355521 -4127 355639
-rect -4009 355521 14893 355639
-rect 15011 355521 32893 355639
-rect 33011 355521 50893 355639
-rect 51011 355521 68893 355639
-rect 69011 355521 86893 355639
-rect 87011 355521 104893 355639
-rect 105011 355521 122893 355639
-rect 123011 355521 140893 355639
-rect 141011 355521 158893 355639
-rect 159011 355521 176893 355639
-rect 177011 355521 194893 355639
-rect 195011 355521 212893 355639
-rect 213011 355521 230893 355639
-rect 231011 355521 248893 355639
-rect 249011 355521 266893 355639
-rect 267011 355521 284893 355639
-rect 285011 355521 295971 355639
-rect 296089 355521 296180 355639
-rect -4218 355479 296180 355521
-rect -4218 355361 -4127 355479
-rect -4009 355361 14893 355479
-rect 15011 355361 32893 355479
-rect 33011 355361 50893 355479
-rect 51011 355361 68893 355479
-rect 69011 355361 86893 355479
-rect 87011 355361 104893 355479
-rect 105011 355361 122893 355479
-rect 123011 355361 140893 355479
-rect 141011 355361 158893 355479
-rect 159011 355361 176893 355479
-rect 177011 355361 194893 355479
-rect 195011 355361 212893 355479
-rect 213011 355361 230893 355479
-rect 231011 355361 248893 355479
-rect 249011 355361 266893 355479
-rect 267011 355361 284893 355479
-rect 285011 355361 295971 355479
-rect 296089 355361 296180 355479
-rect -4218 355350 296180 355361
-rect -4218 355349 -3918 355350
-rect 14802 355349 15102 355350
-rect 32802 355349 33102 355350
-rect 50802 355349 51102 355350
-rect 68802 355349 69102 355350
-rect 86802 355349 87102 355350
-rect 104802 355349 105102 355350
-rect 122802 355349 123102 355350
-rect 140802 355349 141102 355350
-rect 158802 355349 159102 355350
-rect 176802 355349 177102 355350
-rect 194802 355349 195102 355350
-rect 212802 355349 213102 355350
-rect 230802 355349 231102 355350
-rect 248802 355349 249102 355350
-rect 266802 355349 267102 355350
-rect 284802 355349 285102 355350
-rect 295880 355349 296180 355350
-rect -3758 355190 -3458 355191
-rect 5802 355190 6102 355191
-rect 23802 355190 24102 355191
-rect 41802 355190 42102 355191
-rect 59802 355190 60102 355191
-rect 77802 355190 78102 355191
-rect 95802 355190 96102 355191
-rect 113802 355190 114102 355191
-rect 131802 355190 132102 355191
-rect 149802 355190 150102 355191
-rect 167802 355190 168102 355191
-rect 185802 355190 186102 355191
-rect 203802 355190 204102 355191
-rect 221802 355190 222102 355191
-rect 239802 355190 240102 355191
-rect 257802 355190 258102 355191
-rect 275802 355190 276102 355191
-rect 295420 355190 295720 355191
-rect -3758 355179 295720 355190
-rect -3758 355061 -3667 355179
-rect -3549 355061 5893 355179
-rect 6011 355061 23893 355179
-rect 24011 355061 41893 355179
-rect 42011 355061 59893 355179
-rect 60011 355061 77893 355179
-rect 78011 355061 95893 355179
-rect 96011 355061 113893 355179
-rect 114011 355061 131893 355179
-rect 132011 355061 149893 355179
-rect 150011 355061 167893 355179
-rect 168011 355061 185893 355179
-rect 186011 355061 203893 355179
-rect 204011 355061 221893 355179
-rect 222011 355061 239893 355179
-rect 240011 355061 257893 355179
-rect 258011 355061 275893 355179
-rect 276011 355061 295511 355179
-rect 295629 355061 295720 355179
-rect -3758 355019 295720 355061
-rect -3758 354901 -3667 355019
-rect -3549 354901 5893 355019
-rect 6011 354901 23893 355019
-rect 24011 354901 41893 355019
-rect 42011 354901 59893 355019
-rect 60011 354901 77893 355019
-rect 78011 354901 95893 355019
-rect 96011 354901 113893 355019
-rect 114011 354901 131893 355019
-rect 132011 354901 149893 355019
-rect 150011 354901 167893 355019
-rect 168011 354901 185893 355019
-rect 186011 354901 203893 355019
-rect 204011 354901 221893 355019
-rect 222011 354901 239893 355019
-rect 240011 354901 257893 355019
-rect 258011 354901 275893 355019
-rect 276011 354901 295511 355019
-rect 295629 354901 295720 355019
-rect -3758 354890 295720 354901
-rect -3758 354889 -3458 354890
-rect 5802 354889 6102 354890
-rect 23802 354889 24102 354890
-rect 41802 354889 42102 354890
-rect 59802 354889 60102 354890
-rect 77802 354889 78102 354890
-rect 95802 354889 96102 354890
-rect 113802 354889 114102 354890
-rect 131802 354889 132102 354890
-rect 149802 354889 150102 354890
-rect 167802 354889 168102 354890
-rect 185802 354889 186102 354890
-rect 203802 354889 204102 354890
-rect 221802 354889 222102 354890
-rect 239802 354889 240102 354890
-rect 257802 354889 258102 354890
-rect 275802 354889 276102 354890
-rect 295420 354889 295720 354890
-rect -3298 354730 -2998 354731
-rect 13002 354730 13302 354731
-rect 31002 354730 31302 354731
-rect 49002 354730 49302 354731
-rect 67002 354730 67302 354731
-rect 85002 354730 85302 354731
-rect 103002 354730 103302 354731
-rect 121002 354730 121302 354731
-rect 139002 354730 139302 354731
-rect 157002 354730 157302 354731
-rect 175002 354730 175302 354731
-rect 193002 354730 193302 354731
-rect 211002 354730 211302 354731
-rect 229002 354730 229302 354731
-rect 247002 354730 247302 354731
-rect 265002 354730 265302 354731
-rect 283002 354730 283302 354731
-rect 294960 354730 295260 354731
-rect -3298 354719 295260 354730
-rect -3298 354601 -3207 354719
-rect -3089 354601 13093 354719
-rect 13211 354601 31093 354719
-rect 31211 354601 49093 354719
-rect 49211 354601 67093 354719
-rect 67211 354601 85093 354719
-rect 85211 354601 103093 354719
-rect 103211 354601 121093 354719
-rect 121211 354601 139093 354719
-rect 139211 354601 157093 354719
-rect 157211 354601 175093 354719
-rect 175211 354601 193093 354719
-rect 193211 354601 211093 354719
-rect 211211 354601 229093 354719
-rect 229211 354601 247093 354719
-rect 247211 354601 265093 354719
-rect 265211 354601 283093 354719
-rect 283211 354601 295051 354719
-rect 295169 354601 295260 354719
-rect -3298 354559 295260 354601
-rect -3298 354441 -3207 354559
-rect -3089 354441 13093 354559
-rect 13211 354441 31093 354559
-rect 31211 354441 49093 354559
-rect 49211 354441 67093 354559
-rect 67211 354441 85093 354559
-rect 85211 354441 103093 354559
-rect 103211 354441 121093 354559
-rect 121211 354441 139093 354559
-rect 139211 354441 157093 354559
-rect 157211 354441 175093 354559
-rect 175211 354441 193093 354559
-rect 193211 354441 211093 354559
-rect 211211 354441 229093 354559
-rect 229211 354441 247093 354559
-rect 247211 354441 265093 354559
-rect 265211 354441 283093 354559
-rect 283211 354441 295051 354559
-rect 295169 354441 295260 354559
-rect -3298 354430 295260 354441
-rect -3298 354429 -2998 354430
-rect 13002 354429 13302 354430
-rect 31002 354429 31302 354430
-rect 49002 354429 49302 354430
-rect 67002 354429 67302 354430
-rect 85002 354429 85302 354430
-rect 103002 354429 103302 354430
-rect 121002 354429 121302 354430
-rect 139002 354429 139302 354430
-rect 157002 354429 157302 354430
-rect 175002 354429 175302 354430
-rect 193002 354429 193302 354430
-rect 211002 354429 211302 354430
-rect 229002 354429 229302 354430
-rect 247002 354429 247302 354430
-rect 265002 354429 265302 354430
-rect 283002 354429 283302 354430
-rect 294960 354429 295260 354430
-rect -2838 354270 -2538 354271
-rect 4002 354270 4302 354271
-rect 22002 354270 22302 354271
-rect 40002 354270 40302 354271
-rect 58002 354270 58302 354271
-rect 76002 354270 76302 354271
-rect 94002 354270 94302 354271
-rect 112002 354270 112302 354271
-rect 130002 354270 130302 354271
-rect 148002 354270 148302 354271
-rect 166002 354270 166302 354271
-rect 184002 354270 184302 354271
-rect 202002 354270 202302 354271
-rect 220002 354270 220302 354271
-rect 238002 354270 238302 354271
-rect 256002 354270 256302 354271
-rect 274002 354270 274302 354271
-rect 294500 354270 294800 354271
-rect -2838 354259 294800 354270
-rect -2838 354141 -2747 354259
-rect -2629 354141 4093 354259
-rect 4211 354141 22093 354259
-rect 22211 354141 40093 354259
-rect 40211 354141 58093 354259
-rect 58211 354141 76093 354259
-rect 76211 354141 94093 354259
-rect 94211 354141 112093 354259
-rect 112211 354141 130093 354259
-rect 130211 354141 148093 354259
-rect 148211 354141 166093 354259
-rect 166211 354141 184093 354259
-rect 184211 354141 202093 354259
-rect 202211 354141 220093 354259
-rect 220211 354141 238093 354259
-rect 238211 354141 256093 354259
-rect 256211 354141 274093 354259
-rect 274211 354141 294591 354259
-rect 294709 354141 294800 354259
-rect -2838 354099 294800 354141
-rect -2838 353981 -2747 354099
-rect -2629 353981 4093 354099
-rect 4211 353981 22093 354099
-rect 22211 353981 40093 354099
-rect 40211 353981 58093 354099
-rect 58211 353981 76093 354099
-rect 76211 353981 94093 354099
-rect 94211 353981 112093 354099
-rect 112211 353981 130093 354099
-rect 130211 353981 148093 354099
-rect 148211 353981 166093 354099
-rect 166211 353981 184093 354099
-rect 184211 353981 202093 354099
-rect 202211 353981 220093 354099
-rect 220211 353981 238093 354099
-rect 238211 353981 256093 354099
-rect 256211 353981 274093 354099
-rect 274211 353981 294591 354099
-rect 294709 353981 294800 354099
-rect -2838 353970 294800 353981
-rect -2838 353969 -2538 353970
-rect 4002 353969 4302 353970
-rect 22002 353969 22302 353970
-rect 40002 353969 40302 353970
-rect 58002 353969 58302 353970
-rect 76002 353969 76302 353970
-rect 94002 353969 94302 353970
-rect 112002 353969 112302 353970
-rect 130002 353969 130302 353970
-rect 148002 353969 148302 353970
-rect 166002 353969 166302 353970
-rect 184002 353969 184302 353970
-rect 202002 353969 202302 353970
-rect 220002 353969 220302 353970
-rect 238002 353969 238302 353970
-rect 256002 353969 256302 353970
-rect 274002 353969 274302 353970
-rect 294500 353969 294800 353970
-rect -2378 353810 -2078 353811
-rect 11202 353810 11502 353811
-rect 29202 353810 29502 353811
-rect 47202 353810 47502 353811
-rect 65202 353810 65502 353811
-rect 83202 353810 83502 353811
-rect 101202 353810 101502 353811
-rect 119202 353810 119502 353811
-rect 137202 353810 137502 353811
-rect 155202 353810 155502 353811
-rect 173202 353810 173502 353811
-rect 191202 353810 191502 353811
-rect 209202 353810 209502 353811
-rect 227202 353810 227502 353811
-rect 245202 353810 245502 353811
-rect 263202 353810 263502 353811
-rect 281202 353810 281502 353811
-rect 294040 353810 294340 353811
-rect -2378 353799 294340 353810
-rect -2378 353681 -2287 353799
-rect -2169 353681 11293 353799
-rect 11411 353681 29293 353799
-rect 29411 353681 47293 353799
-rect 47411 353681 65293 353799
-rect 65411 353681 83293 353799
-rect 83411 353681 101293 353799
-rect 101411 353681 119293 353799
-rect 119411 353681 137293 353799
-rect 137411 353681 155293 353799
-rect 155411 353681 173293 353799
-rect 173411 353681 191293 353799
-rect 191411 353681 209293 353799
-rect 209411 353681 227293 353799
-rect 227411 353681 245293 353799
-rect 245411 353681 263293 353799
-rect 263411 353681 281293 353799
-rect 281411 353681 294131 353799
-rect 294249 353681 294340 353799
-rect -2378 353639 294340 353681
-rect -2378 353521 -2287 353639
-rect -2169 353521 11293 353639
-rect 11411 353521 29293 353639
-rect 29411 353521 47293 353639
-rect 47411 353521 65293 353639
-rect 65411 353521 83293 353639
-rect 83411 353521 101293 353639
-rect 101411 353521 119293 353639
-rect 119411 353521 137293 353639
-rect 137411 353521 155293 353639
-rect 155411 353521 173293 353639
-rect 173411 353521 191293 353639
-rect 191411 353521 209293 353639
-rect 209411 353521 227293 353639
-rect 227411 353521 245293 353639
-rect 245411 353521 263293 353639
-rect 263411 353521 281293 353639
-rect 281411 353521 294131 353639
-rect 294249 353521 294340 353639
-rect -2378 353510 294340 353521
-rect -2378 353509 -2078 353510
-rect 11202 353509 11502 353510
-rect 29202 353509 29502 353510
-rect 47202 353509 47502 353510
-rect 65202 353509 65502 353510
-rect 83202 353509 83502 353510
-rect 101202 353509 101502 353510
-rect 119202 353509 119502 353510
-rect 137202 353509 137502 353510
-rect 155202 353509 155502 353510
-rect 173202 353509 173502 353510
-rect 191202 353509 191502 353510
-rect 209202 353509 209502 353510
-rect 227202 353509 227502 353510
-rect 245202 353509 245502 353510
-rect 263202 353509 263502 353510
-rect 281202 353509 281502 353510
-rect 294040 353509 294340 353510
-rect -1918 353350 -1618 353351
-rect 2202 353350 2502 353351
-rect 20202 353350 20502 353351
-rect 38202 353350 38502 353351
-rect 56202 353350 56502 353351
-rect 74202 353350 74502 353351
-rect 92202 353350 92502 353351
-rect 110202 353350 110502 353351
-rect 128202 353350 128502 353351
-rect 146202 353350 146502 353351
-rect 164202 353350 164502 353351
-rect 182202 353350 182502 353351
-rect 200202 353350 200502 353351
-rect 218202 353350 218502 353351
-rect 236202 353350 236502 353351
-rect 254202 353350 254502 353351
-rect 272202 353350 272502 353351
-rect 290202 353350 290502 353351
-rect 293580 353350 293880 353351
-rect -1918 353339 293880 353350
-rect -1918 353221 -1827 353339
-rect -1709 353221 2293 353339
-rect 2411 353221 20293 353339
-rect 20411 353221 38293 353339
-rect 38411 353221 56293 353339
-rect 56411 353221 74293 353339
-rect 74411 353221 92293 353339
-rect 92411 353221 110293 353339
-rect 110411 353221 128293 353339
-rect 128411 353221 146293 353339
-rect 146411 353221 164293 353339
-rect 164411 353221 182293 353339
-rect 182411 353221 200293 353339
-rect 200411 353221 218293 353339
-rect 218411 353221 236293 353339
-rect 236411 353221 254293 353339
-rect 254411 353221 272293 353339
-rect 272411 353221 290293 353339
-rect 290411 353221 293671 353339
-rect 293789 353221 293880 353339
-rect -1918 353179 293880 353221
-rect -1918 353061 -1827 353179
-rect -1709 353061 2293 353179
-rect 2411 353061 20293 353179
-rect 20411 353061 38293 353179
-rect 38411 353061 56293 353179
-rect 56411 353061 74293 353179
-rect 74411 353061 92293 353179
-rect 92411 353061 110293 353179
-rect 110411 353061 128293 353179
-rect 128411 353061 146293 353179
-rect 146411 353061 164293 353179
-rect 164411 353061 182293 353179
-rect 182411 353061 200293 353179
-rect 200411 353061 218293 353179
-rect 218411 353061 236293 353179
-rect 236411 353061 254293 353179
-rect 254411 353061 272293 353179
-rect 272411 353061 290293 353179
-rect 290411 353061 293671 353179
-rect 293789 353061 293880 353179
-rect -1918 353050 293880 353061
-rect -1918 353049 -1618 353050
-rect 2202 353049 2502 353050
-rect 20202 353049 20502 353050
-rect 38202 353049 38502 353050
-rect 56202 353049 56502 353050
-rect 74202 353049 74502 353050
-rect 92202 353049 92502 353050
-rect 110202 353049 110502 353050
-rect 128202 353049 128502 353050
-rect 146202 353049 146502 353050
-rect 164202 353049 164502 353050
-rect 182202 353049 182502 353050
-rect 200202 353049 200502 353050
-rect 218202 353049 218502 353050
-rect 236202 353049 236502 353050
-rect 254202 353049 254502 353050
-rect 272202 353049 272502 353050
-rect 290202 353049 290502 353050
-rect 293580 353049 293880 353050
-rect -1458 352890 -1158 352891
-rect 9402 352890 9702 352891
-rect 27402 352890 27702 352891
-rect 45402 352890 45702 352891
-rect 63402 352890 63702 352891
-rect 81402 352890 81702 352891
-rect 99402 352890 99702 352891
-rect 117402 352890 117702 352891
-rect 135402 352890 135702 352891
-rect 153402 352890 153702 352891
-rect 171402 352890 171702 352891
-rect 189402 352890 189702 352891
-rect 207402 352890 207702 352891
-rect 225402 352890 225702 352891
-rect 243402 352890 243702 352891
-rect 261402 352890 261702 352891
-rect 279402 352890 279702 352891
-rect 293120 352890 293420 352891
-rect -1458 352879 293420 352890
-rect -1458 352761 -1367 352879
-rect -1249 352761 9493 352879
-rect 9611 352761 27493 352879
-rect 27611 352761 45493 352879
-rect 45611 352761 63493 352879
-rect 63611 352761 81493 352879
-rect 81611 352761 99493 352879
-rect 99611 352761 117493 352879
-rect 117611 352761 135493 352879
-rect 135611 352761 153493 352879
-rect 153611 352761 171493 352879
-rect 171611 352761 189493 352879
-rect 189611 352761 207493 352879
-rect 207611 352761 225493 352879
-rect 225611 352761 243493 352879
-rect 243611 352761 261493 352879
-rect 261611 352761 279493 352879
-rect 279611 352761 293211 352879
-rect 293329 352761 293420 352879
-rect -1458 352719 293420 352761
-rect -1458 352601 -1367 352719
-rect -1249 352601 9493 352719
-rect 9611 352601 27493 352719
-rect 27611 352601 45493 352719
-rect 45611 352601 63493 352719
-rect 63611 352601 81493 352719
-rect 81611 352601 99493 352719
-rect 99611 352601 117493 352719
-rect 117611 352601 135493 352719
-rect 135611 352601 153493 352719
-rect 153611 352601 171493 352719
-rect 171611 352601 189493 352719
-rect 189611 352601 207493 352719
-rect 207611 352601 225493 352719
-rect 225611 352601 243493 352719
-rect 243611 352601 261493 352719
-rect 261611 352601 279493 352719
-rect 279611 352601 293211 352719
-rect 293329 352601 293420 352719
-rect -1458 352590 293420 352601
-rect -1458 352589 -1158 352590
-rect 9402 352589 9702 352590
-rect 27402 352589 27702 352590
-rect 45402 352589 45702 352590
-rect 63402 352589 63702 352590
-rect 81402 352589 81702 352590
-rect 99402 352589 99702 352590
-rect 117402 352589 117702 352590
-rect 135402 352589 135702 352590
-rect 153402 352589 153702 352590
-rect 171402 352589 171702 352590
-rect 189402 352589 189702 352590
-rect 207402 352589 207702 352590
-rect 225402 352589 225702 352590
-rect 243402 352589 243702 352590
-rect 261402 352589 261702 352590
-rect 279402 352589 279702 352590
-rect 293120 352589 293420 352590
-rect -998 352430 -698 352431
-rect 402 352430 702 352431
-rect 18402 352430 18702 352431
-rect 36402 352430 36702 352431
-rect 54402 352430 54702 352431
-rect 72402 352430 72702 352431
-rect 90402 352430 90702 352431
-rect 108402 352430 108702 352431
-rect 126402 352430 126702 352431
-rect 144402 352430 144702 352431
-rect 162402 352430 162702 352431
-rect 180402 352430 180702 352431
-rect 198402 352430 198702 352431
-rect 216402 352430 216702 352431
-rect 234402 352430 234702 352431
-rect 252402 352430 252702 352431
-rect 270402 352430 270702 352431
-rect 288402 352430 288702 352431
-rect 292660 352430 292960 352431
-rect -998 352419 292960 352430
-rect -998 352301 -907 352419
-rect -789 352301 493 352419
-rect 611 352301 18493 352419
-rect 18611 352301 36493 352419
-rect 36611 352301 54493 352419
-rect 54611 352301 72493 352419
-rect 72611 352301 90493 352419
-rect 90611 352301 108493 352419
-rect 108611 352301 126493 352419
-rect 126611 352301 144493 352419
-rect 144611 352301 162493 352419
-rect 162611 352301 180493 352419
-rect 180611 352301 198493 352419
-rect 198611 352301 216493 352419
-rect 216611 352301 234493 352419
-rect 234611 352301 252493 352419
-rect 252611 352301 270493 352419
-rect 270611 352301 288493 352419
-rect 288611 352301 292751 352419
-rect 292869 352301 292960 352419
-rect -998 352259 292960 352301
-rect -998 352141 -907 352259
-rect -789 352141 493 352259
-rect 611 352141 18493 352259
-rect 18611 352141 36493 352259
-rect 36611 352141 54493 352259
-rect 54611 352141 72493 352259
-rect 72611 352141 90493 352259
-rect 90611 352141 108493 352259
-rect 108611 352141 126493 352259
-rect 126611 352141 144493 352259
-rect 144611 352141 162493 352259
-rect 162611 352141 180493 352259
-rect 180611 352141 198493 352259
-rect 198611 352141 216493 352259
-rect 216611 352141 234493 352259
-rect 234611 352141 252493 352259
-rect 252611 352141 270493 352259
-rect 270611 352141 288493 352259
-rect 288611 352141 292751 352259
-rect 292869 352141 292960 352259
-rect -998 352130 292960 352141
-rect -998 352129 -698 352130
-rect 402 352129 702 352130
-rect 18402 352129 18702 352130
-rect 36402 352129 36702 352130
-rect 54402 352129 54702 352130
-rect 72402 352129 72702 352130
-rect 90402 352129 90702 352130
-rect 108402 352129 108702 352130
-rect 126402 352129 126702 352130
-rect 144402 352129 144702 352130
-rect 162402 352129 162702 352130
-rect 180402 352129 180702 352130
-rect 198402 352129 198702 352130
-rect 216402 352129 216702 352130
-rect 234402 352129 234702 352130
-rect 252402 352129 252702 352130
-rect 270402 352129 270702 352130
-rect 288402 352129 288702 352130
-rect 292660 352129 292960 352130
-rect -3758 348638 -3458 348639
-rect 295420 348638 295720 348639
-rect -4218 348627 240 348638
-rect -4218 348509 -3667 348627
-rect -3549 348509 240 348627
-rect -4218 348467 240 348509
-rect -4218 348349 -3667 348467
-rect -3549 348349 240 348467
-rect -4218 348338 240 348349
-rect 291760 348627 296180 348638
-rect 291760 348509 295511 348627
-rect 295629 348509 296180 348627
-rect 291760 348467 296180 348509
-rect 291760 348349 295511 348467
-rect 295629 348349 296180 348467
-rect 291760 348338 296180 348349
-rect -3758 348337 -3458 348338
-rect 295420 348337 295720 348338
-rect -2838 346838 -2538 346839
-rect 294500 346838 294800 346839
-rect -3298 346827 240 346838
-rect -3298 346709 -2747 346827
-rect -2629 346709 240 346827
-rect -3298 346667 240 346709
-rect -3298 346549 -2747 346667
-rect -2629 346549 240 346667
-rect -3298 346538 240 346549
-rect 291760 346827 295260 346838
-rect 291760 346709 294591 346827
-rect 294709 346709 295260 346827
-rect 291760 346667 295260 346709
-rect 291760 346549 294591 346667
-rect 294709 346549 295260 346667
-rect 291760 346538 295260 346549
-rect -2838 346537 -2538 346538
-rect 294500 346537 294800 346538
-rect -1918 345038 -1618 345039
-rect 293580 345038 293880 345039
-rect -2378 345027 240 345038
-rect -2378 344909 -1827 345027
-rect -1709 344909 240 345027
-rect -2378 344867 240 344909
-rect -2378 344749 -1827 344867
-rect -1709 344749 240 344867
-rect -2378 344738 240 344749
-rect 291760 345027 294340 345038
-rect 291760 344909 293671 345027
-rect 293789 344909 294340 345027
-rect 291760 344867 294340 344909
-rect 291760 344749 293671 344867
-rect 293789 344749 294340 344867
-rect 291760 344738 294340 344749
-rect -1918 344737 -1618 344738
-rect 293580 344737 293880 344738
-rect -998 343238 -698 343239
-rect 292660 343238 292960 343239
-rect -1458 343227 240 343238
-rect -1458 343109 -907 343227
-rect -789 343109 240 343227
-rect -1458 343067 240 343109
-rect -1458 342949 -907 343067
-rect -789 342949 240 343067
-rect -1458 342938 240 342949
-rect 291760 343227 293420 343238
-rect 291760 343109 292751 343227
-rect 292869 343109 293420 343227
-rect 291760 343067 293420 343109
-rect 291760 342949 292751 343067
-rect 292869 342949 293420 343067
-rect 291760 342938 293420 342949
-rect -998 342937 -698 342938
-rect 292660 342937 292960 342938
-rect -4218 339638 -3918 339639
-rect 295880 339638 296180 339639
-rect -4218 339627 240 339638
-rect -4218 339509 -4127 339627
-rect -4009 339509 240 339627
-rect -4218 339467 240 339509
-rect -4218 339349 -4127 339467
-rect -4009 339349 240 339467
-rect -4218 339338 240 339349
-rect 291760 339627 296180 339638
-rect 291760 339509 295971 339627
-rect 296089 339509 296180 339627
-rect 291760 339467 296180 339509
-rect 291760 339349 295971 339467
-rect 296089 339349 296180 339467
-rect 291760 339338 296180 339349
-rect -4218 339337 -3918 339338
-rect 295880 339337 296180 339338
-rect -3298 337838 -2998 337839
-rect 294960 337838 295260 337839
-rect -3298 337827 240 337838
-rect -3298 337709 -3207 337827
-rect -3089 337709 240 337827
-rect -3298 337667 240 337709
-rect -3298 337549 -3207 337667
-rect -3089 337549 240 337667
-rect -3298 337538 240 337549
-rect 291760 337827 295260 337838
-rect 291760 337709 295051 337827
-rect 295169 337709 295260 337827
-rect 291760 337667 295260 337709
-rect 291760 337549 295051 337667
-rect 295169 337549 295260 337667
-rect 291760 337538 295260 337549
-rect -3298 337537 -2998 337538
-rect 294960 337537 295260 337538
-rect -2378 336038 -2078 336039
-rect 294040 336038 294340 336039
-rect -2378 336027 240 336038
-rect -2378 335909 -2287 336027
-rect -2169 335909 240 336027
-rect -2378 335867 240 335909
-rect -2378 335749 -2287 335867
-rect -2169 335749 240 335867
-rect -2378 335738 240 335749
-rect 291760 336027 294340 336038
-rect 291760 335909 294131 336027
-rect 294249 335909 294340 336027
-rect 291760 335867 294340 335909
-rect 291760 335749 294131 335867
-rect 294249 335749 294340 335867
-rect 291760 335738 294340 335749
-rect -2378 335737 -2078 335738
-rect 294040 335737 294340 335738
-rect -1458 334238 -1158 334239
-rect 293120 334238 293420 334239
-rect -1458 334227 240 334238
-rect -1458 334109 -1367 334227
-rect -1249 334109 240 334227
-rect -1458 334067 240 334109
-rect -1458 333949 -1367 334067
-rect -1249 333949 240 334067
-rect -1458 333938 240 333949
-rect 291760 334227 293420 334238
-rect 291760 334109 293211 334227
-rect 293329 334109 293420 334227
-rect 291760 334067 293420 334109
-rect 291760 333949 293211 334067
-rect 293329 333949 293420 334067
-rect 291760 333938 293420 333949
-rect -1458 333937 -1158 333938
-rect 293120 333937 293420 333938
-rect -3758 330638 -3458 330639
-rect 295420 330638 295720 330639
-rect -4218 330627 240 330638
-rect -4218 330509 -3667 330627
-rect -3549 330509 240 330627
-rect -4218 330467 240 330509
-rect -4218 330349 -3667 330467
-rect -3549 330349 240 330467
-rect -4218 330338 240 330349
-rect 291760 330627 296180 330638
-rect 291760 330509 295511 330627
-rect 295629 330509 296180 330627
-rect 291760 330467 296180 330509
-rect 291760 330349 295511 330467
-rect 295629 330349 296180 330467
-rect 291760 330338 296180 330349
-rect -3758 330337 -3458 330338
-rect 295420 330337 295720 330338
-rect -2838 328838 -2538 328839
-rect 294500 328838 294800 328839
-rect -3298 328827 240 328838
-rect -3298 328709 -2747 328827
-rect -2629 328709 240 328827
-rect -3298 328667 240 328709
-rect -3298 328549 -2747 328667
-rect -2629 328549 240 328667
-rect -3298 328538 240 328549
-rect 291760 328827 295260 328838
-rect 291760 328709 294591 328827
-rect 294709 328709 295260 328827
-rect 291760 328667 295260 328709
-rect 291760 328549 294591 328667
-rect 294709 328549 295260 328667
-rect 291760 328538 295260 328549
-rect -2838 328537 -2538 328538
-rect 294500 328537 294800 328538
-rect -1918 327038 -1618 327039
-rect 293580 327038 293880 327039
-rect -2378 327027 240 327038
-rect -2378 326909 -1827 327027
-rect -1709 326909 240 327027
-rect -2378 326867 240 326909
-rect -2378 326749 -1827 326867
-rect -1709 326749 240 326867
-rect -2378 326738 240 326749
-rect 291760 327027 294340 327038
-rect 291760 326909 293671 327027
-rect 293789 326909 294340 327027
-rect 291760 326867 294340 326909
-rect 291760 326749 293671 326867
-rect 293789 326749 294340 326867
-rect 291760 326738 294340 326749
-rect -1918 326737 -1618 326738
-rect 293580 326737 293880 326738
-rect -998 325238 -698 325239
-rect 292660 325238 292960 325239
-rect -1458 325227 240 325238
-rect -1458 325109 -907 325227
-rect -789 325109 240 325227
-rect -1458 325067 240 325109
-rect -1458 324949 -907 325067
-rect -789 324949 240 325067
-rect -1458 324938 240 324949
-rect 291760 325227 293420 325238
-rect 291760 325109 292751 325227
-rect 292869 325109 293420 325227
-rect 291760 325067 293420 325109
-rect 291760 324949 292751 325067
-rect 292869 324949 293420 325067
-rect 291760 324938 293420 324949
-rect -998 324937 -698 324938
-rect 292660 324937 292960 324938
-rect -4218 321638 -3918 321639
-rect 295880 321638 296180 321639
-rect -4218 321627 240 321638
-rect -4218 321509 -4127 321627
-rect -4009 321509 240 321627
-rect -4218 321467 240 321509
-rect -4218 321349 -4127 321467
-rect -4009 321349 240 321467
-rect -4218 321338 240 321349
-rect 291760 321627 296180 321638
-rect 291760 321509 295971 321627
-rect 296089 321509 296180 321627
-rect 291760 321467 296180 321509
-rect 291760 321349 295971 321467
-rect 296089 321349 296180 321467
-rect 291760 321338 296180 321349
-rect -4218 321337 -3918 321338
-rect 295880 321337 296180 321338
-rect -3298 319838 -2998 319839
-rect 294960 319838 295260 319839
-rect -3298 319827 240 319838
-rect -3298 319709 -3207 319827
-rect -3089 319709 240 319827
-rect -3298 319667 240 319709
-rect -3298 319549 -3207 319667
-rect -3089 319549 240 319667
-rect -3298 319538 240 319549
-rect 291760 319827 295260 319838
-rect 291760 319709 295051 319827
-rect 295169 319709 295260 319827
-rect 291760 319667 295260 319709
-rect 291760 319549 295051 319667
-rect 295169 319549 295260 319667
-rect 291760 319538 295260 319549
-rect -3298 319537 -2998 319538
-rect 294960 319537 295260 319538
-rect -2378 318038 -2078 318039
-rect 294040 318038 294340 318039
-rect -2378 318027 240 318038
-rect -2378 317909 -2287 318027
-rect -2169 317909 240 318027
-rect -2378 317867 240 317909
-rect -2378 317749 -2287 317867
-rect -2169 317749 240 317867
-rect -2378 317738 240 317749
-rect 291760 318027 294340 318038
-rect 291760 317909 294131 318027
-rect 294249 317909 294340 318027
-rect 291760 317867 294340 317909
-rect 291760 317749 294131 317867
-rect 294249 317749 294340 317867
-rect 291760 317738 294340 317749
-rect -2378 317737 -2078 317738
-rect 294040 317737 294340 317738
-rect -1458 316238 -1158 316239
-rect 293120 316238 293420 316239
-rect -1458 316227 240 316238
-rect -1458 316109 -1367 316227
-rect -1249 316109 240 316227
-rect -1458 316067 240 316109
-rect -1458 315949 -1367 316067
-rect -1249 315949 240 316067
-rect -1458 315938 240 315949
-rect 291760 316227 293420 316238
-rect 291760 316109 293211 316227
-rect 293329 316109 293420 316227
-rect 291760 316067 293420 316109
-rect 291760 315949 293211 316067
-rect 293329 315949 293420 316067
-rect 291760 315938 293420 315949
-rect -1458 315937 -1158 315938
-rect 293120 315937 293420 315938
-rect -3758 312638 -3458 312639
-rect 295420 312638 295720 312639
-rect -4218 312627 240 312638
-rect -4218 312509 -3667 312627
-rect -3549 312509 240 312627
-rect -4218 312467 240 312509
-rect -4218 312349 -3667 312467
-rect -3549 312349 240 312467
-rect -4218 312338 240 312349
-rect 291760 312627 296180 312638
-rect 291760 312509 295511 312627
-rect 295629 312509 296180 312627
-rect 291760 312467 296180 312509
-rect 291760 312349 295511 312467
-rect 295629 312349 296180 312467
-rect 291760 312338 296180 312349
-rect -3758 312337 -3458 312338
-rect 295420 312337 295720 312338
-rect -2838 310838 -2538 310839
-rect 294500 310838 294800 310839
-rect -3298 310827 240 310838
-rect -3298 310709 -2747 310827
-rect -2629 310709 240 310827
-rect -3298 310667 240 310709
-rect -3298 310549 -2747 310667
-rect -2629 310549 240 310667
-rect -3298 310538 240 310549
-rect 291760 310827 295260 310838
-rect 291760 310709 294591 310827
-rect 294709 310709 295260 310827
-rect 291760 310667 295260 310709
-rect 291760 310549 294591 310667
-rect 294709 310549 295260 310667
-rect 291760 310538 295260 310549
-rect -2838 310537 -2538 310538
-rect 294500 310537 294800 310538
-rect -1918 309038 -1618 309039
-rect 293580 309038 293880 309039
-rect -2378 309027 240 309038
-rect -2378 308909 -1827 309027
-rect -1709 308909 240 309027
-rect -2378 308867 240 308909
-rect -2378 308749 -1827 308867
-rect -1709 308749 240 308867
-rect -2378 308738 240 308749
-rect 291760 309027 294340 309038
-rect 291760 308909 293671 309027
-rect 293789 308909 294340 309027
-rect 291760 308867 294340 308909
-rect 291760 308749 293671 308867
-rect 293789 308749 294340 308867
-rect 291760 308738 294340 308749
-rect -1918 308737 -1618 308738
-rect 293580 308737 293880 308738
-rect -998 307238 -698 307239
-rect 292660 307238 292960 307239
-rect -1458 307227 240 307238
-rect -1458 307109 -907 307227
-rect -789 307109 240 307227
-rect -1458 307067 240 307109
-rect -1458 306949 -907 307067
-rect -789 306949 240 307067
-rect -1458 306938 240 306949
-rect 291760 307227 293420 307238
-rect 291760 307109 292751 307227
-rect 292869 307109 293420 307227
-rect 291760 307067 293420 307109
-rect 291760 306949 292751 307067
-rect 292869 306949 293420 307067
-rect 291760 306938 293420 306949
-rect -998 306937 -698 306938
-rect 292660 306937 292960 306938
-rect -4218 303638 -3918 303639
-rect 295880 303638 296180 303639
-rect -4218 303627 240 303638
-rect -4218 303509 -4127 303627
-rect -4009 303509 240 303627
-rect -4218 303467 240 303509
-rect -4218 303349 -4127 303467
-rect -4009 303349 240 303467
-rect -4218 303338 240 303349
-rect 291760 303627 296180 303638
-rect 291760 303509 295971 303627
-rect 296089 303509 296180 303627
-rect 291760 303467 296180 303509
-rect 291760 303349 295971 303467
-rect 296089 303349 296180 303467
-rect 291760 303338 296180 303349
-rect -4218 303337 -3918 303338
-rect 295880 303337 296180 303338
-rect -3298 301838 -2998 301839
-rect 294960 301838 295260 301839
-rect -3298 301827 240 301838
-rect -3298 301709 -3207 301827
-rect -3089 301709 240 301827
-rect -3298 301667 240 301709
-rect -3298 301549 -3207 301667
-rect -3089 301549 240 301667
-rect -3298 301538 240 301549
-rect 291760 301827 295260 301838
-rect 291760 301709 295051 301827
-rect 295169 301709 295260 301827
-rect 291760 301667 295260 301709
-rect 291760 301549 295051 301667
-rect 295169 301549 295260 301667
-rect 291760 301538 295260 301549
-rect -3298 301537 -2998 301538
-rect 294960 301537 295260 301538
-rect -2378 300038 -2078 300039
-rect 294040 300038 294340 300039
-rect -2378 300027 240 300038
-rect -2378 299909 -2287 300027
-rect -2169 299909 240 300027
-rect -2378 299867 240 299909
-rect -2378 299749 -2287 299867
-rect -2169 299749 240 299867
-rect -2378 299738 240 299749
-rect 291760 300027 294340 300038
-rect 291760 299909 294131 300027
-rect 294249 299909 294340 300027
-rect 291760 299867 294340 299909
-rect 291760 299749 294131 299867
-rect 294249 299749 294340 299867
-rect 291760 299738 294340 299749
-rect -2378 299737 -2078 299738
-rect 294040 299737 294340 299738
-rect -1458 298238 -1158 298239
-rect 293120 298238 293420 298239
-rect -1458 298227 240 298238
-rect -1458 298109 -1367 298227
-rect -1249 298109 240 298227
-rect -1458 298067 240 298109
-rect -1458 297949 -1367 298067
-rect -1249 297949 240 298067
-rect -1458 297938 240 297949
-rect 291760 298227 293420 298238
-rect 291760 298109 293211 298227
-rect 293329 298109 293420 298227
-rect 291760 298067 293420 298109
-rect 291760 297949 293211 298067
-rect 293329 297949 293420 298067
-rect 291760 297938 293420 297949
-rect -1458 297937 -1158 297938
-rect 293120 297937 293420 297938
-rect -3758 294638 -3458 294639
-rect 295420 294638 295720 294639
-rect -4218 294627 240 294638
-rect -4218 294509 -3667 294627
-rect -3549 294509 240 294627
-rect -4218 294467 240 294509
-rect -4218 294349 -3667 294467
-rect -3549 294349 240 294467
-rect -4218 294338 240 294349
-rect 291760 294627 296180 294638
-rect 291760 294509 295511 294627
-rect 295629 294509 296180 294627
-rect 291760 294467 296180 294509
-rect 291760 294349 295511 294467
-rect 295629 294349 296180 294467
-rect 291760 294338 296180 294349
-rect -3758 294337 -3458 294338
-rect 295420 294337 295720 294338
-rect -2838 292838 -2538 292839
-rect 294500 292838 294800 292839
-rect -3298 292827 240 292838
-rect -3298 292709 -2747 292827
-rect -2629 292709 240 292827
-rect -3298 292667 240 292709
-rect -3298 292549 -2747 292667
-rect -2629 292549 240 292667
-rect -3298 292538 240 292549
-rect 291760 292827 295260 292838
-rect 291760 292709 294591 292827
-rect 294709 292709 295260 292827
-rect 291760 292667 295260 292709
-rect 291760 292549 294591 292667
-rect 294709 292549 295260 292667
-rect 291760 292538 295260 292549
-rect -2838 292537 -2538 292538
-rect 294500 292537 294800 292538
-rect -1918 291038 -1618 291039
-rect 293580 291038 293880 291039
-rect -2378 291027 240 291038
-rect -2378 290909 -1827 291027
-rect -1709 290909 240 291027
-rect -2378 290867 240 290909
-rect -2378 290749 -1827 290867
-rect -1709 290749 240 290867
-rect -2378 290738 240 290749
-rect 291760 291027 294340 291038
-rect 291760 290909 293671 291027
-rect 293789 290909 294340 291027
-rect 291760 290867 294340 290909
-rect 291760 290749 293671 290867
-rect 293789 290749 294340 290867
-rect 291760 290738 294340 290749
-rect -1918 290737 -1618 290738
-rect 293580 290737 293880 290738
-rect -998 289238 -698 289239
-rect 292660 289238 292960 289239
-rect -1458 289227 240 289238
-rect -1458 289109 -907 289227
-rect -789 289109 240 289227
-rect -1458 289067 240 289109
-rect -1458 288949 -907 289067
-rect -789 288949 240 289067
-rect -1458 288938 240 288949
-rect 291760 289227 293420 289238
-rect 291760 289109 292751 289227
-rect 292869 289109 293420 289227
-rect 291760 289067 293420 289109
-rect 291760 288949 292751 289067
-rect 292869 288949 293420 289067
-rect 291760 288938 293420 288949
-rect -998 288937 -698 288938
-rect 292660 288937 292960 288938
-rect -4218 285638 -3918 285639
-rect 295880 285638 296180 285639
-rect -4218 285627 240 285638
-rect -4218 285509 -4127 285627
-rect -4009 285509 240 285627
-rect -4218 285467 240 285509
-rect -4218 285349 -4127 285467
-rect -4009 285349 240 285467
-rect -4218 285338 240 285349
-rect 291760 285627 296180 285638
-rect 291760 285509 295971 285627
-rect 296089 285509 296180 285627
-rect 291760 285467 296180 285509
-rect 291760 285349 295971 285467
-rect 296089 285349 296180 285467
-rect 291760 285338 296180 285349
-rect -4218 285337 -3918 285338
-rect 295880 285337 296180 285338
-rect -3298 283838 -2998 283839
-rect 294960 283838 295260 283839
-rect -3298 283827 240 283838
-rect -3298 283709 -3207 283827
-rect -3089 283709 240 283827
-rect -3298 283667 240 283709
-rect -3298 283549 -3207 283667
-rect -3089 283549 240 283667
-rect -3298 283538 240 283549
-rect 291760 283827 295260 283838
-rect 291760 283709 295051 283827
-rect 295169 283709 295260 283827
-rect 291760 283667 295260 283709
-rect 291760 283549 295051 283667
-rect 295169 283549 295260 283667
-rect 291760 283538 295260 283549
-rect -3298 283537 -2998 283538
-rect 294960 283537 295260 283538
-rect -2378 282038 -2078 282039
-rect 294040 282038 294340 282039
-rect -2378 282027 240 282038
-rect -2378 281909 -2287 282027
-rect -2169 281909 240 282027
-rect -2378 281867 240 281909
-rect -2378 281749 -2287 281867
-rect -2169 281749 240 281867
-rect -2378 281738 240 281749
-rect 291760 282027 294340 282038
-rect 291760 281909 294131 282027
-rect 294249 281909 294340 282027
-rect 291760 281867 294340 281909
-rect 291760 281749 294131 281867
-rect 294249 281749 294340 281867
-rect 291760 281738 294340 281749
-rect -2378 281737 -2078 281738
-rect 294040 281737 294340 281738
-rect -1458 280238 -1158 280239
-rect 293120 280238 293420 280239
-rect -1458 280227 240 280238
-rect -1458 280109 -1367 280227
-rect -1249 280109 240 280227
-rect -1458 280067 240 280109
-rect -1458 279949 -1367 280067
-rect -1249 279949 240 280067
-rect -1458 279938 240 279949
-rect 291760 280227 293420 280238
-rect 291760 280109 293211 280227
-rect 293329 280109 293420 280227
-rect 291760 280067 293420 280109
-rect 291760 279949 293211 280067
-rect 293329 279949 293420 280067
-rect 291760 279938 293420 279949
-rect -1458 279937 -1158 279938
-rect 293120 279937 293420 279938
-rect -3758 276638 -3458 276639
-rect 295420 276638 295720 276639
-rect -4218 276627 240 276638
-rect -4218 276509 -3667 276627
-rect -3549 276509 240 276627
-rect -4218 276467 240 276509
-rect -4218 276349 -3667 276467
-rect -3549 276349 240 276467
-rect -4218 276338 240 276349
-rect 291760 276627 296180 276638
-rect 291760 276509 295511 276627
-rect 295629 276509 296180 276627
-rect 291760 276467 296180 276509
-rect 291760 276349 295511 276467
-rect 295629 276349 296180 276467
-rect 291760 276338 296180 276349
-rect -3758 276337 -3458 276338
-rect 295420 276337 295720 276338
-rect -2838 274838 -2538 274839
-rect 294500 274838 294800 274839
-rect -3298 274827 240 274838
-rect -3298 274709 -2747 274827
-rect -2629 274709 240 274827
-rect -3298 274667 240 274709
-rect -3298 274549 -2747 274667
-rect -2629 274549 240 274667
-rect -3298 274538 240 274549
-rect 291760 274827 295260 274838
-rect 291760 274709 294591 274827
-rect 294709 274709 295260 274827
-rect 291760 274667 295260 274709
-rect 291760 274549 294591 274667
-rect 294709 274549 295260 274667
-rect 291760 274538 295260 274549
-rect -2838 274537 -2538 274538
-rect 294500 274537 294800 274538
-rect -1918 273038 -1618 273039
-rect 293580 273038 293880 273039
-rect -2378 273027 240 273038
-rect -2378 272909 -1827 273027
-rect -1709 272909 240 273027
-rect -2378 272867 240 272909
-rect -2378 272749 -1827 272867
-rect -1709 272749 240 272867
-rect -2378 272738 240 272749
-rect 291760 273027 294340 273038
-rect 291760 272909 293671 273027
-rect 293789 272909 294340 273027
-rect 291760 272867 294340 272909
-rect 291760 272749 293671 272867
-rect 293789 272749 294340 272867
-rect 291760 272738 294340 272749
-rect -1918 272737 -1618 272738
-rect 293580 272737 293880 272738
-rect -998 271238 -698 271239
-rect 292660 271238 292960 271239
-rect -1458 271227 240 271238
-rect -1458 271109 -907 271227
-rect -789 271109 240 271227
-rect -1458 271067 240 271109
-rect -1458 270949 -907 271067
-rect -789 270949 240 271067
-rect -1458 270938 240 270949
-rect 291760 271227 293420 271238
-rect 291760 271109 292751 271227
-rect 292869 271109 293420 271227
-rect 291760 271067 293420 271109
-rect 291760 270949 292751 271067
-rect 292869 270949 293420 271067
-rect 291760 270938 293420 270949
-rect -998 270937 -698 270938
-rect 292660 270937 292960 270938
-rect -4218 267638 -3918 267639
-rect 295880 267638 296180 267639
-rect -4218 267627 240 267638
-rect -4218 267509 -4127 267627
-rect -4009 267509 240 267627
-rect -4218 267467 240 267509
-rect -4218 267349 -4127 267467
-rect -4009 267349 240 267467
-rect -4218 267338 240 267349
-rect 291760 267627 296180 267638
-rect 291760 267509 295971 267627
-rect 296089 267509 296180 267627
-rect 291760 267467 296180 267509
-rect 291760 267349 295971 267467
-rect 296089 267349 296180 267467
-rect 291760 267338 296180 267349
-rect -4218 267337 -3918 267338
-rect 295880 267337 296180 267338
-rect -3298 265838 -2998 265839
-rect 294960 265838 295260 265839
-rect -3298 265827 240 265838
-rect -3298 265709 -3207 265827
-rect -3089 265709 240 265827
-rect -3298 265667 240 265709
-rect -3298 265549 -3207 265667
-rect -3089 265549 240 265667
-rect -3298 265538 240 265549
-rect 291760 265827 295260 265838
-rect 291760 265709 295051 265827
-rect 295169 265709 295260 265827
-rect 291760 265667 295260 265709
-rect 291760 265549 295051 265667
-rect 295169 265549 295260 265667
-rect 291760 265538 295260 265549
-rect -3298 265537 -2998 265538
-rect 294960 265537 295260 265538
-rect -2378 264038 -2078 264039
-rect 294040 264038 294340 264039
-rect -2378 264027 240 264038
-rect -2378 263909 -2287 264027
-rect -2169 263909 240 264027
-rect -2378 263867 240 263909
-rect -2378 263749 -2287 263867
-rect -2169 263749 240 263867
-rect -2378 263738 240 263749
-rect 291760 264027 294340 264038
-rect 291760 263909 294131 264027
-rect 294249 263909 294340 264027
-rect 291760 263867 294340 263909
-rect 291760 263749 294131 263867
-rect 294249 263749 294340 263867
-rect 291760 263738 294340 263749
-rect -2378 263737 -2078 263738
-rect 294040 263737 294340 263738
-rect -1458 262238 -1158 262239
-rect 293120 262238 293420 262239
-rect -1458 262227 240 262238
-rect -1458 262109 -1367 262227
-rect -1249 262109 240 262227
-rect -1458 262067 240 262109
-rect -1458 261949 -1367 262067
-rect -1249 261949 240 262067
-rect -1458 261938 240 261949
-rect 291760 262227 293420 262238
-rect 291760 262109 293211 262227
-rect 293329 262109 293420 262227
-rect 291760 262067 293420 262109
-rect 291760 261949 293211 262067
-rect 293329 261949 293420 262067
-rect 291760 261938 293420 261949
-rect -1458 261937 -1158 261938
-rect 293120 261937 293420 261938
-rect -3758 258638 -3458 258639
-rect 295420 258638 295720 258639
-rect -4218 258627 240 258638
-rect -4218 258509 -3667 258627
-rect -3549 258509 240 258627
-rect -4218 258467 240 258509
-rect -4218 258349 -3667 258467
-rect -3549 258349 240 258467
-rect -4218 258338 240 258349
-rect 291760 258627 296180 258638
-rect 291760 258509 295511 258627
-rect 295629 258509 296180 258627
-rect 291760 258467 296180 258509
-rect 291760 258349 295511 258467
-rect 295629 258349 296180 258467
-rect 291760 258338 296180 258349
-rect -3758 258337 -3458 258338
-rect 295420 258337 295720 258338
-rect -2838 256838 -2538 256839
-rect 294500 256838 294800 256839
-rect -3298 256827 240 256838
-rect -3298 256709 -2747 256827
-rect -2629 256709 240 256827
-rect -3298 256667 240 256709
-rect -3298 256549 -2747 256667
-rect -2629 256549 240 256667
-rect -3298 256538 240 256549
-rect 291760 256827 295260 256838
-rect 291760 256709 294591 256827
-rect 294709 256709 295260 256827
-rect 291760 256667 295260 256709
-rect 291760 256549 294591 256667
-rect 294709 256549 295260 256667
-rect 291760 256538 295260 256549
-rect -2838 256537 -2538 256538
-rect 294500 256537 294800 256538
-rect -1918 255038 -1618 255039
-rect 293580 255038 293880 255039
-rect -2378 255027 240 255038
-rect -2378 254909 -1827 255027
-rect -1709 254909 240 255027
-rect -2378 254867 240 254909
-rect -2378 254749 -1827 254867
-rect -1709 254749 240 254867
-rect -2378 254738 240 254749
-rect 291760 255027 294340 255038
-rect 291760 254909 293671 255027
-rect 293789 254909 294340 255027
-rect 291760 254867 294340 254909
-rect 291760 254749 293671 254867
-rect 293789 254749 294340 254867
-rect 291760 254738 294340 254749
-rect -1918 254737 -1618 254738
-rect 293580 254737 293880 254738
-rect -998 253238 -698 253239
-rect 292660 253238 292960 253239
-rect -1458 253227 240 253238
-rect -1458 253109 -907 253227
-rect -789 253109 240 253227
-rect -1458 253067 240 253109
-rect -1458 252949 -907 253067
-rect -789 252949 240 253067
-rect -1458 252938 240 252949
-rect 291760 253227 293420 253238
-rect 291760 253109 292751 253227
-rect 292869 253109 293420 253227
-rect 291760 253067 293420 253109
-rect 291760 252949 292751 253067
-rect 292869 252949 293420 253067
-rect 291760 252938 293420 252949
-rect -998 252937 -698 252938
-rect 292660 252937 292960 252938
-rect -4218 249638 -3918 249639
-rect 295880 249638 296180 249639
-rect -4218 249627 240 249638
-rect -4218 249509 -4127 249627
-rect -4009 249509 240 249627
-rect -4218 249467 240 249509
-rect -4218 249349 -4127 249467
-rect -4009 249349 240 249467
-rect -4218 249338 240 249349
-rect 291760 249627 296180 249638
-rect 291760 249509 295971 249627
-rect 296089 249509 296180 249627
-rect 291760 249467 296180 249509
-rect 291760 249349 295971 249467
-rect 296089 249349 296180 249467
-rect 291760 249338 296180 249349
-rect -4218 249337 -3918 249338
-rect 295880 249337 296180 249338
-rect -3298 247838 -2998 247839
-rect 294960 247838 295260 247839
-rect -3298 247827 240 247838
-rect -3298 247709 -3207 247827
-rect -3089 247709 240 247827
-rect -3298 247667 240 247709
-rect -3298 247549 -3207 247667
-rect -3089 247549 240 247667
-rect -3298 247538 240 247549
-rect 291760 247827 295260 247838
-rect 291760 247709 295051 247827
-rect 295169 247709 295260 247827
-rect 291760 247667 295260 247709
-rect 291760 247549 295051 247667
-rect 295169 247549 295260 247667
-rect 291760 247538 295260 247549
-rect -3298 247537 -2998 247538
-rect 294960 247537 295260 247538
-rect -2378 246038 -2078 246039
-rect 294040 246038 294340 246039
-rect -2378 246027 240 246038
-rect -2378 245909 -2287 246027
-rect -2169 245909 240 246027
-rect -2378 245867 240 245909
-rect -2378 245749 -2287 245867
-rect -2169 245749 240 245867
-rect -2378 245738 240 245749
-rect 291760 246027 294340 246038
-rect 291760 245909 294131 246027
-rect 294249 245909 294340 246027
-rect 291760 245867 294340 245909
-rect 291760 245749 294131 245867
-rect 294249 245749 294340 245867
-rect 291760 245738 294340 245749
-rect -2378 245737 -2078 245738
-rect 294040 245737 294340 245738
-rect -1458 244238 -1158 244239
-rect 293120 244238 293420 244239
-rect -1458 244227 240 244238
-rect -1458 244109 -1367 244227
-rect -1249 244109 240 244227
-rect -1458 244067 240 244109
-rect -1458 243949 -1367 244067
-rect -1249 243949 240 244067
-rect -1458 243938 240 243949
-rect 291760 244227 293420 244238
-rect 291760 244109 293211 244227
-rect 293329 244109 293420 244227
-rect 291760 244067 293420 244109
-rect 291760 243949 293211 244067
-rect 293329 243949 293420 244067
-rect 291760 243938 293420 243949
-rect -1458 243937 -1158 243938
-rect 293120 243937 293420 243938
-rect -3758 240638 -3458 240639
-rect 295420 240638 295720 240639
-rect -4218 240627 240 240638
-rect -4218 240509 -3667 240627
-rect -3549 240509 240 240627
-rect -4218 240467 240 240509
-rect -4218 240349 -3667 240467
-rect -3549 240349 240 240467
-rect -4218 240338 240 240349
-rect 291760 240627 296180 240638
-rect 291760 240509 295511 240627
-rect 295629 240509 296180 240627
-rect 291760 240467 296180 240509
-rect 291760 240349 295511 240467
-rect 295629 240349 296180 240467
-rect 291760 240338 296180 240349
-rect -3758 240337 -3458 240338
-rect 295420 240337 295720 240338
-rect -2838 238838 -2538 238839
-rect 294500 238838 294800 238839
-rect -3298 238827 240 238838
-rect -3298 238709 -2747 238827
-rect -2629 238709 240 238827
-rect -3298 238667 240 238709
-rect -3298 238549 -2747 238667
-rect -2629 238549 240 238667
-rect -3298 238538 240 238549
-rect 291760 238827 295260 238838
-rect 291760 238709 294591 238827
-rect 294709 238709 295260 238827
-rect 291760 238667 295260 238709
-rect 291760 238549 294591 238667
-rect 294709 238549 295260 238667
-rect 291760 238538 295260 238549
-rect -2838 238537 -2538 238538
-rect 294500 238537 294800 238538
-rect -1918 237038 -1618 237039
-rect 293580 237038 293880 237039
-rect -2378 237027 240 237038
-rect -2378 236909 -1827 237027
-rect -1709 236909 240 237027
-rect -2378 236867 240 236909
-rect -2378 236749 -1827 236867
-rect -1709 236749 240 236867
-rect -2378 236738 240 236749
-rect 291760 237027 294340 237038
-rect 291760 236909 293671 237027
-rect 293789 236909 294340 237027
-rect 291760 236867 294340 236909
-rect 291760 236749 293671 236867
-rect 293789 236749 294340 236867
-rect 291760 236738 294340 236749
-rect -1918 236737 -1618 236738
-rect 293580 236737 293880 236738
-rect -998 235238 -698 235239
-rect 292660 235238 292960 235239
-rect -1458 235227 240 235238
-rect -1458 235109 -907 235227
-rect -789 235109 240 235227
-rect -1458 235067 240 235109
-rect -1458 234949 -907 235067
-rect -789 234949 240 235067
-rect -1458 234938 240 234949
-rect 291760 235227 293420 235238
-rect 291760 235109 292751 235227
-rect 292869 235109 293420 235227
-rect 291760 235067 293420 235109
-rect 291760 234949 292751 235067
-rect 292869 234949 293420 235067
-rect 291760 234938 293420 234949
-rect -998 234937 -698 234938
-rect 292660 234937 292960 234938
-rect -4218 231638 -3918 231639
-rect 295880 231638 296180 231639
-rect -4218 231627 240 231638
-rect -4218 231509 -4127 231627
-rect -4009 231509 240 231627
-rect -4218 231467 240 231509
-rect -4218 231349 -4127 231467
-rect -4009 231349 240 231467
-rect -4218 231338 240 231349
-rect 291760 231627 296180 231638
-rect 291760 231509 295971 231627
-rect 296089 231509 296180 231627
-rect 291760 231467 296180 231509
-rect 291760 231349 295971 231467
-rect 296089 231349 296180 231467
-rect 291760 231338 296180 231349
-rect -4218 231337 -3918 231338
-rect 295880 231337 296180 231338
-rect -3298 229838 -2998 229839
-rect 294960 229838 295260 229839
-rect -3298 229827 240 229838
-rect -3298 229709 -3207 229827
-rect -3089 229709 240 229827
-rect -3298 229667 240 229709
-rect -3298 229549 -3207 229667
-rect -3089 229549 240 229667
-rect -3298 229538 240 229549
-rect 291760 229827 295260 229838
-rect 291760 229709 295051 229827
-rect 295169 229709 295260 229827
-rect 291760 229667 295260 229709
-rect 291760 229549 295051 229667
-rect 295169 229549 295260 229667
-rect 291760 229538 295260 229549
-rect -3298 229537 -2998 229538
-rect 294960 229537 295260 229538
-rect -2378 228038 -2078 228039
-rect 294040 228038 294340 228039
-rect -2378 228027 240 228038
-rect -2378 227909 -2287 228027
-rect -2169 227909 240 228027
-rect -2378 227867 240 227909
-rect -2378 227749 -2287 227867
-rect -2169 227749 240 227867
-rect -2378 227738 240 227749
-rect 291760 228027 294340 228038
-rect 291760 227909 294131 228027
-rect 294249 227909 294340 228027
-rect 291760 227867 294340 227909
-rect 291760 227749 294131 227867
-rect 294249 227749 294340 227867
-rect 291760 227738 294340 227749
-rect -2378 227737 -2078 227738
-rect 294040 227737 294340 227738
-rect -1458 226238 -1158 226239
-rect 293120 226238 293420 226239
-rect -1458 226227 240 226238
-rect -1458 226109 -1367 226227
-rect -1249 226109 240 226227
-rect -1458 226067 240 226109
-rect -1458 225949 -1367 226067
-rect -1249 225949 240 226067
-rect -1458 225938 240 225949
-rect 291760 226227 293420 226238
-rect 291760 226109 293211 226227
-rect 293329 226109 293420 226227
-rect 291760 226067 293420 226109
-rect 291760 225949 293211 226067
-rect 293329 225949 293420 226067
-rect 291760 225938 293420 225949
-rect -1458 225937 -1158 225938
-rect 293120 225937 293420 225938
-rect -3758 222638 -3458 222639
-rect 295420 222638 295720 222639
-rect -4218 222627 240 222638
-rect -4218 222509 -3667 222627
-rect -3549 222509 240 222627
-rect -4218 222467 240 222509
-rect -4218 222349 -3667 222467
-rect -3549 222349 240 222467
-rect -4218 222338 240 222349
-rect 291760 222627 296180 222638
-rect 291760 222509 295511 222627
-rect 295629 222509 296180 222627
-rect 291760 222467 296180 222509
-rect 291760 222349 295511 222467
-rect 295629 222349 296180 222467
-rect 291760 222338 296180 222349
-rect -3758 222337 -3458 222338
-rect 295420 222337 295720 222338
-rect -2838 220838 -2538 220839
-rect 294500 220838 294800 220839
-rect -3298 220827 240 220838
-rect -3298 220709 -2747 220827
-rect -2629 220709 240 220827
-rect -3298 220667 240 220709
-rect -3298 220549 -2747 220667
-rect -2629 220549 240 220667
-rect -3298 220538 240 220549
-rect 291760 220827 295260 220838
-rect 291760 220709 294591 220827
-rect 294709 220709 295260 220827
-rect 291760 220667 295260 220709
-rect 291760 220549 294591 220667
-rect 294709 220549 295260 220667
-rect 291760 220538 295260 220549
-rect -2838 220537 -2538 220538
-rect 294500 220537 294800 220538
-rect -1918 219038 -1618 219039
-rect 293580 219038 293880 219039
-rect -2378 219027 240 219038
-rect -2378 218909 -1827 219027
-rect -1709 218909 240 219027
-rect -2378 218867 240 218909
-rect -2378 218749 -1827 218867
-rect -1709 218749 240 218867
-rect -2378 218738 240 218749
-rect 291760 219027 294340 219038
-rect 291760 218909 293671 219027
-rect 293789 218909 294340 219027
-rect 291760 218867 294340 218909
-rect 291760 218749 293671 218867
-rect 293789 218749 294340 218867
-rect 291760 218738 294340 218749
-rect -1918 218737 -1618 218738
-rect 293580 218737 293880 218738
-rect -998 217238 -698 217239
-rect 292660 217238 292960 217239
-rect -1458 217227 240 217238
-rect -1458 217109 -907 217227
-rect -789 217109 240 217227
-rect -1458 217067 240 217109
-rect -1458 216949 -907 217067
-rect -789 216949 240 217067
-rect -1458 216938 240 216949
-rect 291760 217227 293420 217238
-rect 291760 217109 292751 217227
-rect 292869 217109 293420 217227
-rect 291760 217067 293420 217109
-rect 291760 216949 292751 217067
-rect 292869 216949 293420 217067
-rect 291760 216938 293420 216949
-rect -998 216937 -698 216938
-rect 292660 216937 292960 216938
-rect -4218 213638 -3918 213639
-rect 295880 213638 296180 213639
-rect -4218 213627 240 213638
-rect -4218 213509 -4127 213627
-rect -4009 213509 240 213627
-rect -4218 213467 240 213509
-rect -4218 213349 -4127 213467
-rect -4009 213349 240 213467
-rect -4218 213338 240 213349
-rect 291760 213627 296180 213638
-rect 291760 213509 295971 213627
-rect 296089 213509 296180 213627
-rect 291760 213467 296180 213509
-rect 291760 213349 295971 213467
-rect 296089 213349 296180 213467
-rect 291760 213338 296180 213349
-rect -4218 213337 -3918 213338
-rect 295880 213337 296180 213338
-rect -3298 211838 -2998 211839
-rect 294960 211838 295260 211839
-rect -3298 211827 240 211838
-rect -3298 211709 -3207 211827
-rect -3089 211709 240 211827
-rect -3298 211667 240 211709
-rect -3298 211549 -3207 211667
-rect -3089 211549 240 211667
-rect -3298 211538 240 211549
-rect 291760 211827 295260 211838
-rect 291760 211709 295051 211827
-rect 295169 211709 295260 211827
-rect 291760 211667 295260 211709
-rect 291760 211549 295051 211667
-rect 295169 211549 295260 211667
-rect 291760 211538 295260 211549
-rect -3298 211537 -2998 211538
-rect 294960 211537 295260 211538
-rect -2378 210038 -2078 210039
-rect 294040 210038 294340 210039
-rect -2378 210027 240 210038
-rect -2378 209909 -2287 210027
-rect -2169 209909 240 210027
-rect -2378 209867 240 209909
-rect -2378 209749 -2287 209867
-rect -2169 209749 240 209867
-rect -2378 209738 240 209749
-rect 291760 210027 294340 210038
-rect 291760 209909 294131 210027
-rect 294249 209909 294340 210027
-rect 291760 209867 294340 209909
-rect 291760 209749 294131 209867
-rect 294249 209749 294340 209867
-rect 291760 209738 294340 209749
-rect -2378 209737 -2078 209738
-rect 294040 209737 294340 209738
-rect -1458 208238 -1158 208239
-rect 293120 208238 293420 208239
-rect -1458 208227 240 208238
-rect -1458 208109 -1367 208227
-rect -1249 208109 240 208227
-rect -1458 208067 240 208109
-rect -1458 207949 -1367 208067
-rect -1249 207949 240 208067
-rect -1458 207938 240 207949
-rect 291760 208227 293420 208238
-rect 291760 208109 293211 208227
-rect 293329 208109 293420 208227
-rect 291760 208067 293420 208109
-rect 291760 207949 293211 208067
-rect 293329 207949 293420 208067
-rect 291760 207938 293420 207949
-rect -1458 207937 -1158 207938
-rect 293120 207937 293420 207938
-rect -3758 204638 -3458 204639
-rect 295420 204638 295720 204639
-rect -4218 204627 240 204638
-rect -4218 204509 -3667 204627
-rect -3549 204509 240 204627
-rect -4218 204467 240 204509
-rect -4218 204349 -3667 204467
-rect -3549 204349 240 204467
-rect -4218 204338 240 204349
-rect 291760 204627 296180 204638
-rect 291760 204509 295511 204627
-rect 295629 204509 296180 204627
-rect 291760 204467 296180 204509
-rect 291760 204349 295511 204467
-rect 295629 204349 296180 204467
-rect 291760 204338 296180 204349
-rect -3758 204337 -3458 204338
-rect 295420 204337 295720 204338
-rect -2838 202838 -2538 202839
-rect 294500 202838 294800 202839
-rect -3298 202827 240 202838
-rect -3298 202709 -2747 202827
-rect -2629 202709 240 202827
-rect -3298 202667 240 202709
-rect -3298 202549 -2747 202667
-rect -2629 202549 240 202667
-rect -3298 202538 240 202549
-rect 291760 202827 295260 202838
-rect 291760 202709 294591 202827
-rect 294709 202709 295260 202827
-rect 291760 202667 295260 202709
-rect 291760 202549 294591 202667
-rect 294709 202549 295260 202667
-rect 291760 202538 295260 202549
-rect -2838 202537 -2538 202538
-rect 294500 202537 294800 202538
-rect -1918 201038 -1618 201039
-rect 293580 201038 293880 201039
-rect -2378 201027 240 201038
-rect -2378 200909 -1827 201027
-rect -1709 200909 240 201027
-rect -2378 200867 240 200909
-rect -2378 200749 -1827 200867
-rect -1709 200749 240 200867
-rect -2378 200738 240 200749
-rect 291760 201027 294340 201038
-rect 291760 200909 293671 201027
-rect 293789 200909 294340 201027
-rect 291760 200867 294340 200909
-rect 291760 200749 293671 200867
-rect 293789 200749 294340 200867
-rect 291760 200738 294340 200749
-rect -1918 200737 -1618 200738
-rect 293580 200737 293880 200738
-rect -998 199238 -698 199239
-rect 292660 199238 292960 199239
-rect -1458 199227 240 199238
-rect -1458 199109 -907 199227
-rect -789 199109 240 199227
-rect -1458 199067 240 199109
-rect -1458 198949 -907 199067
-rect -789 198949 240 199067
-rect -1458 198938 240 198949
-rect 291760 199227 293420 199238
-rect 291760 199109 292751 199227
-rect 292869 199109 293420 199227
-rect 291760 199067 293420 199109
-rect 291760 198949 292751 199067
-rect 292869 198949 293420 199067
-rect 291760 198938 293420 198949
-rect -998 198937 -698 198938
-rect 292660 198937 292960 198938
-rect -4218 195638 -3918 195639
-rect 295880 195638 296180 195639
-rect -4218 195627 240 195638
-rect -4218 195509 -4127 195627
-rect -4009 195509 240 195627
-rect -4218 195467 240 195509
-rect -4218 195349 -4127 195467
-rect -4009 195349 240 195467
-rect -4218 195338 240 195349
-rect 291760 195627 296180 195638
-rect 291760 195509 295971 195627
-rect 296089 195509 296180 195627
-rect 291760 195467 296180 195509
-rect 291760 195349 295971 195467
-rect 296089 195349 296180 195467
-rect 291760 195338 296180 195349
-rect -4218 195337 -3918 195338
-rect 295880 195337 296180 195338
-rect -3298 193838 -2998 193839
-rect 294960 193838 295260 193839
-rect -3298 193827 240 193838
-rect -3298 193709 -3207 193827
-rect -3089 193709 240 193827
-rect -3298 193667 240 193709
-rect -3298 193549 -3207 193667
-rect -3089 193549 240 193667
-rect -3298 193538 240 193549
-rect 291760 193827 295260 193838
-rect 291760 193709 295051 193827
-rect 295169 193709 295260 193827
-rect 291760 193667 295260 193709
-rect 291760 193549 295051 193667
-rect 295169 193549 295260 193667
-rect 291760 193538 295260 193549
-rect -3298 193537 -2998 193538
-rect 294960 193537 295260 193538
-rect -2378 192038 -2078 192039
-rect 294040 192038 294340 192039
-rect -2378 192027 240 192038
-rect -2378 191909 -2287 192027
-rect -2169 191909 240 192027
-rect -2378 191867 240 191909
-rect -2378 191749 -2287 191867
-rect -2169 191749 240 191867
-rect -2378 191738 240 191749
-rect 291760 192027 294340 192038
-rect 291760 191909 294131 192027
-rect 294249 191909 294340 192027
-rect 291760 191867 294340 191909
-rect 291760 191749 294131 191867
-rect 294249 191749 294340 191867
-rect 291760 191738 294340 191749
-rect -2378 191737 -2078 191738
-rect 294040 191737 294340 191738
-rect -1458 190238 -1158 190239
-rect 293120 190238 293420 190239
-rect -1458 190227 240 190238
-rect -1458 190109 -1367 190227
-rect -1249 190109 240 190227
-rect -1458 190067 240 190109
-rect -1458 189949 -1367 190067
-rect -1249 189949 240 190067
-rect -1458 189938 240 189949
-rect 291760 190227 293420 190238
-rect 291760 190109 293211 190227
-rect 293329 190109 293420 190227
-rect 291760 190067 293420 190109
-rect 291760 189949 293211 190067
-rect 293329 189949 293420 190067
-rect 291760 189938 293420 189949
-rect -1458 189937 -1158 189938
-rect 293120 189937 293420 189938
-rect -3758 186638 -3458 186639
-rect 295420 186638 295720 186639
-rect -4218 186627 240 186638
-rect -4218 186509 -3667 186627
-rect -3549 186509 240 186627
-rect -4218 186467 240 186509
-rect -4218 186349 -3667 186467
-rect -3549 186349 240 186467
-rect -4218 186338 240 186349
-rect 291760 186627 296180 186638
-rect 291760 186509 295511 186627
-rect 295629 186509 296180 186627
-rect 291760 186467 296180 186509
-rect 291760 186349 295511 186467
-rect 295629 186349 296180 186467
-rect 291760 186338 296180 186349
-rect -3758 186337 -3458 186338
-rect 295420 186337 295720 186338
-rect -2838 184838 -2538 184839
-rect 294500 184838 294800 184839
-rect -3298 184827 240 184838
-rect -3298 184709 -2747 184827
-rect -2629 184709 240 184827
-rect -3298 184667 240 184709
-rect -3298 184549 -2747 184667
-rect -2629 184549 240 184667
-rect -3298 184538 240 184549
-rect 291760 184827 295260 184838
-rect 291760 184709 294591 184827
-rect 294709 184709 295260 184827
-rect 291760 184667 295260 184709
-rect 291760 184549 294591 184667
-rect 294709 184549 295260 184667
-rect 291760 184538 295260 184549
-rect -2838 184537 -2538 184538
-rect 294500 184537 294800 184538
-rect -1918 183038 -1618 183039
-rect 293580 183038 293880 183039
-rect -2378 183027 240 183038
-rect -2378 182909 -1827 183027
-rect -1709 182909 240 183027
-rect -2378 182867 240 182909
-rect -2378 182749 -1827 182867
-rect -1709 182749 240 182867
-rect -2378 182738 240 182749
-rect 291760 183027 294340 183038
-rect 291760 182909 293671 183027
-rect 293789 182909 294340 183027
-rect 291760 182867 294340 182909
-rect 291760 182749 293671 182867
-rect 293789 182749 294340 182867
-rect 291760 182738 294340 182749
-rect -1918 182737 -1618 182738
-rect 293580 182737 293880 182738
-rect -998 181238 -698 181239
-rect 292660 181238 292960 181239
-rect -1458 181227 240 181238
-rect -1458 181109 -907 181227
-rect -789 181109 240 181227
-rect -1458 181067 240 181109
-rect -1458 180949 -907 181067
-rect -789 180949 240 181067
-rect -1458 180938 240 180949
-rect 291760 181227 293420 181238
-rect 291760 181109 292751 181227
-rect 292869 181109 293420 181227
-rect 291760 181067 293420 181109
-rect 291760 180949 292751 181067
-rect 292869 180949 293420 181067
-rect 291760 180938 293420 180949
-rect -998 180937 -698 180938
-rect 292660 180937 292960 180938
-rect -4218 177638 -3918 177639
-rect 295880 177638 296180 177639
-rect -4218 177627 240 177638
-rect -4218 177509 -4127 177627
-rect -4009 177509 240 177627
-rect -4218 177467 240 177509
-rect -4218 177349 -4127 177467
-rect -4009 177349 240 177467
-rect -4218 177338 240 177349
-rect 291760 177627 296180 177638
-rect 291760 177509 295971 177627
-rect 296089 177509 296180 177627
-rect 291760 177467 296180 177509
-rect 291760 177349 295971 177467
-rect 296089 177349 296180 177467
-rect 291760 177338 296180 177349
-rect -4218 177337 -3918 177338
-rect 295880 177337 296180 177338
-rect -3298 175838 -2998 175839
-rect 294960 175838 295260 175839
-rect -3298 175827 240 175838
-rect -3298 175709 -3207 175827
-rect -3089 175709 240 175827
-rect -3298 175667 240 175709
-rect -3298 175549 -3207 175667
-rect -3089 175549 240 175667
-rect -3298 175538 240 175549
-rect 291760 175827 295260 175838
-rect 291760 175709 295051 175827
-rect 295169 175709 295260 175827
-rect 291760 175667 295260 175709
-rect 291760 175549 295051 175667
-rect 295169 175549 295260 175667
-rect 291760 175538 295260 175549
-rect -3298 175537 -2998 175538
-rect 294960 175537 295260 175538
-rect -2378 174038 -2078 174039
-rect 294040 174038 294340 174039
-rect -2378 174027 240 174038
-rect -2378 173909 -2287 174027
-rect -2169 173909 240 174027
-rect -2378 173867 240 173909
-rect -2378 173749 -2287 173867
-rect -2169 173749 240 173867
-rect -2378 173738 240 173749
-rect 291760 174027 294340 174038
-rect 291760 173909 294131 174027
-rect 294249 173909 294340 174027
-rect 291760 173867 294340 173909
-rect 291760 173749 294131 173867
-rect 294249 173749 294340 173867
-rect 291760 173738 294340 173749
-rect -2378 173737 -2078 173738
-rect 294040 173737 294340 173738
-rect -1458 172238 -1158 172239
-rect 293120 172238 293420 172239
-rect -1458 172227 240 172238
-rect -1458 172109 -1367 172227
-rect -1249 172109 240 172227
-rect -1458 172067 240 172109
-rect -1458 171949 -1367 172067
-rect -1249 171949 240 172067
-rect -1458 171938 240 171949
-rect 291760 172227 293420 172238
-rect 291760 172109 293211 172227
-rect 293329 172109 293420 172227
-rect 291760 172067 293420 172109
-rect 291760 171949 293211 172067
-rect 293329 171949 293420 172067
-rect 291760 171938 293420 171949
-rect -1458 171937 -1158 171938
-rect 293120 171937 293420 171938
-rect -3758 168638 -3458 168639
-rect 295420 168638 295720 168639
-rect -4218 168627 240 168638
-rect -4218 168509 -3667 168627
-rect -3549 168509 240 168627
-rect -4218 168467 240 168509
-rect -4218 168349 -3667 168467
-rect -3549 168349 240 168467
-rect -4218 168338 240 168349
-rect 291760 168627 296180 168638
-rect 291760 168509 295511 168627
-rect 295629 168509 296180 168627
-rect 291760 168467 296180 168509
-rect 291760 168349 295511 168467
-rect 295629 168349 296180 168467
-rect 291760 168338 296180 168349
-rect -3758 168337 -3458 168338
-rect 295420 168337 295720 168338
-rect -2838 166838 -2538 166839
-rect 294500 166838 294800 166839
-rect -3298 166827 240 166838
-rect -3298 166709 -2747 166827
-rect -2629 166709 240 166827
-rect -3298 166667 240 166709
-rect -3298 166549 -2747 166667
-rect -2629 166549 240 166667
-rect -3298 166538 240 166549
-rect 291760 166827 295260 166838
-rect 291760 166709 294591 166827
-rect 294709 166709 295260 166827
-rect 291760 166667 295260 166709
-rect 291760 166549 294591 166667
-rect 294709 166549 295260 166667
-rect 291760 166538 295260 166549
-rect -2838 166537 -2538 166538
-rect 294500 166537 294800 166538
-rect -1918 165038 -1618 165039
-rect 293580 165038 293880 165039
-rect -2378 165027 240 165038
-rect -2378 164909 -1827 165027
-rect -1709 164909 240 165027
-rect -2378 164867 240 164909
-rect -2378 164749 -1827 164867
-rect -1709 164749 240 164867
-rect -2378 164738 240 164749
-rect 291760 165027 294340 165038
-rect 291760 164909 293671 165027
-rect 293789 164909 294340 165027
-rect 291760 164867 294340 164909
-rect 291760 164749 293671 164867
-rect 293789 164749 294340 164867
-rect 291760 164738 294340 164749
-rect -1918 164737 -1618 164738
-rect 293580 164737 293880 164738
-rect -998 163238 -698 163239
-rect 292660 163238 292960 163239
-rect -1458 163227 240 163238
-rect -1458 163109 -907 163227
-rect -789 163109 240 163227
-rect -1458 163067 240 163109
-rect -1458 162949 -907 163067
-rect -789 162949 240 163067
-rect -1458 162938 240 162949
-rect 291760 163227 293420 163238
-rect 291760 163109 292751 163227
-rect 292869 163109 293420 163227
-rect 291760 163067 293420 163109
-rect 291760 162949 292751 163067
-rect 292869 162949 293420 163067
-rect 291760 162938 293420 162949
-rect -998 162937 -698 162938
-rect 292660 162937 292960 162938
-rect -4218 159638 -3918 159639
-rect 295880 159638 296180 159639
-rect -4218 159627 240 159638
-rect -4218 159509 -4127 159627
-rect -4009 159509 240 159627
-rect -4218 159467 240 159509
-rect -4218 159349 -4127 159467
-rect -4009 159349 240 159467
-rect -4218 159338 240 159349
-rect 291760 159627 296180 159638
-rect 291760 159509 295971 159627
-rect 296089 159509 296180 159627
-rect 291760 159467 296180 159509
-rect 291760 159349 295971 159467
-rect 296089 159349 296180 159467
-rect 291760 159338 296180 159349
-rect -4218 159337 -3918 159338
-rect 295880 159337 296180 159338
-rect -3298 157838 -2998 157839
-rect 294960 157838 295260 157839
-rect -3298 157827 240 157838
-rect -3298 157709 -3207 157827
-rect -3089 157709 240 157827
-rect -3298 157667 240 157709
-rect -3298 157549 -3207 157667
-rect -3089 157549 240 157667
-rect -3298 157538 240 157549
-rect 291760 157827 295260 157838
-rect 291760 157709 295051 157827
-rect 295169 157709 295260 157827
-rect 291760 157667 295260 157709
-rect 291760 157549 295051 157667
-rect 295169 157549 295260 157667
-rect 291760 157538 295260 157549
-rect -3298 157537 -2998 157538
-rect 294960 157537 295260 157538
-rect -2378 156038 -2078 156039
-rect 294040 156038 294340 156039
-rect -2378 156027 240 156038
-rect -2378 155909 -2287 156027
-rect -2169 155909 240 156027
-rect -2378 155867 240 155909
-rect -2378 155749 -2287 155867
-rect -2169 155749 240 155867
-rect -2378 155738 240 155749
-rect 291760 156027 294340 156038
-rect 291760 155909 294131 156027
-rect 294249 155909 294340 156027
-rect 291760 155867 294340 155909
-rect 291760 155749 294131 155867
-rect 294249 155749 294340 155867
-rect 291760 155738 294340 155749
-rect -2378 155737 -2078 155738
-rect 294040 155737 294340 155738
-rect -1458 154238 -1158 154239
-rect 293120 154238 293420 154239
-rect -1458 154227 240 154238
-rect -1458 154109 -1367 154227
-rect -1249 154109 240 154227
-rect -1458 154067 240 154109
-rect -1458 153949 -1367 154067
-rect -1249 153949 240 154067
-rect -1458 153938 240 153949
-rect 291760 154227 293420 154238
-rect 291760 154109 293211 154227
-rect 293329 154109 293420 154227
-rect 291760 154067 293420 154109
-rect 291760 153949 293211 154067
-rect 293329 153949 293420 154067
-rect 291760 153938 293420 153949
-rect -1458 153937 -1158 153938
-rect 293120 153937 293420 153938
-rect -3758 150638 -3458 150639
-rect 295420 150638 295720 150639
-rect -4218 150627 240 150638
-rect -4218 150509 -3667 150627
-rect -3549 150509 240 150627
-rect -4218 150467 240 150509
-rect -4218 150349 -3667 150467
-rect -3549 150349 240 150467
-rect -4218 150338 240 150349
-rect 291760 150627 296180 150638
-rect 291760 150509 295511 150627
-rect 295629 150509 296180 150627
-rect 291760 150467 296180 150509
-rect 291760 150349 295511 150467
-rect 295629 150349 296180 150467
-rect 291760 150338 296180 150349
-rect -3758 150337 -3458 150338
-rect 295420 150337 295720 150338
-rect -2838 148838 -2538 148839
-rect 294500 148838 294800 148839
-rect -3298 148827 240 148838
-rect -3298 148709 -2747 148827
-rect -2629 148709 240 148827
-rect -3298 148667 240 148709
-rect -3298 148549 -2747 148667
-rect -2629 148549 240 148667
-rect -3298 148538 240 148549
-rect 291760 148827 295260 148838
-rect 291760 148709 294591 148827
-rect 294709 148709 295260 148827
-rect 291760 148667 295260 148709
-rect 291760 148549 294591 148667
-rect 294709 148549 295260 148667
-rect 291760 148538 295260 148549
-rect -2838 148537 -2538 148538
-rect 294500 148537 294800 148538
-rect -1918 147038 -1618 147039
-rect 293580 147038 293880 147039
-rect -2378 147027 240 147038
-rect -2378 146909 -1827 147027
-rect -1709 146909 240 147027
-rect -2378 146867 240 146909
-rect -2378 146749 -1827 146867
-rect -1709 146749 240 146867
-rect -2378 146738 240 146749
-rect 291760 147027 294340 147038
-rect 291760 146909 293671 147027
-rect 293789 146909 294340 147027
-rect 291760 146867 294340 146909
-rect 291760 146749 293671 146867
-rect 293789 146749 294340 146867
-rect 291760 146738 294340 146749
-rect -1918 146737 -1618 146738
-rect 293580 146737 293880 146738
-rect -998 145238 -698 145239
-rect 292660 145238 292960 145239
-rect -1458 145227 240 145238
-rect -1458 145109 -907 145227
-rect -789 145109 240 145227
-rect -1458 145067 240 145109
-rect -1458 144949 -907 145067
-rect -789 144949 240 145067
-rect -1458 144938 240 144949
-rect 291760 145227 293420 145238
-rect 291760 145109 292751 145227
-rect 292869 145109 293420 145227
-rect 291760 145067 293420 145109
-rect 291760 144949 292751 145067
-rect 292869 144949 293420 145067
-rect 291760 144938 293420 144949
-rect -998 144937 -698 144938
-rect 292660 144937 292960 144938
-rect -4218 141638 -3918 141639
-rect 295880 141638 296180 141639
-rect -4218 141627 240 141638
-rect -4218 141509 -4127 141627
-rect -4009 141509 240 141627
-rect -4218 141467 240 141509
-rect -4218 141349 -4127 141467
-rect -4009 141349 240 141467
-rect -4218 141338 240 141349
-rect 291760 141627 296180 141638
-rect 291760 141509 295971 141627
-rect 296089 141509 296180 141627
-rect 291760 141467 296180 141509
-rect 291760 141349 295971 141467
-rect 296089 141349 296180 141467
-rect 291760 141338 296180 141349
-rect -4218 141337 -3918 141338
-rect 295880 141337 296180 141338
-rect -3298 139838 -2998 139839
-rect 294960 139838 295260 139839
-rect -3298 139827 240 139838
-rect -3298 139709 -3207 139827
-rect -3089 139709 240 139827
-rect -3298 139667 240 139709
-rect -3298 139549 -3207 139667
-rect -3089 139549 240 139667
-rect -3298 139538 240 139549
-rect 291760 139827 295260 139838
-rect 291760 139709 295051 139827
-rect 295169 139709 295260 139827
-rect 291760 139667 295260 139709
-rect 291760 139549 295051 139667
-rect 295169 139549 295260 139667
-rect 291760 139538 295260 139549
-rect -3298 139537 -2998 139538
-rect 294960 139537 295260 139538
-rect -2378 138038 -2078 138039
-rect 294040 138038 294340 138039
-rect -2378 138027 240 138038
-rect -2378 137909 -2287 138027
-rect -2169 137909 240 138027
-rect -2378 137867 240 137909
-rect -2378 137749 -2287 137867
-rect -2169 137749 240 137867
-rect -2378 137738 240 137749
-rect 291760 138027 294340 138038
-rect 291760 137909 294131 138027
-rect 294249 137909 294340 138027
-rect 291760 137867 294340 137909
-rect 291760 137749 294131 137867
-rect 294249 137749 294340 137867
-rect 291760 137738 294340 137749
-rect -2378 137737 -2078 137738
-rect 294040 137737 294340 137738
-rect -1458 136238 -1158 136239
-rect 293120 136238 293420 136239
-rect -1458 136227 240 136238
-rect -1458 136109 -1367 136227
-rect -1249 136109 240 136227
-rect -1458 136067 240 136109
-rect -1458 135949 -1367 136067
-rect -1249 135949 240 136067
-rect -1458 135938 240 135949
-rect 291760 136227 293420 136238
-rect 291760 136109 293211 136227
-rect 293329 136109 293420 136227
-rect 291760 136067 293420 136109
-rect 291760 135949 293211 136067
-rect 293329 135949 293420 136067
-rect 291760 135938 293420 135949
-rect -1458 135937 -1158 135938
-rect 293120 135937 293420 135938
-rect -3758 132638 -3458 132639
-rect 295420 132638 295720 132639
-rect -4218 132627 240 132638
-rect -4218 132509 -3667 132627
-rect -3549 132509 240 132627
-rect -4218 132467 240 132509
-rect -4218 132349 -3667 132467
-rect -3549 132349 240 132467
-rect -4218 132338 240 132349
-rect 291760 132627 296180 132638
-rect 291760 132509 295511 132627
-rect 295629 132509 296180 132627
-rect 291760 132467 296180 132509
-rect 291760 132349 295511 132467
-rect 295629 132349 296180 132467
-rect 291760 132338 296180 132349
-rect -3758 132337 -3458 132338
-rect 295420 132337 295720 132338
-rect -2838 130838 -2538 130839
-rect 294500 130838 294800 130839
-rect -3298 130827 240 130838
-rect -3298 130709 -2747 130827
-rect -2629 130709 240 130827
-rect -3298 130667 240 130709
-rect -3298 130549 -2747 130667
-rect -2629 130549 240 130667
-rect -3298 130538 240 130549
-rect 291760 130827 295260 130838
-rect 291760 130709 294591 130827
-rect 294709 130709 295260 130827
-rect 291760 130667 295260 130709
-rect 291760 130549 294591 130667
-rect 294709 130549 295260 130667
-rect 291760 130538 295260 130549
-rect -2838 130537 -2538 130538
-rect 294500 130537 294800 130538
-rect -1918 129038 -1618 129039
-rect 293580 129038 293880 129039
-rect -2378 129027 240 129038
-rect -2378 128909 -1827 129027
-rect -1709 128909 240 129027
-rect -2378 128867 240 128909
-rect -2378 128749 -1827 128867
-rect -1709 128749 240 128867
-rect -2378 128738 240 128749
-rect 291760 129027 294340 129038
-rect 291760 128909 293671 129027
-rect 293789 128909 294340 129027
-rect 291760 128867 294340 128909
-rect 291760 128749 293671 128867
-rect 293789 128749 294340 128867
-rect 291760 128738 294340 128749
-rect -1918 128737 -1618 128738
-rect 293580 128737 293880 128738
-rect -998 127238 -698 127239
-rect 292660 127238 292960 127239
-rect -1458 127227 240 127238
-rect -1458 127109 -907 127227
-rect -789 127109 240 127227
-rect -1458 127067 240 127109
-rect -1458 126949 -907 127067
-rect -789 126949 240 127067
-rect -1458 126938 240 126949
-rect 291760 127227 293420 127238
-rect 291760 127109 292751 127227
-rect 292869 127109 293420 127227
-rect 291760 127067 293420 127109
-rect 291760 126949 292751 127067
-rect 292869 126949 293420 127067
-rect 291760 126938 293420 126949
-rect -998 126937 -698 126938
-rect 292660 126937 292960 126938
-rect -4218 123638 -3918 123639
-rect 295880 123638 296180 123639
-rect -4218 123627 240 123638
-rect -4218 123509 -4127 123627
-rect -4009 123509 240 123627
-rect -4218 123467 240 123509
-rect -4218 123349 -4127 123467
-rect -4009 123349 240 123467
-rect -4218 123338 240 123349
-rect 291760 123627 296180 123638
-rect 291760 123509 295971 123627
-rect 296089 123509 296180 123627
-rect 291760 123467 296180 123509
-rect 291760 123349 295971 123467
-rect 296089 123349 296180 123467
-rect 291760 123338 296180 123349
-rect -4218 123337 -3918 123338
-rect 295880 123337 296180 123338
-rect -3298 121838 -2998 121839
-rect 294960 121838 295260 121839
-rect -3298 121827 240 121838
-rect -3298 121709 -3207 121827
-rect -3089 121709 240 121827
-rect -3298 121667 240 121709
-rect -3298 121549 -3207 121667
-rect -3089 121549 240 121667
-rect -3298 121538 240 121549
-rect 291760 121827 295260 121838
-rect 291760 121709 295051 121827
-rect 295169 121709 295260 121827
-rect 291760 121667 295260 121709
-rect 291760 121549 295051 121667
-rect 295169 121549 295260 121667
-rect 291760 121538 295260 121549
-rect -3298 121537 -2998 121538
-rect 294960 121537 295260 121538
-rect -2378 120038 -2078 120039
-rect 294040 120038 294340 120039
-rect -2378 120027 240 120038
-rect -2378 119909 -2287 120027
-rect -2169 119909 240 120027
-rect -2378 119867 240 119909
-rect -2378 119749 -2287 119867
-rect -2169 119749 240 119867
-rect -2378 119738 240 119749
-rect 291760 120027 294340 120038
-rect 291760 119909 294131 120027
-rect 294249 119909 294340 120027
-rect 291760 119867 294340 119909
-rect 291760 119749 294131 119867
-rect 294249 119749 294340 119867
-rect 291760 119738 294340 119749
-rect -2378 119737 -2078 119738
-rect 294040 119737 294340 119738
-rect -1458 118238 -1158 118239
-rect 293120 118238 293420 118239
-rect -1458 118227 240 118238
-rect -1458 118109 -1367 118227
-rect -1249 118109 240 118227
-rect -1458 118067 240 118109
-rect -1458 117949 -1367 118067
-rect -1249 117949 240 118067
-rect -1458 117938 240 117949
-rect 291760 118227 293420 118238
-rect 291760 118109 293211 118227
-rect 293329 118109 293420 118227
-rect 291760 118067 293420 118109
-rect 291760 117949 293211 118067
-rect 293329 117949 293420 118067
-rect 291760 117938 293420 117949
-rect -1458 117937 -1158 117938
-rect 293120 117937 293420 117938
-rect -3758 114638 -3458 114639
-rect 295420 114638 295720 114639
-rect -4218 114627 240 114638
-rect -4218 114509 -3667 114627
-rect -3549 114509 240 114627
-rect -4218 114467 240 114509
-rect -4218 114349 -3667 114467
-rect -3549 114349 240 114467
-rect -4218 114338 240 114349
-rect 291760 114627 296180 114638
-rect 291760 114509 295511 114627
-rect 295629 114509 296180 114627
-rect 291760 114467 296180 114509
-rect 291760 114349 295511 114467
-rect 295629 114349 296180 114467
-rect 291760 114338 296180 114349
-rect -3758 114337 -3458 114338
-rect 295420 114337 295720 114338
-rect -2838 112838 -2538 112839
-rect 294500 112838 294800 112839
-rect -3298 112827 240 112838
-rect -3298 112709 -2747 112827
-rect -2629 112709 240 112827
-rect -3298 112667 240 112709
-rect -3298 112549 -2747 112667
-rect -2629 112549 240 112667
-rect -3298 112538 240 112549
-rect 291760 112827 295260 112838
-rect 291760 112709 294591 112827
-rect 294709 112709 295260 112827
-rect 291760 112667 295260 112709
-rect 291760 112549 294591 112667
-rect 294709 112549 295260 112667
-rect 291760 112538 295260 112549
-rect -2838 112537 -2538 112538
-rect 294500 112537 294800 112538
-rect -1918 111038 -1618 111039
-rect 293580 111038 293880 111039
-rect -2378 111027 240 111038
-rect -2378 110909 -1827 111027
-rect -1709 110909 240 111027
-rect -2378 110867 240 110909
-rect -2378 110749 -1827 110867
-rect -1709 110749 240 110867
-rect -2378 110738 240 110749
-rect 291760 111027 294340 111038
-rect 291760 110909 293671 111027
-rect 293789 110909 294340 111027
-rect 291760 110867 294340 110909
-rect 291760 110749 293671 110867
-rect 293789 110749 294340 110867
-rect 291760 110738 294340 110749
-rect -1918 110737 -1618 110738
-rect 293580 110737 293880 110738
-rect -998 109238 -698 109239
-rect 292660 109238 292960 109239
-rect -1458 109227 240 109238
-rect -1458 109109 -907 109227
-rect -789 109109 240 109227
-rect -1458 109067 240 109109
-rect -1458 108949 -907 109067
-rect -789 108949 240 109067
-rect -1458 108938 240 108949
-rect 291760 109227 293420 109238
-rect 291760 109109 292751 109227
-rect 292869 109109 293420 109227
-rect 291760 109067 293420 109109
-rect 291760 108949 292751 109067
-rect 292869 108949 293420 109067
-rect 291760 108938 293420 108949
-rect -998 108937 -698 108938
-rect 292660 108937 292960 108938
-rect -4218 105638 -3918 105639
-rect 295880 105638 296180 105639
-rect -4218 105627 240 105638
-rect -4218 105509 -4127 105627
-rect -4009 105509 240 105627
-rect -4218 105467 240 105509
-rect -4218 105349 -4127 105467
-rect -4009 105349 240 105467
-rect -4218 105338 240 105349
-rect 291760 105627 296180 105638
-rect 291760 105509 295971 105627
-rect 296089 105509 296180 105627
-rect 291760 105467 296180 105509
-rect 291760 105349 295971 105467
-rect 296089 105349 296180 105467
-rect 291760 105338 296180 105349
-rect -4218 105337 -3918 105338
-rect 295880 105337 296180 105338
-rect -3298 103838 -2998 103839
-rect 294960 103838 295260 103839
-rect -3298 103827 240 103838
-rect -3298 103709 -3207 103827
-rect -3089 103709 240 103827
-rect -3298 103667 240 103709
-rect -3298 103549 -3207 103667
-rect -3089 103549 240 103667
-rect -3298 103538 240 103549
-rect 291760 103827 295260 103838
-rect 291760 103709 295051 103827
-rect 295169 103709 295260 103827
-rect 291760 103667 295260 103709
-rect 291760 103549 295051 103667
-rect 295169 103549 295260 103667
-rect 291760 103538 295260 103549
-rect -3298 103537 -2998 103538
-rect 294960 103537 295260 103538
-rect -2378 102038 -2078 102039
-rect 294040 102038 294340 102039
-rect -2378 102027 240 102038
-rect -2378 101909 -2287 102027
-rect -2169 101909 240 102027
-rect -2378 101867 240 101909
-rect -2378 101749 -2287 101867
-rect -2169 101749 240 101867
-rect -2378 101738 240 101749
-rect 291760 102027 294340 102038
-rect 291760 101909 294131 102027
-rect 294249 101909 294340 102027
-rect 291760 101867 294340 101909
-rect 291760 101749 294131 101867
-rect 294249 101749 294340 101867
-rect 291760 101738 294340 101749
-rect -2378 101737 -2078 101738
-rect 294040 101737 294340 101738
-rect -1458 100238 -1158 100239
-rect 293120 100238 293420 100239
-rect -1458 100227 240 100238
-rect -1458 100109 -1367 100227
-rect -1249 100109 240 100227
-rect -1458 100067 240 100109
-rect -1458 99949 -1367 100067
-rect -1249 99949 240 100067
-rect -1458 99938 240 99949
-rect 291760 100227 293420 100238
-rect 291760 100109 293211 100227
-rect 293329 100109 293420 100227
-rect 291760 100067 293420 100109
-rect 291760 99949 293211 100067
-rect 293329 99949 293420 100067
-rect 291760 99938 293420 99949
-rect -1458 99937 -1158 99938
-rect 293120 99937 293420 99938
-rect -3758 96638 -3458 96639
-rect 295420 96638 295720 96639
-rect -4218 96627 240 96638
-rect -4218 96509 -3667 96627
-rect -3549 96509 240 96627
-rect -4218 96467 240 96509
-rect -4218 96349 -3667 96467
-rect -3549 96349 240 96467
-rect -4218 96338 240 96349
-rect 291760 96627 296180 96638
-rect 291760 96509 295511 96627
-rect 295629 96509 296180 96627
-rect 291760 96467 296180 96509
-rect 291760 96349 295511 96467
-rect 295629 96349 296180 96467
-rect 291760 96338 296180 96349
-rect -3758 96337 -3458 96338
-rect 295420 96337 295720 96338
-rect -2838 94838 -2538 94839
-rect 294500 94838 294800 94839
-rect -3298 94827 240 94838
-rect -3298 94709 -2747 94827
-rect -2629 94709 240 94827
-rect -3298 94667 240 94709
-rect -3298 94549 -2747 94667
-rect -2629 94549 240 94667
-rect -3298 94538 240 94549
-rect 291760 94827 295260 94838
-rect 291760 94709 294591 94827
-rect 294709 94709 295260 94827
-rect 291760 94667 295260 94709
-rect 291760 94549 294591 94667
-rect 294709 94549 295260 94667
-rect 291760 94538 295260 94549
-rect -2838 94537 -2538 94538
-rect 294500 94537 294800 94538
-rect -1918 93038 -1618 93039
-rect 293580 93038 293880 93039
-rect -2378 93027 240 93038
-rect -2378 92909 -1827 93027
-rect -1709 92909 240 93027
-rect -2378 92867 240 92909
-rect -2378 92749 -1827 92867
-rect -1709 92749 240 92867
-rect -2378 92738 240 92749
-rect 291760 93027 294340 93038
-rect 291760 92909 293671 93027
-rect 293789 92909 294340 93027
-rect 291760 92867 294340 92909
-rect 291760 92749 293671 92867
-rect 293789 92749 294340 92867
-rect 291760 92738 294340 92749
-rect -1918 92737 -1618 92738
-rect 293580 92737 293880 92738
-rect -998 91238 -698 91239
-rect 292660 91238 292960 91239
-rect -1458 91227 240 91238
-rect -1458 91109 -907 91227
-rect -789 91109 240 91227
-rect -1458 91067 240 91109
-rect -1458 90949 -907 91067
-rect -789 90949 240 91067
-rect -1458 90938 240 90949
-rect 291760 91227 293420 91238
-rect 291760 91109 292751 91227
-rect 292869 91109 293420 91227
-rect 291760 91067 293420 91109
-rect 291760 90949 292751 91067
-rect 292869 90949 293420 91067
-rect 291760 90938 293420 90949
-rect -998 90937 -698 90938
-rect 292660 90937 292960 90938
-rect -4218 87638 -3918 87639
-rect 295880 87638 296180 87639
-rect -4218 87627 240 87638
-rect -4218 87509 -4127 87627
-rect -4009 87509 240 87627
-rect -4218 87467 240 87509
-rect -4218 87349 -4127 87467
-rect -4009 87349 240 87467
-rect -4218 87338 240 87349
-rect 291760 87627 296180 87638
-rect 291760 87509 295971 87627
-rect 296089 87509 296180 87627
-rect 291760 87467 296180 87509
-rect 291760 87349 295971 87467
-rect 296089 87349 296180 87467
-rect 291760 87338 296180 87349
-rect -4218 87337 -3918 87338
-rect 295880 87337 296180 87338
-rect -3298 85838 -2998 85839
-rect 294960 85838 295260 85839
-rect -3298 85827 240 85838
-rect -3298 85709 -3207 85827
-rect -3089 85709 240 85827
-rect -3298 85667 240 85709
-rect -3298 85549 -3207 85667
-rect -3089 85549 240 85667
-rect -3298 85538 240 85549
-rect 291760 85827 295260 85838
-rect 291760 85709 295051 85827
-rect 295169 85709 295260 85827
-rect 291760 85667 295260 85709
-rect 291760 85549 295051 85667
-rect 295169 85549 295260 85667
-rect 291760 85538 295260 85549
-rect -3298 85537 -2998 85538
-rect 294960 85537 295260 85538
-rect -2378 84038 -2078 84039
-rect 294040 84038 294340 84039
-rect -2378 84027 240 84038
-rect -2378 83909 -2287 84027
-rect -2169 83909 240 84027
-rect -2378 83867 240 83909
-rect -2378 83749 -2287 83867
-rect -2169 83749 240 83867
-rect -2378 83738 240 83749
-rect 291760 84027 294340 84038
-rect 291760 83909 294131 84027
-rect 294249 83909 294340 84027
-rect 291760 83867 294340 83909
-rect 291760 83749 294131 83867
-rect 294249 83749 294340 83867
-rect 291760 83738 294340 83749
-rect -2378 83737 -2078 83738
-rect 294040 83737 294340 83738
-rect -1458 82238 -1158 82239
-rect 293120 82238 293420 82239
-rect -1458 82227 240 82238
-rect -1458 82109 -1367 82227
-rect -1249 82109 240 82227
-rect -1458 82067 240 82109
-rect -1458 81949 -1367 82067
-rect -1249 81949 240 82067
-rect -1458 81938 240 81949
-rect 291760 82227 293420 82238
-rect 291760 82109 293211 82227
-rect 293329 82109 293420 82227
-rect 291760 82067 293420 82109
-rect 291760 81949 293211 82067
-rect 293329 81949 293420 82067
-rect 291760 81938 293420 81949
-rect -1458 81937 -1158 81938
-rect 293120 81937 293420 81938
-rect -3758 78638 -3458 78639
-rect 295420 78638 295720 78639
-rect -4218 78627 240 78638
-rect -4218 78509 -3667 78627
-rect -3549 78509 240 78627
-rect -4218 78467 240 78509
-rect -4218 78349 -3667 78467
-rect -3549 78349 240 78467
-rect -4218 78338 240 78349
-rect 291760 78627 296180 78638
-rect 291760 78509 295511 78627
-rect 295629 78509 296180 78627
-rect 291760 78467 296180 78509
-rect 291760 78349 295511 78467
-rect 295629 78349 296180 78467
-rect 291760 78338 296180 78349
-rect -3758 78337 -3458 78338
-rect 295420 78337 295720 78338
-rect -2838 76838 -2538 76839
-rect 294500 76838 294800 76839
-rect -3298 76827 240 76838
-rect -3298 76709 -2747 76827
-rect -2629 76709 240 76827
-rect -3298 76667 240 76709
-rect -3298 76549 -2747 76667
-rect -2629 76549 240 76667
-rect -3298 76538 240 76549
-rect 291760 76827 295260 76838
-rect 291760 76709 294591 76827
-rect 294709 76709 295260 76827
-rect 291760 76667 295260 76709
-rect 291760 76549 294591 76667
-rect 294709 76549 295260 76667
-rect 291760 76538 295260 76549
-rect -2838 76537 -2538 76538
-rect 294500 76537 294800 76538
-rect -1918 75038 -1618 75039
-rect 293580 75038 293880 75039
-rect -2378 75027 240 75038
-rect -2378 74909 -1827 75027
-rect -1709 74909 240 75027
-rect -2378 74867 240 74909
-rect -2378 74749 -1827 74867
-rect -1709 74749 240 74867
-rect -2378 74738 240 74749
-rect 291760 75027 294340 75038
-rect 291760 74909 293671 75027
-rect 293789 74909 294340 75027
-rect 291760 74867 294340 74909
-rect 291760 74749 293671 74867
-rect 293789 74749 294340 74867
-rect 291760 74738 294340 74749
-rect -1918 74737 -1618 74738
-rect 293580 74737 293880 74738
-rect -998 73238 -698 73239
-rect 292660 73238 292960 73239
-rect -1458 73227 240 73238
-rect -1458 73109 -907 73227
-rect -789 73109 240 73227
-rect -1458 73067 240 73109
-rect -1458 72949 -907 73067
-rect -789 72949 240 73067
-rect -1458 72938 240 72949
-rect 291760 73227 293420 73238
-rect 291760 73109 292751 73227
-rect 292869 73109 293420 73227
-rect 291760 73067 293420 73109
-rect 291760 72949 292751 73067
-rect 292869 72949 293420 73067
-rect 291760 72938 293420 72949
-rect -998 72937 -698 72938
-rect 292660 72937 292960 72938
-rect -4218 69638 -3918 69639
-rect 295880 69638 296180 69639
-rect -4218 69627 240 69638
-rect -4218 69509 -4127 69627
-rect -4009 69509 240 69627
-rect -4218 69467 240 69509
-rect -4218 69349 -4127 69467
-rect -4009 69349 240 69467
-rect -4218 69338 240 69349
-rect 291760 69627 296180 69638
-rect 291760 69509 295971 69627
-rect 296089 69509 296180 69627
-rect 291760 69467 296180 69509
-rect 291760 69349 295971 69467
-rect 296089 69349 296180 69467
-rect 291760 69338 296180 69349
-rect -4218 69337 -3918 69338
-rect 295880 69337 296180 69338
-rect -3298 67838 -2998 67839
-rect 294960 67838 295260 67839
-rect -3298 67827 240 67838
-rect -3298 67709 -3207 67827
-rect -3089 67709 240 67827
-rect -3298 67667 240 67709
-rect -3298 67549 -3207 67667
-rect -3089 67549 240 67667
-rect -3298 67538 240 67549
-rect 291760 67827 295260 67838
-rect 291760 67709 295051 67827
-rect 295169 67709 295260 67827
-rect 291760 67667 295260 67709
-rect 291760 67549 295051 67667
-rect 295169 67549 295260 67667
-rect 291760 67538 295260 67549
-rect -3298 67537 -2998 67538
-rect 294960 67537 295260 67538
-rect -2378 66038 -2078 66039
-rect 294040 66038 294340 66039
-rect -2378 66027 240 66038
-rect -2378 65909 -2287 66027
-rect -2169 65909 240 66027
-rect -2378 65867 240 65909
-rect -2378 65749 -2287 65867
-rect -2169 65749 240 65867
-rect -2378 65738 240 65749
-rect 291760 66027 294340 66038
-rect 291760 65909 294131 66027
-rect 294249 65909 294340 66027
-rect 291760 65867 294340 65909
-rect 291760 65749 294131 65867
-rect 294249 65749 294340 65867
-rect 291760 65738 294340 65749
-rect -2378 65737 -2078 65738
-rect 294040 65737 294340 65738
-rect -1458 64238 -1158 64239
-rect 293120 64238 293420 64239
-rect -1458 64227 240 64238
-rect -1458 64109 -1367 64227
-rect -1249 64109 240 64227
-rect -1458 64067 240 64109
-rect -1458 63949 -1367 64067
-rect -1249 63949 240 64067
-rect -1458 63938 240 63949
-rect 291760 64227 293420 64238
-rect 291760 64109 293211 64227
-rect 293329 64109 293420 64227
-rect 291760 64067 293420 64109
-rect 291760 63949 293211 64067
-rect 293329 63949 293420 64067
-rect 291760 63938 293420 63949
-rect -1458 63937 -1158 63938
-rect 293120 63937 293420 63938
-rect -3758 60638 -3458 60639
-rect 295420 60638 295720 60639
-rect -4218 60627 240 60638
-rect -4218 60509 -3667 60627
-rect -3549 60509 240 60627
-rect -4218 60467 240 60509
-rect -4218 60349 -3667 60467
-rect -3549 60349 240 60467
-rect -4218 60338 240 60349
-rect 291760 60627 296180 60638
-rect 291760 60509 295511 60627
-rect 295629 60509 296180 60627
-rect 291760 60467 296180 60509
-rect 291760 60349 295511 60467
-rect 295629 60349 296180 60467
-rect 291760 60338 296180 60349
-rect -3758 60337 -3458 60338
-rect 295420 60337 295720 60338
-rect -2838 58838 -2538 58839
-rect 294500 58838 294800 58839
-rect -3298 58827 240 58838
-rect -3298 58709 -2747 58827
-rect -2629 58709 240 58827
-rect -3298 58667 240 58709
-rect -3298 58549 -2747 58667
-rect -2629 58549 240 58667
-rect -3298 58538 240 58549
-rect 291760 58827 295260 58838
-rect 291760 58709 294591 58827
-rect 294709 58709 295260 58827
-rect 291760 58667 295260 58709
-rect 291760 58549 294591 58667
-rect 294709 58549 295260 58667
-rect 291760 58538 295260 58549
-rect -2838 58537 -2538 58538
-rect 294500 58537 294800 58538
-rect -1918 57038 -1618 57039
-rect 293580 57038 293880 57039
-rect -2378 57027 240 57038
-rect -2378 56909 -1827 57027
-rect -1709 56909 240 57027
-rect -2378 56867 240 56909
-rect -2378 56749 -1827 56867
-rect -1709 56749 240 56867
-rect -2378 56738 240 56749
-rect 291760 57027 294340 57038
-rect 291760 56909 293671 57027
-rect 293789 56909 294340 57027
-rect 291760 56867 294340 56909
-rect 291760 56749 293671 56867
-rect 293789 56749 294340 56867
-rect 291760 56738 294340 56749
-rect -1918 56737 -1618 56738
-rect 293580 56737 293880 56738
-rect -998 55238 -698 55239
-rect 292660 55238 292960 55239
-rect -1458 55227 240 55238
-rect -1458 55109 -907 55227
-rect -789 55109 240 55227
-rect -1458 55067 240 55109
-rect -1458 54949 -907 55067
-rect -789 54949 240 55067
-rect -1458 54938 240 54949
-rect 291760 55227 293420 55238
-rect 291760 55109 292751 55227
-rect 292869 55109 293420 55227
-rect 291760 55067 293420 55109
-rect 291760 54949 292751 55067
-rect 292869 54949 293420 55067
-rect 291760 54938 293420 54949
-rect -998 54937 -698 54938
-rect 292660 54937 292960 54938
-rect -4218 51638 -3918 51639
-rect 295880 51638 296180 51639
-rect -4218 51627 240 51638
-rect -4218 51509 -4127 51627
-rect -4009 51509 240 51627
-rect -4218 51467 240 51509
-rect -4218 51349 -4127 51467
-rect -4009 51349 240 51467
-rect -4218 51338 240 51349
-rect 291760 51627 296180 51638
-rect 291760 51509 295971 51627
-rect 296089 51509 296180 51627
-rect 291760 51467 296180 51509
-rect 291760 51349 295971 51467
-rect 296089 51349 296180 51467
-rect 291760 51338 296180 51349
-rect -4218 51337 -3918 51338
-rect 295880 51337 296180 51338
-rect -3298 49838 -2998 49839
-rect 294960 49838 295260 49839
-rect -3298 49827 240 49838
-rect -3298 49709 -3207 49827
-rect -3089 49709 240 49827
-rect -3298 49667 240 49709
-rect -3298 49549 -3207 49667
-rect -3089 49549 240 49667
-rect -3298 49538 240 49549
-rect 291760 49827 295260 49838
-rect 291760 49709 295051 49827
-rect 295169 49709 295260 49827
-rect 291760 49667 295260 49709
-rect 291760 49549 295051 49667
-rect 295169 49549 295260 49667
-rect 291760 49538 295260 49549
-rect -3298 49537 -2998 49538
-rect 294960 49537 295260 49538
-rect -2378 48038 -2078 48039
-rect 294040 48038 294340 48039
-rect -2378 48027 240 48038
-rect -2378 47909 -2287 48027
-rect -2169 47909 240 48027
-rect -2378 47867 240 47909
-rect -2378 47749 -2287 47867
-rect -2169 47749 240 47867
-rect -2378 47738 240 47749
-rect 291760 48027 294340 48038
-rect 291760 47909 294131 48027
-rect 294249 47909 294340 48027
-rect 291760 47867 294340 47909
-rect 291760 47749 294131 47867
-rect 294249 47749 294340 47867
-rect 291760 47738 294340 47749
-rect -2378 47737 -2078 47738
-rect 294040 47737 294340 47738
-rect -1458 46238 -1158 46239
-rect 293120 46238 293420 46239
-rect -1458 46227 240 46238
-rect -1458 46109 -1367 46227
-rect -1249 46109 240 46227
-rect -1458 46067 240 46109
-rect -1458 45949 -1367 46067
-rect -1249 45949 240 46067
-rect -1458 45938 240 45949
-rect 291760 46227 293420 46238
-rect 291760 46109 293211 46227
-rect 293329 46109 293420 46227
-rect 291760 46067 293420 46109
-rect 291760 45949 293211 46067
-rect 293329 45949 293420 46067
-rect 291760 45938 293420 45949
-rect -1458 45937 -1158 45938
-rect 293120 45937 293420 45938
-rect -3758 42638 -3458 42639
-rect 295420 42638 295720 42639
-rect -4218 42627 240 42638
-rect -4218 42509 -3667 42627
-rect -3549 42509 240 42627
-rect -4218 42467 240 42509
-rect -4218 42349 -3667 42467
-rect -3549 42349 240 42467
-rect -4218 42338 240 42349
-rect 291760 42627 296180 42638
-rect 291760 42509 295511 42627
-rect 295629 42509 296180 42627
-rect 291760 42467 296180 42509
-rect 291760 42349 295511 42467
-rect 295629 42349 296180 42467
-rect 291760 42338 296180 42349
-rect -3758 42337 -3458 42338
-rect 295420 42337 295720 42338
-rect -2838 40838 -2538 40839
-rect 294500 40838 294800 40839
-rect -3298 40827 240 40838
-rect -3298 40709 -2747 40827
-rect -2629 40709 240 40827
-rect -3298 40667 240 40709
-rect -3298 40549 -2747 40667
-rect -2629 40549 240 40667
-rect -3298 40538 240 40549
-rect 291760 40827 295260 40838
-rect 291760 40709 294591 40827
-rect 294709 40709 295260 40827
-rect 291760 40667 295260 40709
-rect 291760 40549 294591 40667
-rect 294709 40549 295260 40667
-rect 291760 40538 295260 40549
-rect -2838 40537 -2538 40538
-rect 294500 40537 294800 40538
-rect -1918 39038 -1618 39039
-rect 293580 39038 293880 39039
-rect -2378 39027 240 39038
-rect -2378 38909 -1827 39027
-rect -1709 38909 240 39027
-rect -2378 38867 240 38909
-rect -2378 38749 -1827 38867
-rect -1709 38749 240 38867
-rect -2378 38738 240 38749
-rect 291760 39027 294340 39038
-rect 291760 38909 293671 39027
-rect 293789 38909 294340 39027
-rect 291760 38867 294340 38909
-rect 291760 38749 293671 38867
-rect 293789 38749 294340 38867
-rect 291760 38738 294340 38749
-rect -1918 38737 -1618 38738
-rect 293580 38737 293880 38738
-rect -998 37238 -698 37239
-rect 292660 37238 292960 37239
-rect -1458 37227 240 37238
-rect -1458 37109 -907 37227
-rect -789 37109 240 37227
-rect -1458 37067 240 37109
-rect -1458 36949 -907 37067
-rect -789 36949 240 37067
-rect -1458 36938 240 36949
-rect 291760 37227 293420 37238
-rect 291760 37109 292751 37227
-rect 292869 37109 293420 37227
-rect 291760 37067 293420 37109
-rect 291760 36949 292751 37067
-rect 292869 36949 293420 37067
-rect 291760 36938 293420 36949
-rect -998 36937 -698 36938
-rect 292660 36937 292960 36938
-rect -4218 33638 -3918 33639
-rect 295880 33638 296180 33639
-rect -4218 33627 240 33638
-rect -4218 33509 -4127 33627
-rect -4009 33509 240 33627
-rect -4218 33467 240 33509
-rect -4218 33349 -4127 33467
-rect -4009 33349 240 33467
-rect -4218 33338 240 33349
-rect 291760 33627 296180 33638
-rect 291760 33509 295971 33627
-rect 296089 33509 296180 33627
-rect 291760 33467 296180 33509
-rect 291760 33349 295971 33467
-rect 296089 33349 296180 33467
-rect 291760 33338 296180 33349
-rect -4218 33337 -3918 33338
-rect 295880 33337 296180 33338
-rect -3298 31838 -2998 31839
-rect 294960 31838 295260 31839
-rect -3298 31827 240 31838
-rect -3298 31709 -3207 31827
-rect -3089 31709 240 31827
-rect -3298 31667 240 31709
-rect -3298 31549 -3207 31667
-rect -3089 31549 240 31667
-rect -3298 31538 240 31549
-rect 291760 31827 295260 31838
-rect 291760 31709 295051 31827
-rect 295169 31709 295260 31827
-rect 291760 31667 295260 31709
-rect 291760 31549 295051 31667
-rect 295169 31549 295260 31667
-rect 291760 31538 295260 31549
-rect -3298 31537 -2998 31538
-rect 294960 31537 295260 31538
-rect -2378 30038 -2078 30039
-rect 294040 30038 294340 30039
-rect -2378 30027 240 30038
-rect -2378 29909 -2287 30027
-rect -2169 29909 240 30027
-rect -2378 29867 240 29909
-rect -2378 29749 -2287 29867
-rect -2169 29749 240 29867
-rect -2378 29738 240 29749
-rect 291760 30027 294340 30038
-rect 291760 29909 294131 30027
-rect 294249 29909 294340 30027
-rect 291760 29867 294340 29909
-rect 291760 29749 294131 29867
-rect 294249 29749 294340 29867
-rect 291760 29738 294340 29749
-rect -2378 29737 -2078 29738
-rect 294040 29737 294340 29738
-rect -1458 28238 -1158 28239
-rect 293120 28238 293420 28239
-rect -1458 28227 240 28238
-rect -1458 28109 -1367 28227
-rect -1249 28109 240 28227
-rect -1458 28067 240 28109
-rect -1458 27949 -1367 28067
-rect -1249 27949 240 28067
-rect -1458 27938 240 27949
-rect 291760 28227 293420 28238
-rect 291760 28109 293211 28227
-rect 293329 28109 293420 28227
-rect 291760 28067 293420 28109
-rect 291760 27949 293211 28067
-rect 293329 27949 293420 28067
-rect 291760 27938 293420 27949
-rect -1458 27937 -1158 27938
-rect 293120 27937 293420 27938
-rect -3758 24638 -3458 24639
-rect 295420 24638 295720 24639
-rect -4218 24627 240 24638
-rect -4218 24509 -3667 24627
-rect -3549 24509 240 24627
-rect -4218 24467 240 24509
-rect -4218 24349 -3667 24467
-rect -3549 24349 240 24467
-rect -4218 24338 240 24349
-rect 291760 24627 296180 24638
-rect 291760 24509 295511 24627
-rect 295629 24509 296180 24627
-rect 291760 24467 296180 24509
-rect 291760 24349 295511 24467
-rect 295629 24349 296180 24467
-rect 291760 24338 296180 24349
-rect -3758 24337 -3458 24338
-rect 295420 24337 295720 24338
-rect -2838 22838 -2538 22839
-rect 294500 22838 294800 22839
-rect -3298 22827 240 22838
-rect -3298 22709 -2747 22827
-rect -2629 22709 240 22827
-rect -3298 22667 240 22709
-rect -3298 22549 -2747 22667
-rect -2629 22549 240 22667
-rect -3298 22538 240 22549
-rect 291760 22827 295260 22838
-rect 291760 22709 294591 22827
-rect 294709 22709 295260 22827
-rect 291760 22667 295260 22709
-rect 291760 22549 294591 22667
-rect 294709 22549 295260 22667
-rect 291760 22538 295260 22549
-rect -2838 22537 -2538 22538
-rect 294500 22537 294800 22538
-rect -1918 21038 -1618 21039
-rect 293580 21038 293880 21039
-rect -2378 21027 240 21038
-rect -2378 20909 -1827 21027
-rect -1709 20909 240 21027
-rect -2378 20867 240 20909
-rect -2378 20749 -1827 20867
-rect -1709 20749 240 20867
-rect -2378 20738 240 20749
-rect 291760 21027 294340 21038
-rect 291760 20909 293671 21027
-rect 293789 20909 294340 21027
-rect 291760 20867 294340 20909
-rect 291760 20749 293671 20867
-rect 293789 20749 294340 20867
-rect 291760 20738 294340 20749
-rect -1918 20737 -1618 20738
-rect 293580 20737 293880 20738
-rect -998 19238 -698 19239
-rect 292660 19238 292960 19239
-rect -1458 19227 240 19238
-rect -1458 19109 -907 19227
-rect -789 19109 240 19227
-rect -1458 19067 240 19109
-rect -1458 18949 -907 19067
-rect -789 18949 240 19067
-rect -1458 18938 240 18949
-rect 291760 19227 293420 19238
-rect 291760 19109 292751 19227
-rect 292869 19109 293420 19227
-rect 291760 19067 293420 19109
-rect 291760 18949 292751 19067
-rect 292869 18949 293420 19067
-rect 291760 18938 293420 18949
-rect -998 18937 -698 18938
-rect 292660 18937 292960 18938
-rect -4218 15638 -3918 15639
-rect 295880 15638 296180 15639
-rect -4218 15627 240 15638
-rect -4218 15509 -4127 15627
-rect -4009 15509 240 15627
-rect -4218 15467 240 15509
-rect -4218 15349 -4127 15467
-rect -4009 15349 240 15467
-rect -4218 15338 240 15349
-rect 291760 15627 296180 15638
-rect 291760 15509 295971 15627
-rect 296089 15509 296180 15627
-rect 291760 15467 296180 15509
-rect 291760 15349 295971 15467
-rect 296089 15349 296180 15467
-rect 291760 15338 296180 15349
-rect -4218 15337 -3918 15338
-rect 295880 15337 296180 15338
-rect -3298 13838 -2998 13839
-rect 294960 13838 295260 13839
-rect -3298 13827 240 13838
-rect -3298 13709 -3207 13827
-rect -3089 13709 240 13827
-rect -3298 13667 240 13709
-rect -3298 13549 -3207 13667
-rect -3089 13549 240 13667
-rect -3298 13538 240 13549
-rect 291760 13827 295260 13838
-rect 291760 13709 295051 13827
-rect 295169 13709 295260 13827
-rect 291760 13667 295260 13709
-rect 291760 13549 295051 13667
-rect 295169 13549 295260 13667
-rect 291760 13538 295260 13549
-rect -3298 13537 -2998 13538
-rect 294960 13537 295260 13538
-rect -2378 12038 -2078 12039
-rect 294040 12038 294340 12039
-rect -2378 12027 240 12038
-rect -2378 11909 -2287 12027
-rect -2169 11909 240 12027
-rect -2378 11867 240 11909
-rect -2378 11749 -2287 11867
-rect -2169 11749 240 11867
-rect -2378 11738 240 11749
-rect 291760 12027 294340 12038
-rect 291760 11909 294131 12027
-rect 294249 11909 294340 12027
-rect 291760 11867 294340 11909
-rect 291760 11749 294131 11867
-rect 294249 11749 294340 11867
-rect 291760 11738 294340 11749
-rect -2378 11737 -2078 11738
-rect 294040 11737 294340 11738
-rect -1458 10238 -1158 10239
-rect 293120 10238 293420 10239
-rect -1458 10227 240 10238
-rect -1458 10109 -1367 10227
-rect -1249 10109 240 10227
-rect -1458 10067 240 10109
-rect -1458 9949 -1367 10067
-rect -1249 9949 240 10067
-rect -1458 9938 240 9949
-rect 291760 10227 293420 10238
-rect 291760 10109 293211 10227
-rect 293329 10109 293420 10227
-rect 291760 10067 293420 10109
-rect 291760 9949 293211 10067
-rect 293329 9949 293420 10067
-rect 291760 9938 293420 9949
-rect -1458 9937 -1158 9938
-rect 293120 9937 293420 9938
-rect -3758 6638 -3458 6639
-rect 295420 6638 295720 6639
-rect -4218 6627 240 6638
-rect -4218 6509 -3667 6627
-rect -3549 6509 240 6627
-rect -4218 6467 240 6509
-rect -4218 6349 -3667 6467
-rect -3549 6349 240 6467
-rect -4218 6338 240 6349
-rect 291760 6627 296180 6638
-rect 291760 6509 295511 6627
-rect 295629 6509 296180 6627
-rect 291760 6467 296180 6509
-rect 291760 6349 295511 6467
-rect 295629 6349 296180 6467
-rect 291760 6338 296180 6349
-rect -3758 6337 -3458 6338
-rect 295420 6337 295720 6338
-rect -2838 4838 -2538 4839
-rect 294500 4838 294800 4839
-rect -3298 4827 240 4838
-rect -3298 4709 -2747 4827
-rect -2629 4709 240 4827
-rect -3298 4667 240 4709
-rect -3298 4549 -2747 4667
-rect -2629 4549 240 4667
-rect -3298 4538 240 4549
-rect 291760 4827 295260 4838
-rect 291760 4709 294591 4827
-rect 294709 4709 295260 4827
-rect 291760 4667 295260 4709
-rect 291760 4549 294591 4667
-rect 294709 4549 295260 4667
-rect 291760 4538 295260 4549
-rect -2838 4537 -2538 4538
-rect 294500 4537 294800 4538
-rect -1918 3038 -1618 3039
-rect 293580 3038 293880 3039
-rect -2378 3027 240 3038
-rect -2378 2909 -1827 3027
-rect -1709 2909 240 3027
-rect -2378 2867 240 2909
-rect -2378 2749 -1827 2867
-rect -1709 2749 240 2867
-rect -2378 2738 240 2749
-rect 291760 3027 294340 3038
-rect 291760 2909 293671 3027
-rect 293789 2909 294340 3027
-rect 291760 2867 294340 2909
-rect 291760 2749 293671 2867
-rect 293789 2749 294340 2867
-rect 291760 2738 294340 2749
-rect -1918 2737 -1618 2738
-rect 293580 2737 293880 2738
-rect -998 1238 -698 1239
-rect 292660 1238 292960 1239
-rect -1458 1227 240 1238
-rect -1458 1109 -907 1227
-rect -789 1109 240 1227
-rect -1458 1067 240 1109
-rect -1458 949 -907 1067
-rect -789 949 240 1067
-rect -1458 938 240 949
-rect 291760 1227 293420 1238
-rect 291760 1109 292751 1227
-rect 292869 1109 293420 1227
-rect 291760 1067 293420 1109
-rect 291760 949 292751 1067
-rect 292869 949 293420 1067
-rect 291760 938 293420 949
-rect -998 937 -698 938
-rect 292660 937 292960 938
-rect -998 -162 -698 -161
-rect 402 -162 702 -161
-rect 18402 -162 18702 -161
-rect 36402 -162 36702 -161
-rect 54402 -162 54702 -161
-rect 72402 -162 72702 -161
-rect 90402 -162 90702 -161
-rect 108402 -162 108702 -161
-rect 126402 -162 126702 -161
-rect 144402 -162 144702 -161
-rect 162402 -162 162702 -161
-rect 180402 -162 180702 -161
-rect 198402 -162 198702 -161
-rect 216402 -162 216702 -161
-rect 234402 -162 234702 -161
-rect 252402 -162 252702 -161
-rect 270402 -162 270702 -161
-rect 288402 -162 288702 -161
-rect 292660 -162 292960 -161
-rect -998 -173 292960 -162
-rect -998 -291 -907 -173
-rect -789 -291 493 -173
-rect 611 -291 18493 -173
-rect 18611 -291 36493 -173
-rect 36611 -291 54493 -173
-rect 54611 -291 72493 -173
-rect 72611 -291 90493 -173
-rect 90611 -291 108493 -173
-rect 108611 -291 126493 -173
-rect 126611 -291 144493 -173
-rect 144611 -291 162493 -173
-rect 162611 -291 180493 -173
-rect 180611 -291 198493 -173
-rect 198611 -291 216493 -173
-rect 216611 -291 234493 -173
-rect 234611 -291 252493 -173
-rect 252611 -291 270493 -173
-rect 270611 -291 288493 -173
-rect 288611 -291 292751 -173
-rect 292869 -291 292960 -173
-rect -998 -333 292960 -291
-rect -998 -451 -907 -333
-rect -789 -451 493 -333
-rect 611 -451 18493 -333
-rect 18611 -451 36493 -333
-rect 36611 -451 54493 -333
-rect 54611 -451 72493 -333
-rect 72611 -451 90493 -333
-rect 90611 -451 108493 -333
-rect 108611 -451 126493 -333
-rect 126611 -451 144493 -333
-rect 144611 -451 162493 -333
-rect 162611 -451 180493 -333
-rect 180611 -451 198493 -333
-rect 198611 -451 216493 -333
-rect 216611 -451 234493 -333
-rect 234611 -451 252493 -333
-rect 252611 -451 270493 -333
-rect 270611 -451 288493 -333
-rect 288611 -451 292751 -333
-rect 292869 -451 292960 -333
-rect -998 -462 292960 -451
-rect -998 -463 -698 -462
-rect 402 -463 702 -462
-rect 18402 -463 18702 -462
-rect 36402 -463 36702 -462
-rect 54402 -463 54702 -462
-rect 72402 -463 72702 -462
-rect 90402 -463 90702 -462
-rect 108402 -463 108702 -462
-rect 126402 -463 126702 -462
-rect 144402 -463 144702 -462
-rect 162402 -463 162702 -462
-rect 180402 -463 180702 -462
-rect 198402 -463 198702 -462
-rect 216402 -463 216702 -462
-rect 234402 -463 234702 -462
-rect 252402 -463 252702 -462
-rect 270402 -463 270702 -462
-rect 288402 -463 288702 -462
-rect 292660 -463 292960 -462
-rect -1458 -622 -1158 -621
-rect 9402 -622 9702 -621
-rect 27402 -622 27702 -621
-rect 45402 -622 45702 -621
-rect 63402 -622 63702 -621
-rect 81402 -622 81702 -621
-rect 99402 -622 99702 -621
-rect 117402 -622 117702 -621
-rect 135402 -622 135702 -621
-rect 153402 -622 153702 -621
-rect 171402 -622 171702 -621
-rect 189402 -622 189702 -621
-rect 207402 -622 207702 -621
-rect 225402 -622 225702 -621
-rect 243402 -622 243702 -621
-rect 261402 -622 261702 -621
-rect 279402 -622 279702 -621
-rect 293120 -622 293420 -621
-rect -1458 -633 293420 -622
-rect -1458 -751 -1367 -633
-rect -1249 -751 9493 -633
-rect 9611 -751 27493 -633
-rect 27611 -751 45493 -633
-rect 45611 -751 63493 -633
-rect 63611 -751 81493 -633
-rect 81611 -751 99493 -633
-rect 99611 -751 117493 -633
-rect 117611 -751 135493 -633
-rect 135611 -751 153493 -633
-rect 153611 -751 171493 -633
-rect 171611 -751 189493 -633
-rect 189611 -751 207493 -633
-rect 207611 -751 225493 -633
-rect 225611 -751 243493 -633
-rect 243611 -751 261493 -633
-rect 261611 -751 279493 -633
-rect 279611 -751 293211 -633
-rect 293329 -751 293420 -633
-rect -1458 -793 293420 -751
-rect -1458 -911 -1367 -793
-rect -1249 -911 9493 -793
-rect 9611 -911 27493 -793
-rect 27611 -911 45493 -793
-rect 45611 -911 63493 -793
-rect 63611 -911 81493 -793
-rect 81611 -911 99493 -793
-rect 99611 -911 117493 -793
-rect 117611 -911 135493 -793
-rect 135611 -911 153493 -793
-rect 153611 -911 171493 -793
-rect 171611 -911 189493 -793
-rect 189611 -911 207493 -793
-rect 207611 -911 225493 -793
-rect 225611 -911 243493 -793
-rect 243611 -911 261493 -793
-rect 261611 -911 279493 -793
-rect 279611 -911 293211 -793
-rect 293329 -911 293420 -793
-rect -1458 -922 293420 -911
-rect -1458 -923 -1158 -922
-rect 9402 -923 9702 -922
-rect 27402 -923 27702 -922
-rect 45402 -923 45702 -922
-rect 63402 -923 63702 -922
-rect 81402 -923 81702 -922
-rect 99402 -923 99702 -922
-rect 117402 -923 117702 -922
-rect 135402 -923 135702 -922
-rect 153402 -923 153702 -922
-rect 171402 -923 171702 -922
-rect 189402 -923 189702 -922
-rect 207402 -923 207702 -922
-rect 225402 -923 225702 -922
-rect 243402 -923 243702 -922
-rect 261402 -923 261702 -922
-rect 279402 -923 279702 -922
-rect 293120 -923 293420 -922
-rect -1918 -1082 -1618 -1081
-rect 2202 -1082 2502 -1081
-rect 20202 -1082 20502 -1081
-rect 38202 -1082 38502 -1081
-rect 56202 -1082 56502 -1081
-rect 74202 -1082 74502 -1081
-rect 92202 -1082 92502 -1081
-rect 110202 -1082 110502 -1081
-rect 128202 -1082 128502 -1081
-rect 146202 -1082 146502 -1081
-rect 164202 -1082 164502 -1081
-rect 182202 -1082 182502 -1081
-rect 200202 -1082 200502 -1081
-rect 218202 -1082 218502 -1081
-rect 236202 -1082 236502 -1081
-rect 254202 -1082 254502 -1081
-rect 272202 -1082 272502 -1081
-rect 290202 -1082 290502 -1081
-rect 293580 -1082 293880 -1081
-rect -1918 -1093 293880 -1082
-rect -1918 -1211 -1827 -1093
-rect -1709 -1211 2293 -1093
-rect 2411 -1211 20293 -1093
-rect 20411 -1211 38293 -1093
-rect 38411 -1211 56293 -1093
-rect 56411 -1211 74293 -1093
-rect 74411 -1211 92293 -1093
-rect 92411 -1211 110293 -1093
-rect 110411 -1211 128293 -1093
-rect 128411 -1211 146293 -1093
-rect 146411 -1211 164293 -1093
-rect 164411 -1211 182293 -1093
-rect 182411 -1211 200293 -1093
-rect 200411 -1211 218293 -1093
-rect 218411 -1211 236293 -1093
-rect 236411 -1211 254293 -1093
-rect 254411 -1211 272293 -1093
-rect 272411 -1211 290293 -1093
-rect 290411 -1211 293671 -1093
-rect 293789 -1211 293880 -1093
-rect -1918 -1253 293880 -1211
-rect -1918 -1371 -1827 -1253
-rect -1709 -1371 2293 -1253
-rect 2411 -1371 20293 -1253
-rect 20411 -1371 38293 -1253
-rect 38411 -1371 56293 -1253
-rect 56411 -1371 74293 -1253
-rect 74411 -1371 92293 -1253
-rect 92411 -1371 110293 -1253
-rect 110411 -1371 128293 -1253
-rect 128411 -1371 146293 -1253
-rect 146411 -1371 164293 -1253
-rect 164411 -1371 182293 -1253
-rect 182411 -1371 200293 -1253
-rect 200411 -1371 218293 -1253
-rect 218411 -1371 236293 -1253
-rect 236411 -1371 254293 -1253
-rect 254411 -1371 272293 -1253
-rect 272411 -1371 290293 -1253
-rect 290411 -1371 293671 -1253
-rect 293789 -1371 293880 -1253
-rect -1918 -1382 293880 -1371
-rect -1918 -1383 -1618 -1382
-rect 2202 -1383 2502 -1382
-rect 20202 -1383 20502 -1382
-rect 38202 -1383 38502 -1382
-rect 56202 -1383 56502 -1382
-rect 74202 -1383 74502 -1382
-rect 92202 -1383 92502 -1382
-rect 110202 -1383 110502 -1382
-rect 128202 -1383 128502 -1382
-rect 146202 -1383 146502 -1382
-rect 164202 -1383 164502 -1382
-rect 182202 -1383 182502 -1382
-rect 200202 -1383 200502 -1382
-rect 218202 -1383 218502 -1382
-rect 236202 -1383 236502 -1382
-rect 254202 -1383 254502 -1382
-rect 272202 -1383 272502 -1382
-rect 290202 -1383 290502 -1382
-rect 293580 -1383 293880 -1382
-rect -2378 -1542 -2078 -1541
-rect 11202 -1542 11502 -1541
-rect 29202 -1542 29502 -1541
-rect 47202 -1542 47502 -1541
-rect 65202 -1542 65502 -1541
-rect 83202 -1542 83502 -1541
-rect 101202 -1542 101502 -1541
-rect 119202 -1542 119502 -1541
-rect 137202 -1542 137502 -1541
-rect 155202 -1542 155502 -1541
-rect 173202 -1542 173502 -1541
-rect 191202 -1542 191502 -1541
-rect 209202 -1542 209502 -1541
-rect 227202 -1542 227502 -1541
-rect 245202 -1542 245502 -1541
-rect 263202 -1542 263502 -1541
-rect 281202 -1542 281502 -1541
-rect 294040 -1542 294340 -1541
-rect -2378 -1553 294340 -1542
-rect -2378 -1671 -2287 -1553
-rect -2169 -1671 11293 -1553
-rect 11411 -1671 29293 -1553
-rect 29411 -1671 47293 -1553
-rect 47411 -1671 65293 -1553
-rect 65411 -1671 83293 -1553
-rect 83411 -1671 101293 -1553
-rect 101411 -1671 119293 -1553
-rect 119411 -1671 137293 -1553
-rect 137411 -1671 155293 -1553
-rect 155411 -1671 173293 -1553
-rect 173411 -1671 191293 -1553
-rect 191411 -1671 209293 -1553
-rect 209411 -1671 227293 -1553
-rect 227411 -1671 245293 -1553
-rect 245411 -1671 263293 -1553
-rect 263411 -1671 281293 -1553
-rect 281411 -1671 294131 -1553
-rect 294249 -1671 294340 -1553
-rect -2378 -1713 294340 -1671
-rect -2378 -1831 -2287 -1713
-rect -2169 -1831 11293 -1713
-rect 11411 -1831 29293 -1713
-rect 29411 -1831 47293 -1713
-rect 47411 -1831 65293 -1713
-rect 65411 -1831 83293 -1713
-rect 83411 -1831 101293 -1713
-rect 101411 -1831 119293 -1713
-rect 119411 -1831 137293 -1713
-rect 137411 -1831 155293 -1713
-rect 155411 -1831 173293 -1713
-rect 173411 -1831 191293 -1713
-rect 191411 -1831 209293 -1713
-rect 209411 -1831 227293 -1713
-rect 227411 -1831 245293 -1713
-rect 245411 -1831 263293 -1713
-rect 263411 -1831 281293 -1713
-rect 281411 -1831 294131 -1713
-rect 294249 -1831 294340 -1713
-rect -2378 -1842 294340 -1831
-rect -2378 -1843 -2078 -1842
-rect 11202 -1843 11502 -1842
-rect 29202 -1843 29502 -1842
-rect 47202 -1843 47502 -1842
-rect 65202 -1843 65502 -1842
-rect 83202 -1843 83502 -1842
-rect 101202 -1843 101502 -1842
-rect 119202 -1843 119502 -1842
-rect 137202 -1843 137502 -1842
-rect 155202 -1843 155502 -1842
-rect 173202 -1843 173502 -1842
-rect 191202 -1843 191502 -1842
-rect 209202 -1843 209502 -1842
-rect 227202 -1843 227502 -1842
-rect 245202 -1843 245502 -1842
-rect 263202 -1843 263502 -1842
-rect 281202 -1843 281502 -1842
-rect 294040 -1843 294340 -1842
-rect -2838 -2002 -2538 -2001
-rect 4002 -2002 4302 -2001
-rect 22002 -2002 22302 -2001
-rect 40002 -2002 40302 -2001
-rect 58002 -2002 58302 -2001
-rect 76002 -2002 76302 -2001
-rect 94002 -2002 94302 -2001
-rect 112002 -2002 112302 -2001
-rect 130002 -2002 130302 -2001
-rect 148002 -2002 148302 -2001
-rect 166002 -2002 166302 -2001
-rect 184002 -2002 184302 -2001
-rect 202002 -2002 202302 -2001
-rect 220002 -2002 220302 -2001
-rect 238002 -2002 238302 -2001
-rect 256002 -2002 256302 -2001
-rect 274002 -2002 274302 -2001
-rect 294500 -2002 294800 -2001
-rect -2838 -2013 294800 -2002
-rect -2838 -2131 -2747 -2013
-rect -2629 -2131 4093 -2013
-rect 4211 -2131 22093 -2013
-rect 22211 -2131 40093 -2013
-rect 40211 -2131 58093 -2013
-rect 58211 -2131 76093 -2013
-rect 76211 -2131 94093 -2013
-rect 94211 -2131 112093 -2013
-rect 112211 -2131 130093 -2013
-rect 130211 -2131 148093 -2013
-rect 148211 -2131 166093 -2013
-rect 166211 -2131 184093 -2013
-rect 184211 -2131 202093 -2013
-rect 202211 -2131 220093 -2013
-rect 220211 -2131 238093 -2013
-rect 238211 -2131 256093 -2013
-rect 256211 -2131 274093 -2013
-rect 274211 -2131 294591 -2013
-rect 294709 -2131 294800 -2013
-rect -2838 -2173 294800 -2131
-rect -2838 -2291 -2747 -2173
-rect -2629 -2291 4093 -2173
-rect 4211 -2291 22093 -2173
-rect 22211 -2291 40093 -2173
-rect 40211 -2291 58093 -2173
-rect 58211 -2291 76093 -2173
-rect 76211 -2291 94093 -2173
-rect 94211 -2291 112093 -2173
-rect 112211 -2291 130093 -2173
-rect 130211 -2291 148093 -2173
-rect 148211 -2291 166093 -2173
-rect 166211 -2291 184093 -2173
-rect 184211 -2291 202093 -2173
-rect 202211 -2291 220093 -2173
-rect 220211 -2291 238093 -2173
-rect 238211 -2291 256093 -2173
-rect 256211 -2291 274093 -2173
-rect 274211 -2291 294591 -2173
-rect 294709 -2291 294800 -2173
-rect -2838 -2302 294800 -2291
-rect -2838 -2303 -2538 -2302
-rect 4002 -2303 4302 -2302
-rect 22002 -2303 22302 -2302
-rect 40002 -2303 40302 -2302
-rect 58002 -2303 58302 -2302
-rect 76002 -2303 76302 -2302
-rect 94002 -2303 94302 -2302
-rect 112002 -2303 112302 -2302
-rect 130002 -2303 130302 -2302
-rect 148002 -2303 148302 -2302
-rect 166002 -2303 166302 -2302
-rect 184002 -2303 184302 -2302
-rect 202002 -2303 202302 -2302
-rect 220002 -2303 220302 -2302
-rect 238002 -2303 238302 -2302
-rect 256002 -2303 256302 -2302
-rect 274002 -2303 274302 -2302
-rect 294500 -2303 294800 -2302
-rect -3298 -2462 -2998 -2461
-rect 13002 -2462 13302 -2461
-rect 31002 -2462 31302 -2461
-rect 49002 -2462 49302 -2461
-rect 67002 -2462 67302 -2461
-rect 85002 -2462 85302 -2461
-rect 103002 -2462 103302 -2461
-rect 121002 -2462 121302 -2461
-rect 139002 -2462 139302 -2461
-rect 157002 -2462 157302 -2461
-rect 175002 -2462 175302 -2461
-rect 193002 -2462 193302 -2461
-rect 211002 -2462 211302 -2461
-rect 229002 -2462 229302 -2461
-rect 247002 -2462 247302 -2461
-rect 265002 -2462 265302 -2461
-rect 283002 -2462 283302 -2461
-rect 294960 -2462 295260 -2461
-rect -3298 -2473 295260 -2462
-rect -3298 -2591 -3207 -2473
-rect -3089 -2591 13093 -2473
-rect 13211 -2591 31093 -2473
-rect 31211 -2591 49093 -2473
-rect 49211 -2591 67093 -2473
-rect 67211 -2591 85093 -2473
-rect 85211 -2591 103093 -2473
-rect 103211 -2591 121093 -2473
-rect 121211 -2591 139093 -2473
-rect 139211 -2591 157093 -2473
-rect 157211 -2591 175093 -2473
-rect 175211 -2591 193093 -2473
-rect 193211 -2591 211093 -2473
-rect 211211 -2591 229093 -2473
-rect 229211 -2591 247093 -2473
-rect 247211 -2591 265093 -2473
-rect 265211 -2591 283093 -2473
-rect 283211 -2591 295051 -2473
-rect 295169 -2591 295260 -2473
-rect -3298 -2633 295260 -2591
-rect -3298 -2751 -3207 -2633
-rect -3089 -2751 13093 -2633
-rect 13211 -2751 31093 -2633
-rect 31211 -2751 49093 -2633
-rect 49211 -2751 67093 -2633
-rect 67211 -2751 85093 -2633
-rect 85211 -2751 103093 -2633
-rect 103211 -2751 121093 -2633
-rect 121211 -2751 139093 -2633
-rect 139211 -2751 157093 -2633
-rect 157211 -2751 175093 -2633
-rect 175211 -2751 193093 -2633
-rect 193211 -2751 211093 -2633
-rect 211211 -2751 229093 -2633
-rect 229211 -2751 247093 -2633
-rect 247211 -2751 265093 -2633
-rect 265211 -2751 283093 -2633
-rect 283211 -2751 295051 -2633
-rect 295169 -2751 295260 -2633
-rect -3298 -2762 295260 -2751
-rect -3298 -2763 -2998 -2762
-rect 13002 -2763 13302 -2762
-rect 31002 -2763 31302 -2762
-rect 49002 -2763 49302 -2762
-rect 67002 -2763 67302 -2762
-rect 85002 -2763 85302 -2762
-rect 103002 -2763 103302 -2762
-rect 121002 -2763 121302 -2762
-rect 139002 -2763 139302 -2762
-rect 157002 -2763 157302 -2762
-rect 175002 -2763 175302 -2762
-rect 193002 -2763 193302 -2762
-rect 211002 -2763 211302 -2762
-rect 229002 -2763 229302 -2762
-rect 247002 -2763 247302 -2762
-rect 265002 -2763 265302 -2762
-rect 283002 -2763 283302 -2762
-rect 294960 -2763 295260 -2762
-rect -3758 -2922 -3458 -2921
-rect 5802 -2922 6102 -2921
-rect 23802 -2922 24102 -2921
-rect 41802 -2922 42102 -2921
-rect 59802 -2922 60102 -2921
-rect 77802 -2922 78102 -2921
-rect 95802 -2922 96102 -2921
-rect 113802 -2922 114102 -2921
-rect 131802 -2922 132102 -2921
-rect 149802 -2922 150102 -2921
-rect 167802 -2922 168102 -2921
-rect 185802 -2922 186102 -2921
-rect 203802 -2922 204102 -2921
-rect 221802 -2922 222102 -2921
-rect 239802 -2922 240102 -2921
-rect 257802 -2922 258102 -2921
-rect 275802 -2922 276102 -2921
-rect 295420 -2922 295720 -2921
-rect -3758 -2933 295720 -2922
-rect -3758 -3051 -3667 -2933
-rect -3549 -3051 5893 -2933
-rect 6011 -3051 23893 -2933
-rect 24011 -3051 41893 -2933
-rect 42011 -3051 59893 -2933
-rect 60011 -3051 77893 -2933
-rect 78011 -3051 95893 -2933
-rect 96011 -3051 113893 -2933
-rect 114011 -3051 131893 -2933
-rect 132011 -3051 149893 -2933
-rect 150011 -3051 167893 -2933
-rect 168011 -3051 185893 -2933
-rect 186011 -3051 203893 -2933
-rect 204011 -3051 221893 -2933
-rect 222011 -3051 239893 -2933
-rect 240011 -3051 257893 -2933
-rect 258011 -3051 275893 -2933
-rect 276011 -3051 295511 -2933
-rect 295629 -3051 295720 -2933
-rect -3758 -3093 295720 -3051
-rect -3758 -3211 -3667 -3093
-rect -3549 -3211 5893 -3093
-rect 6011 -3211 23893 -3093
-rect 24011 -3211 41893 -3093
-rect 42011 -3211 59893 -3093
-rect 60011 -3211 77893 -3093
-rect 78011 -3211 95893 -3093
-rect 96011 -3211 113893 -3093
-rect 114011 -3211 131893 -3093
-rect 132011 -3211 149893 -3093
-rect 150011 -3211 167893 -3093
-rect 168011 -3211 185893 -3093
-rect 186011 -3211 203893 -3093
-rect 204011 -3211 221893 -3093
-rect 222011 -3211 239893 -3093
-rect 240011 -3211 257893 -3093
-rect 258011 -3211 275893 -3093
-rect 276011 -3211 295511 -3093
-rect 295629 -3211 295720 -3093
-rect -3758 -3222 295720 -3211
-rect -3758 -3223 -3458 -3222
-rect 5802 -3223 6102 -3222
-rect 23802 -3223 24102 -3222
-rect 41802 -3223 42102 -3222
-rect 59802 -3223 60102 -3222
-rect 77802 -3223 78102 -3222
-rect 95802 -3223 96102 -3222
-rect 113802 -3223 114102 -3222
-rect 131802 -3223 132102 -3222
-rect 149802 -3223 150102 -3222
-rect 167802 -3223 168102 -3222
-rect 185802 -3223 186102 -3222
-rect 203802 -3223 204102 -3222
-rect 221802 -3223 222102 -3222
-rect 239802 -3223 240102 -3222
-rect 257802 -3223 258102 -3222
-rect 275802 -3223 276102 -3222
-rect 295420 -3223 295720 -3222
-rect -4218 -3382 -3918 -3381
-rect 14802 -3382 15102 -3381
-rect 32802 -3382 33102 -3381
-rect 50802 -3382 51102 -3381
-rect 68802 -3382 69102 -3381
-rect 86802 -3382 87102 -3381
-rect 104802 -3382 105102 -3381
-rect 122802 -3382 123102 -3381
-rect 140802 -3382 141102 -3381
-rect 158802 -3382 159102 -3381
-rect 176802 -3382 177102 -3381
-rect 194802 -3382 195102 -3381
-rect 212802 -3382 213102 -3381
-rect 230802 -3382 231102 -3381
-rect 248802 -3382 249102 -3381
-rect 266802 -3382 267102 -3381
-rect 284802 -3382 285102 -3381
-rect 295880 -3382 296180 -3381
-rect -4218 -3393 296180 -3382
-rect -4218 -3511 -4127 -3393
-rect -4009 -3511 14893 -3393
-rect 15011 -3511 32893 -3393
-rect 33011 -3511 50893 -3393
-rect 51011 -3511 68893 -3393
-rect 69011 -3511 86893 -3393
-rect 87011 -3511 104893 -3393
-rect 105011 -3511 122893 -3393
-rect 123011 -3511 140893 -3393
-rect 141011 -3511 158893 -3393
-rect 159011 -3511 176893 -3393
-rect 177011 -3511 194893 -3393
-rect 195011 -3511 212893 -3393
-rect 213011 -3511 230893 -3393
-rect 231011 -3511 248893 -3393
-rect 249011 -3511 266893 -3393
-rect 267011 -3511 284893 -3393
-rect 285011 -3511 295971 -3393
-rect 296089 -3511 296180 -3393
-rect -4218 -3553 296180 -3511
-rect -4218 -3671 -4127 -3553
-rect -4009 -3671 14893 -3553
-rect 15011 -3671 32893 -3553
-rect 33011 -3671 50893 -3553
-rect 51011 -3671 68893 -3553
-rect 69011 -3671 86893 -3553
-rect 87011 -3671 104893 -3553
-rect 105011 -3671 122893 -3553
-rect 123011 -3671 140893 -3553
-rect 141011 -3671 158893 -3553
-rect 159011 -3671 176893 -3553
-rect 177011 -3671 194893 -3553
-rect 195011 -3671 212893 -3553
-rect 213011 -3671 230893 -3553
-rect 231011 -3671 248893 -3553
-rect 249011 -3671 266893 -3553
-rect 267011 -3671 284893 -3553
-rect 285011 -3671 295971 -3553
-rect 296089 -3671 296180 -3553
-rect -4218 -3682 296180 -3671
-rect -4218 -3683 -3918 -3682
-rect 14802 -3683 15102 -3682
-rect 32802 -3683 33102 -3682
-rect 50802 -3683 51102 -3682
-rect 68802 -3683 69102 -3682
-rect 86802 -3683 87102 -3682
-rect 104802 -3683 105102 -3682
-rect 122802 -3683 123102 -3682
-rect 140802 -3683 141102 -3682
-rect 158802 -3683 159102 -3682
-rect 176802 -3683 177102 -3682
-rect 194802 -3683 195102 -3682
-rect 212802 -3683 213102 -3682
-rect 230802 -3683 231102 -3682
-rect 248802 -3683 249102 -3682
-rect 266802 -3683 267102 -3682
-rect 284802 -3683 285102 -3682
-rect 295880 -3683 296180 -3682
-<< labels >>
-rlabel metal3 s 291760 2898 292480 3018 4 analog_io[0]
-port 1 nsew
-rlabel metal3 s 291760 237498 292480 237618 4 analog_io[10]
-port 2 nsew
-rlabel metal3 s 291760 260958 292480 261078 4 analog_io[11]
-port 3 nsew
-rlabel metal3 s 291760 284418 292480 284538 4 analog_io[12]
-port 4 nsew
-rlabel metal3 s 291760 307878 292480 307998 4 analog_io[13]
-port 5 nsew
-rlabel metal3 s 291760 331338 292480 331458 4 analog_io[14]
-port 6 nsew
-rlabel metal2 s 287909 351760 287965 352480 4 analog_io[15]
-port 7 nsew
-rlabel metal2 s 255479 351760 255535 352480 4 analog_io[16]
-port 8 nsew
-rlabel metal2 s 223049 351760 223105 352480 4 analog_io[17]
-port 9 nsew
-rlabel metal2 s 190573 351760 190629 352480 4 analog_io[18]
-port 10 nsew
-rlabel metal2 s 158143 351760 158199 352480 4 analog_io[19]
-port 11 nsew
-rlabel metal3 s 291760 26358 292480 26478 4 analog_io[1]
-port 12 nsew
-rlabel metal2 s 125713 351760 125769 352480 4 analog_io[20]
-port 13 nsew
-rlabel metal2 s 93237 351760 93293 352480 4 analog_io[21]
-port 14 nsew
-rlabel metal2 s 60807 351760 60863 352480 4 analog_io[22]
-port 15 nsew
-rlabel metal2 s 28377 351760 28433 352480 4 analog_io[23]
-port 16 nsew
-rlabel metal3 s -480 348270 240 348390 4 analog_io[24]
-port 17 nsew
-rlabel metal3 s -480 319506 240 319626 4 analog_io[25]
-port 18 nsew
-rlabel metal3 s -480 290810 240 290930 4 analog_io[26]
-port 19 nsew
-rlabel metal3 s -480 262046 240 262166 4 analog_io[27]
-port 20 nsew
-rlabel metal3 s -480 233350 240 233470 4 analog_io[28]
-port 21 nsew
-rlabel metal3 s -480 204586 240 204706 4 analog_io[29]
-port 22 nsew
-rlabel metal3 s 291760 49818 292480 49938 4 analog_io[2]
-port 23 nsew
-rlabel metal3 s -480 175890 240 176010 4 analog_io[30]
-port 24 nsew
-rlabel metal3 s 291760 73278 292480 73398 4 analog_io[3]
-port 25 nsew
-rlabel metal3 s 291760 96738 292480 96858 4 analog_io[4]
-port 26 nsew
-rlabel metal3 s 291760 120198 292480 120318 4 analog_io[5]
-port 27 nsew
-rlabel metal3 s 291760 143658 292480 143778 4 analog_io[6]
-port 28 nsew
-rlabel metal3 s 291760 167118 292480 167238 4 analog_io[7]
-port 29 nsew
-rlabel metal3 s 291760 190578 292480 190698 4 analog_io[8]
-port 30 nsew
-rlabel metal3 s 291760 214038 292480 214158 4 analog_io[9]
-port 31 nsew
-rlabel metal3 s 291760 8746 292480 8866 4 io_in[0]
-port 32 nsew
-rlabel metal3 s 291760 243346 292480 243466 4 io_in[10]
-port 33 nsew
-rlabel metal3 s 291760 266874 292480 266994 4 io_in[11]
-port 34 nsew
-rlabel metal3 s 291760 290334 292480 290454 4 io_in[12]
-port 35 nsew
-rlabel metal3 s 291760 313794 292480 313914 4 io_in[13]
-port 36 nsew
-rlabel metal3 s 291760 337254 292480 337374 4 io_in[14]
-port 37 nsew
-rlabel metal2 s 279813 351760 279869 352480 4 io_in[15]
-port 38 nsew
-rlabel metal2 s 247383 351760 247439 352480 4 io_in[16]
-port 39 nsew
-rlabel metal2 s 214907 351760 214963 352480 4 io_in[17]
-port 40 nsew
-rlabel metal2 s 182477 351760 182533 352480 4 io_in[18]
-port 41 nsew
-rlabel metal2 s 150047 351760 150103 352480 4 io_in[19]
-port 42 nsew
-rlabel metal3 s 291760 32206 292480 32326 4 io_in[1]
-port 43 nsew
-rlabel metal2 s 117571 351760 117627 352480 4 io_in[20]
-port 44 nsew
-rlabel metal2 s 85141 351760 85197 352480 4 io_in[21]
-port 45 nsew
-rlabel metal2 s 52711 351760 52767 352480 4 io_in[22]
-port 46 nsew
-rlabel metal2 s 20235 351760 20291 352480 4 io_in[23]
-port 47 nsew
-rlabel metal3 s -480 341062 240 341182 4 io_in[24]
-port 48 nsew
-rlabel metal3 s -480 312366 240 312486 4 io_in[25]
-port 49 nsew
-rlabel metal3 s -480 283602 240 283722 4 io_in[26]
-port 50 nsew
-rlabel metal3 s -480 254906 240 255026 4 io_in[27]
-port 51 nsew
-rlabel metal3 s -480 226142 240 226262 4 io_in[28]
-port 52 nsew
-rlabel metal3 s -480 197446 240 197566 4 io_in[29]
-port 53 nsew
-rlabel metal3 s 291760 55666 292480 55786 4 io_in[2]
-port 54 nsew
-rlabel metal3 s -480 168682 240 168802 4 io_in[30]
-port 55 nsew
-rlabel metal3 s -480 147126 240 147246 4 io_in[31]
-port 56 nsew
-rlabel metal3 s -480 125570 240 125690 4 io_in[32]
-port 57 nsew
-rlabel metal3 s -480 104014 240 104134 4 io_in[33]
-port 58 nsew
-rlabel metal3 s -480 82458 240 82578 4 io_in[34]
-port 59 nsew
-rlabel metal3 s -480 60970 240 61090 4 io_in[35]
-port 60 nsew
-rlabel metal3 s -480 39414 240 39534 4 io_in[36]
-port 61 nsew
-rlabel metal3 s -480 17858 240 17978 4 io_in[37]
-port 62 nsew
-rlabel metal3 s 291760 79126 292480 79246 4 io_in[3]
-port 63 nsew
-rlabel metal3 s 291760 102586 292480 102706 4 io_in[4]
-port 64 nsew
-rlabel metal3 s 291760 126046 292480 126166 4 io_in[5]
-port 65 nsew
-rlabel metal3 s 291760 149506 292480 149626 4 io_in[6]
-port 66 nsew
-rlabel metal3 s 291760 172966 292480 173086 4 io_in[7]
-port 67 nsew
-rlabel metal3 s 291760 196426 292480 196546 4 io_in[8]
-port 68 nsew
-rlabel metal3 s 291760 219886 292480 220006 4 io_in[9]
-port 69 nsew
-rlabel metal3 s 291760 20442 292480 20562 4 io_oeb[0]
-port 70 nsew
-rlabel metal3 s 291760 255110 292480 255230 4 io_oeb[10]
-port 71 nsew
-rlabel metal3 s 291760 278570 292480 278690 4 io_oeb[11]
-port 72 nsew
-rlabel metal3 s 291760 302030 292480 302150 4 io_oeb[12]
-port 73 nsew
-rlabel metal3 s 291760 325490 292480 325610 4 io_oeb[13]
-port 74 nsew
-rlabel metal3 s 291760 348950 292480 349070 4 io_oeb[14]
-port 75 nsew
-rlabel metal2 s 263575 351760 263631 352480 4 io_oeb[15]
-port 76 nsew
-rlabel metal2 s 231145 351760 231201 352480 4 io_oeb[16]
-port 77 nsew
-rlabel metal2 s 198715 351760 198771 352480 4 io_oeb[17]
-port 78 nsew
-rlabel metal2 s 166239 351760 166295 352480 4 io_oeb[18]
-port 79 nsew
-rlabel metal2 s 133809 351760 133865 352480 4 io_oeb[19]
-port 80 nsew
-rlabel metal3 s 291760 43902 292480 44022 4 io_oeb[1]
-port 81 nsew
-rlabel metal2 s 101379 351760 101435 352480 4 io_oeb[20]
-port 82 nsew
-rlabel metal2 s 68903 351760 68959 352480 4 io_oeb[21]
-port 83 nsew
-rlabel metal2 s 36473 351760 36529 352480 4 io_oeb[22]
-port 84 nsew
-rlabel metal2 s 4043 351760 4099 352480 4 io_oeb[23]
-port 85 nsew
-rlabel metal3 s -480 326714 240 326834 4 io_oeb[24]
-port 86 nsew
-rlabel metal3 s -480 297950 240 298070 4 io_oeb[25]
-port 87 nsew
-rlabel metal3 s -480 269254 240 269374 4 io_oeb[26]
-port 88 nsew
-rlabel metal3 s -480 240490 240 240610 4 io_oeb[27]
-port 89 nsew
-rlabel metal3 s -480 211794 240 211914 4 io_oeb[28]
-port 90 nsew
-rlabel metal3 s -480 183030 240 183150 4 io_oeb[29]
-port 91 nsew
-rlabel metal3 s 291760 67362 292480 67482 4 io_oeb[2]
-port 92 nsew
-rlabel metal3 s -480 154334 240 154454 4 io_oeb[30]
-port 93 nsew
-rlabel metal3 s -480 132778 240 132898 4 io_oeb[31]
-port 94 nsew
-rlabel metal3 s -480 111222 240 111342 4 io_oeb[32]
-port 95 nsew
-rlabel metal3 s -480 89666 240 89786 4 io_oeb[33]
-port 96 nsew
-rlabel metal3 s -480 68110 240 68230 4 io_oeb[34]
-port 97 nsew
-rlabel metal3 s -480 46554 240 46674 4 io_oeb[35]
-port 98 nsew
-rlabel metal3 s -480 24998 240 25118 4 io_oeb[36]
-port 99 nsew
-rlabel metal3 s -480 3510 240 3630 4 io_oeb[37]
-port 100 nsew
-rlabel metal3 s 291760 90890 292480 91010 4 io_oeb[3]
-port 101 nsew
-rlabel metal3 s 291760 114350 292480 114470 4 io_oeb[4]
-port 102 nsew
-rlabel metal3 s 291760 137810 292480 137930 4 io_oeb[5]
-port 103 nsew
-rlabel metal3 s 291760 161270 292480 161390 4 io_oeb[6]
-port 104 nsew
-rlabel metal3 s 291760 184730 292480 184850 4 io_oeb[7]
-port 105 nsew
-rlabel metal3 s 291760 208190 292480 208310 4 io_oeb[8]
-port 106 nsew
-rlabel metal3 s 291760 231650 292480 231770 4 io_oeb[9]
-port 107 nsew
-rlabel metal3 s 291760 14594 292480 14714 4 io_out[0]
-port 108 nsew
-rlabel metal3 s 291760 249262 292480 249382 4 io_out[10]
-port 109 nsew
-rlabel metal3 s 291760 272722 292480 272842 4 io_out[11]
-port 110 nsew
-rlabel metal3 s 291760 296182 292480 296302 4 io_out[12]
-port 111 nsew
-rlabel metal3 s 291760 319642 292480 319762 4 io_out[13]
-port 112 nsew
-rlabel metal3 s 291760 343102 292480 343222 4 io_out[14]
-port 113 nsew
-rlabel metal2 s 271717 351760 271773 352480 4 io_out[15]
-port 114 nsew
-rlabel metal2 s 239241 351760 239297 352480 4 io_out[16]
-port 115 nsew
-rlabel metal2 s 206811 351760 206867 352480 4 io_out[17]
-port 116 nsew
-rlabel metal2 s 174381 351760 174437 352480 4 io_out[18]
-port 117 nsew
-rlabel metal2 s 141905 351760 141961 352480 4 io_out[19]
-port 118 nsew
-rlabel metal3 s 291760 38054 292480 38174 4 io_out[1]
-port 119 nsew
-rlabel metal2 s 109475 351760 109531 352480 4 io_out[20]
-port 120 nsew
-rlabel metal2 s 77045 351760 77101 352480 4 io_out[21]
-port 121 nsew
-rlabel metal2 s 44569 351760 44625 352480 4 io_out[22]
-port 122 nsew
-rlabel metal2 s 12139 351760 12195 352480 4 io_out[23]
-port 123 nsew
-rlabel metal3 s -480 333922 240 334042 4 io_out[24]
-port 124 nsew
-rlabel metal3 s -480 305158 240 305278 4 io_out[25]
-port 125 nsew
-rlabel metal3 s -480 276462 240 276582 4 io_out[26]
-port 126 nsew
-rlabel metal3 s -480 247698 240 247818 4 io_out[27]
-port 127 nsew
-rlabel metal3 s -480 218934 240 219054 4 io_out[28]
-port 128 nsew
-rlabel metal3 s -480 190238 240 190358 4 io_out[29]
-port 129 nsew
-rlabel metal3 s 291760 61514 292480 61634 4 io_out[2]
-port 130 nsew
-rlabel metal3 s -480 161474 240 161594 4 io_out[30]
-port 131 nsew
-rlabel metal3 s -480 139986 240 140106 4 io_out[31]
-port 132 nsew
-rlabel metal3 s -480 118430 240 118550 4 io_out[32]
-port 133 nsew
-rlabel metal3 s -480 96874 240 96994 4 io_out[33]
-port 134 nsew
-rlabel metal3 s -480 75318 240 75438 4 io_out[34]
-port 135 nsew
-rlabel metal3 s -480 53762 240 53882 4 io_out[35]
-port 136 nsew
-rlabel metal3 s -480 32206 240 32326 4 io_out[36]
-port 137 nsew
-rlabel metal3 s -480 10650 240 10770 4 io_out[37]
-port 138 nsew
-rlabel metal3 s 291760 84974 292480 85094 4 io_out[3]
-port 139 nsew
-rlabel metal3 s 291760 108434 292480 108554 4 io_out[4]
-port 140 nsew
-rlabel metal3 s 291760 131894 292480 132014 4 io_out[5]
-port 141 nsew
-rlabel metal3 s 291760 155354 292480 155474 4 io_out[6]
-port 142 nsew
-rlabel metal3 s 291760 178882 292480 179002 4 io_out[7]
-port 143 nsew
-rlabel metal3 s 291760 202342 292480 202462 4 io_out[8]
-port 144 nsew
-rlabel metal3 s 291760 225802 292480 225922 4 io_out[9]
-port 145 nsew
-rlabel metal2 s 63291 -480 63347 240 4 la_data_in[0]
-port 146 nsew
-rlabel metal2 s 241725 -480 241781 240 4 la_data_in[100]
-port 147 nsew
-rlabel metal2 s 243473 -480 243529 240 4 la_data_in[101]
-port 148 nsew
-rlabel metal2 s 245267 -480 245323 240 4 la_data_in[102]
-port 149 nsew
-rlabel metal2 s 247061 -480 247117 240 4 la_data_in[103]
-port 150 nsew
-rlabel metal2 s 248855 -480 248911 240 4 la_data_in[104]
-port 151 nsew
-rlabel metal2 s 250603 -480 250659 240 4 la_data_in[105]
-port 152 nsew
-rlabel metal2 s 252397 -480 252453 240 4 la_data_in[106]
-port 153 nsew
-rlabel metal2 s 254191 -480 254247 240 4 la_data_in[107]
-port 154 nsew
-rlabel metal2 s 255985 -480 256041 240 4 la_data_in[108]
-port 155 nsew
-rlabel metal2 s 257779 -480 257835 240 4 la_data_in[109]
-port 156 nsew
-rlabel metal2 s 81139 -480 81195 240 4 la_data_in[10]
-port 157 nsew
-rlabel metal2 s 259527 -480 259583 240 4 la_data_in[110]
-port 158 nsew
-rlabel metal2 s 261321 -480 261377 240 4 la_data_in[111]
-port 159 nsew
-rlabel metal2 s 263115 -480 263171 240 4 la_data_in[112]
-port 160 nsew
-rlabel metal2 s 264909 -480 264965 240 4 la_data_in[113]
-port 161 nsew
-rlabel metal2 s 266703 -480 266759 240 4 la_data_in[114]
-port 162 nsew
-rlabel metal2 s 268451 -480 268507 240 4 la_data_in[115]
-port 163 nsew
-rlabel metal2 s 270245 -480 270301 240 4 la_data_in[116]
-port 164 nsew
-rlabel metal2 s 272039 -480 272095 240 4 la_data_in[117]
-port 165 nsew
-rlabel metal2 s 273833 -480 273889 240 4 la_data_in[118]
-port 166 nsew
-rlabel metal2 s 275581 -480 275637 240 4 la_data_in[119]
-port 167 nsew
-rlabel metal2 s 82933 -480 82989 240 4 la_data_in[11]
-port 168 nsew
-rlabel metal2 s 277375 -480 277431 240 4 la_data_in[120]
-port 169 nsew
-rlabel metal2 s 279169 -480 279225 240 4 la_data_in[121]
-port 170 nsew
-rlabel metal2 s 280963 -480 281019 240 4 la_data_in[122]
-port 171 nsew
-rlabel metal2 s 282757 -480 282813 240 4 la_data_in[123]
-port 172 nsew
-rlabel metal2 s 284505 -480 284561 240 4 la_data_in[124]
-port 173 nsew
-rlabel metal2 s 286299 -480 286355 240 4 la_data_in[125]
-port 174 nsew
-rlabel metal2 s 288093 -480 288149 240 4 la_data_in[126]
-port 175 nsew
-rlabel metal2 s 289887 -480 289943 240 4 la_data_in[127]
-port 176 nsew
-rlabel metal2 s 84681 -480 84737 240 4 la_data_in[12]
-port 177 nsew
-rlabel metal2 s 86475 -480 86531 240 4 la_data_in[13]
-port 178 nsew
-rlabel metal2 s 88269 -480 88325 240 4 la_data_in[14]
-port 179 nsew
-rlabel metal2 s 90063 -480 90119 240 4 la_data_in[15]
-port 180 nsew
-rlabel metal2 s 91857 -480 91913 240 4 la_data_in[16]
-port 181 nsew
-rlabel metal2 s 93605 -480 93661 240 4 la_data_in[17]
-port 182 nsew
-rlabel metal2 s 95399 -480 95455 240 4 la_data_in[18]
-port 183 nsew
-rlabel metal2 s 97193 -480 97249 240 4 la_data_in[19]
-port 184 nsew
-rlabel metal2 s 65085 -480 65141 240 4 la_data_in[1]
-port 185 nsew
-rlabel metal2 s 98987 -480 99043 240 4 la_data_in[20]
-port 186 nsew
-rlabel metal2 s 100735 -480 100791 240 4 la_data_in[21]
-port 187 nsew
-rlabel metal2 s 102529 -480 102585 240 4 la_data_in[22]
-port 188 nsew
-rlabel metal2 s 104323 -480 104379 240 4 la_data_in[23]
-port 189 nsew
-rlabel metal2 s 106117 -480 106173 240 4 la_data_in[24]
-port 190 nsew
-rlabel metal2 s 107911 -480 107967 240 4 la_data_in[25]
-port 191 nsew
-rlabel metal2 s 109659 -480 109715 240 4 la_data_in[26]
-port 192 nsew
-rlabel metal2 s 111453 -480 111509 240 4 la_data_in[27]
-port 193 nsew
-rlabel metal2 s 113247 -480 113303 240 4 la_data_in[28]
-port 194 nsew
-rlabel metal2 s 115041 -480 115097 240 4 la_data_in[29]
-port 195 nsew
-rlabel metal2 s 66879 -480 66935 240 4 la_data_in[2]
-port 196 nsew
-rlabel metal2 s 116835 -480 116891 240 4 la_data_in[30]
-port 197 nsew
-rlabel metal2 s 118583 -480 118639 240 4 la_data_in[31]
-port 198 nsew
-rlabel metal2 s 120377 -480 120433 240 4 la_data_in[32]
-port 199 nsew
-rlabel metal2 s 122171 -480 122227 240 4 la_data_in[33]
-port 200 nsew
-rlabel metal2 s 123965 -480 124021 240 4 la_data_in[34]
-port 201 nsew
-rlabel metal2 s 125713 -480 125769 240 4 la_data_in[35]
-port 202 nsew
-rlabel metal2 s 127507 -480 127563 240 4 la_data_in[36]
-port 203 nsew
-rlabel metal2 s 129301 -480 129357 240 4 la_data_in[37]
-port 204 nsew
-rlabel metal2 s 131095 -480 131151 240 4 la_data_in[38]
-port 205 nsew
-rlabel metal2 s 132889 -480 132945 240 4 la_data_in[39]
-port 206 nsew
-rlabel metal2 s 68627 -480 68683 240 4 la_data_in[3]
-port 207 nsew
-rlabel metal2 s 134637 -480 134693 240 4 la_data_in[40]
-port 208 nsew
-rlabel metal2 s 136431 -480 136487 240 4 la_data_in[41]
-port 209 nsew
-rlabel metal2 s 138225 -480 138281 240 4 la_data_in[42]
-port 210 nsew
-rlabel metal2 s 140019 -480 140075 240 4 la_data_in[43]
-port 211 nsew
-rlabel metal2 s 141813 -480 141869 240 4 la_data_in[44]
-port 212 nsew
-rlabel metal2 s 143561 -480 143617 240 4 la_data_in[45]
-port 213 nsew
-rlabel metal2 s 145355 -480 145411 240 4 la_data_in[46]
-port 214 nsew
-rlabel metal2 s 147149 -480 147205 240 4 la_data_in[47]
-port 215 nsew
-rlabel metal2 s 148943 -480 148999 240 4 la_data_in[48]
-port 216 nsew
-rlabel metal2 s 150691 -480 150747 240 4 la_data_in[49]
-port 217 nsew
-rlabel metal2 s 70421 -480 70477 240 4 la_data_in[4]
-port 218 nsew
-rlabel metal2 s 152485 -480 152541 240 4 la_data_in[50]
-port 219 nsew
-rlabel metal2 s 154279 -480 154335 240 4 la_data_in[51]
-port 220 nsew
-rlabel metal2 s 156073 -480 156129 240 4 la_data_in[52]
-port 221 nsew
-rlabel metal2 s 157867 -480 157923 240 4 la_data_in[53]
-port 222 nsew
-rlabel metal2 s 159615 -480 159671 240 4 la_data_in[54]
-port 223 nsew
-rlabel metal2 s 161409 -480 161465 240 4 la_data_in[55]
-port 224 nsew
-rlabel metal2 s 163203 -480 163259 240 4 la_data_in[56]
-port 225 nsew
-rlabel metal2 s 164997 -480 165053 240 4 la_data_in[57]
-port 226 nsew
-rlabel metal2 s 166791 -480 166847 240 4 la_data_in[58]
-port 227 nsew
-rlabel metal2 s 168539 -480 168595 240 4 la_data_in[59]
-port 228 nsew
-rlabel metal2 s 72215 -480 72271 240 4 la_data_in[5]
-port 229 nsew
-rlabel metal2 s 170333 -480 170389 240 4 la_data_in[60]
-port 230 nsew
-rlabel metal2 s 172127 -480 172183 240 4 la_data_in[61]
-port 231 nsew
-rlabel metal2 s 173921 -480 173977 240 4 la_data_in[62]
-port 232 nsew
-rlabel metal2 s 175669 -480 175725 240 4 la_data_in[63]
-port 233 nsew
-rlabel metal2 s 177463 -480 177519 240 4 la_data_in[64]
-port 234 nsew
-rlabel metal2 s 179257 -480 179313 240 4 la_data_in[65]
-port 235 nsew
-rlabel metal2 s 181051 -480 181107 240 4 la_data_in[66]
-port 236 nsew
-rlabel metal2 s 182845 -480 182901 240 4 la_data_in[67]
-port 237 nsew
-rlabel metal2 s 184593 -480 184649 240 4 la_data_in[68]
-port 238 nsew
-rlabel metal2 s 186387 -480 186443 240 4 la_data_in[69]
-port 239 nsew
-rlabel metal2 s 74009 -480 74065 240 4 la_data_in[6]
-port 240 nsew
-rlabel metal2 s 188181 -480 188237 240 4 la_data_in[70]
-port 241 nsew
-rlabel metal2 s 189975 -480 190031 240 4 la_data_in[71]
-port 242 nsew
-rlabel metal2 s 191769 -480 191825 240 4 la_data_in[72]
-port 243 nsew
-rlabel metal2 s 193517 -480 193573 240 4 la_data_in[73]
-port 244 nsew
-rlabel metal2 s 195311 -480 195367 240 4 la_data_in[74]
-port 245 nsew
-rlabel metal2 s 197105 -480 197161 240 4 la_data_in[75]
-port 246 nsew
-rlabel metal2 s 198899 -480 198955 240 4 la_data_in[76]
-port 247 nsew
-rlabel metal2 s 200647 -480 200703 240 4 la_data_in[77]
-port 248 nsew
-rlabel metal2 s 202441 -480 202497 240 4 la_data_in[78]
-port 249 nsew
-rlabel metal2 s 204235 -480 204291 240 4 la_data_in[79]
-port 250 nsew
-rlabel metal2 s 75757 -480 75813 240 4 la_data_in[7]
-port 251 nsew
-rlabel metal2 s 206029 -480 206085 240 4 la_data_in[80]
-port 252 nsew
-rlabel metal2 s 207823 -480 207879 240 4 la_data_in[81]
-port 253 nsew
-rlabel metal2 s 209571 -480 209627 240 4 la_data_in[82]
-port 254 nsew
-rlabel metal2 s 211365 -480 211421 240 4 la_data_in[83]
-port 255 nsew
-rlabel metal2 s 213159 -480 213215 240 4 la_data_in[84]
-port 256 nsew
-rlabel metal2 s 214953 -480 215009 240 4 la_data_in[85]
-port 257 nsew
-rlabel metal2 s 216747 -480 216803 240 4 la_data_in[86]
-port 258 nsew
-rlabel metal2 s 218495 -480 218551 240 4 la_data_in[87]
-port 259 nsew
-rlabel metal2 s 220289 -480 220345 240 4 la_data_in[88]
-port 260 nsew
-rlabel metal2 s 222083 -480 222139 240 4 la_data_in[89]
-port 261 nsew
-rlabel metal2 s 77551 -480 77607 240 4 la_data_in[8]
-port 262 nsew
-rlabel metal2 s 223877 -480 223933 240 4 la_data_in[90]
-port 263 nsew
-rlabel metal2 s 225625 -480 225681 240 4 la_data_in[91]
-port 264 nsew
-rlabel metal2 s 227419 -480 227475 240 4 la_data_in[92]
-port 265 nsew
-rlabel metal2 s 229213 -480 229269 240 4 la_data_in[93]
-port 266 nsew
-rlabel metal2 s 231007 -480 231063 240 4 la_data_in[94]
-port 267 nsew
-rlabel metal2 s 232801 -480 232857 240 4 la_data_in[95]
-port 268 nsew
-rlabel metal2 s 234549 -480 234605 240 4 la_data_in[96]
-port 269 nsew
-rlabel metal2 s 236343 -480 236399 240 4 la_data_in[97]
-port 270 nsew
-rlabel metal2 s 238137 -480 238193 240 4 la_data_in[98]
-port 271 nsew
-rlabel metal2 s 239931 -480 239987 240 4 la_data_in[99]
-port 272 nsew
-rlabel metal2 s 79345 -480 79401 240 4 la_data_in[9]
-port 273 nsew
-rlabel metal2 s 63889 -480 63945 240 4 la_data_out[0]
-port 274 nsew
-rlabel metal2 s 242277 -480 242333 240 4 la_data_out[100]
-port 275 nsew
-rlabel metal2 s 244071 -480 244127 240 4 la_data_out[101]
-port 276 nsew
-rlabel metal2 s 245865 -480 245921 240 4 la_data_out[102]
-port 277 nsew
-rlabel metal2 s 247659 -480 247715 240 4 la_data_out[103]
-port 278 nsew
-rlabel metal2 s 249453 -480 249509 240 4 la_data_out[104]
-port 279 nsew
-rlabel metal2 s 251201 -480 251257 240 4 la_data_out[105]
-port 280 nsew
-rlabel metal2 s 252995 -480 253051 240 4 la_data_out[106]
-port 281 nsew
-rlabel metal2 s 254789 -480 254845 240 4 la_data_out[107]
-port 282 nsew
-rlabel metal2 s 256583 -480 256639 240 4 la_data_out[108]
-port 283 nsew
-rlabel metal2 s 258377 -480 258433 240 4 la_data_out[109]
-port 284 nsew
-rlabel metal2 s 81737 -480 81793 240 4 la_data_out[10]
-port 285 nsew
-rlabel metal2 s 260125 -480 260181 240 4 la_data_out[110]
-port 286 nsew
-rlabel metal2 s 261919 -480 261975 240 4 la_data_out[111]
-port 287 nsew
-rlabel metal2 s 263713 -480 263769 240 4 la_data_out[112]
-port 288 nsew
-rlabel metal2 s 265507 -480 265563 240 4 la_data_out[113]
-port 289 nsew
-rlabel metal2 s 267255 -480 267311 240 4 la_data_out[114]
-port 290 nsew
-rlabel metal2 s 269049 -480 269105 240 4 la_data_out[115]
-port 291 nsew
-rlabel metal2 s 270843 -480 270899 240 4 la_data_out[116]
-port 292 nsew
-rlabel metal2 s 272637 -480 272693 240 4 la_data_out[117]
-port 293 nsew
-rlabel metal2 s 274431 -480 274487 240 4 la_data_out[118]
-port 294 nsew
-rlabel metal2 s 276179 -480 276235 240 4 la_data_out[119]
-port 295 nsew
-rlabel metal2 s 83531 -480 83587 240 4 la_data_out[11]
-port 296 nsew
-rlabel metal2 s 277973 -480 278029 240 4 la_data_out[120]
-port 297 nsew
-rlabel metal2 s 279767 -480 279823 240 4 la_data_out[121]
-port 298 nsew
-rlabel metal2 s 281561 -480 281617 240 4 la_data_out[122]
-port 299 nsew
-rlabel metal2 s 283355 -480 283411 240 4 la_data_out[123]
-port 300 nsew
-rlabel metal2 s 285103 -480 285159 240 4 la_data_out[124]
-port 301 nsew
-rlabel metal2 s 286897 -480 286953 240 4 la_data_out[125]
-port 302 nsew
-rlabel metal2 s 288691 -480 288747 240 4 la_data_out[126]
-port 303 nsew
-rlabel metal2 s 290485 -480 290541 240 4 la_data_out[127]
-port 304 nsew
-rlabel metal2 s 85279 -480 85335 240 4 la_data_out[12]
-port 305 nsew
-rlabel metal2 s 87073 -480 87129 240 4 la_data_out[13]
-port 306 nsew
-rlabel metal2 s 88867 -480 88923 240 4 la_data_out[14]
-port 307 nsew
-rlabel metal2 s 90661 -480 90717 240 4 la_data_out[15]
-port 308 nsew
-rlabel metal2 s 92409 -480 92465 240 4 la_data_out[16]
-port 309 nsew
-rlabel metal2 s 94203 -480 94259 240 4 la_data_out[17]
-port 310 nsew
-rlabel metal2 s 95997 -480 96053 240 4 la_data_out[18]
-port 311 nsew
-rlabel metal2 s 97791 -480 97847 240 4 la_data_out[19]
-port 312 nsew
-rlabel metal2 s 65683 -480 65739 240 4 la_data_out[1]
-port 313 nsew
-rlabel metal2 s 99585 -480 99641 240 4 la_data_out[20]
-port 314 nsew
-rlabel metal2 s 101333 -480 101389 240 4 la_data_out[21]
-port 315 nsew
-rlabel metal2 s 103127 -480 103183 240 4 la_data_out[22]
-port 316 nsew
-rlabel metal2 s 104921 -480 104977 240 4 la_data_out[23]
-port 317 nsew
-rlabel metal2 s 106715 -480 106771 240 4 la_data_out[24]
-port 318 nsew
-rlabel metal2 s 108509 -480 108565 240 4 la_data_out[25]
-port 319 nsew
-rlabel metal2 s 110257 -480 110313 240 4 la_data_out[26]
-port 320 nsew
-rlabel metal2 s 112051 -480 112107 240 4 la_data_out[27]
-port 321 nsew
-rlabel metal2 s 113845 -480 113901 240 4 la_data_out[28]
-port 322 nsew
-rlabel metal2 s 115639 -480 115695 240 4 la_data_out[29]
-port 323 nsew
-rlabel metal2 s 67431 -480 67487 240 4 la_data_out[2]
-port 324 nsew
-rlabel metal2 s 117387 -480 117443 240 4 la_data_out[30]
-port 325 nsew
-rlabel metal2 s 119181 -480 119237 240 4 la_data_out[31]
-port 326 nsew
-rlabel metal2 s 120975 -480 121031 240 4 la_data_out[32]
-port 327 nsew
-rlabel metal2 s 122769 -480 122825 240 4 la_data_out[33]
-port 328 nsew
-rlabel metal2 s 124563 -480 124619 240 4 la_data_out[34]
-port 329 nsew
-rlabel metal2 s 126311 -480 126367 240 4 la_data_out[35]
-port 330 nsew
-rlabel metal2 s 128105 -480 128161 240 4 la_data_out[36]
-port 331 nsew
-rlabel metal2 s 129899 -480 129955 240 4 la_data_out[37]
-port 332 nsew
-rlabel metal2 s 131693 -480 131749 240 4 la_data_out[38]
-port 333 nsew
-rlabel metal2 s 133487 -480 133543 240 4 la_data_out[39]
-port 334 nsew
-rlabel metal2 s 69225 -480 69281 240 4 la_data_out[3]
-port 335 nsew
-rlabel metal2 s 135235 -480 135291 240 4 la_data_out[40]
-port 336 nsew
-rlabel metal2 s 137029 -480 137085 240 4 la_data_out[41]
-port 337 nsew
-rlabel metal2 s 138823 -480 138879 240 4 la_data_out[42]
-port 338 nsew
-rlabel metal2 s 140617 -480 140673 240 4 la_data_out[43]
-port 339 nsew
-rlabel metal2 s 142365 -480 142421 240 4 la_data_out[44]
-port 340 nsew
-rlabel metal2 s 144159 -480 144215 240 4 la_data_out[45]
-port 341 nsew
-rlabel metal2 s 145953 -480 146009 240 4 la_data_out[46]
-port 342 nsew
-rlabel metal2 s 147747 -480 147803 240 4 la_data_out[47]
-port 343 nsew
-rlabel metal2 s 149541 -480 149597 240 4 la_data_out[48]
-port 344 nsew
-rlabel metal2 s 151289 -480 151345 240 4 la_data_out[49]
-port 345 nsew
-rlabel metal2 s 71019 -480 71075 240 4 la_data_out[4]
-port 346 nsew
-rlabel metal2 s 153083 -480 153139 240 4 la_data_out[50]
-port 347 nsew
-rlabel metal2 s 154877 -480 154933 240 4 la_data_out[51]
-port 348 nsew
-rlabel metal2 s 156671 -480 156727 240 4 la_data_out[52]
-port 349 nsew
-rlabel metal2 s 158465 -480 158521 240 4 la_data_out[53]
-port 350 nsew
-rlabel metal2 s 160213 -480 160269 240 4 la_data_out[54]
-port 351 nsew
-rlabel metal2 s 162007 -480 162063 240 4 la_data_out[55]
-port 352 nsew
-rlabel metal2 s 163801 -480 163857 240 4 la_data_out[56]
-port 353 nsew
-rlabel metal2 s 165595 -480 165651 240 4 la_data_out[57]
-port 354 nsew
-rlabel metal2 s 167343 -480 167399 240 4 la_data_out[58]
-port 355 nsew
-rlabel metal2 s 169137 -480 169193 240 4 la_data_out[59]
-port 356 nsew
-rlabel metal2 s 72813 -480 72869 240 4 la_data_out[5]
-port 357 nsew
-rlabel metal2 s 170931 -480 170987 240 4 la_data_out[60]
-port 358 nsew
-rlabel metal2 s 172725 -480 172781 240 4 la_data_out[61]
-port 359 nsew
-rlabel metal2 s 174519 -480 174575 240 4 la_data_out[62]
-port 360 nsew
-rlabel metal2 s 176267 -480 176323 240 4 la_data_out[63]
-port 361 nsew
-rlabel metal2 s 178061 -480 178117 240 4 la_data_out[64]
-port 362 nsew
-rlabel metal2 s 179855 -480 179911 240 4 la_data_out[65]
-port 363 nsew
-rlabel metal2 s 181649 -480 181705 240 4 la_data_out[66]
-port 364 nsew
-rlabel metal2 s 183443 -480 183499 240 4 la_data_out[67]
-port 365 nsew
-rlabel metal2 s 185191 -480 185247 240 4 la_data_out[68]
-port 366 nsew
-rlabel metal2 s 186985 -480 187041 240 4 la_data_out[69]
-port 367 nsew
-rlabel metal2 s 74607 -480 74663 240 4 la_data_out[6]
-port 368 nsew
-rlabel metal2 s 188779 -480 188835 240 4 la_data_out[70]
-port 369 nsew
-rlabel metal2 s 190573 -480 190629 240 4 la_data_out[71]
-port 370 nsew
-rlabel metal2 s 192321 -480 192377 240 4 la_data_out[72]
-port 371 nsew
-rlabel metal2 s 194115 -480 194171 240 4 la_data_out[73]
-port 372 nsew
-rlabel metal2 s 195909 -480 195965 240 4 la_data_out[74]
-port 373 nsew
-rlabel metal2 s 197703 -480 197759 240 4 la_data_out[75]
-port 374 nsew
-rlabel metal2 s 199497 -480 199553 240 4 la_data_out[76]
-port 375 nsew
-rlabel metal2 s 201245 -480 201301 240 4 la_data_out[77]
-port 376 nsew
-rlabel metal2 s 203039 -480 203095 240 4 la_data_out[78]
-port 377 nsew
-rlabel metal2 s 204833 -480 204889 240 4 la_data_out[79]
-port 378 nsew
-rlabel metal2 s 76355 -480 76411 240 4 la_data_out[7]
-port 379 nsew
-rlabel metal2 s 206627 -480 206683 240 4 la_data_out[80]
-port 380 nsew
-rlabel metal2 s 208421 -480 208477 240 4 la_data_out[81]
-port 381 nsew
-rlabel metal2 s 210169 -480 210225 240 4 la_data_out[82]
-port 382 nsew
-rlabel metal2 s 211963 -480 212019 240 4 la_data_out[83]
-port 383 nsew
-rlabel metal2 s 213757 -480 213813 240 4 la_data_out[84]
-port 384 nsew
-rlabel metal2 s 215551 -480 215607 240 4 la_data_out[85]
-port 385 nsew
-rlabel metal2 s 217299 -480 217355 240 4 la_data_out[86]
-port 386 nsew
-rlabel metal2 s 219093 -480 219149 240 4 la_data_out[87]
-port 387 nsew
-rlabel metal2 s 220887 -480 220943 240 4 la_data_out[88]
-port 388 nsew
-rlabel metal2 s 222681 -480 222737 240 4 la_data_out[89]
-port 389 nsew
-rlabel metal2 s 78149 -480 78205 240 4 la_data_out[8]
-port 390 nsew
-rlabel metal2 s 224475 -480 224531 240 4 la_data_out[90]
-port 391 nsew
-rlabel metal2 s 226223 -480 226279 240 4 la_data_out[91]
-port 392 nsew
-rlabel metal2 s 228017 -480 228073 240 4 la_data_out[92]
-port 393 nsew
-rlabel metal2 s 229811 -480 229867 240 4 la_data_out[93]
-port 394 nsew
-rlabel metal2 s 231605 -480 231661 240 4 la_data_out[94]
-port 395 nsew
-rlabel metal2 s 233399 -480 233455 240 4 la_data_out[95]
-port 396 nsew
-rlabel metal2 s 235147 -480 235203 240 4 la_data_out[96]
-port 397 nsew
-rlabel metal2 s 236941 -480 236997 240 4 la_data_out[97]
-port 398 nsew
-rlabel metal2 s 238735 -480 238791 240 4 la_data_out[98]
-port 399 nsew
-rlabel metal2 s 240529 -480 240585 240 4 la_data_out[99]
-port 400 nsew
-rlabel metal2 s 79943 -480 79999 240 4 la_data_out[9]
-port 401 nsew
-rlabel metal2 s 64487 -480 64543 240 4 la_oen[0]
-port 402 nsew
-rlabel metal2 s 242875 -480 242931 240 4 la_oen[100]
-port 403 nsew
-rlabel metal2 s 244669 -480 244725 240 4 la_oen[101]
-port 404 nsew
-rlabel metal2 s 246463 -480 246519 240 4 la_oen[102]
-port 405 nsew
-rlabel metal2 s 248257 -480 248313 240 4 la_oen[103]
-port 406 nsew
-rlabel metal2 s 250051 -480 250107 240 4 la_oen[104]
-port 407 nsew
-rlabel metal2 s 251799 -480 251855 240 4 la_oen[105]
-port 408 nsew
-rlabel metal2 s 253593 -480 253649 240 4 la_oen[106]
-port 409 nsew
-rlabel metal2 s 255387 -480 255443 240 4 la_oen[107]
-port 410 nsew
-rlabel metal2 s 257181 -480 257237 240 4 la_oen[108]
-port 411 nsew
-rlabel metal2 s 258929 -480 258985 240 4 la_oen[109]
-port 412 nsew
-rlabel metal2 s 82335 -480 82391 240 4 la_oen[10]
-port 413 nsew
-rlabel metal2 s 260723 -480 260779 240 4 la_oen[110]
-port 414 nsew
-rlabel metal2 s 262517 -480 262573 240 4 la_oen[111]
-port 415 nsew
-rlabel metal2 s 264311 -480 264367 240 4 la_oen[112]
-port 416 nsew
-rlabel metal2 s 266105 -480 266161 240 4 la_oen[113]
-port 417 nsew
-rlabel metal2 s 267853 -480 267909 240 4 la_oen[114]
-port 418 nsew
-rlabel metal2 s 269647 -480 269703 240 4 la_oen[115]
-port 419 nsew
-rlabel metal2 s 271441 -480 271497 240 4 la_oen[116]
-port 420 nsew
-rlabel metal2 s 273235 -480 273291 240 4 la_oen[117]
-port 421 nsew
-rlabel metal2 s 275029 -480 275085 240 4 la_oen[118]
-port 422 nsew
-rlabel metal2 s 276777 -480 276833 240 4 la_oen[119]
-port 423 nsew
-rlabel metal2 s 84083 -480 84139 240 4 la_oen[11]
-port 424 nsew
-rlabel metal2 s 278571 -480 278627 240 4 la_oen[120]
-port 425 nsew
-rlabel metal2 s 280365 -480 280421 240 4 la_oen[121]
-port 426 nsew
-rlabel metal2 s 282159 -480 282215 240 4 la_oen[122]
-port 427 nsew
-rlabel metal2 s 283907 -480 283963 240 4 la_oen[123]
-port 428 nsew
-rlabel metal2 s 285701 -480 285757 240 4 la_oen[124]
-port 429 nsew
-rlabel metal2 s 287495 -480 287551 240 4 la_oen[125]
-port 430 nsew
-rlabel metal2 s 289289 -480 289345 240 4 la_oen[126]
-port 431 nsew
-rlabel metal2 s 291083 -480 291139 240 4 la_oen[127]
-port 432 nsew
-rlabel metal2 s 85877 -480 85933 240 4 la_oen[12]
-port 433 nsew
-rlabel metal2 s 87671 -480 87727 240 4 la_oen[13]
-port 434 nsew
-rlabel metal2 s 89465 -480 89521 240 4 la_oen[14]
-port 435 nsew
-rlabel metal2 s 91259 -480 91315 240 4 la_oen[15]
-port 436 nsew
-rlabel metal2 s 93007 -480 93063 240 4 la_oen[16]
-port 437 nsew
-rlabel metal2 s 94801 -480 94857 240 4 la_oen[17]
-port 438 nsew
-rlabel metal2 s 96595 -480 96651 240 4 la_oen[18]
-port 439 nsew
-rlabel metal2 s 98389 -480 98445 240 4 la_oen[19]
-port 440 nsew
-rlabel metal2 s 66281 -480 66337 240 4 la_oen[1]
-port 441 nsew
-rlabel metal2 s 100183 -480 100239 240 4 la_oen[20]
-port 442 nsew
-rlabel metal2 s 101931 -480 101987 240 4 la_oen[21]
-port 443 nsew
-rlabel metal2 s 103725 -480 103781 240 4 la_oen[22]
-port 444 nsew
-rlabel metal2 s 105519 -480 105575 240 4 la_oen[23]
-port 445 nsew
-rlabel metal2 s 107313 -480 107369 240 4 la_oen[24]
-port 446 nsew
-rlabel metal2 s 109061 -480 109117 240 4 la_oen[25]
-port 447 nsew
-rlabel metal2 s 110855 -480 110911 240 4 la_oen[26]
-port 448 nsew
-rlabel metal2 s 112649 -480 112705 240 4 la_oen[27]
-port 449 nsew
-rlabel metal2 s 114443 -480 114499 240 4 la_oen[28]
-port 450 nsew
-rlabel metal2 s 116237 -480 116293 240 4 la_oen[29]
-port 451 nsew
-rlabel metal2 s 68029 -480 68085 240 4 la_oen[2]
-port 452 nsew
-rlabel metal2 s 117985 -480 118041 240 4 la_oen[30]
-port 453 nsew
-rlabel metal2 s 119779 -480 119835 240 4 la_oen[31]
-port 454 nsew
-rlabel metal2 s 121573 -480 121629 240 4 la_oen[32]
-port 455 nsew
-rlabel metal2 s 123367 -480 123423 240 4 la_oen[33]
-port 456 nsew
-rlabel metal2 s 125161 -480 125217 240 4 la_oen[34]
-port 457 nsew
-rlabel metal2 s 126909 -480 126965 240 4 la_oen[35]
-port 458 nsew
-rlabel metal2 s 128703 -480 128759 240 4 la_oen[36]
-port 459 nsew
-rlabel metal2 s 130497 -480 130553 240 4 la_oen[37]
-port 460 nsew
-rlabel metal2 s 132291 -480 132347 240 4 la_oen[38]
-port 461 nsew
-rlabel metal2 s 134039 -480 134095 240 4 la_oen[39]
-port 462 nsew
-rlabel metal2 s 69823 -480 69879 240 4 la_oen[3]
-port 463 nsew
-rlabel metal2 s 135833 -480 135889 240 4 la_oen[40]
-port 464 nsew
-rlabel metal2 s 137627 -480 137683 240 4 la_oen[41]
-port 465 nsew
-rlabel metal2 s 139421 -480 139477 240 4 la_oen[42]
-port 466 nsew
-rlabel metal2 s 141215 -480 141271 240 4 la_oen[43]
-port 467 nsew
-rlabel metal2 s 142963 -480 143019 240 4 la_oen[44]
-port 468 nsew
-rlabel metal2 s 144757 -480 144813 240 4 la_oen[45]
-port 469 nsew
-rlabel metal2 s 146551 -480 146607 240 4 la_oen[46]
-port 470 nsew
-rlabel metal2 s 148345 -480 148401 240 4 la_oen[47]
-port 471 nsew
-rlabel metal2 s 150139 -480 150195 240 4 la_oen[48]
-port 472 nsew
-rlabel metal2 s 151887 -480 151943 240 4 la_oen[49]
-port 473 nsew
-rlabel metal2 s 71617 -480 71673 240 4 la_oen[4]
-port 474 nsew
-rlabel metal2 s 153681 -480 153737 240 4 la_oen[50]
-port 475 nsew
-rlabel metal2 s 155475 -480 155531 240 4 la_oen[51]
-port 476 nsew
-rlabel metal2 s 157269 -480 157325 240 4 la_oen[52]
-port 477 nsew
-rlabel metal2 s 159017 -480 159073 240 4 la_oen[53]
-port 478 nsew
-rlabel metal2 s 160811 -480 160867 240 4 la_oen[54]
-port 479 nsew
-rlabel metal2 s 162605 -480 162661 240 4 la_oen[55]
-port 480 nsew
-rlabel metal2 s 164399 -480 164455 240 4 la_oen[56]
-port 481 nsew
-rlabel metal2 s 166193 -480 166249 240 4 la_oen[57]
-port 482 nsew
-rlabel metal2 s 167941 -480 167997 240 4 la_oen[58]
-port 483 nsew
-rlabel metal2 s 169735 -480 169791 240 4 la_oen[59]
-port 484 nsew
-rlabel metal2 s 73411 -480 73467 240 4 la_oen[5]
-port 485 nsew
-rlabel metal2 s 171529 -480 171585 240 4 la_oen[60]
-port 486 nsew
-rlabel metal2 s 173323 -480 173379 240 4 la_oen[61]
-port 487 nsew
-rlabel metal2 s 175117 -480 175173 240 4 la_oen[62]
-port 488 nsew
-rlabel metal2 s 176865 -480 176921 240 4 la_oen[63]
-port 489 nsew
-rlabel metal2 s 178659 -480 178715 240 4 la_oen[64]
-port 490 nsew
-rlabel metal2 s 180453 -480 180509 240 4 la_oen[65]
-port 491 nsew
-rlabel metal2 s 182247 -480 182303 240 4 la_oen[66]
-port 492 nsew
-rlabel metal2 s 183995 -480 184051 240 4 la_oen[67]
-port 493 nsew
-rlabel metal2 s 185789 -480 185845 240 4 la_oen[68]
-port 494 nsew
-rlabel metal2 s 187583 -480 187639 240 4 la_oen[69]
-port 495 nsew
-rlabel metal2 s 75205 -480 75261 240 4 la_oen[6]
-port 496 nsew
-rlabel metal2 s 189377 -480 189433 240 4 la_oen[70]
-port 497 nsew
-rlabel metal2 s 191171 -480 191227 240 4 la_oen[71]
-port 498 nsew
-rlabel metal2 s 192919 -480 192975 240 4 la_oen[72]
-port 499 nsew
-rlabel metal2 s 194713 -480 194769 240 4 la_oen[73]
-port 500 nsew
-rlabel metal2 s 196507 -480 196563 240 4 la_oen[74]
-port 501 nsew
-rlabel metal2 s 198301 -480 198357 240 4 la_oen[75]
-port 502 nsew
-rlabel metal2 s 200095 -480 200151 240 4 la_oen[76]
-port 503 nsew
-rlabel metal2 s 201843 -480 201899 240 4 la_oen[77]
-port 504 nsew
-rlabel metal2 s 203637 -480 203693 240 4 la_oen[78]
-port 505 nsew
-rlabel metal2 s 205431 -480 205487 240 4 la_oen[79]
-port 506 nsew
-rlabel metal2 s 76953 -480 77009 240 4 la_oen[7]
-port 507 nsew
-rlabel metal2 s 207225 -480 207281 240 4 la_oen[80]
-port 508 nsew
-rlabel metal2 s 208973 -480 209029 240 4 la_oen[81]
-port 509 nsew
-rlabel metal2 s 210767 -480 210823 240 4 la_oen[82]
-port 510 nsew
-rlabel metal2 s 212561 -480 212617 240 4 la_oen[83]
-port 511 nsew
-rlabel metal2 s 214355 -480 214411 240 4 la_oen[84]
-port 512 nsew
-rlabel metal2 s 216149 -480 216205 240 4 la_oen[85]
-port 513 nsew
-rlabel metal2 s 217897 -480 217953 240 4 la_oen[86]
-port 514 nsew
-rlabel metal2 s 219691 -480 219747 240 4 la_oen[87]
-port 515 nsew
-rlabel metal2 s 221485 -480 221541 240 4 la_oen[88]
-port 516 nsew
-rlabel metal2 s 223279 -480 223335 240 4 la_oen[89]
-port 517 nsew
-rlabel metal2 s 78747 -480 78803 240 4 la_oen[8]
-port 518 nsew
-rlabel metal2 s 225073 -480 225129 240 4 la_oen[90]
-port 519 nsew
-rlabel metal2 s 226821 -480 226877 240 4 la_oen[91]
-port 520 nsew
-rlabel metal2 s 228615 -480 228671 240 4 la_oen[92]
-port 521 nsew
-rlabel metal2 s 230409 -480 230465 240 4 la_oen[93]
-port 522 nsew
-rlabel metal2 s 232203 -480 232259 240 4 la_oen[94]
-port 523 nsew
-rlabel metal2 s 233951 -480 234007 240 4 la_oen[95]
-port 524 nsew
-rlabel metal2 s 235745 -480 235801 240 4 la_oen[96]
-port 525 nsew
-rlabel metal2 s 237539 -480 237595 240 4 la_oen[97]
-port 526 nsew
-rlabel metal2 s 239333 -480 239389 240 4 la_oen[98]
-port 527 nsew
-rlabel metal2 s 241127 -480 241183 240 4 la_oen[99]
-port 528 nsew
-rlabel metal2 s 80541 -480 80597 240 4 la_oen[9]
-port 529 nsew
-rlabel metal2 s 291681 -480 291737 240 4 user_clock2
-port 530 nsew
-rlabel metal2 s 271 -480 327 240 4 wb_clk_i
-port 531 nsew
-rlabel metal2 s 823 -480 879 240 4 wb_rst_i
-port 532 nsew
-rlabel metal2 s 1421 -480 1477 240 4 wbs_ack_o
-port 533 nsew
-rlabel metal2 s 3813 -480 3869 240 4 wbs_adr_i[0]
-port 534 nsew
-rlabel metal2 s 24053 -480 24109 240 4 wbs_adr_i[10]
-port 535 nsew
-rlabel metal2 s 25801 -480 25857 240 4 wbs_adr_i[11]
-port 536 nsew
-rlabel metal2 s 27595 -480 27651 240 4 wbs_adr_i[12]
-port 537 nsew
-rlabel metal2 s 29389 -480 29445 240 4 wbs_adr_i[13]
-port 538 nsew
-rlabel metal2 s 31183 -480 31239 240 4 wbs_adr_i[14]
-port 539 nsew
-rlabel metal2 s 32977 -480 33033 240 4 wbs_adr_i[15]
-port 540 nsew
-rlabel metal2 s 34725 -480 34781 240 4 wbs_adr_i[16]
-port 541 nsew
-rlabel metal2 s 36519 -480 36575 240 4 wbs_adr_i[17]
-port 542 nsew
-rlabel metal2 s 38313 -480 38369 240 4 wbs_adr_i[18]
-port 543 nsew
-rlabel metal2 s 40107 -480 40163 240 4 wbs_adr_i[19]
-port 544 nsew
-rlabel metal2 s 6205 -480 6261 240 4 wbs_adr_i[1]
-port 545 nsew
-rlabel metal2 s 41901 -480 41957 240 4 wbs_adr_i[20]
-port 546 nsew
-rlabel metal2 s 43649 -480 43705 240 4 wbs_adr_i[21]
-port 547 nsew
-rlabel metal2 s 45443 -480 45499 240 4 wbs_adr_i[22]
-port 548 nsew
-rlabel metal2 s 47237 -480 47293 240 4 wbs_adr_i[23]
-port 549 nsew
-rlabel metal2 s 49031 -480 49087 240 4 wbs_adr_i[24]
-port 550 nsew
-rlabel metal2 s 50779 -480 50835 240 4 wbs_adr_i[25]
-port 551 nsew
-rlabel metal2 s 52573 -480 52629 240 4 wbs_adr_i[26]
-port 552 nsew
-rlabel metal2 s 54367 -480 54423 240 4 wbs_adr_i[27]
-port 553 nsew
-rlabel metal2 s 56161 -480 56217 240 4 wbs_adr_i[28]
-port 554 nsew
-rlabel metal2 s 57955 -480 58011 240 4 wbs_adr_i[29]
-port 555 nsew
-rlabel metal2 s 8597 -480 8653 240 4 wbs_adr_i[2]
-port 556 nsew
-rlabel metal2 s 59703 -480 59759 240 4 wbs_adr_i[30]
-port 557 nsew
-rlabel metal2 s 61497 -480 61553 240 4 wbs_adr_i[31]
-port 558 nsew
-rlabel metal2 s 10943 -480 10999 240 4 wbs_adr_i[3]
-port 559 nsew
-rlabel metal2 s 13335 -480 13391 240 4 wbs_adr_i[4]
-port 560 nsew
-rlabel metal2 s 15129 -480 15185 240 4 wbs_adr_i[5]
-port 561 nsew
-rlabel metal2 s 16923 -480 16979 240 4 wbs_adr_i[6]
-port 562 nsew
-rlabel metal2 s 18671 -480 18727 240 4 wbs_adr_i[7]
-port 563 nsew
-rlabel metal2 s 20465 -480 20521 240 4 wbs_adr_i[8]
-port 564 nsew
-rlabel metal2 s 22259 -480 22315 240 4 wbs_adr_i[9]
-port 565 nsew
-rlabel metal2 s 2019 -480 2075 240 4 wbs_cyc_i
-port 566 nsew
-rlabel metal2 s 4411 -480 4467 240 4 wbs_dat_i[0]
-port 567 nsew
-rlabel metal2 s 24651 -480 24707 240 4 wbs_dat_i[10]
-port 568 nsew
-rlabel metal2 s 26399 -480 26455 240 4 wbs_dat_i[11]
-port 569 nsew
-rlabel metal2 s 28193 -480 28249 240 4 wbs_dat_i[12]
-port 570 nsew
-rlabel metal2 s 29987 -480 30043 240 4 wbs_dat_i[13]
-port 571 nsew
-rlabel metal2 s 31781 -480 31837 240 4 wbs_dat_i[14]
-port 572 nsew
-rlabel metal2 s 33575 -480 33631 240 4 wbs_dat_i[15]
-port 573 nsew
-rlabel metal2 s 35323 -480 35379 240 4 wbs_dat_i[16]
-port 574 nsew
-rlabel metal2 s 37117 -480 37173 240 4 wbs_dat_i[17]
-port 575 nsew
-rlabel metal2 s 38911 -480 38967 240 4 wbs_dat_i[18]
-port 576 nsew
-rlabel metal2 s 40705 -480 40761 240 4 wbs_dat_i[19]
-port 577 nsew
-rlabel metal2 s 6803 -480 6859 240 4 wbs_dat_i[1]
-port 578 nsew
-rlabel metal2 s 42453 -480 42509 240 4 wbs_dat_i[20]
-port 579 nsew
-rlabel metal2 s 44247 -480 44303 240 4 wbs_dat_i[21]
-port 580 nsew
-rlabel metal2 s 46041 -480 46097 240 4 wbs_dat_i[22]
-port 581 nsew
-rlabel metal2 s 47835 -480 47891 240 4 wbs_dat_i[23]
-port 582 nsew
-rlabel metal2 s 49629 -480 49685 240 4 wbs_dat_i[24]
-port 583 nsew
-rlabel metal2 s 51377 -480 51433 240 4 wbs_dat_i[25]
-port 584 nsew
-rlabel metal2 s 53171 -480 53227 240 4 wbs_dat_i[26]
-port 585 nsew
-rlabel metal2 s 54965 -480 55021 240 4 wbs_dat_i[27]
-port 586 nsew
-rlabel metal2 s 56759 -480 56815 240 4 wbs_dat_i[28]
-port 587 nsew
-rlabel metal2 s 58553 -480 58609 240 4 wbs_dat_i[29]
-port 588 nsew
-rlabel metal2 s 9149 -480 9205 240 4 wbs_dat_i[2]
-port 589 nsew
-rlabel metal2 s 60301 -480 60357 240 4 wbs_dat_i[30]
-port 590 nsew
-rlabel metal2 s 62095 -480 62151 240 4 wbs_dat_i[31]
-port 591 nsew
-rlabel metal2 s 11541 -480 11597 240 4 wbs_dat_i[3]
-port 592 nsew
-rlabel metal2 s 13933 -480 13989 240 4 wbs_dat_i[4]
-port 593 nsew
-rlabel metal2 s 15727 -480 15783 240 4 wbs_dat_i[5]
-port 594 nsew
-rlabel metal2 s 17475 -480 17531 240 4 wbs_dat_i[6]
-port 595 nsew
-rlabel metal2 s 19269 -480 19325 240 4 wbs_dat_i[7]
-port 596 nsew
-rlabel metal2 s 21063 -480 21119 240 4 wbs_dat_i[8]
-port 597 nsew
-rlabel metal2 s 22857 -480 22913 240 4 wbs_dat_i[9]
-port 598 nsew
-rlabel metal2 s 5009 -480 5065 240 4 wbs_dat_o[0]
-port 599 nsew
-rlabel metal2 s 25249 -480 25305 240 4 wbs_dat_o[10]
-port 600 nsew
-rlabel metal2 s 26997 -480 27053 240 4 wbs_dat_o[11]
-port 601 nsew
-rlabel metal2 s 28791 -480 28847 240 4 wbs_dat_o[12]
-port 602 nsew
-rlabel metal2 s 30585 -480 30641 240 4 wbs_dat_o[13]
-port 603 nsew
-rlabel metal2 s 32379 -480 32435 240 4 wbs_dat_o[14]
-port 604 nsew
-rlabel metal2 s 34127 -480 34183 240 4 wbs_dat_o[15]
-port 605 nsew
-rlabel metal2 s 35921 -480 35977 240 4 wbs_dat_o[16]
-port 606 nsew
-rlabel metal2 s 37715 -480 37771 240 4 wbs_dat_o[17]
-port 607 nsew
-rlabel metal2 s 39509 -480 39565 240 4 wbs_dat_o[18]
-port 608 nsew
-rlabel metal2 s 41303 -480 41359 240 4 wbs_dat_o[19]
-port 609 nsew
-rlabel metal2 s 7401 -480 7457 240 4 wbs_dat_o[1]
-port 610 nsew
-rlabel metal2 s 43051 -480 43107 240 4 wbs_dat_o[20]
-port 611 nsew
-rlabel metal2 s 44845 -480 44901 240 4 wbs_dat_o[21]
-port 612 nsew
-rlabel metal2 s 46639 -480 46695 240 4 wbs_dat_o[22]
-port 613 nsew
-rlabel metal2 s 48433 -480 48489 240 4 wbs_dat_o[23]
-port 614 nsew
-rlabel metal2 s 50227 -480 50283 240 4 wbs_dat_o[24]
-port 615 nsew
-rlabel metal2 s 51975 -480 52031 240 4 wbs_dat_o[25]
-port 616 nsew
-rlabel metal2 s 53769 -480 53825 240 4 wbs_dat_o[26]
-port 617 nsew
-rlabel metal2 s 55563 -480 55619 240 4 wbs_dat_o[27]
-port 618 nsew
-rlabel metal2 s 57357 -480 57413 240 4 wbs_dat_o[28]
-port 619 nsew
-rlabel metal2 s 59105 -480 59161 240 4 wbs_dat_o[29]
-port 620 nsew
-rlabel metal2 s 9747 -480 9803 240 4 wbs_dat_o[2]
-port 621 nsew
-rlabel metal2 s 60899 -480 60955 240 4 wbs_dat_o[30]
-port 622 nsew
-rlabel metal2 s 62693 -480 62749 240 4 wbs_dat_o[31]
-port 623 nsew
-rlabel metal2 s 12139 -480 12195 240 4 wbs_dat_o[3]
-port 624 nsew
-rlabel metal2 s 14531 -480 14587 240 4 wbs_dat_o[4]
-port 625 nsew
-rlabel metal2 s 16325 -480 16381 240 4 wbs_dat_o[5]
-port 626 nsew
-rlabel metal2 s 18073 -480 18129 240 4 wbs_dat_o[6]
-port 627 nsew
-rlabel metal2 s 19867 -480 19923 240 4 wbs_dat_o[7]
-port 628 nsew
-rlabel metal2 s 21661 -480 21717 240 4 wbs_dat_o[8]
-port 629 nsew
-rlabel metal2 s 23455 -480 23511 240 4 wbs_dat_o[9]
-port 630 nsew
-rlabel metal2 s 5607 -480 5663 240 4 wbs_sel_i[0]
-port 631 nsew
-rlabel metal2 s 7999 -480 8055 240 4 wbs_sel_i[1]
-port 632 nsew
-rlabel metal2 s 10345 -480 10401 240 4 wbs_sel_i[2]
-port 633 nsew
-rlabel metal2 s 12737 -480 12793 240 4 wbs_sel_i[3]
-port 634 nsew
-rlabel metal2 s 2617 -480 2673 240 4 wbs_stb_i
-port 635 nsew
-rlabel metal2 s 3215 -480 3271 240 4 wbs_we_i
-port 636 nsew
-rlabel metal5 s -998 -462 292960 -162 4 vccd1
-port 637 nsew
-rlabel metal5 s -1458 -922 293420 -622 4 vssd1
-port 638 nsew
-rlabel metal5 s -1918 -1382 293880 -1082 4 vccd2
-port 639 nsew
-rlabel metal5 s -2378 -1842 294340 -1542 4 vssd2
-port 640 nsew
-rlabel metal5 s -2838 -2302 294800 -2002 4 vdda1
-port 641 nsew
-rlabel metal5 s -3298 -2762 295260 -2462 4 vssa1
-port 642 nsew
-rlabel metal5 s -3758 -3222 295720 -2922 4 vdda2
-port 643 nsew
-rlabel metal5 s -4218 -3682 296180 -3382 4 vssa2
-port 644 nsew
-<< properties >>
-string FIXED_BBOX 0 0 292000 352000
-string GDS_FILE /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/user_project_wrapper.gds
-string GDS_END 306838
-string GDS_START 130
-<< end >>
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/user_project_wrapper.obstructed.lef b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/user_project_wrapper.obstructed.lef
deleted file mode 100644
index a7f7cd8..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/magic/user_project_wrapper.obstructed.lef
+++ /dev/null
@@ -1,7561 +0,0 @@
-VERSION 5.7 ;
-  NOWIREEXTENSIONATPIN ON ;
-  DIVIDERCHAR "/" ;
-  BUSBITCHARS "[]" ;
-MACRO user_project_wrapper
-  CLASS BLOCK ;
-  FOREIGN user_project_wrapper ;
-  ORIGIN 0.000 0.000 ;
-  SIZE 2920.000 BY 3520.000 ;
-  PIN analog_io[0]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 28.980000 2924.800000 30.180000 ;
-    END
-  END analog_io[0]
-  PIN analog_io[10]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 2374.980000 2924.800000 2376.180000 ;
-    END
-  END analog_io[10]
-  PIN analog_io[11]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 2609.580000 2924.800000 2610.780000 ;
-    END
-  END analog_io[11]
-  PIN analog_io[12]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 2844.180000 2924.800000 2845.380000 ;
-    END
-  END analog_io[12]
-  PIN analog_io[13]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 3078.780000 2924.800000 3079.980000 ;
-    END
-  END analog_io[13]
-  PIN analog_io[14]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 3313.380000 2924.800000 3314.580000 ;
-    END
-  END analog_io[14]
-  PIN analog_io[15]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2879.090000 3520.400000 2879.650000 3524.800000 ;
-    END
-  END analog_io[15]
-  PIN analog_io[16]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2554.790000 3520.400000 2555.350000 3524.800000 ;
-    END
-  END analog_io[16]
-  PIN analog_io[17]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2230.490000 3520.400000 2231.050000 3524.800000 ;
-    END
-  END analog_io[17]
-  PIN analog_io[18]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1905.730000 3520.400000 1906.290000 3524.800000 ;
-    END
-  END analog_io[18]
-  PIN analog_io[19]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1581.430000 3520.400000 1581.990000 3524.800000 ;
-    END
-  END analog_io[19]
-  PIN analog_io[1]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 263.580000 2924.800000 264.780000 ;
-    END
-  END analog_io[1]
-  PIN analog_io[20]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1257.130000 3520.400000 1257.690000 3524.800000 ;
-    END
-  END analog_io[20]
-  PIN analog_io[21]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met2 ;
-        RECT 932.370000 3520.400000 932.930000 3524.800000 ;
-    END
-  END analog_io[21]
-  PIN analog_io[22]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met2 ;
-        RECT 608.070000 3520.400000 608.630000 3524.800000 ;
-    END
-  END analog_io[22]
-  PIN analog_io[23]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met2 ;
-        RECT 283.770000 3520.400000 284.330000 3524.800000 ;
-    END
-  END analog_io[23]
-  PIN analog_io[24]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 3482.700000 -0.400000 3483.900000 ;
-    END
-  END analog_io[24]
-  PIN analog_io[25]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 3195.060000 -0.400000 3196.260000 ;
-    END
-  END analog_io[25]
-  PIN analog_io[26]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 2908.100000 -0.400000 2909.300000 ;
-    END
-  END analog_io[26]
-  PIN analog_io[27]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 2620.460000 -0.400000 2621.660000 ;
-    END
-  END analog_io[27]
-  PIN analog_io[28]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 2333.500000 -0.400000 2334.700000 ;
-    END
-  END analog_io[28]
-  PIN analog_io[29]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 2045.860000 -0.400000 2047.060000 ;
-    END
-  END analog_io[29]
-  PIN analog_io[2]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 498.180000 2924.800000 499.380000 ;
-    END
-  END analog_io[2]
-  PIN analog_io[30]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 1758.900000 -0.400000 1760.100000 ;
-    END
-  END analog_io[30]
-  PIN analog_io[3]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 732.780000 2924.800000 733.980000 ;
-    END
-  END analog_io[3]
-  PIN analog_io[4]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 967.380000 2924.800000 968.580000 ;
-    END
-  END analog_io[4]
-  PIN analog_io[5]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 1201.980000 2924.800000 1203.180000 ;
-    END
-  END analog_io[5]
-  PIN analog_io[6]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 1436.580000 2924.800000 1437.780000 ;
-    END
-  END analog_io[6]
-  PIN analog_io[7]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 1671.180000 2924.800000 1672.380000 ;
-    END
-  END analog_io[7]
-  PIN analog_io[8]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 1905.780000 2924.800000 1906.980000 ;
-    END
-  END analog_io[8]
-  PIN analog_io[9]
-    DIRECTION INOUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 2140.380000 2924.800000 2141.580000 ;
-    END
-  END analog_io[9]
-  PIN io_in[0]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 87.460000 2924.800000 88.660000 ;
-    END
-  END io_in[0]
-  PIN io_in[10]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 2433.460000 2924.800000 2434.660000 ;
-    END
-  END io_in[10]
-  PIN io_in[11]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 2668.740000 2924.800000 2669.940000 ;
-    END
-  END io_in[11]
-  PIN io_in[12]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 2903.340000 2924.800000 2904.540000 ;
-    END
-  END io_in[12]
-  PIN io_in[13]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 3137.940000 2924.800000 3139.140000 ;
-    END
-  END io_in[13]
-  PIN io_in[14]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 3372.540000 2924.800000 3373.740000 ;
-    END
-  END io_in[14]
-  PIN io_in[15]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2798.130000 3520.400000 2798.690000 3524.800000 ;
-    END
-  END io_in[15]
-  PIN io_in[16]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2473.830000 3520.400000 2474.390000 3524.800000 ;
-    END
-  END io_in[16]
-  PIN io_in[17]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2149.070000 3520.400000 2149.630000 3524.800000 ;
-    END
-  END io_in[17]
-  PIN io_in[18]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1824.770000 3520.400000 1825.330000 3524.800000 ;
-    END
-  END io_in[18]
-  PIN io_in[19]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1500.470000 3520.400000 1501.030000 3524.800000 ;
-    END
-  END io_in[19]
-  PIN io_in[1]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 322.060000 2924.800000 323.260000 ;
-    END
-  END io_in[1]
-  PIN io_in[20]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1175.710000 3520.400000 1176.270000 3524.800000 ;
-    END
-  END io_in[20]
-  PIN io_in[21]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 851.410000 3520.400000 851.970000 3524.800000 ;
-    END
-  END io_in[21]
-  PIN io_in[22]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 527.110000 3520.400000 527.670000 3524.800000 ;
-    END
-  END io_in[22]
-  PIN io_in[23]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 202.350000 3520.400000 202.910000 3524.800000 ;
-    END
-  END io_in[23]
-  PIN io_in[24]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 3410.620000 -0.400000 3411.820000 ;
-    END
-  END io_in[24]
-  PIN io_in[25]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 3123.660000 -0.400000 3124.860000 ;
-    END
-  END io_in[25]
-  PIN io_in[26]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 2836.020000 -0.400000 2837.220000 ;
-    END
-  END io_in[26]
-  PIN io_in[27]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 2549.060000 -0.400000 2550.260000 ;
-    END
-  END io_in[27]
-  PIN io_in[28]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 2261.420000 -0.400000 2262.620000 ;
-    END
-  END io_in[28]
-  PIN io_in[29]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 1974.460000 -0.400000 1975.660000 ;
-    END
-  END io_in[29]
-  PIN io_in[2]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 556.660000 2924.800000 557.860000 ;
-    END
-  END io_in[2]
-  PIN io_in[30]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 1686.820000 -0.400000 1688.020000 ;
-    END
-  END io_in[30]
-  PIN io_in[31]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 1471.260000 -0.400000 1472.460000 ;
-    END
-  END io_in[31]
-  PIN io_in[32]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 1255.700000 -0.400000 1256.900000 ;
-    END
-  END io_in[32]
-  PIN io_in[33]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 1040.140000 -0.400000 1041.340000 ;
-    END
-  END io_in[33]
-  PIN io_in[34]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 824.580000 -0.400000 825.780000 ;
-    END
-  END io_in[34]
-  PIN io_in[35]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 609.700000 -0.400000 610.900000 ;
-    END
-  END io_in[35]
-  PIN io_in[36]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 394.140000 -0.400000 395.340000 ;
-    END
-  END io_in[36]
-  PIN io_in[37]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 178.580000 -0.400000 179.780000 ;
-    END
-  END io_in[37]
-  PIN io_in[3]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 791.260000 2924.800000 792.460000 ;
-    END
-  END io_in[3]
-  PIN io_in[4]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 1025.860000 2924.800000 1027.060000 ;
-    END
-  END io_in[4]
-  PIN io_in[5]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 1260.460000 2924.800000 1261.660000 ;
-    END
-  END io_in[5]
-  PIN io_in[6]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 1495.060000 2924.800000 1496.260000 ;
-    END
-  END io_in[6]
-  PIN io_in[7]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 1729.660000 2924.800000 1730.860000 ;
-    END
-  END io_in[7]
-  PIN io_in[8]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 1964.260000 2924.800000 1965.460000 ;
-    END
-  END io_in[8]
-  PIN io_in[9]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 2198.860000 2924.800000 2200.060000 ;
-    END
-  END io_in[9]
-  PIN io_oeb[0]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 204.420000 2924.800000 205.620000 ;
-    END
-  END io_oeb[0]
-  PIN io_oeb[10]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 2551.100000 2924.800000 2552.300000 ;
-    END
-  END io_oeb[10]
-  PIN io_oeb[11]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 2785.700000 2924.800000 2786.900000 ;
-    END
-  END io_oeb[11]
-  PIN io_oeb[12]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 3020.300000 2924.800000 3021.500000 ;
-    END
-  END io_oeb[12]
-  PIN io_oeb[13]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 3254.900000 2924.800000 3256.100000 ;
-    END
-  END io_oeb[13]
-  PIN io_oeb[14]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 3489.500000 2924.800000 3490.700000 ;
-    END
-  END io_oeb[14]
-  PIN io_oeb[15]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2635.750000 3520.400000 2636.310000 3524.800000 ;
-    END
-  END io_oeb[15]
-  PIN io_oeb[16]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2311.450000 3520.400000 2312.010000 3524.800000 ;
-    END
-  END io_oeb[16]
-  PIN io_oeb[17]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1987.150000 3520.400000 1987.710000 3524.800000 ;
-    END
-  END io_oeb[17]
-  PIN io_oeb[18]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1662.390000 3520.400000 1662.950000 3524.800000 ;
-    END
-  END io_oeb[18]
-  PIN io_oeb[19]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1338.090000 3520.400000 1338.650000 3524.800000 ;
-    END
-  END io_oeb[19]
-  PIN io_oeb[1]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 439.020000 2924.800000 440.220000 ;
-    END
-  END io_oeb[1]
-  PIN io_oeb[20]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1013.790000 3520.400000 1014.350000 3524.800000 ;
-    END
-  END io_oeb[20]
-  PIN io_oeb[21]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 689.030000 3520.400000 689.590000 3524.800000 ;
-    END
-  END io_oeb[21]
-  PIN io_oeb[22]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 364.730000 3520.400000 365.290000 3524.800000 ;
-    END
-  END io_oeb[22]
-  PIN io_oeb[23]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 40.430000 3520.400000 40.990000 3524.800000 ;
-    END
-  END io_oeb[23]
-  PIN io_oeb[24]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 3267.140000 -0.400000 3268.340000 ;
-    END
-  END io_oeb[24]
-  PIN io_oeb[25]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 2979.500000 -0.400000 2980.700000 ;
-    END
-  END io_oeb[25]
-  PIN io_oeb[26]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 2692.540000 -0.400000 2693.740000 ;
-    END
-  END io_oeb[26]
-  PIN io_oeb[27]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 2404.900000 -0.400000 2406.100000 ;
-    END
-  END io_oeb[27]
-  PIN io_oeb[28]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 2117.940000 -0.400000 2119.140000 ;
-    END
-  END io_oeb[28]
-  PIN io_oeb[29]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 1830.300000 -0.400000 1831.500000 ;
-    END
-  END io_oeb[29]
-  PIN io_oeb[2]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 673.620000 2924.800000 674.820000 ;
-    END
-  END io_oeb[2]
-  PIN io_oeb[30]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 1543.340000 -0.400000 1544.540000 ;
-    END
-  END io_oeb[30]
-  PIN io_oeb[31]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 1327.780000 -0.400000 1328.980000 ;
-    END
-  END io_oeb[31]
-  PIN io_oeb[32]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 1112.220000 -0.400000 1113.420000 ;
-    END
-  END io_oeb[32]
-  PIN io_oeb[33]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 896.660000 -0.400000 897.860000 ;
-    END
-  END io_oeb[33]
-  PIN io_oeb[34]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 681.100000 -0.400000 682.300000 ;
-    END
-  END io_oeb[34]
-  PIN io_oeb[35]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 465.540000 -0.400000 466.740000 ;
-    END
-  END io_oeb[35]
-  PIN io_oeb[36]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 249.980000 -0.400000 251.180000 ;
-    END
-  END io_oeb[36]
-  PIN io_oeb[37]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 35.100000 -0.400000 36.300000 ;
-    END
-  END io_oeb[37]
-  PIN io_oeb[3]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 908.900000 2924.800000 910.100000 ;
-    END
-  END io_oeb[3]
-  PIN io_oeb[4]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 1143.500000 2924.800000 1144.700000 ;
-    END
-  END io_oeb[4]
-  PIN io_oeb[5]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 1378.100000 2924.800000 1379.300000 ;
-    END
-  END io_oeb[5]
-  PIN io_oeb[6]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 1612.700000 2924.800000 1613.900000 ;
-    END
-  END io_oeb[6]
-  PIN io_oeb[7]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 1847.300000 2924.800000 1848.500000 ;
-    END
-  END io_oeb[7]
-  PIN io_oeb[8]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 2081.900000 2924.800000 2083.100000 ;
-    END
-  END io_oeb[8]
-  PIN io_oeb[9]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 2316.500000 2924.800000 2317.700000 ;
-    END
-  END io_oeb[9]
-  PIN io_out[0]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 145.940000 2924.800000 147.140000 ;
-    END
-  END io_out[0]
-  PIN io_out[10]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 2492.620000 2924.800000 2493.820000 ;
-    END
-  END io_out[10]
-  PIN io_out[11]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 2727.220000 2924.800000 2728.420000 ;
-    END
-  END io_out[11]
-  PIN io_out[12]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 2961.820000 2924.800000 2963.020000 ;
-    END
-  END io_out[12]
-  PIN io_out[13]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 3196.420000 2924.800000 3197.620000 ;
-    END
-  END io_out[13]
-  PIN io_out[14]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 3431.020000 2924.800000 3432.220000 ;
-    END
-  END io_out[14]
-  PIN io_out[15]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2717.170000 3520.400000 2717.730000 3524.800000 ;
-    END
-  END io_out[15]
-  PIN io_out[16]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2392.410000 3520.400000 2392.970000 3524.800000 ;
-    END
-  END io_out[16]
-  PIN io_out[17]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2068.110000 3520.400000 2068.670000 3524.800000 ;
-    END
-  END io_out[17]
-  PIN io_out[18]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1743.810000 3520.400000 1744.370000 3524.800000 ;
-    END
-  END io_out[18]
-  PIN io_out[19]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1419.050000 3520.400000 1419.610000 3524.800000 ;
-    END
-  END io_out[19]
-  PIN io_out[1]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 380.540000 2924.800000 381.740000 ;
-    END
-  END io_out[1]
-  PIN io_out[20]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1094.750000 3520.400000 1095.310000 3524.800000 ;
-    END
-  END io_out[20]
-  PIN io_out[21]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 770.450000 3520.400000 771.010000 3524.800000 ;
-    END
-  END io_out[21]
-  PIN io_out[22]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 445.690000 3520.400000 446.250000 3524.800000 ;
-    END
-  END io_out[22]
-  PIN io_out[23]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 121.390000 3520.400000 121.950000 3524.800000 ;
-    END
-  END io_out[23]
-  PIN io_out[24]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 3339.220000 -0.400000 3340.420000 ;
-    END
-  END io_out[24]
-  PIN io_out[25]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 3051.580000 -0.400000 3052.780000 ;
-    END
-  END io_out[25]
-  PIN io_out[26]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 2764.620000 -0.400000 2765.820000 ;
-    END
-  END io_out[26]
-  PIN io_out[27]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 2476.980000 -0.400000 2478.180000 ;
-    END
-  END io_out[27]
-  PIN io_out[28]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 2189.340000 -0.400000 2190.540000 ;
-    END
-  END io_out[28]
-  PIN io_out[29]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 1902.380000 -0.400000 1903.580000 ;
-    END
-  END io_out[29]
-  PIN io_out[2]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 615.140000 2924.800000 616.340000 ;
-    END
-  END io_out[2]
-  PIN io_out[30]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 1614.740000 -0.400000 1615.940000 ;
-    END
-  END io_out[30]
-  PIN io_out[31]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 1399.860000 -0.400000 1401.060000 ;
-    END
-  END io_out[31]
-  PIN io_out[32]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 1184.300000 -0.400000 1185.500000 ;
-    END
-  END io_out[32]
-  PIN io_out[33]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 968.740000 -0.400000 969.940000 ;
-    END
-  END io_out[33]
-  PIN io_out[34]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 753.180000 -0.400000 754.380000 ;
-    END
-  END io_out[34]
-  PIN io_out[35]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 537.620000 -0.400000 538.820000 ;
-    END
-  END io_out[35]
-  PIN io_out[36]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 322.060000 -0.400000 323.260000 ;
-    END
-  END io_out[36]
-  PIN io_out[37]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT -4.800000 106.500000 -0.400000 107.700000 ;
-    END
-  END io_out[37]
-  PIN io_out[3]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 849.740000 2924.800000 850.940000 ;
-    END
-  END io_out[3]
-  PIN io_out[4]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 1084.340000 2924.800000 1085.540000 ;
-    END
-  END io_out[4]
-  PIN io_out[5]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 1318.940000 2924.800000 1320.140000 ;
-    END
-  END io_out[5]
-  PIN io_out[6]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 1553.540000 2924.800000 1554.740000 ;
-    END
-  END io_out[6]
-  PIN io_out[7]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 1788.820000 2924.800000 1790.020000 ;
-    END
-  END io_out[7]
-  PIN io_out[8]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 2023.420000 2924.800000 2024.620000 ;
-    END
-  END io_out[8]
-  PIN io_out[9]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met3 ;
-        RECT 2920.400000 2258.020000 2924.800000 2259.220000 ;
-    END
-  END io_out[9]
-  PIN la_data_in[0]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 632.910000 -4.800000 633.470000 -0.400000 ;
-    END
-  END la_data_in[0]
-  PIN la_data_in[100]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2417.250000 -4.800000 2417.810000 -0.400000 ;
-    END
-  END la_data_in[100]
-  PIN la_data_in[101]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2434.730000 -4.800000 2435.290000 -0.400000 ;
-    END
-  END la_data_in[101]
-  PIN la_data_in[102]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2452.670000 -4.800000 2453.230000 -0.400000 ;
-    END
-  END la_data_in[102]
-  PIN la_data_in[103]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2470.610000 -4.800000 2471.170000 -0.400000 ;
-    END
-  END la_data_in[103]
-  PIN la_data_in[104]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2488.550000 -4.800000 2489.110000 -0.400000 ;
-    END
-  END la_data_in[104]
-  PIN la_data_in[105]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2506.030000 -4.800000 2506.590000 -0.400000 ;
-    END
-  END la_data_in[105]
-  PIN la_data_in[106]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2523.970000 -4.800000 2524.530000 -0.400000 ;
-    END
-  END la_data_in[106]
-  PIN la_data_in[107]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2541.910000 -4.800000 2542.470000 -0.400000 ;
-    END
-  END la_data_in[107]
-  PIN la_data_in[108]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2559.850000 -4.800000 2560.410000 -0.400000 ;
-    END
-  END la_data_in[108]
-  PIN la_data_in[109]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2577.790000 -4.800000 2578.350000 -0.400000 ;
-    END
-  END la_data_in[109]
-  PIN la_data_in[10]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 811.390000 -4.800000 811.950000 -0.400000 ;
-    END
-  END la_data_in[10]
-  PIN la_data_in[110]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2595.270000 -4.800000 2595.830000 -0.400000 ;
-    END
-  END la_data_in[110]
-  PIN la_data_in[111]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2613.210000 -4.800000 2613.770000 -0.400000 ;
-    END
-  END la_data_in[111]
-  PIN la_data_in[112]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2631.150000 -4.800000 2631.710000 -0.400000 ;
-    END
-  END la_data_in[112]
-  PIN la_data_in[113]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2649.090000 -4.800000 2649.650000 -0.400000 ;
-    END
-  END la_data_in[113]
-  PIN la_data_in[114]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2667.030000 -4.800000 2667.590000 -0.400000 ;
-    END
-  END la_data_in[114]
-  PIN la_data_in[115]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2684.510000 -4.800000 2685.070000 -0.400000 ;
-    END
-  END la_data_in[115]
-  PIN la_data_in[116]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2702.450000 -4.800000 2703.010000 -0.400000 ;
-    END
-  END la_data_in[116]
-  PIN la_data_in[117]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2720.390000 -4.800000 2720.950000 -0.400000 ;
-    END
-  END la_data_in[117]
-  PIN la_data_in[118]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2738.330000 -4.800000 2738.890000 -0.400000 ;
-    END
-  END la_data_in[118]
-  PIN la_data_in[119]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2755.810000 -4.800000 2756.370000 -0.400000 ;
-    END
-  END la_data_in[119]
-  PIN la_data_in[11]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 829.330000 -4.800000 829.890000 -0.400000 ;
-    END
-  END la_data_in[11]
-  PIN la_data_in[120]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2773.750000 -4.800000 2774.310000 -0.400000 ;
-    END
-  END la_data_in[120]
-  PIN la_data_in[121]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2791.690000 -4.800000 2792.250000 -0.400000 ;
-    END
-  END la_data_in[121]
-  PIN la_data_in[122]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2809.630000 -4.800000 2810.190000 -0.400000 ;
-    END
-  END la_data_in[122]
-  PIN la_data_in[123]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2827.570000 -4.800000 2828.130000 -0.400000 ;
-    END
-  END la_data_in[123]
-  PIN la_data_in[124]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2845.050000 -4.800000 2845.610000 -0.400000 ;
-    END
-  END la_data_in[124]
-  PIN la_data_in[125]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2862.990000 -4.800000 2863.550000 -0.400000 ;
-    END
-  END la_data_in[125]
-  PIN la_data_in[126]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2880.930000 -4.800000 2881.490000 -0.400000 ;
-    END
-  END la_data_in[126]
-  PIN la_data_in[127]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2898.870000 -4.800000 2899.430000 -0.400000 ;
-    END
-  END la_data_in[127]
-  PIN la_data_in[12]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 846.810000 -4.800000 847.370000 -0.400000 ;
-    END
-  END la_data_in[12]
-  PIN la_data_in[13]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 864.750000 -4.800000 865.310000 -0.400000 ;
-    END
-  END la_data_in[13]
-  PIN la_data_in[14]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 882.690000 -4.800000 883.250000 -0.400000 ;
-    END
-  END la_data_in[14]
-  PIN la_data_in[15]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 900.630000 -4.800000 901.190000 -0.400000 ;
-    END
-  END la_data_in[15]
-  PIN la_data_in[16]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 918.570000 -4.800000 919.130000 -0.400000 ;
-    END
-  END la_data_in[16]
-  PIN la_data_in[17]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 936.050000 -4.800000 936.610000 -0.400000 ;
-    END
-  END la_data_in[17]
-  PIN la_data_in[18]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 953.990000 -4.800000 954.550000 -0.400000 ;
-    END
-  END la_data_in[18]
-  PIN la_data_in[19]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 971.930000 -4.800000 972.490000 -0.400000 ;
-    END
-  END la_data_in[19]
-  PIN la_data_in[1]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 650.850000 -4.800000 651.410000 -0.400000 ;
-    END
-  END la_data_in[1]
-  PIN la_data_in[20]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 989.870000 -4.800000 990.430000 -0.400000 ;
-    END
-  END la_data_in[20]
-  PIN la_data_in[21]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1007.350000 -4.800000 1007.910000 -0.400000 ;
-    END
-  END la_data_in[21]
-  PIN la_data_in[22]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1025.290000 -4.800000 1025.850000 -0.400000 ;
-    END
-  END la_data_in[22]
-  PIN la_data_in[23]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1043.230000 -4.800000 1043.790000 -0.400000 ;
-    END
-  END la_data_in[23]
-  PIN la_data_in[24]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1061.170000 -4.800000 1061.730000 -0.400000 ;
-    END
-  END la_data_in[24]
-  PIN la_data_in[25]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1079.110000 -4.800000 1079.670000 -0.400000 ;
-    END
-  END la_data_in[25]
-  PIN la_data_in[26]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1096.590000 -4.800000 1097.150000 -0.400000 ;
-    END
-  END la_data_in[26]
-  PIN la_data_in[27]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1114.530000 -4.800000 1115.090000 -0.400000 ;
-    END
-  END la_data_in[27]
-  PIN la_data_in[28]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1132.470000 -4.800000 1133.030000 -0.400000 ;
-    END
-  END la_data_in[28]
-  PIN la_data_in[29]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1150.410000 -4.800000 1150.970000 -0.400000 ;
-    END
-  END la_data_in[29]
-  PIN la_data_in[2]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 668.790000 -4.800000 669.350000 -0.400000 ;
-    END
-  END la_data_in[2]
-  PIN la_data_in[30]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1168.350000 -4.800000 1168.910000 -0.400000 ;
-    END
-  END la_data_in[30]
-  PIN la_data_in[31]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1185.830000 -4.800000 1186.390000 -0.400000 ;
-    END
-  END la_data_in[31]
-  PIN la_data_in[32]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1203.770000 -4.800000 1204.330000 -0.400000 ;
-    END
-  END la_data_in[32]
-  PIN la_data_in[33]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1221.710000 -4.800000 1222.270000 -0.400000 ;
-    END
-  END la_data_in[33]
-  PIN la_data_in[34]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1239.650000 -4.800000 1240.210000 -0.400000 ;
-    END
-  END la_data_in[34]
-  PIN la_data_in[35]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1257.130000 -4.800000 1257.690000 -0.400000 ;
-    END
-  END la_data_in[35]
-  PIN la_data_in[36]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1275.070000 -4.800000 1275.630000 -0.400000 ;
-    END
-  END la_data_in[36]
-  PIN la_data_in[37]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1293.010000 -4.800000 1293.570000 -0.400000 ;
-    END
-  END la_data_in[37]
-  PIN la_data_in[38]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1310.950000 -4.800000 1311.510000 -0.400000 ;
-    END
-  END la_data_in[38]
-  PIN la_data_in[39]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1328.890000 -4.800000 1329.450000 -0.400000 ;
-    END
-  END la_data_in[39]
-  PIN la_data_in[3]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 686.270000 -4.800000 686.830000 -0.400000 ;
-    END
-  END la_data_in[3]
-  PIN la_data_in[40]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1346.370000 -4.800000 1346.930000 -0.400000 ;
-    END
-  END la_data_in[40]
-  PIN la_data_in[41]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1364.310000 -4.800000 1364.870000 -0.400000 ;
-    END
-  END la_data_in[41]
-  PIN la_data_in[42]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1382.250000 -4.800000 1382.810000 -0.400000 ;
-    END
-  END la_data_in[42]
-  PIN la_data_in[43]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1400.190000 -4.800000 1400.750000 -0.400000 ;
-    END
-  END la_data_in[43]
-  PIN la_data_in[44]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1418.130000 -4.800000 1418.690000 -0.400000 ;
-    END
-  END la_data_in[44]
-  PIN la_data_in[45]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1435.610000 -4.800000 1436.170000 -0.400000 ;
-    END
-  END la_data_in[45]
-  PIN la_data_in[46]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1453.550000 -4.800000 1454.110000 -0.400000 ;
-    END
-  END la_data_in[46]
-  PIN la_data_in[47]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1471.490000 -4.800000 1472.050000 -0.400000 ;
-    END
-  END la_data_in[47]
-  PIN la_data_in[48]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1489.430000 -4.800000 1489.990000 -0.400000 ;
-    END
-  END la_data_in[48]
-  PIN la_data_in[49]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1506.910000 -4.800000 1507.470000 -0.400000 ;
-    END
-  END la_data_in[49]
-  PIN la_data_in[4]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 704.210000 -4.800000 704.770000 -0.400000 ;
-    END
-  END la_data_in[4]
-  PIN la_data_in[50]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1524.850000 -4.800000 1525.410000 -0.400000 ;
-    END
-  END la_data_in[50]
-  PIN la_data_in[51]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1542.790000 -4.800000 1543.350000 -0.400000 ;
-    END
-  END la_data_in[51]
-  PIN la_data_in[52]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1560.730000 -4.800000 1561.290000 -0.400000 ;
-    END
-  END la_data_in[52]
-  PIN la_data_in[53]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1578.670000 -4.800000 1579.230000 -0.400000 ;
-    END
-  END la_data_in[53]
-  PIN la_data_in[54]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1596.150000 -4.800000 1596.710000 -0.400000 ;
-    END
-  END la_data_in[54]
-  PIN la_data_in[55]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1614.090000 -4.800000 1614.650000 -0.400000 ;
-    END
-  END la_data_in[55]
-  PIN la_data_in[56]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1632.030000 -4.800000 1632.590000 -0.400000 ;
-    END
-  END la_data_in[56]
-  PIN la_data_in[57]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1649.970000 -4.800000 1650.530000 -0.400000 ;
-    END
-  END la_data_in[57]
-  PIN la_data_in[58]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1667.910000 -4.800000 1668.470000 -0.400000 ;
-    END
-  END la_data_in[58]
-  PIN la_data_in[59]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1685.390000 -4.800000 1685.950000 -0.400000 ;
-    END
-  END la_data_in[59]
-  PIN la_data_in[5]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 722.150000 -4.800000 722.710000 -0.400000 ;
-    END
-  END la_data_in[5]
-  PIN la_data_in[60]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1703.330000 -4.800000 1703.890000 -0.400000 ;
-    END
-  END la_data_in[60]
-  PIN la_data_in[61]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1721.270000 -4.800000 1721.830000 -0.400000 ;
-    END
-  END la_data_in[61]
-  PIN la_data_in[62]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1739.210000 -4.800000 1739.770000 -0.400000 ;
-    END
-  END la_data_in[62]
-  PIN la_data_in[63]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1756.690000 -4.800000 1757.250000 -0.400000 ;
-    END
-  END la_data_in[63]
-  PIN la_data_in[64]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1774.630000 -4.800000 1775.190000 -0.400000 ;
-    END
-  END la_data_in[64]
-  PIN la_data_in[65]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1792.570000 -4.800000 1793.130000 -0.400000 ;
-    END
-  END la_data_in[65]
-  PIN la_data_in[66]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1810.510000 -4.800000 1811.070000 -0.400000 ;
-    END
-  END la_data_in[66]
-  PIN la_data_in[67]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1828.450000 -4.800000 1829.010000 -0.400000 ;
-    END
-  END la_data_in[67]
-  PIN la_data_in[68]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1845.930000 -4.800000 1846.490000 -0.400000 ;
-    END
-  END la_data_in[68]
-  PIN la_data_in[69]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1863.870000 -4.800000 1864.430000 -0.400000 ;
-    END
-  END la_data_in[69]
-  PIN la_data_in[6]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 740.090000 -4.800000 740.650000 -0.400000 ;
-    END
-  END la_data_in[6]
-  PIN la_data_in[70]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1881.810000 -4.800000 1882.370000 -0.400000 ;
-    END
-  END la_data_in[70]
-  PIN la_data_in[71]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1899.750000 -4.800000 1900.310000 -0.400000 ;
-    END
-  END la_data_in[71]
-  PIN la_data_in[72]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1917.690000 -4.800000 1918.250000 -0.400000 ;
-    END
-  END la_data_in[72]
-  PIN la_data_in[73]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1935.170000 -4.800000 1935.730000 -0.400000 ;
-    END
-  END la_data_in[73]
-  PIN la_data_in[74]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1953.110000 -4.800000 1953.670000 -0.400000 ;
-    END
-  END la_data_in[74]
-  PIN la_data_in[75]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1971.050000 -4.800000 1971.610000 -0.400000 ;
-    END
-  END la_data_in[75]
-  PIN la_data_in[76]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1988.990000 -4.800000 1989.550000 -0.400000 ;
-    END
-  END la_data_in[76]
-  PIN la_data_in[77]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2006.470000 -4.800000 2007.030000 -0.400000 ;
-    END
-  END la_data_in[77]
-  PIN la_data_in[78]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2024.410000 -4.800000 2024.970000 -0.400000 ;
-    END
-  END la_data_in[78]
-  PIN la_data_in[79]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2042.350000 -4.800000 2042.910000 -0.400000 ;
-    END
-  END la_data_in[79]
-  PIN la_data_in[7]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 757.570000 -4.800000 758.130000 -0.400000 ;
-    END
-  END la_data_in[7]
-  PIN la_data_in[80]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2060.290000 -4.800000 2060.850000 -0.400000 ;
-    END
-  END la_data_in[80]
-  PIN la_data_in[81]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2078.230000 -4.800000 2078.790000 -0.400000 ;
-    END
-  END la_data_in[81]
-  PIN la_data_in[82]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2095.710000 -4.800000 2096.270000 -0.400000 ;
-    END
-  END la_data_in[82]
-  PIN la_data_in[83]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2113.650000 -4.800000 2114.210000 -0.400000 ;
-    END
-  END la_data_in[83]
-  PIN la_data_in[84]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2131.590000 -4.800000 2132.150000 -0.400000 ;
-    END
-  END la_data_in[84]
-  PIN la_data_in[85]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2149.530000 -4.800000 2150.090000 -0.400000 ;
-    END
-  END la_data_in[85]
-  PIN la_data_in[86]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2167.470000 -4.800000 2168.030000 -0.400000 ;
-    END
-  END la_data_in[86]
-  PIN la_data_in[87]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2184.950000 -4.800000 2185.510000 -0.400000 ;
-    END
-  END la_data_in[87]
-  PIN la_data_in[88]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2202.890000 -4.800000 2203.450000 -0.400000 ;
-    END
-  END la_data_in[88]
-  PIN la_data_in[89]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2220.830000 -4.800000 2221.390000 -0.400000 ;
-    END
-  END la_data_in[89]
-  PIN la_data_in[8]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 775.510000 -4.800000 776.070000 -0.400000 ;
-    END
-  END la_data_in[8]
-  PIN la_data_in[90]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2238.770000 -4.800000 2239.330000 -0.400000 ;
-    END
-  END la_data_in[90]
-  PIN la_data_in[91]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2256.250000 -4.800000 2256.810000 -0.400000 ;
-    END
-  END la_data_in[91]
-  PIN la_data_in[92]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2274.190000 -4.800000 2274.750000 -0.400000 ;
-    END
-  END la_data_in[92]
-  PIN la_data_in[93]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2292.130000 -4.800000 2292.690000 -0.400000 ;
-    END
-  END la_data_in[93]
-  PIN la_data_in[94]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2310.070000 -4.800000 2310.630000 -0.400000 ;
-    END
-  END la_data_in[94]
-  PIN la_data_in[95]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2328.010000 -4.800000 2328.570000 -0.400000 ;
-    END
-  END la_data_in[95]
-  PIN la_data_in[96]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2345.490000 -4.800000 2346.050000 -0.400000 ;
-    END
-  END la_data_in[96]
-  PIN la_data_in[97]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2363.430000 -4.800000 2363.990000 -0.400000 ;
-    END
-  END la_data_in[97]
-  PIN la_data_in[98]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2381.370000 -4.800000 2381.930000 -0.400000 ;
-    END
-  END la_data_in[98]
-  PIN la_data_in[99]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2399.310000 -4.800000 2399.870000 -0.400000 ;
-    END
-  END la_data_in[99]
-  PIN la_data_in[9]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 793.450000 -4.800000 794.010000 -0.400000 ;
-    END
-  END la_data_in[9]
-  PIN la_data_out[0]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 638.890000 -4.800000 639.450000 -0.400000 ;
-    END
-  END la_data_out[0]
-  PIN la_data_out[100]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2422.770000 -4.800000 2423.330000 -0.400000 ;
-    END
-  END la_data_out[100]
-  PIN la_data_out[101]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2440.710000 -4.800000 2441.270000 -0.400000 ;
-    END
-  END la_data_out[101]
-  PIN la_data_out[102]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2458.650000 -4.800000 2459.210000 -0.400000 ;
-    END
-  END la_data_out[102]
-  PIN la_data_out[103]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2476.590000 -4.800000 2477.150000 -0.400000 ;
-    END
-  END la_data_out[103]
-  PIN la_data_out[104]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2494.530000 -4.800000 2495.090000 -0.400000 ;
-    END
-  END la_data_out[104]
-  PIN la_data_out[105]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2512.010000 -4.800000 2512.570000 -0.400000 ;
-    END
-  END la_data_out[105]
-  PIN la_data_out[106]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2529.950000 -4.800000 2530.510000 -0.400000 ;
-    END
-  END la_data_out[106]
-  PIN la_data_out[107]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2547.890000 -4.800000 2548.450000 -0.400000 ;
-    END
-  END la_data_out[107]
-  PIN la_data_out[108]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2565.830000 -4.800000 2566.390000 -0.400000 ;
-    END
-  END la_data_out[108]
-  PIN la_data_out[109]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2583.770000 -4.800000 2584.330000 -0.400000 ;
-    END
-  END la_data_out[109]
-  PIN la_data_out[10]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 817.370000 -4.800000 817.930000 -0.400000 ;
-    END
-  END la_data_out[10]
-  PIN la_data_out[110]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2601.250000 -4.800000 2601.810000 -0.400000 ;
-    END
-  END la_data_out[110]
-  PIN la_data_out[111]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2619.190000 -4.800000 2619.750000 -0.400000 ;
-    END
-  END la_data_out[111]
-  PIN la_data_out[112]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2637.130000 -4.800000 2637.690000 -0.400000 ;
-    END
-  END la_data_out[112]
-  PIN la_data_out[113]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2655.070000 -4.800000 2655.630000 -0.400000 ;
-    END
-  END la_data_out[113]
-  PIN la_data_out[114]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2672.550000 -4.800000 2673.110000 -0.400000 ;
-    END
-  END la_data_out[114]
-  PIN la_data_out[115]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2690.490000 -4.800000 2691.050000 -0.400000 ;
-    END
-  END la_data_out[115]
-  PIN la_data_out[116]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2708.430000 -4.800000 2708.990000 -0.400000 ;
-    END
-  END la_data_out[116]
-  PIN la_data_out[117]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2726.370000 -4.800000 2726.930000 -0.400000 ;
-    END
-  END la_data_out[117]
-  PIN la_data_out[118]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2744.310000 -4.800000 2744.870000 -0.400000 ;
-    END
-  END la_data_out[118]
-  PIN la_data_out[119]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2761.790000 -4.800000 2762.350000 -0.400000 ;
-    END
-  END la_data_out[119]
-  PIN la_data_out[11]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 835.310000 -4.800000 835.870000 -0.400000 ;
-    END
-  END la_data_out[11]
-  PIN la_data_out[120]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2779.730000 -4.800000 2780.290000 -0.400000 ;
-    END
-  END la_data_out[120]
-  PIN la_data_out[121]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2797.670000 -4.800000 2798.230000 -0.400000 ;
-    END
-  END la_data_out[121]
-  PIN la_data_out[122]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2815.610000 -4.800000 2816.170000 -0.400000 ;
-    END
-  END la_data_out[122]
-  PIN la_data_out[123]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2833.550000 -4.800000 2834.110000 -0.400000 ;
-    END
-  END la_data_out[123]
-  PIN la_data_out[124]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2851.030000 -4.800000 2851.590000 -0.400000 ;
-    END
-  END la_data_out[124]
-  PIN la_data_out[125]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2868.970000 -4.800000 2869.530000 -0.400000 ;
-    END
-  END la_data_out[125]
-  PIN la_data_out[126]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2886.910000 -4.800000 2887.470000 -0.400000 ;
-    END
-  END la_data_out[126]
-  PIN la_data_out[127]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2904.850000 -4.800000 2905.410000 -0.400000 ;
-    END
-  END la_data_out[127]
-  PIN la_data_out[12]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 852.790000 -4.800000 853.350000 -0.400000 ;
-    END
-  END la_data_out[12]
-  PIN la_data_out[13]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 870.730000 -4.800000 871.290000 -0.400000 ;
-    END
-  END la_data_out[13]
-  PIN la_data_out[14]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 888.670000 -4.800000 889.230000 -0.400000 ;
-    END
-  END la_data_out[14]
-  PIN la_data_out[15]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 906.610000 -4.800000 907.170000 -0.400000 ;
-    END
-  END la_data_out[15]
-  PIN la_data_out[16]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 924.090000 -4.800000 924.650000 -0.400000 ;
-    END
-  END la_data_out[16]
-  PIN la_data_out[17]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 942.030000 -4.800000 942.590000 -0.400000 ;
-    END
-  END la_data_out[17]
-  PIN la_data_out[18]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 959.970000 -4.800000 960.530000 -0.400000 ;
-    END
-  END la_data_out[18]
-  PIN la_data_out[19]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 977.910000 -4.800000 978.470000 -0.400000 ;
-    END
-  END la_data_out[19]
-  PIN la_data_out[1]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 656.830000 -4.800000 657.390000 -0.400000 ;
-    END
-  END la_data_out[1]
-  PIN la_data_out[20]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 995.850000 -4.800000 996.410000 -0.400000 ;
-    END
-  END la_data_out[20]
-  PIN la_data_out[21]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1013.330000 -4.800000 1013.890000 -0.400000 ;
-    END
-  END la_data_out[21]
-  PIN la_data_out[22]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1031.270000 -4.800000 1031.830000 -0.400000 ;
-    END
-  END la_data_out[22]
-  PIN la_data_out[23]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1049.210000 -4.800000 1049.770000 -0.400000 ;
-    END
-  END la_data_out[23]
-  PIN la_data_out[24]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1067.150000 -4.800000 1067.710000 -0.400000 ;
-    END
-  END la_data_out[24]
-  PIN la_data_out[25]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1085.090000 -4.800000 1085.650000 -0.400000 ;
-    END
-  END la_data_out[25]
-  PIN la_data_out[26]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1102.570000 -4.800000 1103.130000 -0.400000 ;
-    END
-  END la_data_out[26]
-  PIN la_data_out[27]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1120.510000 -4.800000 1121.070000 -0.400000 ;
-    END
-  END la_data_out[27]
-  PIN la_data_out[28]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1138.450000 -4.800000 1139.010000 -0.400000 ;
-    END
-  END la_data_out[28]
-  PIN la_data_out[29]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1156.390000 -4.800000 1156.950000 -0.400000 ;
-    END
-  END la_data_out[29]
-  PIN la_data_out[2]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 674.310000 -4.800000 674.870000 -0.400000 ;
-    END
-  END la_data_out[2]
-  PIN la_data_out[30]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1173.870000 -4.800000 1174.430000 -0.400000 ;
-    END
-  END la_data_out[30]
-  PIN la_data_out[31]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1191.810000 -4.800000 1192.370000 -0.400000 ;
-    END
-  END la_data_out[31]
-  PIN la_data_out[32]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1209.750000 -4.800000 1210.310000 -0.400000 ;
-    END
-  END la_data_out[32]
-  PIN la_data_out[33]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1227.690000 -4.800000 1228.250000 -0.400000 ;
-    END
-  END la_data_out[33]
-  PIN la_data_out[34]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1245.630000 -4.800000 1246.190000 -0.400000 ;
-    END
-  END la_data_out[34]
-  PIN la_data_out[35]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1263.110000 -4.800000 1263.670000 -0.400000 ;
-    END
-  END la_data_out[35]
-  PIN la_data_out[36]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1281.050000 -4.800000 1281.610000 -0.400000 ;
-    END
-  END la_data_out[36]
-  PIN la_data_out[37]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1298.990000 -4.800000 1299.550000 -0.400000 ;
-    END
-  END la_data_out[37]
-  PIN la_data_out[38]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1316.930000 -4.800000 1317.490000 -0.400000 ;
-    END
-  END la_data_out[38]
-  PIN la_data_out[39]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1334.870000 -4.800000 1335.430000 -0.400000 ;
-    END
-  END la_data_out[39]
-  PIN la_data_out[3]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 692.250000 -4.800000 692.810000 -0.400000 ;
-    END
-  END la_data_out[3]
-  PIN la_data_out[40]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1352.350000 -4.800000 1352.910000 -0.400000 ;
-    END
-  END la_data_out[40]
-  PIN la_data_out[41]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1370.290000 -4.800000 1370.850000 -0.400000 ;
-    END
-  END la_data_out[41]
-  PIN la_data_out[42]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1388.230000 -4.800000 1388.790000 -0.400000 ;
-    END
-  END la_data_out[42]
-  PIN la_data_out[43]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1406.170000 -4.800000 1406.730000 -0.400000 ;
-    END
-  END la_data_out[43]
-  PIN la_data_out[44]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1423.650000 -4.800000 1424.210000 -0.400000 ;
-    END
-  END la_data_out[44]
-  PIN la_data_out[45]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1441.590000 -4.800000 1442.150000 -0.400000 ;
-    END
-  END la_data_out[45]
-  PIN la_data_out[46]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1459.530000 -4.800000 1460.090000 -0.400000 ;
-    END
-  END la_data_out[46]
-  PIN la_data_out[47]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1477.470000 -4.800000 1478.030000 -0.400000 ;
-    END
-  END la_data_out[47]
-  PIN la_data_out[48]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1495.410000 -4.800000 1495.970000 -0.400000 ;
-    END
-  END la_data_out[48]
-  PIN la_data_out[49]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1512.890000 -4.800000 1513.450000 -0.400000 ;
-    END
-  END la_data_out[49]
-  PIN la_data_out[4]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 710.190000 -4.800000 710.750000 -0.400000 ;
-    END
-  END la_data_out[4]
-  PIN la_data_out[50]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1530.830000 -4.800000 1531.390000 -0.400000 ;
-    END
-  END la_data_out[50]
-  PIN la_data_out[51]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1548.770000 -4.800000 1549.330000 -0.400000 ;
-    END
-  END la_data_out[51]
-  PIN la_data_out[52]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1566.710000 -4.800000 1567.270000 -0.400000 ;
-    END
-  END la_data_out[52]
-  PIN la_data_out[53]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1584.650000 -4.800000 1585.210000 -0.400000 ;
-    END
-  END la_data_out[53]
-  PIN la_data_out[54]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1602.130000 -4.800000 1602.690000 -0.400000 ;
-    END
-  END la_data_out[54]
-  PIN la_data_out[55]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1620.070000 -4.800000 1620.630000 -0.400000 ;
-    END
-  END la_data_out[55]
-  PIN la_data_out[56]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1638.010000 -4.800000 1638.570000 -0.400000 ;
-    END
-  END la_data_out[56]
-  PIN la_data_out[57]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1655.950000 -4.800000 1656.510000 -0.400000 ;
-    END
-  END la_data_out[57]
-  PIN la_data_out[58]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1673.430000 -4.800000 1673.990000 -0.400000 ;
-    END
-  END la_data_out[58]
-  PIN la_data_out[59]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1691.370000 -4.800000 1691.930000 -0.400000 ;
-    END
-  END la_data_out[59]
-  PIN la_data_out[5]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 728.130000 -4.800000 728.690000 -0.400000 ;
-    END
-  END la_data_out[5]
-  PIN la_data_out[60]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1709.310000 -4.800000 1709.870000 -0.400000 ;
-    END
-  END la_data_out[60]
-  PIN la_data_out[61]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1727.250000 -4.800000 1727.810000 -0.400000 ;
-    END
-  END la_data_out[61]
-  PIN la_data_out[62]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1745.190000 -4.800000 1745.750000 -0.400000 ;
-    END
-  END la_data_out[62]
-  PIN la_data_out[63]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1762.670000 -4.800000 1763.230000 -0.400000 ;
-    END
-  END la_data_out[63]
-  PIN la_data_out[64]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1780.610000 -4.800000 1781.170000 -0.400000 ;
-    END
-  END la_data_out[64]
-  PIN la_data_out[65]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1798.550000 -4.800000 1799.110000 -0.400000 ;
-    END
-  END la_data_out[65]
-  PIN la_data_out[66]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1816.490000 -4.800000 1817.050000 -0.400000 ;
-    END
-  END la_data_out[66]
-  PIN la_data_out[67]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1834.430000 -4.800000 1834.990000 -0.400000 ;
-    END
-  END la_data_out[67]
-  PIN la_data_out[68]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1851.910000 -4.800000 1852.470000 -0.400000 ;
-    END
-  END la_data_out[68]
-  PIN la_data_out[69]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1869.850000 -4.800000 1870.410000 -0.400000 ;
-    END
-  END la_data_out[69]
-  PIN la_data_out[6]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 746.070000 -4.800000 746.630000 -0.400000 ;
-    END
-  END la_data_out[6]
-  PIN la_data_out[70]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1887.790000 -4.800000 1888.350000 -0.400000 ;
-    END
-  END la_data_out[70]
-  PIN la_data_out[71]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1905.730000 -4.800000 1906.290000 -0.400000 ;
-    END
-  END la_data_out[71]
-  PIN la_data_out[72]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1923.210000 -4.800000 1923.770000 -0.400000 ;
-    END
-  END la_data_out[72]
-  PIN la_data_out[73]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1941.150000 -4.800000 1941.710000 -0.400000 ;
-    END
-  END la_data_out[73]
-  PIN la_data_out[74]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1959.090000 -4.800000 1959.650000 -0.400000 ;
-    END
-  END la_data_out[74]
-  PIN la_data_out[75]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1977.030000 -4.800000 1977.590000 -0.400000 ;
-    END
-  END la_data_out[75]
-  PIN la_data_out[76]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 1994.970000 -4.800000 1995.530000 -0.400000 ;
-    END
-  END la_data_out[76]
-  PIN la_data_out[77]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2012.450000 -4.800000 2013.010000 -0.400000 ;
-    END
-  END la_data_out[77]
-  PIN la_data_out[78]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2030.390000 -4.800000 2030.950000 -0.400000 ;
-    END
-  END la_data_out[78]
-  PIN la_data_out[79]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2048.330000 -4.800000 2048.890000 -0.400000 ;
-    END
-  END la_data_out[79]
-  PIN la_data_out[7]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 763.550000 -4.800000 764.110000 -0.400000 ;
-    END
-  END la_data_out[7]
-  PIN la_data_out[80]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2066.270000 -4.800000 2066.830000 -0.400000 ;
-    END
-  END la_data_out[80]
-  PIN la_data_out[81]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2084.210000 -4.800000 2084.770000 -0.400000 ;
-    END
-  END la_data_out[81]
-  PIN la_data_out[82]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2101.690000 -4.800000 2102.250000 -0.400000 ;
-    END
-  END la_data_out[82]
-  PIN la_data_out[83]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2119.630000 -4.800000 2120.190000 -0.400000 ;
-    END
-  END la_data_out[83]
-  PIN la_data_out[84]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2137.570000 -4.800000 2138.130000 -0.400000 ;
-    END
-  END la_data_out[84]
-  PIN la_data_out[85]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2155.510000 -4.800000 2156.070000 -0.400000 ;
-    END
-  END la_data_out[85]
-  PIN la_data_out[86]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2172.990000 -4.800000 2173.550000 -0.400000 ;
-    END
-  END la_data_out[86]
-  PIN la_data_out[87]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2190.930000 -4.800000 2191.490000 -0.400000 ;
-    END
-  END la_data_out[87]
-  PIN la_data_out[88]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2208.870000 -4.800000 2209.430000 -0.400000 ;
-    END
-  END la_data_out[88]
-  PIN la_data_out[89]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2226.810000 -4.800000 2227.370000 -0.400000 ;
-    END
-  END la_data_out[89]
-  PIN la_data_out[8]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 781.490000 -4.800000 782.050000 -0.400000 ;
-    END
-  END la_data_out[8]
-  PIN la_data_out[90]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2244.750000 -4.800000 2245.310000 -0.400000 ;
-    END
-  END la_data_out[90]
-  PIN la_data_out[91]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2262.230000 -4.800000 2262.790000 -0.400000 ;
-    END
-  END la_data_out[91]
-  PIN la_data_out[92]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2280.170000 -4.800000 2280.730000 -0.400000 ;
-    END
-  END la_data_out[92]
-  PIN la_data_out[93]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2298.110000 -4.800000 2298.670000 -0.400000 ;
-    END
-  END la_data_out[93]
-  PIN la_data_out[94]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2316.050000 -4.800000 2316.610000 -0.400000 ;
-    END
-  END la_data_out[94]
-  PIN la_data_out[95]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2333.990000 -4.800000 2334.550000 -0.400000 ;
-    END
-  END la_data_out[95]
-  PIN la_data_out[96]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2351.470000 -4.800000 2352.030000 -0.400000 ;
-    END
-  END la_data_out[96]
-  PIN la_data_out[97]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2369.410000 -4.800000 2369.970000 -0.400000 ;
-    END
-  END la_data_out[97]
-  PIN la_data_out[98]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2387.350000 -4.800000 2387.910000 -0.400000 ;
-    END
-  END la_data_out[98]
-  PIN la_data_out[99]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2405.290000 -4.800000 2405.850000 -0.400000 ;
-    END
-  END la_data_out[99]
-  PIN la_data_out[9]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 799.430000 -4.800000 799.990000 -0.400000 ;
-    END
-  END la_data_out[9]
-  PIN la_oen[0]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 644.870000 -4.800000 645.430000 -0.400000 ;
-    END
-  END la_oen[0]
-  PIN la_oen[100]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2428.750000 -4.800000 2429.310000 -0.400000 ;
-    END
-  END la_oen[100]
-  PIN la_oen[101]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2446.690000 -4.800000 2447.250000 -0.400000 ;
-    END
-  END la_oen[101]
-  PIN la_oen[102]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2464.630000 -4.800000 2465.190000 -0.400000 ;
-    END
-  END la_oen[102]
-  PIN la_oen[103]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2482.570000 -4.800000 2483.130000 -0.400000 ;
-    END
-  END la_oen[103]
-  PIN la_oen[104]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2500.510000 -4.800000 2501.070000 -0.400000 ;
-    END
-  END la_oen[104]
-  PIN la_oen[105]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2517.990000 -4.800000 2518.550000 -0.400000 ;
-    END
-  END la_oen[105]
-  PIN la_oen[106]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2535.930000 -4.800000 2536.490000 -0.400000 ;
-    END
-  END la_oen[106]
-  PIN la_oen[107]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2553.870000 -4.800000 2554.430000 -0.400000 ;
-    END
-  END la_oen[107]
-  PIN la_oen[108]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2571.810000 -4.800000 2572.370000 -0.400000 ;
-    END
-  END la_oen[108]
-  PIN la_oen[109]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2589.290000 -4.800000 2589.850000 -0.400000 ;
-    END
-  END la_oen[109]
-  PIN la_oen[10]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 823.350000 -4.800000 823.910000 -0.400000 ;
-    END
-  END la_oen[10]
-  PIN la_oen[110]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2607.230000 -4.800000 2607.790000 -0.400000 ;
-    END
-  END la_oen[110]
-  PIN la_oen[111]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2625.170000 -4.800000 2625.730000 -0.400000 ;
-    END
-  END la_oen[111]
-  PIN la_oen[112]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2643.110000 -4.800000 2643.670000 -0.400000 ;
-    END
-  END la_oen[112]
-  PIN la_oen[113]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2661.050000 -4.800000 2661.610000 -0.400000 ;
-    END
-  END la_oen[113]
-  PIN la_oen[114]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2678.530000 -4.800000 2679.090000 -0.400000 ;
-    END
-  END la_oen[114]
-  PIN la_oen[115]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2696.470000 -4.800000 2697.030000 -0.400000 ;
-    END
-  END la_oen[115]
-  PIN la_oen[116]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2714.410000 -4.800000 2714.970000 -0.400000 ;
-    END
-  END la_oen[116]
-  PIN la_oen[117]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2732.350000 -4.800000 2732.910000 -0.400000 ;
-    END
-  END la_oen[117]
-  PIN la_oen[118]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2750.290000 -4.800000 2750.850000 -0.400000 ;
-    END
-  END la_oen[118]
-  PIN la_oen[119]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2767.770000 -4.800000 2768.330000 -0.400000 ;
-    END
-  END la_oen[119]
-  PIN la_oen[11]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 840.830000 -4.800000 841.390000 -0.400000 ;
-    END
-  END la_oen[11]
-  PIN la_oen[120]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2785.710000 -4.800000 2786.270000 -0.400000 ;
-    END
-  END la_oen[120]
-  PIN la_oen[121]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2803.650000 -4.800000 2804.210000 -0.400000 ;
-    END
-  END la_oen[121]
-  PIN la_oen[122]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2821.590000 -4.800000 2822.150000 -0.400000 ;
-    END
-  END la_oen[122]
-  PIN la_oen[123]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2839.070000 -4.800000 2839.630000 -0.400000 ;
-    END
-  END la_oen[123]
-  PIN la_oen[124]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2857.010000 -4.800000 2857.570000 -0.400000 ;
-    END
-  END la_oen[124]
-  PIN la_oen[125]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2874.950000 -4.800000 2875.510000 -0.400000 ;
-    END
-  END la_oen[125]
-  PIN la_oen[126]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2892.890000 -4.800000 2893.450000 -0.400000 ;
-    END
-  END la_oen[126]
-  PIN la_oen[127]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2910.830000 -4.800000 2911.390000 -0.400000 ;
-    END
-  END la_oen[127]
-  PIN la_oen[12]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 858.770000 -4.800000 859.330000 -0.400000 ;
-    END
-  END la_oen[12]
-  PIN la_oen[13]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 876.710000 -4.800000 877.270000 -0.400000 ;
-    END
-  END la_oen[13]
-  PIN la_oen[14]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 894.650000 -4.800000 895.210000 -0.400000 ;
-    END
-  END la_oen[14]
-  PIN la_oen[15]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 912.590000 -4.800000 913.150000 -0.400000 ;
-    END
-  END la_oen[15]
-  PIN la_oen[16]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 930.070000 -4.800000 930.630000 -0.400000 ;
-    END
-  END la_oen[16]
-  PIN la_oen[17]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 948.010000 -4.800000 948.570000 -0.400000 ;
-    END
-  END la_oen[17]
-  PIN la_oen[18]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 965.950000 -4.800000 966.510000 -0.400000 ;
-    END
-  END la_oen[18]
-  PIN la_oen[19]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 983.890000 -4.800000 984.450000 -0.400000 ;
-    END
-  END la_oen[19]
-  PIN la_oen[1]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 662.810000 -4.800000 663.370000 -0.400000 ;
-    END
-  END la_oen[1]
-  PIN la_oen[20]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1001.830000 -4.800000 1002.390000 -0.400000 ;
-    END
-  END la_oen[20]
-  PIN la_oen[21]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1019.310000 -4.800000 1019.870000 -0.400000 ;
-    END
-  END la_oen[21]
-  PIN la_oen[22]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1037.250000 -4.800000 1037.810000 -0.400000 ;
-    END
-  END la_oen[22]
-  PIN la_oen[23]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1055.190000 -4.800000 1055.750000 -0.400000 ;
-    END
-  END la_oen[23]
-  PIN la_oen[24]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1073.130000 -4.800000 1073.690000 -0.400000 ;
-    END
-  END la_oen[24]
-  PIN la_oen[25]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1090.610000 -4.800000 1091.170000 -0.400000 ;
-    END
-  END la_oen[25]
-  PIN la_oen[26]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1108.550000 -4.800000 1109.110000 -0.400000 ;
-    END
-  END la_oen[26]
-  PIN la_oen[27]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1126.490000 -4.800000 1127.050000 -0.400000 ;
-    END
-  END la_oen[27]
-  PIN la_oen[28]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1144.430000 -4.800000 1144.990000 -0.400000 ;
-    END
-  END la_oen[28]
-  PIN la_oen[29]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1162.370000 -4.800000 1162.930000 -0.400000 ;
-    END
-  END la_oen[29]
-  PIN la_oen[2]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 680.290000 -4.800000 680.850000 -0.400000 ;
-    END
-  END la_oen[2]
-  PIN la_oen[30]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1179.850000 -4.800000 1180.410000 -0.400000 ;
-    END
-  END la_oen[30]
-  PIN la_oen[31]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1197.790000 -4.800000 1198.350000 -0.400000 ;
-    END
-  END la_oen[31]
-  PIN la_oen[32]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1215.730000 -4.800000 1216.290000 -0.400000 ;
-    END
-  END la_oen[32]
-  PIN la_oen[33]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1233.670000 -4.800000 1234.230000 -0.400000 ;
-    END
-  END la_oen[33]
-  PIN la_oen[34]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1251.610000 -4.800000 1252.170000 -0.400000 ;
-    END
-  END la_oen[34]
-  PIN la_oen[35]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1269.090000 -4.800000 1269.650000 -0.400000 ;
-    END
-  END la_oen[35]
-  PIN la_oen[36]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1287.030000 -4.800000 1287.590000 -0.400000 ;
-    END
-  END la_oen[36]
-  PIN la_oen[37]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1304.970000 -4.800000 1305.530000 -0.400000 ;
-    END
-  END la_oen[37]
-  PIN la_oen[38]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1322.910000 -4.800000 1323.470000 -0.400000 ;
-    END
-  END la_oen[38]
-  PIN la_oen[39]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1340.390000 -4.800000 1340.950000 -0.400000 ;
-    END
-  END la_oen[39]
-  PIN la_oen[3]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 698.230000 -4.800000 698.790000 -0.400000 ;
-    END
-  END la_oen[3]
-  PIN la_oen[40]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1358.330000 -4.800000 1358.890000 -0.400000 ;
-    END
-  END la_oen[40]
-  PIN la_oen[41]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1376.270000 -4.800000 1376.830000 -0.400000 ;
-    END
-  END la_oen[41]
-  PIN la_oen[42]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1394.210000 -4.800000 1394.770000 -0.400000 ;
-    END
-  END la_oen[42]
-  PIN la_oen[43]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1412.150000 -4.800000 1412.710000 -0.400000 ;
-    END
-  END la_oen[43]
-  PIN la_oen[44]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1429.630000 -4.800000 1430.190000 -0.400000 ;
-    END
-  END la_oen[44]
-  PIN la_oen[45]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1447.570000 -4.800000 1448.130000 -0.400000 ;
-    END
-  END la_oen[45]
-  PIN la_oen[46]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1465.510000 -4.800000 1466.070000 -0.400000 ;
-    END
-  END la_oen[46]
-  PIN la_oen[47]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1483.450000 -4.800000 1484.010000 -0.400000 ;
-    END
-  END la_oen[47]
-  PIN la_oen[48]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1501.390000 -4.800000 1501.950000 -0.400000 ;
-    END
-  END la_oen[48]
-  PIN la_oen[49]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1518.870000 -4.800000 1519.430000 -0.400000 ;
-    END
-  END la_oen[49]
-  PIN la_oen[4]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 716.170000 -4.800000 716.730000 -0.400000 ;
-    END
-  END la_oen[4]
-  PIN la_oen[50]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1536.810000 -4.800000 1537.370000 -0.400000 ;
-    END
-  END la_oen[50]
-  PIN la_oen[51]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1554.750000 -4.800000 1555.310000 -0.400000 ;
-    END
-  END la_oen[51]
-  PIN la_oen[52]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1572.690000 -4.800000 1573.250000 -0.400000 ;
-    END
-  END la_oen[52]
-  PIN la_oen[53]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1590.170000 -4.800000 1590.730000 -0.400000 ;
-    END
-  END la_oen[53]
-  PIN la_oen[54]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1608.110000 -4.800000 1608.670000 -0.400000 ;
-    END
-  END la_oen[54]
-  PIN la_oen[55]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1626.050000 -4.800000 1626.610000 -0.400000 ;
-    END
-  END la_oen[55]
-  PIN la_oen[56]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1643.990000 -4.800000 1644.550000 -0.400000 ;
-    END
-  END la_oen[56]
-  PIN la_oen[57]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1661.930000 -4.800000 1662.490000 -0.400000 ;
-    END
-  END la_oen[57]
-  PIN la_oen[58]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1679.410000 -4.800000 1679.970000 -0.400000 ;
-    END
-  END la_oen[58]
-  PIN la_oen[59]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1697.350000 -4.800000 1697.910000 -0.400000 ;
-    END
-  END la_oen[59]
-  PIN la_oen[5]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 734.110000 -4.800000 734.670000 -0.400000 ;
-    END
-  END la_oen[5]
-  PIN la_oen[60]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1715.290000 -4.800000 1715.850000 -0.400000 ;
-    END
-  END la_oen[60]
-  PIN la_oen[61]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1733.230000 -4.800000 1733.790000 -0.400000 ;
-    END
-  END la_oen[61]
-  PIN la_oen[62]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1751.170000 -4.800000 1751.730000 -0.400000 ;
-    END
-  END la_oen[62]
-  PIN la_oen[63]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1768.650000 -4.800000 1769.210000 -0.400000 ;
-    END
-  END la_oen[63]
-  PIN la_oen[64]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1786.590000 -4.800000 1787.150000 -0.400000 ;
-    END
-  END la_oen[64]
-  PIN la_oen[65]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1804.530000 -4.800000 1805.090000 -0.400000 ;
-    END
-  END la_oen[65]
-  PIN la_oen[66]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1822.470000 -4.800000 1823.030000 -0.400000 ;
-    END
-  END la_oen[66]
-  PIN la_oen[67]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1839.950000 -4.800000 1840.510000 -0.400000 ;
-    END
-  END la_oen[67]
-  PIN la_oen[68]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1857.890000 -4.800000 1858.450000 -0.400000 ;
-    END
-  END la_oen[68]
-  PIN la_oen[69]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1875.830000 -4.800000 1876.390000 -0.400000 ;
-    END
-  END la_oen[69]
-  PIN la_oen[6]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 752.050000 -4.800000 752.610000 -0.400000 ;
-    END
-  END la_oen[6]
-  PIN la_oen[70]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1893.770000 -4.800000 1894.330000 -0.400000 ;
-    END
-  END la_oen[70]
-  PIN la_oen[71]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1911.710000 -4.800000 1912.270000 -0.400000 ;
-    END
-  END la_oen[71]
-  PIN la_oen[72]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1929.190000 -4.800000 1929.750000 -0.400000 ;
-    END
-  END la_oen[72]
-  PIN la_oen[73]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1947.130000 -4.800000 1947.690000 -0.400000 ;
-    END
-  END la_oen[73]
-  PIN la_oen[74]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1965.070000 -4.800000 1965.630000 -0.400000 ;
-    END
-  END la_oen[74]
-  PIN la_oen[75]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1983.010000 -4.800000 1983.570000 -0.400000 ;
-    END
-  END la_oen[75]
-  PIN la_oen[76]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2000.950000 -4.800000 2001.510000 -0.400000 ;
-    END
-  END la_oen[76]
-  PIN la_oen[77]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2018.430000 -4.800000 2018.990000 -0.400000 ;
-    END
-  END la_oen[77]
-  PIN la_oen[78]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2036.370000 -4.800000 2036.930000 -0.400000 ;
-    END
-  END la_oen[78]
-  PIN la_oen[79]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2054.310000 -4.800000 2054.870000 -0.400000 ;
-    END
-  END la_oen[79]
-  PIN la_oen[7]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 769.530000 -4.800000 770.090000 -0.400000 ;
-    END
-  END la_oen[7]
-  PIN la_oen[80]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2072.250000 -4.800000 2072.810000 -0.400000 ;
-    END
-  END la_oen[80]
-  PIN la_oen[81]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2089.730000 -4.800000 2090.290000 -0.400000 ;
-    END
-  END la_oen[81]
-  PIN la_oen[82]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2107.670000 -4.800000 2108.230000 -0.400000 ;
-    END
-  END la_oen[82]
-  PIN la_oen[83]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2125.610000 -4.800000 2126.170000 -0.400000 ;
-    END
-  END la_oen[83]
-  PIN la_oen[84]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2143.550000 -4.800000 2144.110000 -0.400000 ;
-    END
-  END la_oen[84]
-  PIN la_oen[85]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2161.490000 -4.800000 2162.050000 -0.400000 ;
-    END
-  END la_oen[85]
-  PIN la_oen[86]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2178.970000 -4.800000 2179.530000 -0.400000 ;
-    END
-  END la_oen[86]
-  PIN la_oen[87]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2196.910000 -4.800000 2197.470000 -0.400000 ;
-    END
-  END la_oen[87]
-  PIN la_oen[88]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2214.850000 -4.800000 2215.410000 -0.400000 ;
-    END
-  END la_oen[88]
-  PIN la_oen[89]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2232.790000 -4.800000 2233.350000 -0.400000 ;
-    END
-  END la_oen[89]
-  PIN la_oen[8]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 787.470000 -4.800000 788.030000 -0.400000 ;
-    END
-  END la_oen[8]
-  PIN la_oen[90]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2250.730000 -4.800000 2251.290000 -0.400000 ;
-    END
-  END la_oen[90]
-  PIN la_oen[91]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2268.210000 -4.800000 2268.770000 -0.400000 ;
-    END
-  END la_oen[91]
-  PIN la_oen[92]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2286.150000 -4.800000 2286.710000 -0.400000 ;
-    END
-  END la_oen[92]
-  PIN la_oen[93]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2304.090000 -4.800000 2304.650000 -0.400000 ;
-    END
-  END la_oen[93]
-  PIN la_oen[94]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2322.030000 -4.800000 2322.590000 -0.400000 ;
-    END
-  END la_oen[94]
-  PIN la_oen[95]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2339.510000 -4.800000 2340.070000 -0.400000 ;
-    END
-  END la_oen[95]
-  PIN la_oen[96]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2357.450000 -4.800000 2358.010000 -0.400000 ;
-    END
-  END la_oen[96]
-  PIN la_oen[97]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2375.390000 -4.800000 2375.950000 -0.400000 ;
-    END
-  END la_oen[97]
-  PIN la_oen[98]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2393.330000 -4.800000 2393.890000 -0.400000 ;
-    END
-  END la_oen[98]
-  PIN la_oen[99]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2411.270000 -4.800000 2411.830000 -0.400000 ;
-    END
-  END la_oen[99]
-  PIN la_oen[9]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 805.410000 -4.800000 805.970000 -0.400000 ;
-    END
-  END la_oen[9]
-  PIN user_clock2
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2916.810000 -4.800000 2917.370000 -0.400000 ;
-    END
-  END user_clock2
-  PIN wb_clk_i
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 2.710000 -4.800000 3.270000 -0.400000 ;
-    END
-  END wb_clk_i
-  PIN wb_rst_i
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 8.230000 -4.800000 8.790000 -0.400000 ;
-    END
-  END wb_rst_i
-  PIN wbs_ack_o
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 14.210000 -4.800000 14.770000 -0.400000 ;
-    END
-  END wbs_ack_o
-  PIN wbs_adr_i[0]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 38.130000 -4.800000 38.690000 -0.400000 ;
-    END
-  END wbs_adr_i[0]
-  PIN wbs_adr_i[10]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 240.530000 -4.800000 241.090000 -0.400000 ;
-    END
-  END wbs_adr_i[10]
-  PIN wbs_adr_i[11]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 258.010000 -4.800000 258.570000 -0.400000 ;
-    END
-  END wbs_adr_i[11]
-  PIN wbs_adr_i[12]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 275.950000 -4.800000 276.510000 -0.400000 ;
-    END
-  END wbs_adr_i[12]
-  PIN wbs_adr_i[13]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 293.890000 -4.800000 294.450000 -0.400000 ;
-    END
-  END wbs_adr_i[13]
-  PIN wbs_adr_i[14]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 311.830000 -4.800000 312.390000 -0.400000 ;
-    END
-  END wbs_adr_i[14]
-  PIN wbs_adr_i[15]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 329.770000 -4.800000 330.330000 -0.400000 ;
-    END
-  END wbs_adr_i[15]
-  PIN wbs_adr_i[16]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 347.250000 -4.800000 347.810000 -0.400000 ;
-    END
-  END wbs_adr_i[16]
-  PIN wbs_adr_i[17]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 365.190000 -4.800000 365.750000 -0.400000 ;
-    END
-  END wbs_adr_i[17]
-  PIN wbs_adr_i[18]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 383.130000 -4.800000 383.690000 -0.400000 ;
-    END
-  END wbs_adr_i[18]
-  PIN wbs_adr_i[19]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 401.070000 -4.800000 401.630000 -0.400000 ;
-    END
-  END wbs_adr_i[19]
-  PIN wbs_adr_i[1]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 62.050000 -4.800000 62.610000 -0.400000 ;
-    END
-  END wbs_adr_i[1]
-  PIN wbs_adr_i[20]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 419.010000 -4.800000 419.570000 -0.400000 ;
-    END
-  END wbs_adr_i[20]
-  PIN wbs_adr_i[21]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 436.490000 -4.800000 437.050000 -0.400000 ;
-    END
-  END wbs_adr_i[21]
-  PIN wbs_adr_i[22]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 454.430000 -4.800000 454.990000 -0.400000 ;
-    END
-  END wbs_adr_i[22]
-  PIN wbs_adr_i[23]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 472.370000 -4.800000 472.930000 -0.400000 ;
-    END
-  END wbs_adr_i[23]
-  PIN wbs_adr_i[24]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 490.310000 -4.800000 490.870000 -0.400000 ;
-    END
-  END wbs_adr_i[24]
-  PIN wbs_adr_i[25]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 507.790000 -4.800000 508.350000 -0.400000 ;
-    END
-  END wbs_adr_i[25]
-  PIN wbs_adr_i[26]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 525.730000 -4.800000 526.290000 -0.400000 ;
-    END
-  END wbs_adr_i[26]
-  PIN wbs_adr_i[27]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 543.670000 -4.800000 544.230000 -0.400000 ;
-    END
-  END wbs_adr_i[27]
-  PIN wbs_adr_i[28]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 561.610000 -4.800000 562.170000 -0.400000 ;
-    END
-  END wbs_adr_i[28]
-  PIN wbs_adr_i[29]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 579.550000 -4.800000 580.110000 -0.400000 ;
-    END
-  END wbs_adr_i[29]
-  PIN wbs_adr_i[2]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 85.970000 -4.800000 86.530000 -0.400000 ;
-    END
-  END wbs_adr_i[2]
-  PIN wbs_adr_i[30]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 597.030000 -4.800000 597.590000 -0.400000 ;
-    END
-  END wbs_adr_i[30]
-  PIN wbs_adr_i[31]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 614.970000 -4.800000 615.530000 -0.400000 ;
-    END
-  END wbs_adr_i[31]
-  PIN wbs_adr_i[3]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 109.430000 -4.800000 109.990000 -0.400000 ;
-    END
-  END wbs_adr_i[3]
-  PIN wbs_adr_i[4]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 133.350000 -4.800000 133.910000 -0.400000 ;
-    END
-  END wbs_adr_i[4]
-  PIN wbs_adr_i[5]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 151.290000 -4.800000 151.850000 -0.400000 ;
-    END
-  END wbs_adr_i[5]
-  PIN wbs_adr_i[6]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 169.230000 -4.800000 169.790000 -0.400000 ;
-    END
-  END wbs_adr_i[6]
-  PIN wbs_adr_i[7]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 186.710000 -4.800000 187.270000 -0.400000 ;
-    END
-  END wbs_adr_i[7]
-  PIN wbs_adr_i[8]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 204.650000 -4.800000 205.210000 -0.400000 ;
-    END
-  END wbs_adr_i[8]
-  PIN wbs_adr_i[9]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 222.590000 -4.800000 223.150000 -0.400000 ;
-    END
-  END wbs_adr_i[9]
-  PIN wbs_cyc_i
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 20.190000 -4.800000 20.750000 -0.400000 ;
-    END
-  END wbs_cyc_i
-  PIN wbs_dat_i[0]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 44.110000 -4.800000 44.670000 -0.400000 ;
-    END
-  END wbs_dat_i[0]
-  PIN wbs_dat_i[10]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 246.510000 -4.800000 247.070000 -0.400000 ;
-    END
-  END wbs_dat_i[10]
-  PIN wbs_dat_i[11]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 263.990000 -4.800000 264.550000 -0.400000 ;
-    END
-  END wbs_dat_i[11]
-  PIN wbs_dat_i[12]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 281.930000 -4.800000 282.490000 -0.400000 ;
-    END
-  END wbs_dat_i[12]
-  PIN wbs_dat_i[13]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 299.870000 -4.800000 300.430000 -0.400000 ;
-    END
-  END wbs_dat_i[13]
-  PIN wbs_dat_i[14]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 317.810000 -4.800000 318.370000 -0.400000 ;
-    END
-  END wbs_dat_i[14]
-  PIN wbs_dat_i[15]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 335.750000 -4.800000 336.310000 -0.400000 ;
-    END
-  END wbs_dat_i[15]
-  PIN wbs_dat_i[16]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 353.230000 -4.800000 353.790000 -0.400000 ;
-    END
-  END wbs_dat_i[16]
-  PIN wbs_dat_i[17]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 371.170000 -4.800000 371.730000 -0.400000 ;
-    END
-  END wbs_dat_i[17]
-  PIN wbs_dat_i[18]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 389.110000 -4.800000 389.670000 -0.400000 ;
-    END
-  END wbs_dat_i[18]
-  PIN wbs_dat_i[19]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 407.050000 -4.800000 407.610000 -0.400000 ;
-    END
-  END wbs_dat_i[19]
-  PIN wbs_dat_i[1]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 68.030000 -4.800000 68.590000 -0.400000 ;
-    END
-  END wbs_dat_i[1]
-  PIN wbs_dat_i[20]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 424.530000 -4.800000 425.090000 -0.400000 ;
-    END
-  END wbs_dat_i[20]
-  PIN wbs_dat_i[21]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 442.470000 -4.800000 443.030000 -0.400000 ;
-    END
-  END wbs_dat_i[21]
-  PIN wbs_dat_i[22]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 460.410000 -4.800000 460.970000 -0.400000 ;
-    END
-  END wbs_dat_i[22]
-  PIN wbs_dat_i[23]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 478.350000 -4.800000 478.910000 -0.400000 ;
-    END
-  END wbs_dat_i[23]
-  PIN wbs_dat_i[24]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 496.290000 -4.800000 496.850000 -0.400000 ;
-    END
-  END wbs_dat_i[24]
-  PIN wbs_dat_i[25]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 513.770000 -4.800000 514.330000 -0.400000 ;
-    END
-  END wbs_dat_i[25]
-  PIN wbs_dat_i[26]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 531.710000 -4.800000 532.270000 -0.400000 ;
-    END
-  END wbs_dat_i[26]
-  PIN wbs_dat_i[27]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 549.650000 -4.800000 550.210000 -0.400000 ;
-    END
-  END wbs_dat_i[27]
-  PIN wbs_dat_i[28]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 567.590000 -4.800000 568.150000 -0.400000 ;
-    END
-  END wbs_dat_i[28]
-  PIN wbs_dat_i[29]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 585.530000 -4.800000 586.090000 -0.400000 ;
-    END
-  END wbs_dat_i[29]
-  PIN wbs_dat_i[2]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 91.490000 -4.800000 92.050000 -0.400000 ;
-    END
-  END wbs_dat_i[2]
-  PIN wbs_dat_i[30]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 603.010000 -4.800000 603.570000 -0.400000 ;
-    END
-  END wbs_dat_i[30]
-  PIN wbs_dat_i[31]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 620.950000 -4.800000 621.510000 -0.400000 ;
-    END
-  END wbs_dat_i[31]
-  PIN wbs_dat_i[3]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 115.410000 -4.800000 115.970000 -0.400000 ;
-    END
-  END wbs_dat_i[3]
-  PIN wbs_dat_i[4]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 139.330000 -4.800000 139.890000 -0.400000 ;
-    END
-  END wbs_dat_i[4]
-  PIN wbs_dat_i[5]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 157.270000 -4.800000 157.830000 -0.400000 ;
-    END
-  END wbs_dat_i[5]
-  PIN wbs_dat_i[6]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 174.750000 -4.800000 175.310000 -0.400000 ;
-    END
-  END wbs_dat_i[6]
-  PIN wbs_dat_i[7]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 192.690000 -4.800000 193.250000 -0.400000 ;
-    END
-  END wbs_dat_i[7]
-  PIN wbs_dat_i[8]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 210.630000 -4.800000 211.190000 -0.400000 ;
-    END
-  END wbs_dat_i[8]
-  PIN wbs_dat_i[9]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 228.570000 -4.800000 229.130000 -0.400000 ;
-    END
-  END wbs_dat_i[9]
-  PIN wbs_dat_o[0]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 50.090000 -4.800000 50.650000 -0.400000 ;
-    END
-  END wbs_dat_o[0]
-  PIN wbs_dat_o[10]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 252.490000 -4.800000 253.050000 -0.400000 ;
-    END
-  END wbs_dat_o[10]
-  PIN wbs_dat_o[11]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 269.970000 -4.800000 270.530000 -0.400000 ;
-    END
-  END wbs_dat_o[11]
-  PIN wbs_dat_o[12]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 287.910000 -4.800000 288.470000 -0.400000 ;
-    END
-  END wbs_dat_o[12]
-  PIN wbs_dat_o[13]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 305.850000 -4.800000 306.410000 -0.400000 ;
-    END
-  END wbs_dat_o[13]
-  PIN wbs_dat_o[14]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 323.790000 -4.800000 324.350000 -0.400000 ;
-    END
-  END wbs_dat_o[14]
-  PIN wbs_dat_o[15]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 341.270000 -4.800000 341.830000 -0.400000 ;
-    END
-  END wbs_dat_o[15]
-  PIN wbs_dat_o[16]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 359.210000 -4.800000 359.770000 -0.400000 ;
-    END
-  END wbs_dat_o[16]
-  PIN wbs_dat_o[17]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 377.150000 -4.800000 377.710000 -0.400000 ;
-    END
-  END wbs_dat_o[17]
-  PIN wbs_dat_o[18]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 395.090000 -4.800000 395.650000 -0.400000 ;
-    END
-  END wbs_dat_o[18]
-  PIN wbs_dat_o[19]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 413.030000 -4.800000 413.590000 -0.400000 ;
-    END
-  END wbs_dat_o[19]
-  PIN wbs_dat_o[1]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 74.010000 -4.800000 74.570000 -0.400000 ;
-    END
-  END wbs_dat_o[1]
-  PIN wbs_dat_o[20]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 430.510000 -4.800000 431.070000 -0.400000 ;
-    END
-  END wbs_dat_o[20]
-  PIN wbs_dat_o[21]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 448.450000 -4.800000 449.010000 -0.400000 ;
-    END
-  END wbs_dat_o[21]
-  PIN wbs_dat_o[22]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 466.390000 -4.800000 466.950000 -0.400000 ;
-    END
-  END wbs_dat_o[22]
-  PIN wbs_dat_o[23]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 484.330000 -4.800000 484.890000 -0.400000 ;
-    END
-  END wbs_dat_o[23]
-  PIN wbs_dat_o[24]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 502.270000 -4.800000 502.830000 -0.400000 ;
-    END
-  END wbs_dat_o[24]
-  PIN wbs_dat_o[25]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 519.750000 -4.800000 520.310000 -0.400000 ;
-    END
-  END wbs_dat_o[25]
-  PIN wbs_dat_o[26]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 537.690000 -4.800000 538.250000 -0.400000 ;
-    END
-  END wbs_dat_o[26]
-  PIN wbs_dat_o[27]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 555.630000 -4.800000 556.190000 -0.400000 ;
-    END
-  END wbs_dat_o[27]
-  PIN wbs_dat_o[28]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 573.570000 -4.800000 574.130000 -0.400000 ;
-    END
-  END wbs_dat_o[28]
-  PIN wbs_dat_o[29]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 591.050000 -4.800000 591.610000 -0.400000 ;
-    END
-  END wbs_dat_o[29]
-  PIN wbs_dat_o[2]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 97.470000 -4.800000 98.030000 -0.400000 ;
-    END
-  END wbs_dat_o[2]
-  PIN wbs_dat_o[30]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 608.990000 -4.800000 609.550000 -0.400000 ;
-    END
-  END wbs_dat_o[30]
-  PIN wbs_dat_o[31]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 626.930000 -4.800000 627.490000 -0.400000 ;
-    END
-  END wbs_dat_o[31]
-  PIN wbs_dat_o[3]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 121.390000 -4.800000 121.950000 -0.400000 ;
-    END
-  END wbs_dat_o[3]
-  PIN wbs_dat_o[4]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 145.310000 -4.800000 145.870000 -0.400000 ;
-    END
-  END wbs_dat_o[4]
-  PIN wbs_dat_o[5]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 163.250000 -4.800000 163.810000 -0.400000 ;
-    END
-  END wbs_dat_o[5]
-  PIN wbs_dat_o[6]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 180.730000 -4.800000 181.290000 -0.400000 ;
-    END
-  END wbs_dat_o[6]
-  PIN wbs_dat_o[7]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 198.670000 -4.800000 199.230000 -0.400000 ;
-    END
-  END wbs_dat_o[7]
-  PIN wbs_dat_o[8]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 216.610000 -4.800000 217.170000 -0.400000 ;
-    END
-  END wbs_dat_o[8]
-  PIN wbs_dat_o[9]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 234.550000 -4.800000 235.110000 -0.400000 ;
-    END
-  END wbs_dat_o[9]
-  PIN wbs_sel_i[0]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 56.070000 -4.800000 56.630000 -0.400000 ;
-    END
-  END wbs_sel_i[0]
-  PIN wbs_sel_i[1]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 79.990000 -4.800000 80.550000 -0.400000 ;
-    END
-  END wbs_sel_i[1]
-  PIN wbs_sel_i[2]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 103.450000 -4.800000 104.010000 -0.400000 ;
-    END
-  END wbs_sel_i[2]
-  PIN wbs_sel_i[3]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 127.370000 -4.800000 127.930000 -0.400000 ;
-    END
-  END wbs_sel_i[3]
-  PIN wbs_stb_i
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 26.170000 -4.800000 26.730000 -0.400000 ;
-    END
-  END wbs_stb_i
-  PIN wbs_we_i
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 32.150000 -4.800000 32.710000 -0.400000 ;
-    END
-  END wbs_we_i
-  PIN vccd1
-    DIRECTION INPUT ;
-    PORT
-      LAYER met4 ;
-        RECT -9.980000 -4.620000 -6.980000 3524.300000 ;
-        RECT 4.020000 3520.400000 7.020000 3528.900000 ;
-        RECT 184.020000 3520.400000 187.020000 3528.900000 ;
-        RECT 364.020000 3520.400000 367.020000 3528.900000 ;
-        RECT 544.020000 3520.400000 547.020000 3528.900000 ;
-        RECT 724.020000 3520.400000 727.020000 3528.900000 ;
-        RECT 904.020000 3520.400000 907.020000 3528.900000 ;
-        RECT 1084.020000 3520.400000 1087.020000 3528.900000 ;
-        RECT 1264.020000 3520.400000 1267.020000 3528.900000 ;
-        RECT 1444.020000 3520.400000 1447.020000 3528.900000 ;
-        RECT 1624.020000 3520.400000 1627.020000 3528.900000 ;
-        RECT 1804.020000 3520.400000 1807.020000 3528.900000 ;
-        RECT 1984.020000 3520.400000 1987.020000 3528.900000 ;
-        RECT 2164.020000 3520.400000 2167.020000 3528.900000 ;
-        RECT 2344.020000 3520.400000 2347.020000 3528.900000 ;
-        RECT 2524.020000 3520.400000 2527.020000 3528.900000 ;
-        RECT 2704.020000 3520.400000 2707.020000 3528.900000 ;
-        RECT 2884.020000 3520.400000 2887.020000 3528.900000 ;
-        RECT 4.020000 -9.220000 7.020000 -0.400000 ;
-        RECT 184.020000 -9.220000 187.020000 -0.400000 ;
-        RECT 364.020000 -9.220000 367.020000 -0.400000 ;
-        RECT 544.020000 -9.220000 547.020000 -0.400000 ;
-        RECT 724.020000 -9.220000 727.020000 -0.400000 ;
-        RECT 904.020000 -9.220000 907.020000 -0.400000 ;
-        RECT 1084.020000 -9.220000 1087.020000 -0.400000 ;
-        RECT 1264.020000 -9.220000 1267.020000 -0.400000 ;
-        RECT 1444.020000 -9.220000 1447.020000 -0.400000 ;
-        RECT 1624.020000 -9.220000 1627.020000 -0.400000 ;
-        RECT 1804.020000 -9.220000 1807.020000 -0.400000 ;
-        RECT 1984.020000 -9.220000 1987.020000 -0.400000 ;
-        RECT 2164.020000 -9.220000 2167.020000 -0.400000 ;
-        RECT 2344.020000 -9.220000 2347.020000 -0.400000 ;
-        RECT 2524.020000 -9.220000 2527.020000 -0.400000 ;
-        RECT 2704.020000 -9.220000 2707.020000 -0.400000 ;
-        RECT 2884.020000 -9.220000 2887.020000 -0.400000 ;
-        RECT 2926.600000 -4.620000 2929.600000 3524.300000 ;
-      LAYER M4M5_PR_C ;
-        RECT -9.070000 3523.010000 -7.890000 3524.190000 ;
-        RECT -9.070000 3521.410000 -7.890000 3522.590000 ;
-        RECT 4.930000 3523.010000 6.110000 3524.190000 ;
-        RECT 4.930000 3521.410000 6.110000 3522.590000 ;
-        RECT 184.930000 3523.010000 186.110000 3524.190000 ;
-        RECT 184.930000 3521.410000 186.110000 3522.590000 ;
-        RECT 364.930000 3523.010000 366.110000 3524.190000 ;
-        RECT 364.930000 3521.410000 366.110000 3522.590000 ;
-        RECT 544.930000 3523.010000 546.110000 3524.190000 ;
-        RECT 544.930000 3521.410000 546.110000 3522.590000 ;
-        RECT 724.930000 3523.010000 726.110000 3524.190000 ;
-        RECT 724.930000 3521.410000 726.110000 3522.590000 ;
-        RECT 904.930000 3523.010000 906.110000 3524.190000 ;
-        RECT 904.930000 3521.410000 906.110000 3522.590000 ;
-        RECT 1084.930000 3523.010000 1086.110000 3524.190000 ;
-        RECT 1084.930000 3521.410000 1086.110000 3522.590000 ;
-        RECT 1264.930000 3523.010000 1266.110000 3524.190000 ;
-        RECT 1264.930000 3521.410000 1266.110000 3522.590000 ;
-        RECT 1444.930000 3523.010000 1446.110000 3524.190000 ;
-        RECT 1444.930000 3521.410000 1446.110000 3522.590000 ;
-        RECT 1624.930000 3523.010000 1626.110000 3524.190000 ;
-        RECT 1624.930000 3521.410000 1626.110000 3522.590000 ;
-        RECT 1804.930000 3523.010000 1806.110000 3524.190000 ;
-        RECT 1804.930000 3521.410000 1806.110000 3522.590000 ;
-        RECT 1984.930000 3523.010000 1986.110000 3524.190000 ;
-        RECT 1984.930000 3521.410000 1986.110000 3522.590000 ;
-        RECT 2164.930000 3523.010000 2166.110000 3524.190000 ;
-        RECT 2164.930000 3521.410000 2166.110000 3522.590000 ;
-        RECT 2344.930000 3523.010000 2346.110000 3524.190000 ;
-        RECT 2344.930000 3521.410000 2346.110000 3522.590000 ;
-        RECT 2524.930000 3523.010000 2526.110000 3524.190000 ;
-        RECT 2524.930000 3521.410000 2526.110000 3522.590000 ;
-        RECT 2704.930000 3523.010000 2706.110000 3524.190000 ;
-        RECT 2704.930000 3521.410000 2706.110000 3522.590000 ;
-        RECT 2884.930000 3523.010000 2886.110000 3524.190000 ;
-        RECT 2884.930000 3521.410000 2886.110000 3522.590000 ;
-        RECT 2927.510000 3523.010000 2928.690000 3524.190000 ;
-        RECT 2927.510000 3521.410000 2928.690000 3522.590000 ;
-        RECT -9.070000 3431.090000 -7.890000 3432.270000 ;
-        RECT -9.070000 3429.490000 -7.890000 3430.670000 ;
-        RECT -9.070000 3251.090000 -7.890000 3252.270000 ;
-        RECT -9.070000 3249.490000 -7.890000 3250.670000 ;
-        RECT -9.070000 3071.090000 -7.890000 3072.270000 ;
-        RECT -9.070000 3069.490000 -7.890000 3070.670000 ;
-        RECT -9.070000 2891.090000 -7.890000 2892.270000 ;
-        RECT -9.070000 2889.490000 -7.890000 2890.670000 ;
-        RECT -9.070000 2711.090000 -7.890000 2712.270000 ;
-        RECT -9.070000 2709.490000 -7.890000 2710.670000 ;
-        RECT -9.070000 2531.090000 -7.890000 2532.270000 ;
-        RECT -9.070000 2529.490000 -7.890000 2530.670000 ;
-        RECT -9.070000 2351.090000 -7.890000 2352.270000 ;
-        RECT -9.070000 2349.490000 -7.890000 2350.670000 ;
-        RECT -9.070000 2171.090000 -7.890000 2172.270000 ;
-        RECT -9.070000 2169.490000 -7.890000 2170.670000 ;
-        RECT -9.070000 1991.090000 -7.890000 1992.270000 ;
-        RECT -9.070000 1989.490000 -7.890000 1990.670000 ;
-        RECT -9.070000 1811.090000 -7.890000 1812.270000 ;
-        RECT -9.070000 1809.490000 -7.890000 1810.670000 ;
-        RECT -9.070000 1631.090000 -7.890000 1632.270000 ;
-        RECT -9.070000 1629.490000 -7.890000 1630.670000 ;
-        RECT -9.070000 1451.090000 -7.890000 1452.270000 ;
-        RECT -9.070000 1449.490000 -7.890000 1450.670000 ;
-        RECT -9.070000 1271.090000 -7.890000 1272.270000 ;
-        RECT -9.070000 1269.490000 -7.890000 1270.670000 ;
-        RECT -9.070000 1091.090000 -7.890000 1092.270000 ;
-        RECT -9.070000 1089.490000 -7.890000 1090.670000 ;
-        RECT -9.070000 911.090000 -7.890000 912.270000 ;
-        RECT -9.070000 909.490000 -7.890000 910.670000 ;
-        RECT -9.070000 731.090000 -7.890000 732.270000 ;
-        RECT -9.070000 729.490000 -7.890000 730.670000 ;
-        RECT -9.070000 551.090000 -7.890000 552.270000 ;
-        RECT -9.070000 549.490000 -7.890000 550.670000 ;
-        RECT -9.070000 371.090000 -7.890000 372.270000 ;
-        RECT -9.070000 369.490000 -7.890000 370.670000 ;
-        RECT -9.070000 191.090000 -7.890000 192.270000 ;
-        RECT -9.070000 189.490000 -7.890000 190.670000 ;
-        RECT -9.070000 11.090000 -7.890000 12.270000 ;
-        RECT -9.070000 9.490000 -7.890000 10.670000 ;
-        RECT 2927.510000 3431.090000 2928.690000 3432.270000 ;
-        RECT 2927.510000 3429.490000 2928.690000 3430.670000 ;
-        RECT 2927.510000 3251.090000 2928.690000 3252.270000 ;
-        RECT 2927.510000 3249.490000 2928.690000 3250.670000 ;
-        RECT 2927.510000 3071.090000 2928.690000 3072.270000 ;
-        RECT 2927.510000 3069.490000 2928.690000 3070.670000 ;
-        RECT 2927.510000 2891.090000 2928.690000 2892.270000 ;
-        RECT 2927.510000 2889.490000 2928.690000 2890.670000 ;
-        RECT 2927.510000 2711.090000 2928.690000 2712.270000 ;
-        RECT 2927.510000 2709.490000 2928.690000 2710.670000 ;
-        RECT 2927.510000 2531.090000 2928.690000 2532.270000 ;
-        RECT 2927.510000 2529.490000 2928.690000 2530.670000 ;
-        RECT 2927.510000 2351.090000 2928.690000 2352.270000 ;
-        RECT 2927.510000 2349.490000 2928.690000 2350.670000 ;
-        RECT 2927.510000 2171.090000 2928.690000 2172.270000 ;
-        RECT 2927.510000 2169.490000 2928.690000 2170.670000 ;
-        RECT 2927.510000 1991.090000 2928.690000 1992.270000 ;
-        RECT 2927.510000 1989.490000 2928.690000 1990.670000 ;
-        RECT 2927.510000 1811.090000 2928.690000 1812.270000 ;
-        RECT 2927.510000 1809.490000 2928.690000 1810.670000 ;
-        RECT 2927.510000 1631.090000 2928.690000 1632.270000 ;
-        RECT 2927.510000 1629.490000 2928.690000 1630.670000 ;
-        RECT 2927.510000 1451.090000 2928.690000 1452.270000 ;
-        RECT 2927.510000 1449.490000 2928.690000 1450.670000 ;
-        RECT 2927.510000 1271.090000 2928.690000 1272.270000 ;
-        RECT 2927.510000 1269.490000 2928.690000 1270.670000 ;
-        RECT 2927.510000 1091.090000 2928.690000 1092.270000 ;
-        RECT 2927.510000 1089.490000 2928.690000 1090.670000 ;
-        RECT 2927.510000 911.090000 2928.690000 912.270000 ;
-        RECT 2927.510000 909.490000 2928.690000 910.670000 ;
-        RECT 2927.510000 731.090000 2928.690000 732.270000 ;
-        RECT 2927.510000 729.490000 2928.690000 730.670000 ;
-        RECT 2927.510000 551.090000 2928.690000 552.270000 ;
-        RECT 2927.510000 549.490000 2928.690000 550.670000 ;
-        RECT 2927.510000 371.090000 2928.690000 372.270000 ;
-        RECT 2927.510000 369.490000 2928.690000 370.670000 ;
-        RECT 2927.510000 191.090000 2928.690000 192.270000 ;
-        RECT 2927.510000 189.490000 2928.690000 190.670000 ;
-        RECT 2927.510000 11.090000 2928.690000 12.270000 ;
-        RECT 2927.510000 9.490000 2928.690000 10.670000 ;
-        RECT -9.070000 -2.910000 -7.890000 -1.730000 ;
-        RECT -9.070000 -4.510000 -7.890000 -3.330000 ;
-        RECT 4.930000 -2.910000 6.110000 -1.730000 ;
-        RECT 4.930000 -4.510000 6.110000 -3.330000 ;
-        RECT 184.930000 -2.910000 186.110000 -1.730000 ;
-        RECT 184.930000 -4.510000 186.110000 -3.330000 ;
-        RECT 364.930000 -2.910000 366.110000 -1.730000 ;
-        RECT 364.930000 -4.510000 366.110000 -3.330000 ;
-        RECT 544.930000 -2.910000 546.110000 -1.730000 ;
-        RECT 544.930000 -4.510000 546.110000 -3.330000 ;
-        RECT 724.930000 -2.910000 726.110000 -1.730000 ;
-        RECT 724.930000 -4.510000 726.110000 -3.330000 ;
-        RECT 904.930000 -2.910000 906.110000 -1.730000 ;
-        RECT 904.930000 -4.510000 906.110000 -3.330000 ;
-        RECT 1084.930000 -2.910000 1086.110000 -1.730000 ;
-        RECT 1084.930000 -4.510000 1086.110000 -3.330000 ;
-        RECT 1264.930000 -2.910000 1266.110000 -1.730000 ;
-        RECT 1264.930000 -4.510000 1266.110000 -3.330000 ;
-        RECT 1444.930000 -2.910000 1446.110000 -1.730000 ;
-        RECT 1444.930000 -4.510000 1446.110000 -3.330000 ;
-        RECT 1624.930000 -2.910000 1626.110000 -1.730000 ;
-        RECT 1624.930000 -4.510000 1626.110000 -3.330000 ;
-        RECT 1804.930000 -2.910000 1806.110000 -1.730000 ;
-        RECT 1804.930000 -4.510000 1806.110000 -3.330000 ;
-        RECT 1984.930000 -2.910000 1986.110000 -1.730000 ;
-        RECT 1984.930000 -4.510000 1986.110000 -3.330000 ;
-        RECT 2164.930000 -2.910000 2166.110000 -1.730000 ;
-        RECT 2164.930000 -4.510000 2166.110000 -3.330000 ;
-        RECT 2344.930000 -2.910000 2346.110000 -1.730000 ;
-        RECT 2344.930000 -4.510000 2346.110000 -3.330000 ;
-        RECT 2524.930000 -2.910000 2526.110000 -1.730000 ;
-        RECT 2524.930000 -4.510000 2526.110000 -3.330000 ;
-        RECT 2704.930000 -2.910000 2706.110000 -1.730000 ;
-        RECT 2704.930000 -4.510000 2706.110000 -3.330000 ;
-        RECT 2884.930000 -2.910000 2886.110000 -1.730000 ;
-        RECT 2884.930000 -4.510000 2886.110000 -3.330000 ;
-        RECT 2927.510000 -2.910000 2928.690000 -1.730000 ;
-        RECT 2927.510000 -4.510000 2928.690000 -3.330000 ;
-      LAYER met5 ;
-        RECT -9.980000 3524.300000 -6.980000 3524.310000 ;
-        RECT 4.020000 3524.300000 7.020000 3524.310000 ;
-        RECT 184.020000 3524.300000 187.020000 3524.310000 ;
-        RECT 364.020000 3524.300000 367.020000 3524.310000 ;
-        RECT 544.020000 3524.300000 547.020000 3524.310000 ;
-        RECT 724.020000 3524.300000 727.020000 3524.310000 ;
-        RECT 904.020000 3524.300000 907.020000 3524.310000 ;
-        RECT 1084.020000 3524.300000 1087.020000 3524.310000 ;
-        RECT 1264.020000 3524.300000 1267.020000 3524.310000 ;
-        RECT 1444.020000 3524.300000 1447.020000 3524.310000 ;
-        RECT 1624.020000 3524.300000 1627.020000 3524.310000 ;
-        RECT 1804.020000 3524.300000 1807.020000 3524.310000 ;
-        RECT 1984.020000 3524.300000 1987.020000 3524.310000 ;
-        RECT 2164.020000 3524.300000 2167.020000 3524.310000 ;
-        RECT 2344.020000 3524.300000 2347.020000 3524.310000 ;
-        RECT 2524.020000 3524.300000 2527.020000 3524.310000 ;
-        RECT 2704.020000 3524.300000 2707.020000 3524.310000 ;
-        RECT 2884.020000 3524.300000 2887.020000 3524.310000 ;
-        RECT 2926.600000 3524.300000 2929.600000 3524.310000 ;
-        RECT -9.980000 3521.300000 2929.600000 3524.300000 ;
-        RECT -9.980000 3521.290000 -6.980000 3521.300000 ;
-        RECT 4.020000 3521.290000 7.020000 3521.300000 ;
-        RECT 184.020000 3521.290000 187.020000 3521.300000 ;
-        RECT 364.020000 3521.290000 367.020000 3521.300000 ;
-        RECT 544.020000 3521.290000 547.020000 3521.300000 ;
-        RECT 724.020000 3521.290000 727.020000 3521.300000 ;
-        RECT 904.020000 3521.290000 907.020000 3521.300000 ;
-        RECT 1084.020000 3521.290000 1087.020000 3521.300000 ;
-        RECT 1264.020000 3521.290000 1267.020000 3521.300000 ;
-        RECT 1444.020000 3521.290000 1447.020000 3521.300000 ;
-        RECT 1624.020000 3521.290000 1627.020000 3521.300000 ;
-        RECT 1804.020000 3521.290000 1807.020000 3521.300000 ;
-        RECT 1984.020000 3521.290000 1987.020000 3521.300000 ;
-        RECT 2164.020000 3521.290000 2167.020000 3521.300000 ;
-        RECT 2344.020000 3521.290000 2347.020000 3521.300000 ;
-        RECT 2524.020000 3521.290000 2527.020000 3521.300000 ;
-        RECT 2704.020000 3521.290000 2707.020000 3521.300000 ;
-        RECT 2884.020000 3521.290000 2887.020000 3521.300000 ;
-        RECT 2926.600000 3521.290000 2929.600000 3521.300000 ;
-        RECT -9.980000 3432.380000 -6.980000 3432.390000 ;
-        RECT 2926.600000 3432.380000 2929.600000 3432.390000 ;
-        RECT -14.580000 3429.380000 -0.400000 3432.380000 ;
-        RECT 2920.400000 3429.380000 2934.200000 3432.380000 ;
-        RECT -9.980000 3429.370000 -6.980000 3429.380000 ;
-        RECT 2926.600000 3429.370000 2929.600000 3429.380000 ;
-        RECT -9.980000 3252.380000 -6.980000 3252.390000 ;
-        RECT 2926.600000 3252.380000 2929.600000 3252.390000 ;
-        RECT -14.580000 3249.380000 -0.400000 3252.380000 ;
-        RECT 2920.400000 3249.380000 2934.200000 3252.380000 ;
-        RECT -9.980000 3249.370000 -6.980000 3249.380000 ;
-        RECT 2926.600000 3249.370000 2929.600000 3249.380000 ;
-        RECT -9.980000 3072.380000 -6.980000 3072.390000 ;
-        RECT 2926.600000 3072.380000 2929.600000 3072.390000 ;
-        RECT -14.580000 3069.380000 -0.400000 3072.380000 ;
-        RECT 2920.400000 3069.380000 2934.200000 3072.380000 ;
-        RECT -9.980000 3069.370000 -6.980000 3069.380000 ;
-        RECT 2926.600000 3069.370000 2929.600000 3069.380000 ;
-        RECT -9.980000 2892.380000 -6.980000 2892.390000 ;
-        RECT 2926.600000 2892.380000 2929.600000 2892.390000 ;
-        RECT -14.580000 2889.380000 -0.400000 2892.380000 ;
-        RECT 2920.400000 2889.380000 2934.200000 2892.380000 ;
-        RECT -9.980000 2889.370000 -6.980000 2889.380000 ;
-        RECT 2926.600000 2889.370000 2929.600000 2889.380000 ;
-        RECT -9.980000 2712.380000 -6.980000 2712.390000 ;
-        RECT 2926.600000 2712.380000 2929.600000 2712.390000 ;
-        RECT -14.580000 2709.380000 -0.400000 2712.380000 ;
-        RECT 2920.400000 2709.380000 2934.200000 2712.380000 ;
-        RECT -9.980000 2709.370000 -6.980000 2709.380000 ;
-        RECT 2926.600000 2709.370000 2929.600000 2709.380000 ;
-        RECT -9.980000 2532.380000 -6.980000 2532.390000 ;
-        RECT 2926.600000 2532.380000 2929.600000 2532.390000 ;
-        RECT -14.580000 2529.380000 -0.400000 2532.380000 ;
-        RECT 2920.400000 2529.380000 2934.200000 2532.380000 ;
-        RECT -9.980000 2529.370000 -6.980000 2529.380000 ;
-        RECT 2926.600000 2529.370000 2929.600000 2529.380000 ;
-        RECT -9.980000 2352.380000 -6.980000 2352.390000 ;
-        RECT 2926.600000 2352.380000 2929.600000 2352.390000 ;
-        RECT -14.580000 2349.380000 -0.400000 2352.380000 ;
-        RECT 2920.400000 2349.380000 2934.200000 2352.380000 ;
-        RECT -9.980000 2349.370000 -6.980000 2349.380000 ;
-        RECT 2926.600000 2349.370000 2929.600000 2349.380000 ;
-        RECT -9.980000 2172.380000 -6.980000 2172.390000 ;
-        RECT 2926.600000 2172.380000 2929.600000 2172.390000 ;
-        RECT -14.580000 2169.380000 -0.400000 2172.380000 ;
-        RECT 2920.400000 2169.380000 2934.200000 2172.380000 ;
-        RECT -9.980000 2169.370000 -6.980000 2169.380000 ;
-        RECT 2926.600000 2169.370000 2929.600000 2169.380000 ;
-        RECT -9.980000 1992.380000 -6.980000 1992.390000 ;
-        RECT 2926.600000 1992.380000 2929.600000 1992.390000 ;
-        RECT -14.580000 1989.380000 -0.400000 1992.380000 ;
-        RECT 2920.400000 1989.380000 2934.200000 1992.380000 ;
-        RECT -9.980000 1989.370000 -6.980000 1989.380000 ;
-        RECT 2926.600000 1989.370000 2929.600000 1989.380000 ;
-        RECT -9.980000 1812.380000 -6.980000 1812.390000 ;
-        RECT 2926.600000 1812.380000 2929.600000 1812.390000 ;
-        RECT -14.580000 1809.380000 -0.400000 1812.380000 ;
-        RECT 2920.400000 1809.380000 2934.200000 1812.380000 ;
-        RECT -9.980000 1809.370000 -6.980000 1809.380000 ;
-        RECT 2926.600000 1809.370000 2929.600000 1809.380000 ;
-        RECT -9.980000 1632.380000 -6.980000 1632.390000 ;
-        RECT 2926.600000 1632.380000 2929.600000 1632.390000 ;
-        RECT -14.580000 1629.380000 -0.400000 1632.380000 ;
-        RECT 2920.400000 1629.380000 2934.200000 1632.380000 ;
-        RECT -9.980000 1629.370000 -6.980000 1629.380000 ;
-        RECT 2926.600000 1629.370000 2929.600000 1629.380000 ;
-        RECT -9.980000 1452.380000 -6.980000 1452.390000 ;
-        RECT 2926.600000 1452.380000 2929.600000 1452.390000 ;
-        RECT -14.580000 1449.380000 -0.400000 1452.380000 ;
-        RECT 2920.400000 1449.380000 2934.200000 1452.380000 ;
-        RECT -9.980000 1449.370000 -6.980000 1449.380000 ;
-        RECT 2926.600000 1449.370000 2929.600000 1449.380000 ;
-        RECT -9.980000 1272.380000 -6.980000 1272.390000 ;
-        RECT 2926.600000 1272.380000 2929.600000 1272.390000 ;
-        RECT -14.580000 1269.380000 -0.400000 1272.380000 ;
-        RECT 2920.400000 1269.380000 2934.200000 1272.380000 ;
-        RECT -9.980000 1269.370000 -6.980000 1269.380000 ;
-        RECT 2926.600000 1269.370000 2929.600000 1269.380000 ;
-        RECT -9.980000 1092.380000 -6.980000 1092.390000 ;
-        RECT 2926.600000 1092.380000 2929.600000 1092.390000 ;
-        RECT -14.580000 1089.380000 -0.400000 1092.380000 ;
-        RECT 2920.400000 1089.380000 2934.200000 1092.380000 ;
-        RECT -9.980000 1089.370000 -6.980000 1089.380000 ;
-        RECT 2926.600000 1089.370000 2929.600000 1089.380000 ;
-        RECT -9.980000 912.380000 -6.980000 912.390000 ;
-        RECT 2926.600000 912.380000 2929.600000 912.390000 ;
-        RECT -14.580000 909.380000 -0.400000 912.380000 ;
-        RECT 2920.400000 909.380000 2934.200000 912.380000 ;
-        RECT -9.980000 909.370000 -6.980000 909.380000 ;
-        RECT 2926.600000 909.370000 2929.600000 909.380000 ;
-        RECT -9.980000 732.380000 -6.980000 732.390000 ;
-        RECT 2926.600000 732.380000 2929.600000 732.390000 ;
-        RECT -14.580000 729.380000 -0.400000 732.380000 ;
-        RECT 2920.400000 729.380000 2934.200000 732.380000 ;
-        RECT -9.980000 729.370000 -6.980000 729.380000 ;
-        RECT 2926.600000 729.370000 2929.600000 729.380000 ;
-        RECT -9.980000 552.380000 -6.980000 552.390000 ;
-        RECT 2926.600000 552.380000 2929.600000 552.390000 ;
-        RECT -14.580000 549.380000 -0.400000 552.380000 ;
-        RECT 2920.400000 549.380000 2934.200000 552.380000 ;
-        RECT -9.980000 549.370000 -6.980000 549.380000 ;
-        RECT 2926.600000 549.370000 2929.600000 549.380000 ;
-        RECT -9.980000 372.380000 -6.980000 372.390000 ;
-        RECT 2926.600000 372.380000 2929.600000 372.390000 ;
-        RECT -14.580000 369.380000 -0.400000 372.380000 ;
-        RECT 2920.400000 369.380000 2934.200000 372.380000 ;
-        RECT -9.980000 369.370000 -6.980000 369.380000 ;
-        RECT 2926.600000 369.370000 2929.600000 369.380000 ;
-        RECT -9.980000 192.380000 -6.980000 192.390000 ;
-        RECT 2926.600000 192.380000 2929.600000 192.390000 ;
-        RECT -14.580000 189.380000 -0.400000 192.380000 ;
-        RECT 2920.400000 189.380000 2934.200000 192.380000 ;
-        RECT -9.980000 189.370000 -6.980000 189.380000 ;
-        RECT 2926.600000 189.370000 2929.600000 189.380000 ;
-        RECT -9.980000 12.380000 -6.980000 12.390000 ;
-        RECT 2926.600000 12.380000 2929.600000 12.390000 ;
-        RECT -14.580000 9.380000 -0.400000 12.380000 ;
-        RECT 2920.400000 9.380000 2934.200000 12.380000 ;
-        RECT -9.980000 9.370000 -6.980000 9.380000 ;
-        RECT 2926.600000 9.370000 2929.600000 9.380000 ;
-        RECT -9.980000 -1.620000 -6.980000 -1.610000 ;
-        RECT 4.020000 -1.620000 7.020000 -1.610000 ;
-        RECT 184.020000 -1.620000 187.020000 -1.610000 ;
-        RECT 364.020000 -1.620000 367.020000 -1.610000 ;
-        RECT 544.020000 -1.620000 547.020000 -1.610000 ;
-        RECT 724.020000 -1.620000 727.020000 -1.610000 ;
-        RECT 904.020000 -1.620000 907.020000 -1.610000 ;
-        RECT 1084.020000 -1.620000 1087.020000 -1.610000 ;
-        RECT 1264.020000 -1.620000 1267.020000 -1.610000 ;
-        RECT 1444.020000 -1.620000 1447.020000 -1.610000 ;
-        RECT 1624.020000 -1.620000 1627.020000 -1.610000 ;
-        RECT 1804.020000 -1.620000 1807.020000 -1.610000 ;
-        RECT 1984.020000 -1.620000 1987.020000 -1.610000 ;
-        RECT 2164.020000 -1.620000 2167.020000 -1.610000 ;
-        RECT 2344.020000 -1.620000 2347.020000 -1.610000 ;
-        RECT 2524.020000 -1.620000 2527.020000 -1.610000 ;
-        RECT 2704.020000 -1.620000 2707.020000 -1.610000 ;
-        RECT 2884.020000 -1.620000 2887.020000 -1.610000 ;
-        RECT 2926.600000 -1.620000 2929.600000 -1.610000 ;
-        RECT -9.980000 -4.620000 2929.600000 -1.620000 ;
-        RECT -9.980000 -4.630000 -6.980000 -4.620000 ;
-        RECT 4.020000 -4.630000 7.020000 -4.620000 ;
-        RECT 184.020000 -4.630000 187.020000 -4.620000 ;
-        RECT 364.020000 -4.630000 367.020000 -4.620000 ;
-        RECT 544.020000 -4.630000 547.020000 -4.620000 ;
-        RECT 724.020000 -4.630000 727.020000 -4.620000 ;
-        RECT 904.020000 -4.630000 907.020000 -4.620000 ;
-        RECT 1084.020000 -4.630000 1087.020000 -4.620000 ;
-        RECT 1264.020000 -4.630000 1267.020000 -4.620000 ;
-        RECT 1444.020000 -4.630000 1447.020000 -4.620000 ;
-        RECT 1624.020000 -4.630000 1627.020000 -4.620000 ;
-        RECT 1804.020000 -4.630000 1807.020000 -4.620000 ;
-        RECT 1984.020000 -4.630000 1987.020000 -4.620000 ;
-        RECT 2164.020000 -4.630000 2167.020000 -4.620000 ;
-        RECT 2344.020000 -4.630000 2347.020000 -4.620000 ;
-        RECT 2524.020000 -4.630000 2527.020000 -4.620000 ;
-        RECT 2704.020000 -4.630000 2707.020000 -4.620000 ;
-        RECT 2884.020000 -4.630000 2887.020000 -4.620000 ;
-        RECT 2926.600000 -4.630000 2929.600000 -4.620000 ;
-    END
-  END vccd1
-  PIN vssd1
-    DIRECTION INPUT ;
-    PORT
-      LAYER met4 ;
-        RECT -14.580000 -9.220000 -11.580000 3528.900000 ;
-        RECT 94.020000 3520.400000 97.020000 3528.900000 ;
-        RECT 274.020000 3520.400000 277.020000 3528.900000 ;
-        RECT 454.020000 3520.400000 457.020000 3528.900000 ;
-        RECT 634.020000 3520.400000 637.020000 3528.900000 ;
-        RECT 814.020000 3520.400000 817.020000 3528.900000 ;
-        RECT 994.020000 3520.400000 997.020000 3528.900000 ;
-        RECT 1174.020000 3520.400000 1177.020000 3528.900000 ;
-        RECT 1354.020000 3520.400000 1357.020000 3528.900000 ;
-        RECT 1534.020000 3520.400000 1537.020000 3528.900000 ;
-        RECT 1714.020000 3520.400000 1717.020000 3528.900000 ;
-        RECT 1894.020000 3520.400000 1897.020000 3528.900000 ;
-        RECT 2074.020000 3520.400000 2077.020000 3528.900000 ;
-        RECT 2254.020000 3520.400000 2257.020000 3528.900000 ;
-        RECT 2434.020000 3520.400000 2437.020000 3528.900000 ;
-        RECT 2614.020000 3520.400000 2617.020000 3528.900000 ;
-        RECT 2794.020000 3520.400000 2797.020000 3528.900000 ;
-        RECT 94.020000 -9.220000 97.020000 -0.400000 ;
-        RECT 274.020000 -9.220000 277.020000 -0.400000 ;
-        RECT 454.020000 -9.220000 457.020000 -0.400000 ;
-        RECT 634.020000 -9.220000 637.020000 -0.400000 ;
-        RECT 814.020000 -9.220000 817.020000 -0.400000 ;
-        RECT 994.020000 -9.220000 997.020000 -0.400000 ;
-        RECT 1174.020000 -9.220000 1177.020000 -0.400000 ;
-        RECT 1354.020000 -9.220000 1357.020000 -0.400000 ;
-        RECT 1534.020000 -9.220000 1537.020000 -0.400000 ;
-        RECT 1714.020000 -9.220000 1717.020000 -0.400000 ;
-        RECT 1894.020000 -9.220000 1897.020000 -0.400000 ;
-        RECT 2074.020000 -9.220000 2077.020000 -0.400000 ;
-        RECT 2254.020000 -9.220000 2257.020000 -0.400000 ;
-        RECT 2434.020000 -9.220000 2437.020000 -0.400000 ;
-        RECT 2614.020000 -9.220000 2617.020000 -0.400000 ;
-        RECT 2794.020000 -9.220000 2797.020000 -0.400000 ;
-        RECT 2931.200000 -9.220000 2934.200000 3528.900000 ;
-      LAYER M4M5_PR_C ;
-        RECT -13.670000 3527.610000 -12.490000 3528.790000 ;
-        RECT -13.670000 3526.010000 -12.490000 3527.190000 ;
-        RECT 94.930000 3527.610000 96.110000 3528.790000 ;
-        RECT 94.930000 3526.010000 96.110000 3527.190000 ;
-        RECT 274.930000 3527.610000 276.110000 3528.790000 ;
-        RECT 274.930000 3526.010000 276.110000 3527.190000 ;
-        RECT 454.930000 3527.610000 456.110000 3528.790000 ;
-        RECT 454.930000 3526.010000 456.110000 3527.190000 ;
-        RECT 634.930000 3527.610000 636.110000 3528.790000 ;
-        RECT 634.930000 3526.010000 636.110000 3527.190000 ;
-        RECT 814.930000 3527.610000 816.110000 3528.790000 ;
-        RECT 814.930000 3526.010000 816.110000 3527.190000 ;
-        RECT 994.930000 3527.610000 996.110000 3528.790000 ;
-        RECT 994.930000 3526.010000 996.110000 3527.190000 ;
-        RECT 1174.930000 3527.610000 1176.110000 3528.790000 ;
-        RECT 1174.930000 3526.010000 1176.110000 3527.190000 ;
-        RECT 1354.930000 3527.610000 1356.110000 3528.790000 ;
-        RECT 1354.930000 3526.010000 1356.110000 3527.190000 ;
-        RECT 1534.930000 3527.610000 1536.110000 3528.790000 ;
-        RECT 1534.930000 3526.010000 1536.110000 3527.190000 ;
-        RECT 1714.930000 3527.610000 1716.110000 3528.790000 ;
-        RECT 1714.930000 3526.010000 1716.110000 3527.190000 ;
-        RECT 1894.930000 3527.610000 1896.110000 3528.790000 ;
-        RECT 1894.930000 3526.010000 1896.110000 3527.190000 ;
-        RECT 2074.930000 3527.610000 2076.110000 3528.790000 ;
-        RECT 2074.930000 3526.010000 2076.110000 3527.190000 ;
-        RECT 2254.930000 3527.610000 2256.110000 3528.790000 ;
-        RECT 2254.930000 3526.010000 2256.110000 3527.190000 ;
-        RECT 2434.930000 3527.610000 2436.110000 3528.790000 ;
-        RECT 2434.930000 3526.010000 2436.110000 3527.190000 ;
-        RECT 2614.930000 3527.610000 2616.110000 3528.790000 ;
-        RECT 2614.930000 3526.010000 2616.110000 3527.190000 ;
-        RECT 2794.930000 3527.610000 2796.110000 3528.790000 ;
-        RECT 2794.930000 3526.010000 2796.110000 3527.190000 ;
-        RECT 2932.110000 3527.610000 2933.290000 3528.790000 ;
-        RECT 2932.110000 3526.010000 2933.290000 3527.190000 ;
-        RECT -13.670000 3341.090000 -12.490000 3342.270000 ;
-        RECT -13.670000 3339.490000 -12.490000 3340.670000 ;
-        RECT -13.670000 3161.090000 -12.490000 3162.270000 ;
-        RECT -13.670000 3159.490000 -12.490000 3160.670000 ;
-        RECT -13.670000 2981.090000 -12.490000 2982.270000 ;
-        RECT -13.670000 2979.490000 -12.490000 2980.670000 ;
-        RECT -13.670000 2801.090000 -12.490000 2802.270000 ;
-        RECT -13.670000 2799.490000 -12.490000 2800.670000 ;
-        RECT -13.670000 2621.090000 -12.490000 2622.270000 ;
-        RECT -13.670000 2619.490000 -12.490000 2620.670000 ;
-        RECT -13.670000 2441.090000 -12.490000 2442.270000 ;
-        RECT -13.670000 2439.490000 -12.490000 2440.670000 ;
-        RECT -13.670000 2261.090000 -12.490000 2262.270000 ;
-        RECT -13.670000 2259.490000 -12.490000 2260.670000 ;
-        RECT -13.670000 2081.090000 -12.490000 2082.270000 ;
-        RECT -13.670000 2079.490000 -12.490000 2080.670000 ;
-        RECT -13.670000 1901.090000 -12.490000 1902.270000 ;
-        RECT -13.670000 1899.490000 -12.490000 1900.670000 ;
-        RECT -13.670000 1721.090000 -12.490000 1722.270000 ;
-        RECT -13.670000 1719.490000 -12.490000 1720.670000 ;
-        RECT -13.670000 1541.090000 -12.490000 1542.270000 ;
-        RECT -13.670000 1539.490000 -12.490000 1540.670000 ;
-        RECT -13.670000 1361.090000 -12.490000 1362.270000 ;
-        RECT -13.670000 1359.490000 -12.490000 1360.670000 ;
-        RECT -13.670000 1181.090000 -12.490000 1182.270000 ;
-        RECT -13.670000 1179.490000 -12.490000 1180.670000 ;
-        RECT -13.670000 1001.090000 -12.490000 1002.270000 ;
-        RECT -13.670000 999.490000 -12.490000 1000.670000 ;
-        RECT -13.670000 821.090000 -12.490000 822.270000 ;
-        RECT -13.670000 819.490000 -12.490000 820.670000 ;
-        RECT -13.670000 641.090000 -12.490000 642.270000 ;
-        RECT -13.670000 639.490000 -12.490000 640.670000 ;
-        RECT -13.670000 461.090000 -12.490000 462.270000 ;
-        RECT -13.670000 459.490000 -12.490000 460.670000 ;
-        RECT -13.670000 281.090000 -12.490000 282.270000 ;
-        RECT -13.670000 279.490000 -12.490000 280.670000 ;
-        RECT -13.670000 101.090000 -12.490000 102.270000 ;
-        RECT -13.670000 99.490000 -12.490000 100.670000 ;
-        RECT 2932.110000 3341.090000 2933.290000 3342.270000 ;
-        RECT 2932.110000 3339.490000 2933.290000 3340.670000 ;
-        RECT 2932.110000 3161.090000 2933.290000 3162.270000 ;
-        RECT 2932.110000 3159.490000 2933.290000 3160.670000 ;
-        RECT 2932.110000 2981.090000 2933.290000 2982.270000 ;
-        RECT 2932.110000 2979.490000 2933.290000 2980.670000 ;
-        RECT 2932.110000 2801.090000 2933.290000 2802.270000 ;
-        RECT 2932.110000 2799.490000 2933.290000 2800.670000 ;
-        RECT 2932.110000 2621.090000 2933.290000 2622.270000 ;
-        RECT 2932.110000 2619.490000 2933.290000 2620.670000 ;
-        RECT 2932.110000 2441.090000 2933.290000 2442.270000 ;
-        RECT 2932.110000 2439.490000 2933.290000 2440.670000 ;
-        RECT 2932.110000 2261.090000 2933.290000 2262.270000 ;
-        RECT 2932.110000 2259.490000 2933.290000 2260.670000 ;
-        RECT 2932.110000 2081.090000 2933.290000 2082.270000 ;
-        RECT 2932.110000 2079.490000 2933.290000 2080.670000 ;
-        RECT 2932.110000 1901.090000 2933.290000 1902.270000 ;
-        RECT 2932.110000 1899.490000 2933.290000 1900.670000 ;
-        RECT 2932.110000 1721.090000 2933.290000 1722.270000 ;
-        RECT 2932.110000 1719.490000 2933.290000 1720.670000 ;
-        RECT 2932.110000 1541.090000 2933.290000 1542.270000 ;
-        RECT 2932.110000 1539.490000 2933.290000 1540.670000 ;
-        RECT 2932.110000 1361.090000 2933.290000 1362.270000 ;
-        RECT 2932.110000 1359.490000 2933.290000 1360.670000 ;
-        RECT 2932.110000 1181.090000 2933.290000 1182.270000 ;
-        RECT 2932.110000 1179.490000 2933.290000 1180.670000 ;
-        RECT 2932.110000 1001.090000 2933.290000 1002.270000 ;
-        RECT 2932.110000 999.490000 2933.290000 1000.670000 ;
-        RECT 2932.110000 821.090000 2933.290000 822.270000 ;
-        RECT 2932.110000 819.490000 2933.290000 820.670000 ;
-        RECT 2932.110000 641.090000 2933.290000 642.270000 ;
-        RECT 2932.110000 639.490000 2933.290000 640.670000 ;
-        RECT 2932.110000 461.090000 2933.290000 462.270000 ;
-        RECT 2932.110000 459.490000 2933.290000 460.670000 ;
-        RECT 2932.110000 281.090000 2933.290000 282.270000 ;
-        RECT 2932.110000 279.490000 2933.290000 280.670000 ;
-        RECT 2932.110000 101.090000 2933.290000 102.270000 ;
-        RECT 2932.110000 99.490000 2933.290000 100.670000 ;
-        RECT -13.670000 -7.510000 -12.490000 -6.330000 ;
-        RECT -13.670000 -9.110000 -12.490000 -7.930000 ;
-        RECT 94.930000 -7.510000 96.110000 -6.330000 ;
-        RECT 94.930000 -9.110000 96.110000 -7.930000 ;
-        RECT 274.930000 -7.510000 276.110000 -6.330000 ;
-        RECT 274.930000 -9.110000 276.110000 -7.930000 ;
-        RECT 454.930000 -7.510000 456.110000 -6.330000 ;
-        RECT 454.930000 -9.110000 456.110000 -7.930000 ;
-        RECT 634.930000 -7.510000 636.110000 -6.330000 ;
-        RECT 634.930000 -9.110000 636.110000 -7.930000 ;
-        RECT 814.930000 -7.510000 816.110000 -6.330000 ;
-        RECT 814.930000 -9.110000 816.110000 -7.930000 ;
-        RECT 994.930000 -7.510000 996.110000 -6.330000 ;
-        RECT 994.930000 -9.110000 996.110000 -7.930000 ;
-        RECT 1174.930000 -7.510000 1176.110000 -6.330000 ;
-        RECT 1174.930000 -9.110000 1176.110000 -7.930000 ;
-        RECT 1354.930000 -7.510000 1356.110000 -6.330000 ;
-        RECT 1354.930000 -9.110000 1356.110000 -7.930000 ;
-        RECT 1534.930000 -7.510000 1536.110000 -6.330000 ;
-        RECT 1534.930000 -9.110000 1536.110000 -7.930000 ;
-        RECT 1714.930000 -7.510000 1716.110000 -6.330000 ;
-        RECT 1714.930000 -9.110000 1716.110000 -7.930000 ;
-        RECT 1894.930000 -7.510000 1896.110000 -6.330000 ;
-        RECT 1894.930000 -9.110000 1896.110000 -7.930000 ;
-        RECT 2074.930000 -7.510000 2076.110000 -6.330000 ;
-        RECT 2074.930000 -9.110000 2076.110000 -7.930000 ;
-        RECT 2254.930000 -7.510000 2256.110000 -6.330000 ;
-        RECT 2254.930000 -9.110000 2256.110000 -7.930000 ;
-        RECT 2434.930000 -7.510000 2436.110000 -6.330000 ;
-        RECT 2434.930000 -9.110000 2436.110000 -7.930000 ;
-        RECT 2614.930000 -7.510000 2616.110000 -6.330000 ;
-        RECT 2614.930000 -9.110000 2616.110000 -7.930000 ;
-        RECT 2794.930000 -7.510000 2796.110000 -6.330000 ;
-        RECT 2794.930000 -9.110000 2796.110000 -7.930000 ;
-        RECT 2932.110000 -7.510000 2933.290000 -6.330000 ;
-        RECT 2932.110000 -9.110000 2933.290000 -7.930000 ;
-      LAYER met5 ;
-        RECT -14.580000 3528.900000 -11.580000 3528.910000 ;
-        RECT 94.020000 3528.900000 97.020000 3528.910000 ;
-        RECT 274.020000 3528.900000 277.020000 3528.910000 ;
-        RECT 454.020000 3528.900000 457.020000 3528.910000 ;
-        RECT 634.020000 3528.900000 637.020000 3528.910000 ;
-        RECT 814.020000 3528.900000 817.020000 3528.910000 ;
-        RECT 994.020000 3528.900000 997.020000 3528.910000 ;
-        RECT 1174.020000 3528.900000 1177.020000 3528.910000 ;
-        RECT 1354.020000 3528.900000 1357.020000 3528.910000 ;
-        RECT 1534.020000 3528.900000 1537.020000 3528.910000 ;
-        RECT 1714.020000 3528.900000 1717.020000 3528.910000 ;
-        RECT 1894.020000 3528.900000 1897.020000 3528.910000 ;
-        RECT 2074.020000 3528.900000 2077.020000 3528.910000 ;
-        RECT 2254.020000 3528.900000 2257.020000 3528.910000 ;
-        RECT 2434.020000 3528.900000 2437.020000 3528.910000 ;
-        RECT 2614.020000 3528.900000 2617.020000 3528.910000 ;
-        RECT 2794.020000 3528.900000 2797.020000 3528.910000 ;
-        RECT 2931.200000 3528.900000 2934.200000 3528.910000 ;
-        RECT -14.580000 3525.900000 2934.200000 3528.900000 ;
-        RECT -14.580000 3525.890000 -11.580000 3525.900000 ;
-        RECT 94.020000 3525.890000 97.020000 3525.900000 ;
-        RECT 274.020000 3525.890000 277.020000 3525.900000 ;
-        RECT 454.020000 3525.890000 457.020000 3525.900000 ;
-        RECT 634.020000 3525.890000 637.020000 3525.900000 ;
-        RECT 814.020000 3525.890000 817.020000 3525.900000 ;
-        RECT 994.020000 3525.890000 997.020000 3525.900000 ;
-        RECT 1174.020000 3525.890000 1177.020000 3525.900000 ;
-        RECT 1354.020000 3525.890000 1357.020000 3525.900000 ;
-        RECT 1534.020000 3525.890000 1537.020000 3525.900000 ;
-        RECT 1714.020000 3525.890000 1717.020000 3525.900000 ;
-        RECT 1894.020000 3525.890000 1897.020000 3525.900000 ;
-        RECT 2074.020000 3525.890000 2077.020000 3525.900000 ;
-        RECT 2254.020000 3525.890000 2257.020000 3525.900000 ;
-        RECT 2434.020000 3525.890000 2437.020000 3525.900000 ;
-        RECT 2614.020000 3525.890000 2617.020000 3525.900000 ;
-        RECT 2794.020000 3525.890000 2797.020000 3525.900000 ;
-        RECT 2931.200000 3525.890000 2934.200000 3525.900000 ;
-        RECT -14.580000 3342.380000 -11.580000 3342.390000 ;
-        RECT 2931.200000 3342.380000 2934.200000 3342.390000 ;
-        RECT -14.580000 3339.380000 -0.400000 3342.380000 ;
-        RECT 2920.400000 3339.380000 2934.200000 3342.380000 ;
-        RECT -14.580000 3339.370000 -11.580000 3339.380000 ;
-        RECT 2931.200000 3339.370000 2934.200000 3339.380000 ;
-        RECT -14.580000 3162.380000 -11.580000 3162.390000 ;
-        RECT 2931.200000 3162.380000 2934.200000 3162.390000 ;
-        RECT -14.580000 3159.380000 -0.400000 3162.380000 ;
-        RECT 2920.400000 3159.380000 2934.200000 3162.380000 ;
-        RECT -14.580000 3159.370000 -11.580000 3159.380000 ;
-        RECT 2931.200000 3159.370000 2934.200000 3159.380000 ;
-        RECT -14.580000 2982.380000 -11.580000 2982.390000 ;
-        RECT 2931.200000 2982.380000 2934.200000 2982.390000 ;
-        RECT -14.580000 2979.380000 -0.400000 2982.380000 ;
-        RECT 2920.400000 2979.380000 2934.200000 2982.380000 ;
-        RECT -14.580000 2979.370000 -11.580000 2979.380000 ;
-        RECT 2931.200000 2979.370000 2934.200000 2979.380000 ;
-        RECT -14.580000 2802.380000 -11.580000 2802.390000 ;
-        RECT 2931.200000 2802.380000 2934.200000 2802.390000 ;
-        RECT -14.580000 2799.380000 -0.400000 2802.380000 ;
-        RECT 2920.400000 2799.380000 2934.200000 2802.380000 ;
-        RECT -14.580000 2799.370000 -11.580000 2799.380000 ;
-        RECT 2931.200000 2799.370000 2934.200000 2799.380000 ;
-        RECT -14.580000 2622.380000 -11.580000 2622.390000 ;
-        RECT 2931.200000 2622.380000 2934.200000 2622.390000 ;
-        RECT -14.580000 2619.380000 -0.400000 2622.380000 ;
-        RECT 2920.400000 2619.380000 2934.200000 2622.380000 ;
-        RECT -14.580000 2619.370000 -11.580000 2619.380000 ;
-        RECT 2931.200000 2619.370000 2934.200000 2619.380000 ;
-        RECT -14.580000 2442.380000 -11.580000 2442.390000 ;
-        RECT 2931.200000 2442.380000 2934.200000 2442.390000 ;
-        RECT -14.580000 2439.380000 -0.400000 2442.380000 ;
-        RECT 2920.400000 2439.380000 2934.200000 2442.380000 ;
-        RECT -14.580000 2439.370000 -11.580000 2439.380000 ;
-        RECT 2931.200000 2439.370000 2934.200000 2439.380000 ;
-        RECT -14.580000 2262.380000 -11.580000 2262.390000 ;
-        RECT 2931.200000 2262.380000 2934.200000 2262.390000 ;
-        RECT -14.580000 2259.380000 -0.400000 2262.380000 ;
-        RECT 2920.400000 2259.380000 2934.200000 2262.380000 ;
-        RECT -14.580000 2259.370000 -11.580000 2259.380000 ;
-        RECT 2931.200000 2259.370000 2934.200000 2259.380000 ;
-        RECT -14.580000 2082.380000 -11.580000 2082.390000 ;
-        RECT 2931.200000 2082.380000 2934.200000 2082.390000 ;
-        RECT -14.580000 2079.380000 -0.400000 2082.380000 ;
-        RECT 2920.400000 2079.380000 2934.200000 2082.380000 ;
-        RECT -14.580000 2079.370000 -11.580000 2079.380000 ;
-        RECT 2931.200000 2079.370000 2934.200000 2079.380000 ;
-        RECT -14.580000 1902.380000 -11.580000 1902.390000 ;
-        RECT 2931.200000 1902.380000 2934.200000 1902.390000 ;
-        RECT -14.580000 1899.380000 -0.400000 1902.380000 ;
-        RECT 2920.400000 1899.380000 2934.200000 1902.380000 ;
-        RECT -14.580000 1899.370000 -11.580000 1899.380000 ;
-        RECT 2931.200000 1899.370000 2934.200000 1899.380000 ;
-        RECT -14.580000 1722.380000 -11.580000 1722.390000 ;
-        RECT 2931.200000 1722.380000 2934.200000 1722.390000 ;
-        RECT -14.580000 1719.380000 -0.400000 1722.380000 ;
-        RECT 2920.400000 1719.380000 2934.200000 1722.380000 ;
-        RECT -14.580000 1719.370000 -11.580000 1719.380000 ;
-        RECT 2931.200000 1719.370000 2934.200000 1719.380000 ;
-        RECT -14.580000 1542.380000 -11.580000 1542.390000 ;
-        RECT 2931.200000 1542.380000 2934.200000 1542.390000 ;
-        RECT -14.580000 1539.380000 -0.400000 1542.380000 ;
-        RECT 2920.400000 1539.380000 2934.200000 1542.380000 ;
-        RECT -14.580000 1539.370000 -11.580000 1539.380000 ;
-        RECT 2931.200000 1539.370000 2934.200000 1539.380000 ;
-        RECT -14.580000 1362.380000 -11.580000 1362.390000 ;
-        RECT 2931.200000 1362.380000 2934.200000 1362.390000 ;
-        RECT -14.580000 1359.380000 -0.400000 1362.380000 ;
-        RECT 2920.400000 1359.380000 2934.200000 1362.380000 ;
-        RECT -14.580000 1359.370000 -11.580000 1359.380000 ;
-        RECT 2931.200000 1359.370000 2934.200000 1359.380000 ;
-        RECT -14.580000 1182.380000 -11.580000 1182.390000 ;
-        RECT 2931.200000 1182.380000 2934.200000 1182.390000 ;
-        RECT -14.580000 1179.380000 -0.400000 1182.380000 ;
-        RECT 2920.400000 1179.380000 2934.200000 1182.380000 ;
-        RECT -14.580000 1179.370000 -11.580000 1179.380000 ;
-        RECT 2931.200000 1179.370000 2934.200000 1179.380000 ;
-        RECT -14.580000 1002.380000 -11.580000 1002.390000 ;
-        RECT 2931.200000 1002.380000 2934.200000 1002.390000 ;
-        RECT -14.580000 999.380000 -0.400000 1002.380000 ;
-        RECT 2920.400000 999.380000 2934.200000 1002.380000 ;
-        RECT -14.580000 999.370000 -11.580000 999.380000 ;
-        RECT 2931.200000 999.370000 2934.200000 999.380000 ;
-        RECT -14.580000 822.380000 -11.580000 822.390000 ;
-        RECT 2931.200000 822.380000 2934.200000 822.390000 ;
-        RECT -14.580000 819.380000 -0.400000 822.380000 ;
-        RECT 2920.400000 819.380000 2934.200000 822.380000 ;
-        RECT -14.580000 819.370000 -11.580000 819.380000 ;
-        RECT 2931.200000 819.370000 2934.200000 819.380000 ;
-        RECT -14.580000 642.380000 -11.580000 642.390000 ;
-        RECT 2931.200000 642.380000 2934.200000 642.390000 ;
-        RECT -14.580000 639.380000 -0.400000 642.380000 ;
-        RECT 2920.400000 639.380000 2934.200000 642.380000 ;
-        RECT -14.580000 639.370000 -11.580000 639.380000 ;
-        RECT 2931.200000 639.370000 2934.200000 639.380000 ;
-        RECT -14.580000 462.380000 -11.580000 462.390000 ;
-        RECT 2931.200000 462.380000 2934.200000 462.390000 ;
-        RECT -14.580000 459.380000 -0.400000 462.380000 ;
-        RECT 2920.400000 459.380000 2934.200000 462.380000 ;
-        RECT -14.580000 459.370000 -11.580000 459.380000 ;
-        RECT 2931.200000 459.370000 2934.200000 459.380000 ;
-        RECT -14.580000 282.380000 -11.580000 282.390000 ;
-        RECT 2931.200000 282.380000 2934.200000 282.390000 ;
-        RECT -14.580000 279.380000 -0.400000 282.380000 ;
-        RECT 2920.400000 279.380000 2934.200000 282.380000 ;
-        RECT -14.580000 279.370000 -11.580000 279.380000 ;
-        RECT 2931.200000 279.370000 2934.200000 279.380000 ;
-        RECT -14.580000 102.380000 -11.580000 102.390000 ;
-        RECT 2931.200000 102.380000 2934.200000 102.390000 ;
-        RECT -14.580000 99.380000 -0.400000 102.380000 ;
-        RECT 2920.400000 99.380000 2934.200000 102.380000 ;
-        RECT -14.580000 99.370000 -11.580000 99.380000 ;
-        RECT 2931.200000 99.370000 2934.200000 99.380000 ;
-        RECT -14.580000 -6.220000 -11.580000 -6.210000 ;
-        RECT 94.020000 -6.220000 97.020000 -6.210000 ;
-        RECT 274.020000 -6.220000 277.020000 -6.210000 ;
-        RECT 454.020000 -6.220000 457.020000 -6.210000 ;
-        RECT 634.020000 -6.220000 637.020000 -6.210000 ;
-        RECT 814.020000 -6.220000 817.020000 -6.210000 ;
-        RECT 994.020000 -6.220000 997.020000 -6.210000 ;
-        RECT 1174.020000 -6.220000 1177.020000 -6.210000 ;
-        RECT 1354.020000 -6.220000 1357.020000 -6.210000 ;
-        RECT 1534.020000 -6.220000 1537.020000 -6.210000 ;
-        RECT 1714.020000 -6.220000 1717.020000 -6.210000 ;
-        RECT 1894.020000 -6.220000 1897.020000 -6.210000 ;
-        RECT 2074.020000 -6.220000 2077.020000 -6.210000 ;
-        RECT 2254.020000 -6.220000 2257.020000 -6.210000 ;
-        RECT 2434.020000 -6.220000 2437.020000 -6.210000 ;
-        RECT 2614.020000 -6.220000 2617.020000 -6.210000 ;
-        RECT 2794.020000 -6.220000 2797.020000 -6.210000 ;
-        RECT 2931.200000 -6.220000 2934.200000 -6.210000 ;
-        RECT -14.580000 -9.220000 2934.200000 -6.220000 ;
-        RECT -14.580000 -9.230000 -11.580000 -9.220000 ;
-        RECT 94.020000 -9.230000 97.020000 -9.220000 ;
-        RECT 274.020000 -9.230000 277.020000 -9.220000 ;
-        RECT 454.020000 -9.230000 457.020000 -9.220000 ;
-        RECT 634.020000 -9.230000 637.020000 -9.220000 ;
-        RECT 814.020000 -9.230000 817.020000 -9.220000 ;
-        RECT 994.020000 -9.230000 997.020000 -9.220000 ;
-        RECT 1174.020000 -9.230000 1177.020000 -9.220000 ;
-        RECT 1354.020000 -9.230000 1357.020000 -9.220000 ;
-        RECT 1534.020000 -9.230000 1537.020000 -9.220000 ;
-        RECT 1714.020000 -9.230000 1717.020000 -9.220000 ;
-        RECT 1894.020000 -9.230000 1897.020000 -9.220000 ;
-        RECT 2074.020000 -9.230000 2077.020000 -9.220000 ;
-        RECT 2254.020000 -9.230000 2257.020000 -9.220000 ;
-        RECT 2434.020000 -9.230000 2437.020000 -9.220000 ;
-        RECT 2614.020000 -9.230000 2617.020000 -9.220000 ;
-        RECT 2794.020000 -9.230000 2797.020000 -9.220000 ;
-        RECT 2931.200000 -9.230000 2934.200000 -9.220000 ;
-    END
-  END vssd1
-  PIN vccd2
-    DIRECTION INPUT ;
-    PORT
-      LAYER met4 ;
-        RECT -19.180000 -13.820000 -16.180000 3533.500000 ;
-        RECT 22.020000 3520.400000 25.020000 3538.100000 ;
-        RECT 202.020000 3520.400000 205.020000 3538.100000 ;
-        RECT 382.020000 3520.400000 385.020000 3538.100000 ;
-        RECT 562.020000 3520.400000 565.020000 3538.100000 ;
-        RECT 742.020000 3520.400000 745.020000 3538.100000 ;
-        RECT 922.020000 3520.400000 925.020000 3538.100000 ;
-        RECT 1102.020000 3520.400000 1105.020000 3538.100000 ;
-        RECT 1282.020000 3520.400000 1285.020000 3538.100000 ;
-        RECT 1462.020000 3520.400000 1465.020000 3538.100000 ;
-        RECT 1642.020000 3520.400000 1645.020000 3538.100000 ;
-        RECT 1822.020000 3520.400000 1825.020000 3538.100000 ;
-        RECT 2002.020000 3520.400000 2005.020000 3538.100000 ;
-        RECT 2182.020000 3520.400000 2185.020000 3538.100000 ;
-        RECT 2362.020000 3520.400000 2365.020000 3538.100000 ;
-        RECT 2542.020000 3520.400000 2545.020000 3538.100000 ;
-        RECT 2722.020000 3520.400000 2725.020000 3538.100000 ;
-        RECT 2902.020000 3520.400000 2905.020000 3538.100000 ;
-        RECT 22.020000 -18.420000 25.020000 -0.400000 ;
-        RECT 202.020000 -18.420000 205.020000 -0.400000 ;
-        RECT 382.020000 -18.420000 385.020000 -0.400000 ;
-        RECT 562.020000 -18.420000 565.020000 -0.400000 ;
-        RECT 742.020000 -18.420000 745.020000 -0.400000 ;
-        RECT 922.020000 -18.420000 925.020000 -0.400000 ;
-        RECT 1102.020000 -18.420000 1105.020000 -0.400000 ;
-        RECT 1282.020000 -18.420000 1285.020000 -0.400000 ;
-        RECT 1462.020000 -18.420000 1465.020000 -0.400000 ;
-        RECT 1642.020000 -18.420000 1645.020000 -0.400000 ;
-        RECT 1822.020000 -18.420000 1825.020000 -0.400000 ;
-        RECT 2002.020000 -18.420000 2005.020000 -0.400000 ;
-        RECT 2182.020000 -18.420000 2185.020000 -0.400000 ;
-        RECT 2362.020000 -18.420000 2365.020000 -0.400000 ;
-        RECT 2542.020000 -18.420000 2545.020000 -0.400000 ;
-        RECT 2722.020000 -18.420000 2725.020000 -0.400000 ;
-        RECT 2902.020000 -18.420000 2905.020000 -0.400000 ;
-        RECT 2935.800000 -13.820000 2938.800000 3533.500000 ;
-      LAYER M4M5_PR_C ;
-        RECT -18.270000 3532.210000 -17.090000 3533.390000 ;
-        RECT -18.270000 3530.610000 -17.090000 3531.790000 ;
-        RECT 22.930000 3532.210000 24.110000 3533.390000 ;
-        RECT 22.930000 3530.610000 24.110000 3531.790000 ;
-        RECT 202.930000 3532.210000 204.110000 3533.390000 ;
-        RECT 202.930000 3530.610000 204.110000 3531.790000 ;
-        RECT 382.930000 3532.210000 384.110000 3533.390000 ;
-        RECT 382.930000 3530.610000 384.110000 3531.790000 ;
-        RECT 562.930000 3532.210000 564.110000 3533.390000 ;
-        RECT 562.930000 3530.610000 564.110000 3531.790000 ;
-        RECT 742.930000 3532.210000 744.110000 3533.390000 ;
-        RECT 742.930000 3530.610000 744.110000 3531.790000 ;
-        RECT 922.930000 3532.210000 924.110000 3533.390000 ;
-        RECT 922.930000 3530.610000 924.110000 3531.790000 ;
-        RECT 1102.930000 3532.210000 1104.110000 3533.390000 ;
-        RECT 1102.930000 3530.610000 1104.110000 3531.790000 ;
-        RECT 1282.930000 3532.210000 1284.110000 3533.390000 ;
-        RECT 1282.930000 3530.610000 1284.110000 3531.790000 ;
-        RECT 1462.930000 3532.210000 1464.110000 3533.390000 ;
-        RECT 1462.930000 3530.610000 1464.110000 3531.790000 ;
-        RECT 1642.930000 3532.210000 1644.110000 3533.390000 ;
-        RECT 1642.930000 3530.610000 1644.110000 3531.790000 ;
-        RECT 1822.930000 3532.210000 1824.110000 3533.390000 ;
-        RECT 1822.930000 3530.610000 1824.110000 3531.790000 ;
-        RECT 2002.930000 3532.210000 2004.110000 3533.390000 ;
-        RECT 2002.930000 3530.610000 2004.110000 3531.790000 ;
-        RECT 2182.930000 3532.210000 2184.110000 3533.390000 ;
-        RECT 2182.930000 3530.610000 2184.110000 3531.790000 ;
-        RECT 2362.930000 3532.210000 2364.110000 3533.390000 ;
-        RECT 2362.930000 3530.610000 2364.110000 3531.790000 ;
-        RECT 2542.930000 3532.210000 2544.110000 3533.390000 ;
-        RECT 2542.930000 3530.610000 2544.110000 3531.790000 ;
-        RECT 2722.930000 3532.210000 2724.110000 3533.390000 ;
-        RECT 2722.930000 3530.610000 2724.110000 3531.790000 ;
-        RECT 2902.930000 3532.210000 2904.110000 3533.390000 ;
-        RECT 2902.930000 3530.610000 2904.110000 3531.790000 ;
-        RECT 2936.710000 3532.210000 2937.890000 3533.390000 ;
-        RECT 2936.710000 3530.610000 2937.890000 3531.790000 ;
-        RECT -18.270000 3449.090000 -17.090000 3450.270000 ;
-        RECT -18.270000 3447.490000 -17.090000 3448.670000 ;
-        RECT -18.270000 3269.090000 -17.090000 3270.270000 ;
-        RECT -18.270000 3267.490000 -17.090000 3268.670000 ;
-        RECT -18.270000 3089.090000 -17.090000 3090.270000 ;
-        RECT -18.270000 3087.490000 -17.090000 3088.670000 ;
-        RECT -18.270000 2909.090000 -17.090000 2910.270000 ;
-        RECT -18.270000 2907.490000 -17.090000 2908.670000 ;
-        RECT -18.270000 2729.090000 -17.090000 2730.270000 ;
-        RECT -18.270000 2727.490000 -17.090000 2728.670000 ;
-        RECT -18.270000 2549.090000 -17.090000 2550.270000 ;
-        RECT -18.270000 2547.490000 -17.090000 2548.670000 ;
-        RECT -18.270000 2369.090000 -17.090000 2370.270000 ;
-        RECT -18.270000 2367.490000 -17.090000 2368.670000 ;
-        RECT -18.270000 2189.090000 -17.090000 2190.270000 ;
-        RECT -18.270000 2187.490000 -17.090000 2188.670000 ;
-        RECT -18.270000 2009.090000 -17.090000 2010.270000 ;
-        RECT -18.270000 2007.490000 -17.090000 2008.670000 ;
-        RECT -18.270000 1829.090000 -17.090000 1830.270000 ;
-        RECT -18.270000 1827.490000 -17.090000 1828.670000 ;
-        RECT -18.270000 1649.090000 -17.090000 1650.270000 ;
-        RECT -18.270000 1647.490000 -17.090000 1648.670000 ;
-        RECT -18.270000 1469.090000 -17.090000 1470.270000 ;
-        RECT -18.270000 1467.490000 -17.090000 1468.670000 ;
-        RECT -18.270000 1289.090000 -17.090000 1290.270000 ;
-        RECT -18.270000 1287.490000 -17.090000 1288.670000 ;
-        RECT -18.270000 1109.090000 -17.090000 1110.270000 ;
-        RECT -18.270000 1107.490000 -17.090000 1108.670000 ;
-        RECT -18.270000 929.090000 -17.090000 930.270000 ;
-        RECT -18.270000 927.490000 -17.090000 928.670000 ;
-        RECT -18.270000 749.090000 -17.090000 750.270000 ;
-        RECT -18.270000 747.490000 -17.090000 748.670000 ;
-        RECT -18.270000 569.090000 -17.090000 570.270000 ;
-        RECT -18.270000 567.490000 -17.090000 568.670000 ;
-        RECT -18.270000 389.090000 -17.090000 390.270000 ;
-        RECT -18.270000 387.490000 -17.090000 388.670000 ;
-        RECT -18.270000 209.090000 -17.090000 210.270000 ;
-        RECT -18.270000 207.490000 -17.090000 208.670000 ;
-        RECT -18.270000 29.090000 -17.090000 30.270000 ;
-        RECT -18.270000 27.490000 -17.090000 28.670000 ;
-        RECT 2936.710000 3449.090000 2937.890000 3450.270000 ;
-        RECT 2936.710000 3447.490000 2937.890000 3448.670000 ;
-        RECT 2936.710000 3269.090000 2937.890000 3270.270000 ;
-        RECT 2936.710000 3267.490000 2937.890000 3268.670000 ;
-        RECT 2936.710000 3089.090000 2937.890000 3090.270000 ;
-        RECT 2936.710000 3087.490000 2937.890000 3088.670000 ;
-        RECT 2936.710000 2909.090000 2937.890000 2910.270000 ;
-        RECT 2936.710000 2907.490000 2937.890000 2908.670000 ;
-        RECT 2936.710000 2729.090000 2937.890000 2730.270000 ;
-        RECT 2936.710000 2727.490000 2937.890000 2728.670000 ;
-        RECT 2936.710000 2549.090000 2937.890000 2550.270000 ;
-        RECT 2936.710000 2547.490000 2937.890000 2548.670000 ;
-        RECT 2936.710000 2369.090000 2937.890000 2370.270000 ;
-        RECT 2936.710000 2367.490000 2937.890000 2368.670000 ;
-        RECT 2936.710000 2189.090000 2937.890000 2190.270000 ;
-        RECT 2936.710000 2187.490000 2937.890000 2188.670000 ;
-        RECT 2936.710000 2009.090000 2937.890000 2010.270000 ;
-        RECT 2936.710000 2007.490000 2937.890000 2008.670000 ;
-        RECT 2936.710000 1829.090000 2937.890000 1830.270000 ;
-        RECT 2936.710000 1827.490000 2937.890000 1828.670000 ;
-        RECT 2936.710000 1649.090000 2937.890000 1650.270000 ;
-        RECT 2936.710000 1647.490000 2937.890000 1648.670000 ;
-        RECT 2936.710000 1469.090000 2937.890000 1470.270000 ;
-        RECT 2936.710000 1467.490000 2937.890000 1468.670000 ;
-        RECT 2936.710000 1289.090000 2937.890000 1290.270000 ;
-        RECT 2936.710000 1287.490000 2937.890000 1288.670000 ;
-        RECT 2936.710000 1109.090000 2937.890000 1110.270000 ;
-        RECT 2936.710000 1107.490000 2937.890000 1108.670000 ;
-        RECT 2936.710000 929.090000 2937.890000 930.270000 ;
-        RECT 2936.710000 927.490000 2937.890000 928.670000 ;
-        RECT 2936.710000 749.090000 2937.890000 750.270000 ;
-        RECT 2936.710000 747.490000 2937.890000 748.670000 ;
-        RECT 2936.710000 569.090000 2937.890000 570.270000 ;
-        RECT 2936.710000 567.490000 2937.890000 568.670000 ;
-        RECT 2936.710000 389.090000 2937.890000 390.270000 ;
-        RECT 2936.710000 387.490000 2937.890000 388.670000 ;
-        RECT 2936.710000 209.090000 2937.890000 210.270000 ;
-        RECT 2936.710000 207.490000 2937.890000 208.670000 ;
-        RECT 2936.710000 29.090000 2937.890000 30.270000 ;
-        RECT 2936.710000 27.490000 2937.890000 28.670000 ;
-        RECT -18.270000 -12.110000 -17.090000 -10.930000 ;
-        RECT -18.270000 -13.710000 -17.090000 -12.530000 ;
-        RECT 22.930000 -12.110000 24.110000 -10.930000 ;
-        RECT 22.930000 -13.710000 24.110000 -12.530000 ;
-        RECT 202.930000 -12.110000 204.110000 -10.930000 ;
-        RECT 202.930000 -13.710000 204.110000 -12.530000 ;
-        RECT 382.930000 -12.110000 384.110000 -10.930000 ;
-        RECT 382.930000 -13.710000 384.110000 -12.530000 ;
-        RECT 562.930000 -12.110000 564.110000 -10.930000 ;
-        RECT 562.930000 -13.710000 564.110000 -12.530000 ;
-        RECT 742.930000 -12.110000 744.110000 -10.930000 ;
-        RECT 742.930000 -13.710000 744.110000 -12.530000 ;
-        RECT 922.930000 -12.110000 924.110000 -10.930000 ;
-        RECT 922.930000 -13.710000 924.110000 -12.530000 ;
-        RECT 1102.930000 -12.110000 1104.110000 -10.930000 ;
-        RECT 1102.930000 -13.710000 1104.110000 -12.530000 ;
-        RECT 1282.930000 -12.110000 1284.110000 -10.930000 ;
-        RECT 1282.930000 -13.710000 1284.110000 -12.530000 ;
-        RECT 1462.930000 -12.110000 1464.110000 -10.930000 ;
-        RECT 1462.930000 -13.710000 1464.110000 -12.530000 ;
-        RECT 1642.930000 -12.110000 1644.110000 -10.930000 ;
-        RECT 1642.930000 -13.710000 1644.110000 -12.530000 ;
-        RECT 1822.930000 -12.110000 1824.110000 -10.930000 ;
-        RECT 1822.930000 -13.710000 1824.110000 -12.530000 ;
-        RECT 2002.930000 -12.110000 2004.110000 -10.930000 ;
-        RECT 2002.930000 -13.710000 2004.110000 -12.530000 ;
-        RECT 2182.930000 -12.110000 2184.110000 -10.930000 ;
-        RECT 2182.930000 -13.710000 2184.110000 -12.530000 ;
-        RECT 2362.930000 -12.110000 2364.110000 -10.930000 ;
-        RECT 2362.930000 -13.710000 2364.110000 -12.530000 ;
-        RECT 2542.930000 -12.110000 2544.110000 -10.930000 ;
-        RECT 2542.930000 -13.710000 2544.110000 -12.530000 ;
-        RECT 2722.930000 -12.110000 2724.110000 -10.930000 ;
-        RECT 2722.930000 -13.710000 2724.110000 -12.530000 ;
-        RECT 2902.930000 -12.110000 2904.110000 -10.930000 ;
-        RECT 2902.930000 -13.710000 2904.110000 -12.530000 ;
-        RECT 2936.710000 -12.110000 2937.890000 -10.930000 ;
-        RECT 2936.710000 -13.710000 2937.890000 -12.530000 ;
-      LAYER met5 ;
-        RECT -19.180000 3533.500000 -16.180000 3533.510000 ;
-        RECT 22.020000 3533.500000 25.020000 3533.510000 ;
-        RECT 202.020000 3533.500000 205.020000 3533.510000 ;
-        RECT 382.020000 3533.500000 385.020000 3533.510000 ;
-        RECT 562.020000 3533.500000 565.020000 3533.510000 ;
-        RECT 742.020000 3533.500000 745.020000 3533.510000 ;
-        RECT 922.020000 3533.500000 925.020000 3533.510000 ;
-        RECT 1102.020000 3533.500000 1105.020000 3533.510000 ;
-        RECT 1282.020000 3533.500000 1285.020000 3533.510000 ;
-        RECT 1462.020000 3533.500000 1465.020000 3533.510000 ;
-        RECT 1642.020000 3533.500000 1645.020000 3533.510000 ;
-        RECT 1822.020000 3533.500000 1825.020000 3533.510000 ;
-        RECT 2002.020000 3533.500000 2005.020000 3533.510000 ;
-        RECT 2182.020000 3533.500000 2185.020000 3533.510000 ;
-        RECT 2362.020000 3533.500000 2365.020000 3533.510000 ;
-        RECT 2542.020000 3533.500000 2545.020000 3533.510000 ;
-        RECT 2722.020000 3533.500000 2725.020000 3533.510000 ;
-        RECT 2902.020000 3533.500000 2905.020000 3533.510000 ;
-        RECT 2935.800000 3533.500000 2938.800000 3533.510000 ;
-        RECT -19.180000 3530.500000 2938.800000 3533.500000 ;
-        RECT -19.180000 3530.490000 -16.180000 3530.500000 ;
-        RECT 22.020000 3530.490000 25.020000 3530.500000 ;
-        RECT 202.020000 3530.490000 205.020000 3530.500000 ;
-        RECT 382.020000 3530.490000 385.020000 3530.500000 ;
-        RECT 562.020000 3530.490000 565.020000 3530.500000 ;
-        RECT 742.020000 3530.490000 745.020000 3530.500000 ;
-        RECT 922.020000 3530.490000 925.020000 3530.500000 ;
-        RECT 1102.020000 3530.490000 1105.020000 3530.500000 ;
-        RECT 1282.020000 3530.490000 1285.020000 3530.500000 ;
-        RECT 1462.020000 3530.490000 1465.020000 3530.500000 ;
-        RECT 1642.020000 3530.490000 1645.020000 3530.500000 ;
-        RECT 1822.020000 3530.490000 1825.020000 3530.500000 ;
-        RECT 2002.020000 3530.490000 2005.020000 3530.500000 ;
-        RECT 2182.020000 3530.490000 2185.020000 3530.500000 ;
-        RECT 2362.020000 3530.490000 2365.020000 3530.500000 ;
-        RECT 2542.020000 3530.490000 2545.020000 3530.500000 ;
-        RECT 2722.020000 3530.490000 2725.020000 3530.500000 ;
-        RECT 2902.020000 3530.490000 2905.020000 3530.500000 ;
-        RECT 2935.800000 3530.490000 2938.800000 3530.500000 ;
-        RECT -19.180000 3450.380000 -16.180000 3450.390000 ;
-        RECT 2935.800000 3450.380000 2938.800000 3450.390000 ;
-        RECT -23.780000 3447.380000 -0.400000 3450.380000 ;
-        RECT 2920.400000 3447.380000 2943.400000 3450.380000 ;
-        RECT -19.180000 3447.370000 -16.180000 3447.380000 ;
-        RECT 2935.800000 3447.370000 2938.800000 3447.380000 ;
-        RECT -19.180000 3270.380000 -16.180000 3270.390000 ;
-        RECT 2935.800000 3270.380000 2938.800000 3270.390000 ;
-        RECT -23.780000 3267.380000 -0.400000 3270.380000 ;
-        RECT 2920.400000 3267.380000 2943.400000 3270.380000 ;
-        RECT -19.180000 3267.370000 -16.180000 3267.380000 ;
-        RECT 2935.800000 3267.370000 2938.800000 3267.380000 ;
-        RECT -19.180000 3090.380000 -16.180000 3090.390000 ;
-        RECT 2935.800000 3090.380000 2938.800000 3090.390000 ;
-        RECT -23.780000 3087.380000 -0.400000 3090.380000 ;
-        RECT 2920.400000 3087.380000 2943.400000 3090.380000 ;
-        RECT -19.180000 3087.370000 -16.180000 3087.380000 ;
-        RECT 2935.800000 3087.370000 2938.800000 3087.380000 ;
-        RECT -19.180000 2910.380000 -16.180000 2910.390000 ;
-        RECT 2935.800000 2910.380000 2938.800000 2910.390000 ;
-        RECT -23.780000 2907.380000 -0.400000 2910.380000 ;
-        RECT 2920.400000 2907.380000 2943.400000 2910.380000 ;
-        RECT -19.180000 2907.370000 -16.180000 2907.380000 ;
-        RECT 2935.800000 2907.370000 2938.800000 2907.380000 ;
-        RECT -19.180000 2730.380000 -16.180000 2730.390000 ;
-        RECT 2935.800000 2730.380000 2938.800000 2730.390000 ;
-        RECT -23.780000 2727.380000 -0.400000 2730.380000 ;
-        RECT 2920.400000 2727.380000 2943.400000 2730.380000 ;
-        RECT -19.180000 2727.370000 -16.180000 2727.380000 ;
-        RECT 2935.800000 2727.370000 2938.800000 2727.380000 ;
-        RECT -19.180000 2550.380000 -16.180000 2550.390000 ;
-        RECT 2935.800000 2550.380000 2938.800000 2550.390000 ;
-        RECT -23.780000 2547.380000 -0.400000 2550.380000 ;
-        RECT 2920.400000 2547.380000 2943.400000 2550.380000 ;
-        RECT -19.180000 2547.370000 -16.180000 2547.380000 ;
-        RECT 2935.800000 2547.370000 2938.800000 2547.380000 ;
-        RECT -19.180000 2370.380000 -16.180000 2370.390000 ;
-        RECT 2935.800000 2370.380000 2938.800000 2370.390000 ;
-        RECT -23.780000 2367.380000 -0.400000 2370.380000 ;
-        RECT 2920.400000 2367.380000 2943.400000 2370.380000 ;
-        RECT -19.180000 2367.370000 -16.180000 2367.380000 ;
-        RECT 2935.800000 2367.370000 2938.800000 2367.380000 ;
-        RECT -19.180000 2190.380000 -16.180000 2190.390000 ;
-        RECT 2935.800000 2190.380000 2938.800000 2190.390000 ;
-        RECT -23.780000 2187.380000 -0.400000 2190.380000 ;
-        RECT 2920.400000 2187.380000 2943.400000 2190.380000 ;
-        RECT -19.180000 2187.370000 -16.180000 2187.380000 ;
-        RECT 2935.800000 2187.370000 2938.800000 2187.380000 ;
-        RECT -19.180000 2010.380000 -16.180000 2010.390000 ;
-        RECT 2935.800000 2010.380000 2938.800000 2010.390000 ;
-        RECT -23.780000 2007.380000 -0.400000 2010.380000 ;
-        RECT 2920.400000 2007.380000 2943.400000 2010.380000 ;
-        RECT -19.180000 2007.370000 -16.180000 2007.380000 ;
-        RECT 2935.800000 2007.370000 2938.800000 2007.380000 ;
-        RECT -19.180000 1830.380000 -16.180000 1830.390000 ;
-        RECT 2935.800000 1830.380000 2938.800000 1830.390000 ;
-        RECT -23.780000 1827.380000 -0.400000 1830.380000 ;
-        RECT 2920.400000 1827.380000 2943.400000 1830.380000 ;
-        RECT -19.180000 1827.370000 -16.180000 1827.380000 ;
-        RECT 2935.800000 1827.370000 2938.800000 1827.380000 ;
-        RECT -19.180000 1650.380000 -16.180000 1650.390000 ;
-        RECT 2935.800000 1650.380000 2938.800000 1650.390000 ;
-        RECT -23.780000 1647.380000 -0.400000 1650.380000 ;
-        RECT 2920.400000 1647.380000 2943.400000 1650.380000 ;
-        RECT -19.180000 1647.370000 -16.180000 1647.380000 ;
-        RECT 2935.800000 1647.370000 2938.800000 1647.380000 ;
-        RECT -19.180000 1470.380000 -16.180000 1470.390000 ;
-        RECT 2935.800000 1470.380000 2938.800000 1470.390000 ;
-        RECT -23.780000 1467.380000 -0.400000 1470.380000 ;
-        RECT 2920.400000 1467.380000 2943.400000 1470.380000 ;
-        RECT -19.180000 1467.370000 -16.180000 1467.380000 ;
-        RECT 2935.800000 1467.370000 2938.800000 1467.380000 ;
-        RECT -19.180000 1290.380000 -16.180000 1290.390000 ;
-        RECT 2935.800000 1290.380000 2938.800000 1290.390000 ;
-        RECT -23.780000 1287.380000 -0.400000 1290.380000 ;
-        RECT 2920.400000 1287.380000 2943.400000 1290.380000 ;
-        RECT -19.180000 1287.370000 -16.180000 1287.380000 ;
-        RECT 2935.800000 1287.370000 2938.800000 1287.380000 ;
-        RECT -19.180000 1110.380000 -16.180000 1110.390000 ;
-        RECT 2935.800000 1110.380000 2938.800000 1110.390000 ;
-        RECT -23.780000 1107.380000 -0.400000 1110.380000 ;
-        RECT 2920.400000 1107.380000 2943.400000 1110.380000 ;
-        RECT -19.180000 1107.370000 -16.180000 1107.380000 ;
-        RECT 2935.800000 1107.370000 2938.800000 1107.380000 ;
-        RECT -19.180000 930.380000 -16.180000 930.390000 ;
-        RECT 2935.800000 930.380000 2938.800000 930.390000 ;
-        RECT -23.780000 927.380000 -0.400000 930.380000 ;
-        RECT 2920.400000 927.380000 2943.400000 930.380000 ;
-        RECT -19.180000 927.370000 -16.180000 927.380000 ;
-        RECT 2935.800000 927.370000 2938.800000 927.380000 ;
-        RECT -19.180000 750.380000 -16.180000 750.390000 ;
-        RECT 2935.800000 750.380000 2938.800000 750.390000 ;
-        RECT -23.780000 747.380000 -0.400000 750.380000 ;
-        RECT 2920.400000 747.380000 2943.400000 750.380000 ;
-        RECT -19.180000 747.370000 -16.180000 747.380000 ;
-        RECT 2935.800000 747.370000 2938.800000 747.380000 ;
-        RECT -19.180000 570.380000 -16.180000 570.390000 ;
-        RECT 2935.800000 570.380000 2938.800000 570.390000 ;
-        RECT -23.780000 567.380000 -0.400000 570.380000 ;
-        RECT 2920.400000 567.380000 2943.400000 570.380000 ;
-        RECT -19.180000 567.370000 -16.180000 567.380000 ;
-        RECT 2935.800000 567.370000 2938.800000 567.380000 ;
-        RECT -19.180000 390.380000 -16.180000 390.390000 ;
-        RECT 2935.800000 390.380000 2938.800000 390.390000 ;
-        RECT -23.780000 387.380000 -0.400000 390.380000 ;
-        RECT 2920.400000 387.380000 2943.400000 390.380000 ;
-        RECT -19.180000 387.370000 -16.180000 387.380000 ;
-        RECT 2935.800000 387.370000 2938.800000 387.380000 ;
-        RECT -19.180000 210.380000 -16.180000 210.390000 ;
-        RECT 2935.800000 210.380000 2938.800000 210.390000 ;
-        RECT -23.780000 207.380000 -0.400000 210.380000 ;
-        RECT 2920.400000 207.380000 2943.400000 210.380000 ;
-        RECT -19.180000 207.370000 -16.180000 207.380000 ;
-        RECT 2935.800000 207.370000 2938.800000 207.380000 ;
-        RECT -19.180000 30.380000 -16.180000 30.390000 ;
-        RECT 2935.800000 30.380000 2938.800000 30.390000 ;
-        RECT -23.780000 27.380000 -0.400000 30.380000 ;
-        RECT 2920.400000 27.380000 2943.400000 30.380000 ;
-        RECT -19.180000 27.370000 -16.180000 27.380000 ;
-        RECT 2935.800000 27.370000 2938.800000 27.380000 ;
-        RECT -19.180000 -10.820000 -16.180000 -10.810000 ;
-        RECT 22.020000 -10.820000 25.020000 -10.810000 ;
-        RECT 202.020000 -10.820000 205.020000 -10.810000 ;
-        RECT 382.020000 -10.820000 385.020000 -10.810000 ;
-        RECT 562.020000 -10.820000 565.020000 -10.810000 ;
-        RECT 742.020000 -10.820000 745.020000 -10.810000 ;
-        RECT 922.020000 -10.820000 925.020000 -10.810000 ;
-        RECT 1102.020000 -10.820000 1105.020000 -10.810000 ;
-        RECT 1282.020000 -10.820000 1285.020000 -10.810000 ;
-        RECT 1462.020000 -10.820000 1465.020000 -10.810000 ;
-        RECT 1642.020000 -10.820000 1645.020000 -10.810000 ;
-        RECT 1822.020000 -10.820000 1825.020000 -10.810000 ;
-        RECT 2002.020000 -10.820000 2005.020000 -10.810000 ;
-        RECT 2182.020000 -10.820000 2185.020000 -10.810000 ;
-        RECT 2362.020000 -10.820000 2365.020000 -10.810000 ;
-        RECT 2542.020000 -10.820000 2545.020000 -10.810000 ;
-        RECT 2722.020000 -10.820000 2725.020000 -10.810000 ;
-        RECT 2902.020000 -10.820000 2905.020000 -10.810000 ;
-        RECT 2935.800000 -10.820000 2938.800000 -10.810000 ;
-        RECT -19.180000 -13.820000 2938.800000 -10.820000 ;
-        RECT -19.180000 -13.830000 -16.180000 -13.820000 ;
-        RECT 22.020000 -13.830000 25.020000 -13.820000 ;
-        RECT 202.020000 -13.830000 205.020000 -13.820000 ;
-        RECT 382.020000 -13.830000 385.020000 -13.820000 ;
-        RECT 562.020000 -13.830000 565.020000 -13.820000 ;
-        RECT 742.020000 -13.830000 745.020000 -13.820000 ;
-        RECT 922.020000 -13.830000 925.020000 -13.820000 ;
-        RECT 1102.020000 -13.830000 1105.020000 -13.820000 ;
-        RECT 1282.020000 -13.830000 1285.020000 -13.820000 ;
-        RECT 1462.020000 -13.830000 1465.020000 -13.820000 ;
-        RECT 1642.020000 -13.830000 1645.020000 -13.820000 ;
-        RECT 1822.020000 -13.830000 1825.020000 -13.820000 ;
-        RECT 2002.020000 -13.830000 2005.020000 -13.820000 ;
-        RECT 2182.020000 -13.830000 2185.020000 -13.820000 ;
-        RECT 2362.020000 -13.830000 2365.020000 -13.820000 ;
-        RECT 2542.020000 -13.830000 2545.020000 -13.820000 ;
-        RECT 2722.020000 -13.830000 2725.020000 -13.820000 ;
-        RECT 2902.020000 -13.830000 2905.020000 -13.820000 ;
-        RECT 2935.800000 -13.830000 2938.800000 -13.820000 ;
-    END
-  END vccd2
-  PIN vssd2
-    DIRECTION INPUT ;
-    PORT
-      LAYER met4 ;
-        RECT -23.780000 -18.420000 -20.780000 3538.100000 ;
-        RECT 112.020000 3520.400000 115.020000 3538.100000 ;
-        RECT 292.020000 3520.400000 295.020000 3538.100000 ;
-        RECT 472.020000 3520.400000 475.020000 3538.100000 ;
-        RECT 652.020000 3520.400000 655.020000 3538.100000 ;
-        RECT 832.020000 3520.400000 835.020000 3538.100000 ;
-        RECT 1012.020000 3520.400000 1015.020000 3538.100000 ;
-        RECT 1192.020000 3520.400000 1195.020000 3538.100000 ;
-        RECT 1372.020000 3520.400000 1375.020000 3538.100000 ;
-        RECT 1552.020000 3520.400000 1555.020000 3538.100000 ;
-        RECT 1732.020000 3520.400000 1735.020000 3538.100000 ;
-        RECT 1912.020000 3520.400000 1915.020000 3538.100000 ;
-        RECT 2092.020000 3520.400000 2095.020000 3538.100000 ;
-        RECT 2272.020000 3520.400000 2275.020000 3538.100000 ;
-        RECT 2452.020000 3520.400000 2455.020000 3538.100000 ;
-        RECT 2632.020000 3520.400000 2635.020000 3538.100000 ;
-        RECT 2812.020000 3520.400000 2815.020000 3538.100000 ;
-        RECT 112.020000 -18.420000 115.020000 -0.400000 ;
-        RECT 292.020000 -18.420000 295.020000 -0.400000 ;
-        RECT 472.020000 -18.420000 475.020000 -0.400000 ;
-        RECT 652.020000 -18.420000 655.020000 -0.400000 ;
-        RECT 832.020000 -18.420000 835.020000 -0.400000 ;
-        RECT 1012.020000 -18.420000 1015.020000 -0.400000 ;
-        RECT 1192.020000 -18.420000 1195.020000 -0.400000 ;
-        RECT 1372.020000 -18.420000 1375.020000 -0.400000 ;
-        RECT 1552.020000 -18.420000 1555.020000 -0.400000 ;
-        RECT 1732.020000 -18.420000 1735.020000 -0.400000 ;
-        RECT 1912.020000 -18.420000 1915.020000 -0.400000 ;
-        RECT 2092.020000 -18.420000 2095.020000 -0.400000 ;
-        RECT 2272.020000 -18.420000 2275.020000 -0.400000 ;
-        RECT 2452.020000 -18.420000 2455.020000 -0.400000 ;
-        RECT 2632.020000 -18.420000 2635.020000 -0.400000 ;
-        RECT 2812.020000 -18.420000 2815.020000 -0.400000 ;
-        RECT 2940.400000 -18.420000 2943.400000 3538.100000 ;
-      LAYER M4M5_PR_C ;
-        RECT -22.870000 3536.810000 -21.690000 3537.990000 ;
-        RECT -22.870000 3535.210000 -21.690000 3536.390000 ;
-        RECT 112.930000 3536.810000 114.110000 3537.990000 ;
-        RECT 112.930000 3535.210000 114.110000 3536.390000 ;
-        RECT 292.930000 3536.810000 294.110000 3537.990000 ;
-        RECT 292.930000 3535.210000 294.110000 3536.390000 ;
-        RECT 472.930000 3536.810000 474.110000 3537.990000 ;
-        RECT 472.930000 3535.210000 474.110000 3536.390000 ;
-        RECT 652.930000 3536.810000 654.110000 3537.990000 ;
-        RECT 652.930000 3535.210000 654.110000 3536.390000 ;
-        RECT 832.930000 3536.810000 834.110000 3537.990000 ;
-        RECT 832.930000 3535.210000 834.110000 3536.390000 ;
-        RECT 1012.930000 3536.810000 1014.110000 3537.990000 ;
-        RECT 1012.930000 3535.210000 1014.110000 3536.390000 ;
-        RECT 1192.930000 3536.810000 1194.110000 3537.990000 ;
-        RECT 1192.930000 3535.210000 1194.110000 3536.390000 ;
-        RECT 1372.930000 3536.810000 1374.110000 3537.990000 ;
-        RECT 1372.930000 3535.210000 1374.110000 3536.390000 ;
-        RECT 1552.930000 3536.810000 1554.110000 3537.990000 ;
-        RECT 1552.930000 3535.210000 1554.110000 3536.390000 ;
-        RECT 1732.930000 3536.810000 1734.110000 3537.990000 ;
-        RECT 1732.930000 3535.210000 1734.110000 3536.390000 ;
-        RECT 1912.930000 3536.810000 1914.110000 3537.990000 ;
-        RECT 1912.930000 3535.210000 1914.110000 3536.390000 ;
-        RECT 2092.930000 3536.810000 2094.110000 3537.990000 ;
-        RECT 2092.930000 3535.210000 2094.110000 3536.390000 ;
-        RECT 2272.930000 3536.810000 2274.110000 3537.990000 ;
-        RECT 2272.930000 3535.210000 2274.110000 3536.390000 ;
-        RECT 2452.930000 3536.810000 2454.110000 3537.990000 ;
-        RECT 2452.930000 3535.210000 2454.110000 3536.390000 ;
-        RECT 2632.930000 3536.810000 2634.110000 3537.990000 ;
-        RECT 2632.930000 3535.210000 2634.110000 3536.390000 ;
-        RECT 2812.930000 3536.810000 2814.110000 3537.990000 ;
-        RECT 2812.930000 3535.210000 2814.110000 3536.390000 ;
-        RECT 2941.310000 3536.810000 2942.490000 3537.990000 ;
-        RECT 2941.310000 3535.210000 2942.490000 3536.390000 ;
-        RECT -22.870000 3359.090000 -21.690000 3360.270000 ;
-        RECT -22.870000 3357.490000 -21.690000 3358.670000 ;
-        RECT -22.870000 3179.090000 -21.690000 3180.270000 ;
-        RECT -22.870000 3177.490000 -21.690000 3178.670000 ;
-        RECT -22.870000 2999.090000 -21.690000 3000.270000 ;
-        RECT -22.870000 2997.490000 -21.690000 2998.670000 ;
-        RECT -22.870000 2819.090000 -21.690000 2820.270000 ;
-        RECT -22.870000 2817.490000 -21.690000 2818.670000 ;
-        RECT -22.870000 2639.090000 -21.690000 2640.270000 ;
-        RECT -22.870000 2637.490000 -21.690000 2638.670000 ;
-        RECT -22.870000 2459.090000 -21.690000 2460.270000 ;
-        RECT -22.870000 2457.490000 -21.690000 2458.670000 ;
-        RECT -22.870000 2279.090000 -21.690000 2280.270000 ;
-        RECT -22.870000 2277.490000 -21.690000 2278.670000 ;
-        RECT -22.870000 2099.090000 -21.690000 2100.270000 ;
-        RECT -22.870000 2097.490000 -21.690000 2098.670000 ;
-        RECT -22.870000 1919.090000 -21.690000 1920.270000 ;
-        RECT -22.870000 1917.490000 -21.690000 1918.670000 ;
-        RECT -22.870000 1739.090000 -21.690000 1740.270000 ;
-        RECT -22.870000 1737.490000 -21.690000 1738.670000 ;
-        RECT -22.870000 1559.090000 -21.690000 1560.270000 ;
-        RECT -22.870000 1557.490000 -21.690000 1558.670000 ;
-        RECT -22.870000 1379.090000 -21.690000 1380.270000 ;
-        RECT -22.870000 1377.490000 -21.690000 1378.670000 ;
-        RECT -22.870000 1199.090000 -21.690000 1200.270000 ;
-        RECT -22.870000 1197.490000 -21.690000 1198.670000 ;
-        RECT -22.870000 1019.090000 -21.690000 1020.270000 ;
-        RECT -22.870000 1017.490000 -21.690000 1018.670000 ;
-        RECT -22.870000 839.090000 -21.690000 840.270000 ;
-        RECT -22.870000 837.490000 -21.690000 838.670000 ;
-        RECT -22.870000 659.090000 -21.690000 660.270000 ;
-        RECT -22.870000 657.490000 -21.690000 658.670000 ;
-        RECT -22.870000 479.090000 -21.690000 480.270000 ;
-        RECT -22.870000 477.490000 -21.690000 478.670000 ;
-        RECT -22.870000 299.090000 -21.690000 300.270000 ;
-        RECT -22.870000 297.490000 -21.690000 298.670000 ;
-        RECT -22.870000 119.090000 -21.690000 120.270000 ;
-        RECT -22.870000 117.490000 -21.690000 118.670000 ;
-        RECT 2941.310000 3359.090000 2942.490000 3360.270000 ;
-        RECT 2941.310000 3357.490000 2942.490000 3358.670000 ;
-        RECT 2941.310000 3179.090000 2942.490000 3180.270000 ;
-        RECT 2941.310000 3177.490000 2942.490000 3178.670000 ;
-        RECT 2941.310000 2999.090000 2942.490000 3000.270000 ;
-        RECT 2941.310000 2997.490000 2942.490000 2998.670000 ;
-        RECT 2941.310000 2819.090000 2942.490000 2820.270000 ;
-        RECT 2941.310000 2817.490000 2942.490000 2818.670000 ;
-        RECT 2941.310000 2639.090000 2942.490000 2640.270000 ;
-        RECT 2941.310000 2637.490000 2942.490000 2638.670000 ;
-        RECT 2941.310000 2459.090000 2942.490000 2460.270000 ;
-        RECT 2941.310000 2457.490000 2942.490000 2458.670000 ;
-        RECT 2941.310000 2279.090000 2942.490000 2280.270000 ;
-        RECT 2941.310000 2277.490000 2942.490000 2278.670000 ;
-        RECT 2941.310000 2099.090000 2942.490000 2100.270000 ;
-        RECT 2941.310000 2097.490000 2942.490000 2098.670000 ;
-        RECT 2941.310000 1919.090000 2942.490000 1920.270000 ;
-        RECT 2941.310000 1917.490000 2942.490000 1918.670000 ;
-        RECT 2941.310000 1739.090000 2942.490000 1740.270000 ;
-        RECT 2941.310000 1737.490000 2942.490000 1738.670000 ;
-        RECT 2941.310000 1559.090000 2942.490000 1560.270000 ;
-        RECT 2941.310000 1557.490000 2942.490000 1558.670000 ;
-        RECT 2941.310000 1379.090000 2942.490000 1380.270000 ;
-        RECT 2941.310000 1377.490000 2942.490000 1378.670000 ;
-        RECT 2941.310000 1199.090000 2942.490000 1200.270000 ;
-        RECT 2941.310000 1197.490000 2942.490000 1198.670000 ;
-        RECT 2941.310000 1019.090000 2942.490000 1020.270000 ;
-        RECT 2941.310000 1017.490000 2942.490000 1018.670000 ;
-        RECT 2941.310000 839.090000 2942.490000 840.270000 ;
-        RECT 2941.310000 837.490000 2942.490000 838.670000 ;
-        RECT 2941.310000 659.090000 2942.490000 660.270000 ;
-        RECT 2941.310000 657.490000 2942.490000 658.670000 ;
-        RECT 2941.310000 479.090000 2942.490000 480.270000 ;
-        RECT 2941.310000 477.490000 2942.490000 478.670000 ;
-        RECT 2941.310000 299.090000 2942.490000 300.270000 ;
-        RECT 2941.310000 297.490000 2942.490000 298.670000 ;
-        RECT 2941.310000 119.090000 2942.490000 120.270000 ;
-        RECT 2941.310000 117.490000 2942.490000 118.670000 ;
-        RECT -22.870000 -16.710000 -21.690000 -15.530000 ;
-        RECT -22.870000 -18.310000 -21.690000 -17.130000 ;
-        RECT 112.930000 -16.710000 114.110000 -15.530000 ;
-        RECT 112.930000 -18.310000 114.110000 -17.130000 ;
-        RECT 292.930000 -16.710000 294.110000 -15.530000 ;
-        RECT 292.930000 -18.310000 294.110000 -17.130000 ;
-        RECT 472.930000 -16.710000 474.110000 -15.530000 ;
-        RECT 472.930000 -18.310000 474.110000 -17.130000 ;
-        RECT 652.930000 -16.710000 654.110000 -15.530000 ;
-        RECT 652.930000 -18.310000 654.110000 -17.130000 ;
-        RECT 832.930000 -16.710000 834.110000 -15.530000 ;
-        RECT 832.930000 -18.310000 834.110000 -17.130000 ;
-        RECT 1012.930000 -16.710000 1014.110000 -15.530000 ;
-        RECT 1012.930000 -18.310000 1014.110000 -17.130000 ;
-        RECT 1192.930000 -16.710000 1194.110000 -15.530000 ;
-        RECT 1192.930000 -18.310000 1194.110000 -17.130000 ;
-        RECT 1372.930000 -16.710000 1374.110000 -15.530000 ;
-        RECT 1372.930000 -18.310000 1374.110000 -17.130000 ;
-        RECT 1552.930000 -16.710000 1554.110000 -15.530000 ;
-        RECT 1552.930000 -18.310000 1554.110000 -17.130000 ;
-        RECT 1732.930000 -16.710000 1734.110000 -15.530000 ;
-        RECT 1732.930000 -18.310000 1734.110000 -17.130000 ;
-        RECT 1912.930000 -16.710000 1914.110000 -15.530000 ;
-        RECT 1912.930000 -18.310000 1914.110000 -17.130000 ;
-        RECT 2092.930000 -16.710000 2094.110000 -15.530000 ;
-        RECT 2092.930000 -18.310000 2094.110000 -17.130000 ;
-        RECT 2272.930000 -16.710000 2274.110000 -15.530000 ;
-        RECT 2272.930000 -18.310000 2274.110000 -17.130000 ;
-        RECT 2452.930000 -16.710000 2454.110000 -15.530000 ;
-        RECT 2452.930000 -18.310000 2454.110000 -17.130000 ;
-        RECT 2632.930000 -16.710000 2634.110000 -15.530000 ;
-        RECT 2632.930000 -18.310000 2634.110000 -17.130000 ;
-        RECT 2812.930000 -16.710000 2814.110000 -15.530000 ;
-        RECT 2812.930000 -18.310000 2814.110000 -17.130000 ;
-        RECT 2941.310000 -16.710000 2942.490000 -15.530000 ;
-        RECT 2941.310000 -18.310000 2942.490000 -17.130000 ;
-      LAYER met5 ;
-        RECT -23.780000 3538.100000 -20.780000 3538.110000 ;
-        RECT 112.020000 3538.100000 115.020000 3538.110000 ;
-        RECT 292.020000 3538.100000 295.020000 3538.110000 ;
-        RECT 472.020000 3538.100000 475.020000 3538.110000 ;
-        RECT 652.020000 3538.100000 655.020000 3538.110000 ;
-        RECT 832.020000 3538.100000 835.020000 3538.110000 ;
-        RECT 1012.020000 3538.100000 1015.020000 3538.110000 ;
-        RECT 1192.020000 3538.100000 1195.020000 3538.110000 ;
-        RECT 1372.020000 3538.100000 1375.020000 3538.110000 ;
-        RECT 1552.020000 3538.100000 1555.020000 3538.110000 ;
-        RECT 1732.020000 3538.100000 1735.020000 3538.110000 ;
-        RECT 1912.020000 3538.100000 1915.020000 3538.110000 ;
-        RECT 2092.020000 3538.100000 2095.020000 3538.110000 ;
-        RECT 2272.020000 3538.100000 2275.020000 3538.110000 ;
-        RECT 2452.020000 3538.100000 2455.020000 3538.110000 ;
-        RECT 2632.020000 3538.100000 2635.020000 3538.110000 ;
-        RECT 2812.020000 3538.100000 2815.020000 3538.110000 ;
-        RECT 2940.400000 3538.100000 2943.400000 3538.110000 ;
-        RECT -23.780000 3535.100000 2943.400000 3538.100000 ;
-        RECT -23.780000 3535.090000 -20.780000 3535.100000 ;
-        RECT 112.020000 3535.090000 115.020000 3535.100000 ;
-        RECT 292.020000 3535.090000 295.020000 3535.100000 ;
-        RECT 472.020000 3535.090000 475.020000 3535.100000 ;
-        RECT 652.020000 3535.090000 655.020000 3535.100000 ;
-        RECT 832.020000 3535.090000 835.020000 3535.100000 ;
-        RECT 1012.020000 3535.090000 1015.020000 3535.100000 ;
-        RECT 1192.020000 3535.090000 1195.020000 3535.100000 ;
-        RECT 1372.020000 3535.090000 1375.020000 3535.100000 ;
-        RECT 1552.020000 3535.090000 1555.020000 3535.100000 ;
-        RECT 1732.020000 3535.090000 1735.020000 3535.100000 ;
-        RECT 1912.020000 3535.090000 1915.020000 3535.100000 ;
-        RECT 2092.020000 3535.090000 2095.020000 3535.100000 ;
-        RECT 2272.020000 3535.090000 2275.020000 3535.100000 ;
-        RECT 2452.020000 3535.090000 2455.020000 3535.100000 ;
-        RECT 2632.020000 3535.090000 2635.020000 3535.100000 ;
-        RECT 2812.020000 3535.090000 2815.020000 3535.100000 ;
-        RECT 2940.400000 3535.090000 2943.400000 3535.100000 ;
-        RECT -23.780000 3360.380000 -20.780000 3360.390000 ;
-        RECT 2940.400000 3360.380000 2943.400000 3360.390000 ;
-        RECT -23.780000 3357.380000 -0.400000 3360.380000 ;
-        RECT 2920.400000 3357.380000 2943.400000 3360.380000 ;
-        RECT -23.780000 3357.370000 -20.780000 3357.380000 ;
-        RECT 2940.400000 3357.370000 2943.400000 3357.380000 ;
-        RECT -23.780000 3180.380000 -20.780000 3180.390000 ;
-        RECT 2940.400000 3180.380000 2943.400000 3180.390000 ;
-        RECT -23.780000 3177.380000 -0.400000 3180.380000 ;
-        RECT 2920.400000 3177.380000 2943.400000 3180.380000 ;
-        RECT -23.780000 3177.370000 -20.780000 3177.380000 ;
-        RECT 2940.400000 3177.370000 2943.400000 3177.380000 ;
-        RECT -23.780000 3000.380000 -20.780000 3000.390000 ;
-        RECT 2940.400000 3000.380000 2943.400000 3000.390000 ;
-        RECT -23.780000 2997.380000 -0.400000 3000.380000 ;
-        RECT 2920.400000 2997.380000 2943.400000 3000.380000 ;
-        RECT -23.780000 2997.370000 -20.780000 2997.380000 ;
-        RECT 2940.400000 2997.370000 2943.400000 2997.380000 ;
-        RECT -23.780000 2820.380000 -20.780000 2820.390000 ;
-        RECT 2940.400000 2820.380000 2943.400000 2820.390000 ;
-        RECT -23.780000 2817.380000 -0.400000 2820.380000 ;
-        RECT 2920.400000 2817.380000 2943.400000 2820.380000 ;
-        RECT -23.780000 2817.370000 -20.780000 2817.380000 ;
-        RECT 2940.400000 2817.370000 2943.400000 2817.380000 ;
-        RECT -23.780000 2640.380000 -20.780000 2640.390000 ;
-        RECT 2940.400000 2640.380000 2943.400000 2640.390000 ;
-        RECT -23.780000 2637.380000 -0.400000 2640.380000 ;
-        RECT 2920.400000 2637.380000 2943.400000 2640.380000 ;
-        RECT -23.780000 2637.370000 -20.780000 2637.380000 ;
-        RECT 2940.400000 2637.370000 2943.400000 2637.380000 ;
-        RECT -23.780000 2460.380000 -20.780000 2460.390000 ;
-        RECT 2940.400000 2460.380000 2943.400000 2460.390000 ;
-        RECT -23.780000 2457.380000 -0.400000 2460.380000 ;
-        RECT 2920.400000 2457.380000 2943.400000 2460.380000 ;
-        RECT -23.780000 2457.370000 -20.780000 2457.380000 ;
-        RECT 2940.400000 2457.370000 2943.400000 2457.380000 ;
-        RECT -23.780000 2280.380000 -20.780000 2280.390000 ;
-        RECT 2940.400000 2280.380000 2943.400000 2280.390000 ;
-        RECT -23.780000 2277.380000 -0.400000 2280.380000 ;
-        RECT 2920.400000 2277.380000 2943.400000 2280.380000 ;
-        RECT -23.780000 2277.370000 -20.780000 2277.380000 ;
-        RECT 2940.400000 2277.370000 2943.400000 2277.380000 ;
-        RECT -23.780000 2100.380000 -20.780000 2100.390000 ;
-        RECT 2940.400000 2100.380000 2943.400000 2100.390000 ;
-        RECT -23.780000 2097.380000 -0.400000 2100.380000 ;
-        RECT 2920.400000 2097.380000 2943.400000 2100.380000 ;
-        RECT -23.780000 2097.370000 -20.780000 2097.380000 ;
-        RECT 2940.400000 2097.370000 2943.400000 2097.380000 ;
-        RECT -23.780000 1920.380000 -20.780000 1920.390000 ;
-        RECT 2940.400000 1920.380000 2943.400000 1920.390000 ;
-        RECT -23.780000 1917.380000 -0.400000 1920.380000 ;
-        RECT 2920.400000 1917.380000 2943.400000 1920.380000 ;
-        RECT -23.780000 1917.370000 -20.780000 1917.380000 ;
-        RECT 2940.400000 1917.370000 2943.400000 1917.380000 ;
-        RECT -23.780000 1740.380000 -20.780000 1740.390000 ;
-        RECT 2940.400000 1740.380000 2943.400000 1740.390000 ;
-        RECT -23.780000 1737.380000 -0.400000 1740.380000 ;
-        RECT 2920.400000 1737.380000 2943.400000 1740.380000 ;
-        RECT -23.780000 1737.370000 -20.780000 1737.380000 ;
-        RECT 2940.400000 1737.370000 2943.400000 1737.380000 ;
-        RECT -23.780000 1560.380000 -20.780000 1560.390000 ;
-        RECT 2940.400000 1560.380000 2943.400000 1560.390000 ;
-        RECT -23.780000 1557.380000 -0.400000 1560.380000 ;
-        RECT 2920.400000 1557.380000 2943.400000 1560.380000 ;
-        RECT -23.780000 1557.370000 -20.780000 1557.380000 ;
-        RECT 2940.400000 1557.370000 2943.400000 1557.380000 ;
-        RECT -23.780000 1380.380000 -20.780000 1380.390000 ;
-        RECT 2940.400000 1380.380000 2943.400000 1380.390000 ;
-        RECT -23.780000 1377.380000 -0.400000 1380.380000 ;
-        RECT 2920.400000 1377.380000 2943.400000 1380.380000 ;
-        RECT -23.780000 1377.370000 -20.780000 1377.380000 ;
-        RECT 2940.400000 1377.370000 2943.400000 1377.380000 ;
-        RECT -23.780000 1200.380000 -20.780000 1200.390000 ;
-        RECT 2940.400000 1200.380000 2943.400000 1200.390000 ;
-        RECT -23.780000 1197.380000 -0.400000 1200.380000 ;
-        RECT 2920.400000 1197.380000 2943.400000 1200.380000 ;
-        RECT -23.780000 1197.370000 -20.780000 1197.380000 ;
-        RECT 2940.400000 1197.370000 2943.400000 1197.380000 ;
-        RECT -23.780000 1020.380000 -20.780000 1020.390000 ;
-        RECT 2940.400000 1020.380000 2943.400000 1020.390000 ;
-        RECT -23.780000 1017.380000 -0.400000 1020.380000 ;
-        RECT 2920.400000 1017.380000 2943.400000 1020.380000 ;
-        RECT -23.780000 1017.370000 -20.780000 1017.380000 ;
-        RECT 2940.400000 1017.370000 2943.400000 1017.380000 ;
-        RECT -23.780000 840.380000 -20.780000 840.390000 ;
-        RECT 2940.400000 840.380000 2943.400000 840.390000 ;
-        RECT -23.780000 837.380000 -0.400000 840.380000 ;
-        RECT 2920.400000 837.380000 2943.400000 840.380000 ;
-        RECT -23.780000 837.370000 -20.780000 837.380000 ;
-        RECT 2940.400000 837.370000 2943.400000 837.380000 ;
-        RECT -23.780000 660.380000 -20.780000 660.390000 ;
-        RECT 2940.400000 660.380000 2943.400000 660.390000 ;
-        RECT -23.780000 657.380000 -0.400000 660.380000 ;
-        RECT 2920.400000 657.380000 2943.400000 660.380000 ;
-        RECT -23.780000 657.370000 -20.780000 657.380000 ;
-        RECT 2940.400000 657.370000 2943.400000 657.380000 ;
-        RECT -23.780000 480.380000 -20.780000 480.390000 ;
-        RECT 2940.400000 480.380000 2943.400000 480.390000 ;
-        RECT -23.780000 477.380000 -0.400000 480.380000 ;
-        RECT 2920.400000 477.380000 2943.400000 480.380000 ;
-        RECT -23.780000 477.370000 -20.780000 477.380000 ;
-        RECT 2940.400000 477.370000 2943.400000 477.380000 ;
-        RECT -23.780000 300.380000 -20.780000 300.390000 ;
-        RECT 2940.400000 300.380000 2943.400000 300.390000 ;
-        RECT -23.780000 297.380000 -0.400000 300.380000 ;
-        RECT 2920.400000 297.380000 2943.400000 300.380000 ;
-        RECT -23.780000 297.370000 -20.780000 297.380000 ;
-        RECT 2940.400000 297.370000 2943.400000 297.380000 ;
-        RECT -23.780000 120.380000 -20.780000 120.390000 ;
-        RECT 2940.400000 120.380000 2943.400000 120.390000 ;
-        RECT -23.780000 117.380000 -0.400000 120.380000 ;
-        RECT 2920.400000 117.380000 2943.400000 120.380000 ;
-        RECT -23.780000 117.370000 -20.780000 117.380000 ;
-        RECT 2940.400000 117.370000 2943.400000 117.380000 ;
-        RECT -23.780000 -15.420000 -20.780000 -15.410000 ;
-        RECT 112.020000 -15.420000 115.020000 -15.410000 ;
-        RECT 292.020000 -15.420000 295.020000 -15.410000 ;
-        RECT 472.020000 -15.420000 475.020000 -15.410000 ;
-        RECT 652.020000 -15.420000 655.020000 -15.410000 ;
-        RECT 832.020000 -15.420000 835.020000 -15.410000 ;
-        RECT 1012.020000 -15.420000 1015.020000 -15.410000 ;
-        RECT 1192.020000 -15.420000 1195.020000 -15.410000 ;
-        RECT 1372.020000 -15.420000 1375.020000 -15.410000 ;
-        RECT 1552.020000 -15.420000 1555.020000 -15.410000 ;
-        RECT 1732.020000 -15.420000 1735.020000 -15.410000 ;
-        RECT 1912.020000 -15.420000 1915.020000 -15.410000 ;
-        RECT 2092.020000 -15.420000 2095.020000 -15.410000 ;
-        RECT 2272.020000 -15.420000 2275.020000 -15.410000 ;
-        RECT 2452.020000 -15.420000 2455.020000 -15.410000 ;
-        RECT 2632.020000 -15.420000 2635.020000 -15.410000 ;
-        RECT 2812.020000 -15.420000 2815.020000 -15.410000 ;
-        RECT 2940.400000 -15.420000 2943.400000 -15.410000 ;
-        RECT -23.780000 -18.420000 2943.400000 -15.420000 ;
-        RECT -23.780000 -18.430000 -20.780000 -18.420000 ;
-        RECT 112.020000 -18.430000 115.020000 -18.420000 ;
-        RECT 292.020000 -18.430000 295.020000 -18.420000 ;
-        RECT 472.020000 -18.430000 475.020000 -18.420000 ;
-        RECT 652.020000 -18.430000 655.020000 -18.420000 ;
-        RECT 832.020000 -18.430000 835.020000 -18.420000 ;
-        RECT 1012.020000 -18.430000 1015.020000 -18.420000 ;
-        RECT 1192.020000 -18.430000 1195.020000 -18.420000 ;
-        RECT 1372.020000 -18.430000 1375.020000 -18.420000 ;
-        RECT 1552.020000 -18.430000 1555.020000 -18.420000 ;
-        RECT 1732.020000 -18.430000 1735.020000 -18.420000 ;
-        RECT 1912.020000 -18.430000 1915.020000 -18.420000 ;
-        RECT 2092.020000 -18.430000 2095.020000 -18.420000 ;
-        RECT 2272.020000 -18.430000 2275.020000 -18.420000 ;
-        RECT 2452.020000 -18.430000 2455.020000 -18.420000 ;
-        RECT 2632.020000 -18.430000 2635.020000 -18.420000 ;
-        RECT 2812.020000 -18.430000 2815.020000 -18.420000 ;
-        RECT 2940.400000 -18.430000 2943.400000 -18.420000 ;
-    END
-  END vssd2
-  PIN vdda1
-    DIRECTION INPUT ;
-    PORT
-      LAYER met4 ;
-        RECT -28.380000 -23.020000 -25.380000 3542.700000 ;
-        RECT 40.020000 3520.400000 43.020000 3547.300000 ;
-        RECT 220.020000 3520.400000 223.020000 3547.300000 ;
-        RECT 400.020000 3520.400000 403.020000 3547.300000 ;
-        RECT 580.020000 3520.400000 583.020000 3547.300000 ;
-        RECT 760.020000 3520.400000 763.020000 3547.300000 ;
-        RECT 940.020000 3520.400000 943.020000 3547.300000 ;
-        RECT 1120.020000 3520.400000 1123.020000 3547.300000 ;
-        RECT 1300.020000 3520.400000 1303.020000 3547.300000 ;
-        RECT 1480.020000 3520.400000 1483.020000 3547.300000 ;
-        RECT 1660.020000 3520.400000 1663.020000 3547.300000 ;
-        RECT 1840.020000 3520.400000 1843.020000 3547.300000 ;
-        RECT 2020.020000 3520.400000 2023.020000 3547.300000 ;
-        RECT 2200.020000 3520.400000 2203.020000 3547.300000 ;
-        RECT 2380.020000 3520.400000 2383.020000 3547.300000 ;
-        RECT 2560.020000 3520.400000 2563.020000 3547.300000 ;
-        RECT 2740.020000 3520.400000 2743.020000 3547.300000 ;
-        RECT 40.020000 -27.620000 43.020000 -0.400000 ;
-        RECT 220.020000 -27.620000 223.020000 -0.400000 ;
-        RECT 400.020000 -27.620000 403.020000 -0.400000 ;
-        RECT 580.020000 -27.620000 583.020000 -0.400000 ;
-        RECT 760.020000 -27.620000 763.020000 -0.400000 ;
-        RECT 940.020000 -27.620000 943.020000 -0.400000 ;
-        RECT 1120.020000 -27.620000 1123.020000 -0.400000 ;
-        RECT 1300.020000 -27.620000 1303.020000 -0.400000 ;
-        RECT 1480.020000 -27.620000 1483.020000 -0.400000 ;
-        RECT 1660.020000 -27.620000 1663.020000 -0.400000 ;
-        RECT 1840.020000 -27.620000 1843.020000 -0.400000 ;
-        RECT 2020.020000 -27.620000 2023.020000 -0.400000 ;
-        RECT 2200.020000 -27.620000 2203.020000 -0.400000 ;
-        RECT 2380.020000 -27.620000 2383.020000 -0.400000 ;
-        RECT 2560.020000 -27.620000 2563.020000 -0.400000 ;
-        RECT 2740.020000 -27.620000 2743.020000 -0.400000 ;
-        RECT 2945.000000 -23.020000 2948.000000 3542.700000 ;
-      LAYER M4M5_PR_C ;
-        RECT -27.470000 3541.410000 -26.290000 3542.590000 ;
-        RECT -27.470000 3539.810000 -26.290000 3540.990000 ;
-        RECT 40.930000 3541.410000 42.110000 3542.590000 ;
-        RECT 40.930000 3539.810000 42.110000 3540.990000 ;
-        RECT 220.930000 3541.410000 222.110000 3542.590000 ;
-        RECT 220.930000 3539.810000 222.110000 3540.990000 ;
-        RECT 400.930000 3541.410000 402.110000 3542.590000 ;
-        RECT 400.930000 3539.810000 402.110000 3540.990000 ;
-        RECT 580.930000 3541.410000 582.110000 3542.590000 ;
-        RECT 580.930000 3539.810000 582.110000 3540.990000 ;
-        RECT 760.930000 3541.410000 762.110000 3542.590000 ;
-        RECT 760.930000 3539.810000 762.110000 3540.990000 ;
-        RECT 940.930000 3541.410000 942.110000 3542.590000 ;
-        RECT 940.930000 3539.810000 942.110000 3540.990000 ;
-        RECT 1120.930000 3541.410000 1122.110000 3542.590000 ;
-        RECT 1120.930000 3539.810000 1122.110000 3540.990000 ;
-        RECT 1300.930000 3541.410000 1302.110000 3542.590000 ;
-        RECT 1300.930000 3539.810000 1302.110000 3540.990000 ;
-        RECT 1480.930000 3541.410000 1482.110000 3542.590000 ;
-        RECT 1480.930000 3539.810000 1482.110000 3540.990000 ;
-        RECT 1660.930000 3541.410000 1662.110000 3542.590000 ;
-        RECT 1660.930000 3539.810000 1662.110000 3540.990000 ;
-        RECT 1840.930000 3541.410000 1842.110000 3542.590000 ;
-        RECT 1840.930000 3539.810000 1842.110000 3540.990000 ;
-        RECT 2020.930000 3541.410000 2022.110000 3542.590000 ;
-        RECT 2020.930000 3539.810000 2022.110000 3540.990000 ;
-        RECT 2200.930000 3541.410000 2202.110000 3542.590000 ;
-        RECT 2200.930000 3539.810000 2202.110000 3540.990000 ;
-        RECT 2380.930000 3541.410000 2382.110000 3542.590000 ;
-        RECT 2380.930000 3539.810000 2382.110000 3540.990000 ;
-        RECT 2560.930000 3541.410000 2562.110000 3542.590000 ;
-        RECT 2560.930000 3539.810000 2562.110000 3540.990000 ;
-        RECT 2740.930000 3541.410000 2742.110000 3542.590000 ;
-        RECT 2740.930000 3539.810000 2742.110000 3540.990000 ;
-        RECT 2945.910000 3541.410000 2947.090000 3542.590000 ;
-        RECT 2945.910000 3539.810000 2947.090000 3540.990000 ;
-        RECT -27.470000 3467.090000 -26.290000 3468.270000 ;
-        RECT -27.470000 3465.490000 -26.290000 3466.670000 ;
-        RECT -27.470000 3287.090000 -26.290000 3288.270000 ;
-        RECT -27.470000 3285.490000 -26.290000 3286.670000 ;
-        RECT -27.470000 3107.090000 -26.290000 3108.270000 ;
-        RECT -27.470000 3105.490000 -26.290000 3106.670000 ;
-        RECT -27.470000 2927.090000 -26.290000 2928.270000 ;
-        RECT -27.470000 2925.490000 -26.290000 2926.670000 ;
-        RECT -27.470000 2747.090000 -26.290000 2748.270000 ;
-        RECT -27.470000 2745.490000 -26.290000 2746.670000 ;
-        RECT -27.470000 2567.090000 -26.290000 2568.270000 ;
-        RECT -27.470000 2565.490000 -26.290000 2566.670000 ;
-        RECT -27.470000 2387.090000 -26.290000 2388.270000 ;
-        RECT -27.470000 2385.490000 -26.290000 2386.670000 ;
-        RECT -27.470000 2207.090000 -26.290000 2208.270000 ;
-        RECT -27.470000 2205.490000 -26.290000 2206.670000 ;
-        RECT -27.470000 2027.090000 -26.290000 2028.270000 ;
-        RECT -27.470000 2025.490000 -26.290000 2026.670000 ;
-        RECT -27.470000 1847.090000 -26.290000 1848.270000 ;
-        RECT -27.470000 1845.490000 -26.290000 1846.670000 ;
-        RECT -27.470000 1667.090000 -26.290000 1668.270000 ;
-        RECT -27.470000 1665.490000 -26.290000 1666.670000 ;
-        RECT -27.470000 1487.090000 -26.290000 1488.270000 ;
-        RECT -27.470000 1485.490000 -26.290000 1486.670000 ;
-        RECT -27.470000 1307.090000 -26.290000 1308.270000 ;
-        RECT -27.470000 1305.490000 -26.290000 1306.670000 ;
-        RECT -27.470000 1127.090000 -26.290000 1128.270000 ;
-        RECT -27.470000 1125.490000 -26.290000 1126.670000 ;
-        RECT -27.470000 947.090000 -26.290000 948.270000 ;
-        RECT -27.470000 945.490000 -26.290000 946.670000 ;
-        RECT -27.470000 767.090000 -26.290000 768.270000 ;
-        RECT -27.470000 765.490000 -26.290000 766.670000 ;
-        RECT -27.470000 587.090000 -26.290000 588.270000 ;
-        RECT -27.470000 585.490000 -26.290000 586.670000 ;
-        RECT -27.470000 407.090000 -26.290000 408.270000 ;
-        RECT -27.470000 405.490000 -26.290000 406.670000 ;
-        RECT -27.470000 227.090000 -26.290000 228.270000 ;
-        RECT -27.470000 225.490000 -26.290000 226.670000 ;
-        RECT -27.470000 47.090000 -26.290000 48.270000 ;
-        RECT -27.470000 45.490000 -26.290000 46.670000 ;
-        RECT 2945.910000 3467.090000 2947.090000 3468.270000 ;
-        RECT 2945.910000 3465.490000 2947.090000 3466.670000 ;
-        RECT 2945.910000 3287.090000 2947.090000 3288.270000 ;
-        RECT 2945.910000 3285.490000 2947.090000 3286.670000 ;
-        RECT 2945.910000 3107.090000 2947.090000 3108.270000 ;
-        RECT 2945.910000 3105.490000 2947.090000 3106.670000 ;
-        RECT 2945.910000 2927.090000 2947.090000 2928.270000 ;
-        RECT 2945.910000 2925.490000 2947.090000 2926.670000 ;
-        RECT 2945.910000 2747.090000 2947.090000 2748.270000 ;
-        RECT 2945.910000 2745.490000 2947.090000 2746.670000 ;
-        RECT 2945.910000 2567.090000 2947.090000 2568.270000 ;
-        RECT 2945.910000 2565.490000 2947.090000 2566.670000 ;
-        RECT 2945.910000 2387.090000 2947.090000 2388.270000 ;
-        RECT 2945.910000 2385.490000 2947.090000 2386.670000 ;
-        RECT 2945.910000 2207.090000 2947.090000 2208.270000 ;
-        RECT 2945.910000 2205.490000 2947.090000 2206.670000 ;
-        RECT 2945.910000 2027.090000 2947.090000 2028.270000 ;
-        RECT 2945.910000 2025.490000 2947.090000 2026.670000 ;
-        RECT 2945.910000 1847.090000 2947.090000 1848.270000 ;
-        RECT 2945.910000 1845.490000 2947.090000 1846.670000 ;
-        RECT 2945.910000 1667.090000 2947.090000 1668.270000 ;
-        RECT 2945.910000 1665.490000 2947.090000 1666.670000 ;
-        RECT 2945.910000 1487.090000 2947.090000 1488.270000 ;
-        RECT 2945.910000 1485.490000 2947.090000 1486.670000 ;
-        RECT 2945.910000 1307.090000 2947.090000 1308.270000 ;
-        RECT 2945.910000 1305.490000 2947.090000 1306.670000 ;
-        RECT 2945.910000 1127.090000 2947.090000 1128.270000 ;
-        RECT 2945.910000 1125.490000 2947.090000 1126.670000 ;
-        RECT 2945.910000 947.090000 2947.090000 948.270000 ;
-        RECT 2945.910000 945.490000 2947.090000 946.670000 ;
-        RECT 2945.910000 767.090000 2947.090000 768.270000 ;
-        RECT 2945.910000 765.490000 2947.090000 766.670000 ;
-        RECT 2945.910000 587.090000 2947.090000 588.270000 ;
-        RECT 2945.910000 585.490000 2947.090000 586.670000 ;
-        RECT 2945.910000 407.090000 2947.090000 408.270000 ;
-        RECT 2945.910000 405.490000 2947.090000 406.670000 ;
-        RECT 2945.910000 227.090000 2947.090000 228.270000 ;
-        RECT 2945.910000 225.490000 2947.090000 226.670000 ;
-        RECT 2945.910000 47.090000 2947.090000 48.270000 ;
-        RECT 2945.910000 45.490000 2947.090000 46.670000 ;
-        RECT -27.470000 -21.310000 -26.290000 -20.130000 ;
-        RECT -27.470000 -22.910000 -26.290000 -21.730000 ;
-        RECT 40.930000 -21.310000 42.110000 -20.130000 ;
-        RECT 40.930000 -22.910000 42.110000 -21.730000 ;
-        RECT 220.930000 -21.310000 222.110000 -20.130000 ;
-        RECT 220.930000 -22.910000 222.110000 -21.730000 ;
-        RECT 400.930000 -21.310000 402.110000 -20.130000 ;
-        RECT 400.930000 -22.910000 402.110000 -21.730000 ;
-        RECT 580.930000 -21.310000 582.110000 -20.130000 ;
-        RECT 580.930000 -22.910000 582.110000 -21.730000 ;
-        RECT 760.930000 -21.310000 762.110000 -20.130000 ;
-        RECT 760.930000 -22.910000 762.110000 -21.730000 ;
-        RECT 940.930000 -21.310000 942.110000 -20.130000 ;
-        RECT 940.930000 -22.910000 942.110000 -21.730000 ;
-        RECT 1120.930000 -21.310000 1122.110000 -20.130000 ;
-        RECT 1120.930000 -22.910000 1122.110000 -21.730000 ;
-        RECT 1300.930000 -21.310000 1302.110000 -20.130000 ;
-        RECT 1300.930000 -22.910000 1302.110000 -21.730000 ;
-        RECT 1480.930000 -21.310000 1482.110000 -20.130000 ;
-        RECT 1480.930000 -22.910000 1482.110000 -21.730000 ;
-        RECT 1660.930000 -21.310000 1662.110000 -20.130000 ;
-        RECT 1660.930000 -22.910000 1662.110000 -21.730000 ;
-        RECT 1840.930000 -21.310000 1842.110000 -20.130000 ;
-        RECT 1840.930000 -22.910000 1842.110000 -21.730000 ;
-        RECT 2020.930000 -21.310000 2022.110000 -20.130000 ;
-        RECT 2020.930000 -22.910000 2022.110000 -21.730000 ;
-        RECT 2200.930000 -21.310000 2202.110000 -20.130000 ;
-        RECT 2200.930000 -22.910000 2202.110000 -21.730000 ;
-        RECT 2380.930000 -21.310000 2382.110000 -20.130000 ;
-        RECT 2380.930000 -22.910000 2382.110000 -21.730000 ;
-        RECT 2560.930000 -21.310000 2562.110000 -20.130000 ;
-        RECT 2560.930000 -22.910000 2562.110000 -21.730000 ;
-        RECT 2740.930000 -21.310000 2742.110000 -20.130000 ;
-        RECT 2740.930000 -22.910000 2742.110000 -21.730000 ;
-        RECT 2945.910000 -21.310000 2947.090000 -20.130000 ;
-        RECT 2945.910000 -22.910000 2947.090000 -21.730000 ;
-      LAYER met5 ;
-        RECT -28.380000 3542.700000 -25.380000 3542.710000 ;
-        RECT 40.020000 3542.700000 43.020000 3542.710000 ;
-        RECT 220.020000 3542.700000 223.020000 3542.710000 ;
-        RECT 400.020000 3542.700000 403.020000 3542.710000 ;
-        RECT 580.020000 3542.700000 583.020000 3542.710000 ;
-        RECT 760.020000 3542.700000 763.020000 3542.710000 ;
-        RECT 940.020000 3542.700000 943.020000 3542.710000 ;
-        RECT 1120.020000 3542.700000 1123.020000 3542.710000 ;
-        RECT 1300.020000 3542.700000 1303.020000 3542.710000 ;
-        RECT 1480.020000 3542.700000 1483.020000 3542.710000 ;
-        RECT 1660.020000 3542.700000 1663.020000 3542.710000 ;
-        RECT 1840.020000 3542.700000 1843.020000 3542.710000 ;
-        RECT 2020.020000 3542.700000 2023.020000 3542.710000 ;
-        RECT 2200.020000 3542.700000 2203.020000 3542.710000 ;
-        RECT 2380.020000 3542.700000 2383.020000 3542.710000 ;
-        RECT 2560.020000 3542.700000 2563.020000 3542.710000 ;
-        RECT 2740.020000 3542.700000 2743.020000 3542.710000 ;
-        RECT 2945.000000 3542.700000 2948.000000 3542.710000 ;
-        RECT -28.380000 3539.700000 2948.000000 3542.700000 ;
-        RECT -28.380000 3539.690000 -25.380000 3539.700000 ;
-        RECT 40.020000 3539.690000 43.020000 3539.700000 ;
-        RECT 220.020000 3539.690000 223.020000 3539.700000 ;
-        RECT 400.020000 3539.690000 403.020000 3539.700000 ;
-        RECT 580.020000 3539.690000 583.020000 3539.700000 ;
-        RECT 760.020000 3539.690000 763.020000 3539.700000 ;
-        RECT 940.020000 3539.690000 943.020000 3539.700000 ;
-        RECT 1120.020000 3539.690000 1123.020000 3539.700000 ;
-        RECT 1300.020000 3539.690000 1303.020000 3539.700000 ;
-        RECT 1480.020000 3539.690000 1483.020000 3539.700000 ;
-        RECT 1660.020000 3539.690000 1663.020000 3539.700000 ;
-        RECT 1840.020000 3539.690000 1843.020000 3539.700000 ;
-        RECT 2020.020000 3539.690000 2023.020000 3539.700000 ;
-        RECT 2200.020000 3539.690000 2203.020000 3539.700000 ;
-        RECT 2380.020000 3539.690000 2383.020000 3539.700000 ;
-        RECT 2560.020000 3539.690000 2563.020000 3539.700000 ;
-        RECT 2740.020000 3539.690000 2743.020000 3539.700000 ;
-        RECT 2945.000000 3539.690000 2948.000000 3539.700000 ;
-        RECT -28.380000 3468.380000 -25.380000 3468.390000 ;
-        RECT 2945.000000 3468.380000 2948.000000 3468.390000 ;
-        RECT -32.980000 3465.380000 -0.400000 3468.380000 ;
-        RECT 2920.400000 3465.380000 2952.600000 3468.380000 ;
-        RECT -28.380000 3465.370000 -25.380000 3465.380000 ;
-        RECT 2945.000000 3465.370000 2948.000000 3465.380000 ;
-        RECT -28.380000 3288.380000 -25.380000 3288.390000 ;
-        RECT 2945.000000 3288.380000 2948.000000 3288.390000 ;
-        RECT -32.980000 3285.380000 -0.400000 3288.380000 ;
-        RECT 2920.400000 3285.380000 2952.600000 3288.380000 ;
-        RECT -28.380000 3285.370000 -25.380000 3285.380000 ;
-        RECT 2945.000000 3285.370000 2948.000000 3285.380000 ;
-        RECT -28.380000 3108.380000 -25.380000 3108.390000 ;
-        RECT 2945.000000 3108.380000 2948.000000 3108.390000 ;
-        RECT -32.980000 3105.380000 -0.400000 3108.380000 ;
-        RECT 2920.400000 3105.380000 2952.600000 3108.380000 ;
-        RECT -28.380000 3105.370000 -25.380000 3105.380000 ;
-        RECT 2945.000000 3105.370000 2948.000000 3105.380000 ;
-        RECT -28.380000 2928.380000 -25.380000 2928.390000 ;
-        RECT 2945.000000 2928.380000 2948.000000 2928.390000 ;
-        RECT -32.980000 2925.380000 -0.400000 2928.380000 ;
-        RECT 2920.400000 2925.380000 2952.600000 2928.380000 ;
-        RECT -28.380000 2925.370000 -25.380000 2925.380000 ;
-        RECT 2945.000000 2925.370000 2948.000000 2925.380000 ;
-        RECT -28.380000 2748.380000 -25.380000 2748.390000 ;
-        RECT 2945.000000 2748.380000 2948.000000 2748.390000 ;
-        RECT -32.980000 2745.380000 -0.400000 2748.380000 ;
-        RECT 2920.400000 2745.380000 2952.600000 2748.380000 ;
-        RECT -28.380000 2745.370000 -25.380000 2745.380000 ;
-        RECT 2945.000000 2745.370000 2948.000000 2745.380000 ;
-        RECT -28.380000 2568.380000 -25.380000 2568.390000 ;
-        RECT 2945.000000 2568.380000 2948.000000 2568.390000 ;
-        RECT -32.980000 2565.380000 -0.400000 2568.380000 ;
-        RECT 2920.400000 2565.380000 2952.600000 2568.380000 ;
-        RECT -28.380000 2565.370000 -25.380000 2565.380000 ;
-        RECT 2945.000000 2565.370000 2948.000000 2565.380000 ;
-        RECT -28.380000 2388.380000 -25.380000 2388.390000 ;
-        RECT 2945.000000 2388.380000 2948.000000 2388.390000 ;
-        RECT -32.980000 2385.380000 -0.400000 2388.380000 ;
-        RECT 2920.400000 2385.380000 2952.600000 2388.380000 ;
-        RECT -28.380000 2385.370000 -25.380000 2385.380000 ;
-        RECT 2945.000000 2385.370000 2948.000000 2385.380000 ;
-        RECT -28.380000 2208.380000 -25.380000 2208.390000 ;
-        RECT 2945.000000 2208.380000 2948.000000 2208.390000 ;
-        RECT -32.980000 2205.380000 -0.400000 2208.380000 ;
-        RECT 2920.400000 2205.380000 2952.600000 2208.380000 ;
-        RECT -28.380000 2205.370000 -25.380000 2205.380000 ;
-        RECT 2945.000000 2205.370000 2948.000000 2205.380000 ;
-        RECT -28.380000 2028.380000 -25.380000 2028.390000 ;
-        RECT 2945.000000 2028.380000 2948.000000 2028.390000 ;
-        RECT -32.980000 2025.380000 -0.400000 2028.380000 ;
-        RECT 2920.400000 2025.380000 2952.600000 2028.380000 ;
-        RECT -28.380000 2025.370000 -25.380000 2025.380000 ;
-        RECT 2945.000000 2025.370000 2948.000000 2025.380000 ;
-        RECT -28.380000 1848.380000 -25.380000 1848.390000 ;
-        RECT 2945.000000 1848.380000 2948.000000 1848.390000 ;
-        RECT -32.980000 1845.380000 -0.400000 1848.380000 ;
-        RECT 2920.400000 1845.380000 2952.600000 1848.380000 ;
-        RECT -28.380000 1845.370000 -25.380000 1845.380000 ;
-        RECT 2945.000000 1845.370000 2948.000000 1845.380000 ;
-        RECT -28.380000 1668.380000 -25.380000 1668.390000 ;
-        RECT 2945.000000 1668.380000 2948.000000 1668.390000 ;
-        RECT -32.980000 1665.380000 -0.400000 1668.380000 ;
-        RECT 2920.400000 1665.380000 2952.600000 1668.380000 ;
-        RECT -28.380000 1665.370000 -25.380000 1665.380000 ;
-        RECT 2945.000000 1665.370000 2948.000000 1665.380000 ;
-        RECT -28.380000 1488.380000 -25.380000 1488.390000 ;
-        RECT 2945.000000 1488.380000 2948.000000 1488.390000 ;
-        RECT -32.980000 1485.380000 -0.400000 1488.380000 ;
-        RECT 2920.400000 1485.380000 2952.600000 1488.380000 ;
-        RECT -28.380000 1485.370000 -25.380000 1485.380000 ;
-        RECT 2945.000000 1485.370000 2948.000000 1485.380000 ;
-        RECT -28.380000 1308.380000 -25.380000 1308.390000 ;
-        RECT 2945.000000 1308.380000 2948.000000 1308.390000 ;
-        RECT -32.980000 1305.380000 -0.400000 1308.380000 ;
-        RECT 2920.400000 1305.380000 2952.600000 1308.380000 ;
-        RECT -28.380000 1305.370000 -25.380000 1305.380000 ;
-        RECT 2945.000000 1305.370000 2948.000000 1305.380000 ;
-        RECT -28.380000 1128.380000 -25.380000 1128.390000 ;
-        RECT 2945.000000 1128.380000 2948.000000 1128.390000 ;
-        RECT -32.980000 1125.380000 -0.400000 1128.380000 ;
-        RECT 2920.400000 1125.380000 2952.600000 1128.380000 ;
-        RECT -28.380000 1125.370000 -25.380000 1125.380000 ;
-        RECT 2945.000000 1125.370000 2948.000000 1125.380000 ;
-        RECT -28.380000 948.380000 -25.380000 948.390000 ;
-        RECT 2945.000000 948.380000 2948.000000 948.390000 ;
-        RECT -32.980000 945.380000 -0.400000 948.380000 ;
-        RECT 2920.400000 945.380000 2952.600000 948.380000 ;
-        RECT -28.380000 945.370000 -25.380000 945.380000 ;
-        RECT 2945.000000 945.370000 2948.000000 945.380000 ;
-        RECT -28.380000 768.380000 -25.380000 768.390000 ;
-        RECT 2945.000000 768.380000 2948.000000 768.390000 ;
-        RECT -32.980000 765.380000 -0.400000 768.380000 ;
-        RECT 2920.400000 765.380000 2952.600000 768.380000 ;
-        RECT -28.380000 765.370000 -25.380000 765.380000 ;
-        RECT 2945.000000 765.370000 2948.000000 765.380000 ;
-        RECT -28.380000 588.380000 -25.380000 588.390000 ;
-        RECT 2945.000000 588.380000 2948.000000 588.390000 ;
-        RECT -32.980000 585.380000 -0.400000 588.380000 ;
-        RECT 2920.400000 585.380000 2952.600000 588.380000 ;
-        RECT -28.380000 585.370000 -25.380000 585.380000 ;
-        RECT 2945.000000 585.370000 2948.000000 585.380000 ;
-        RECT -28.380000 408.380000 -25.380000 408.390000 ;
-        RECT 2945.000000 408.380000 2948.000000 408.390000 ;
-        RECT -32.980000 405.380000 -0.400000 408.380000 ;
-        RECT 2920.400000 405.380000 2952.600000 408.380000 ;
-        RECT -28.380000 405.370000 -25.380000 405.380000 ;
-        RECT 2945.000000 405.370000 2948.000000 405.380000 ;
-        RECT -28.380000 228.380000 -25.380000 228.390000 ;
-        RECT 2945.000000 228.380000 2948.000000 228.390000 ;
-        RECT -32.980000 225.380000 -0.400000 228.380000 ;
-        RECT 2920.400000 225.380000 2952.600000 228.380000 ;
-        RECT -28.380000 225.370000 -25.380000 225.380000 ;
-        RECT 2945.000000 225.370000 2948.000000 225.380000 ;
-        RECT -28.380000 48.380000 -25.380000 48.390000 ;
-        RECT 2945.000000 48.380000 2948.000000 48.390000 ;
-        RECT -32.980000 45.380000 -0.400000 48.380000 ;
-        RECT 2920.400000 45.380000 2952.600000 48.380000 ;
-        RECT -28.380000 45.370000 -25.380000 45.380000 ;
-        RECT 2945.000000 45.370000 2948.000000 45.380000 ;
-        RECT -28.380000 -20.020000 -25.380000 -20.010000 ;
-        RECT 40.020000 -20.020000 43.020000 -20.010000 ;
-        RECT 220.020000 -20.020000 223.020000 -20.010000 ;
-        RECT 400.020000 -20.020000 403.020000 -20.010000 ;
-        RECT 580.020000 -20.020000 583.020000 -20.010000 ;
-        RECT 760.020000 -20.020000 763.020000 -20.010000 ;
-        RECT 940.020000 -20.020000 943.020000 -20.010000 ;
-        RECT 1120.020000 -20.020000 1123.020000 -20.010000 ;
-        RECT 1300.020000 -20.020000 1303.020000 -20.010000 ;
-        RECT 1480.020000 -20.020000 1483.020000 -20.010000 ;
-        RECT 1660.020000 -20.020000 1663.020000 -20.010000 ;
-        RECT 1840.020000 -20.020000 1843.020000 -20.010000 ;
-        RECT 2020.020000 -20.020000 2023.020000 -20.010000 ;
-        RECT 2200.020000 -20.020000 2203.020000 -20.010000 ;
-        RECT 2380.020000 -20.020000 2383.020000 -20.010000 ;
-        RECT 2560.020000 -20.020000 2563.020000 -20.010000 ;
-        RECT 2740.020000 -20.020000 2743.020000 -20.010000 ;
-        RECT 2945.000000 -20.020000 2948.000000 -20.010000 ;
-        RECT -28.380000 -23.020000 2948.000000 -20.020000 ;
-        RECT -28.380000 -23.030000 -25.380000 -23.020000 ;
-        RECT 40.020000 -23.030000 43.020000 -23.020000 ;
-        RECT 220.020000 -23.030000 223.020000 -23.020000 ;
-        RECT 400.020000 -23.030000 403.020000 -23.020000 ;
-        RECT 580.020000 -23.030000 583.020000 -23.020000 ;
-        RECT 760.020000 -23.030000 763.020000 -23.020000 ;
-        RECT 940.020000 -23.030000 943.020000 -23.020000 ;
-        RECT 1120.020000 -23.030000 1123.020000 -23.020000 ;
-        RECT 1300.020000 -23.030000 1303.020000 -23.020000 ;
-        RECT 1480.020000 -23.030000 1483.020000 -23.020000 ;
-        RECT 1660.020000 -23.030000 1663.020000 -23.020000 ;
-        RECT 1840.020000 -23.030000 1843.020000 -23.020000 ;
-        RECT 2020.020000 -23.030000 2023.020000 -23.020000 ;
-        RECT 2200.020000 -23.030000 2203.020000 -23.020000 ;
-        RECT 2380.020000 -23.030000 2383.020000 -23.020000 ;
-        RECT 2560.020000 -23.030000 2563.020000 -23.020000 ;
-        RECT 2740.020000 -23.030000 2743.020000 -23.020000 ;
-        RECT 2945.000000 -23.030000 2948.000000 -23.020000 ;
-    END
-  END vdda1
-  PIN vssa1
-    DIRECTION INPUT ;
-    PORT
-      LAYER met4 ;
-        RECT -32.980000 -27.620000 -29.980000 3547.300000 ;
-        RECT 130.020000 3520.400000 133.020000 3547.300000 ;
-        RECT 310.020000 3520.400000 313.020000 3547.300000 ;
-        RECT 490.020000 3520.400000 493.020000 3547.300000 ;
-        RECT 670.020000 3520.400000 673.020000 3547.300000 ;
-        RECT 850.020000 3520.400000 853.020000 3547.300000 ;
-        RECT 1030.020000 3520.400000 1033.020000 3547.300000 ;
-        RECT 1210.020000 3520.400000 1213.020000 3547.300000 ;
-        RECT 1390.020000 3520.400000 1393.020000 3547.300000 ;
-        RECT 1570.020000 3520.400000 1573.020000 3547.300000 ;
-        RECT 1750.020000 3520.400000 1753.020000 3547.300000 ;
-        RECT 1930.020000 3520.400000 1933.020000 3547.300000 ;
-        RECT 2110.020000 3520.400000 2113.020000 3547.300000 ;
-        RECT 2290.020000 3520.400000 2293.020000 3547.300000 ;
-        RECT 2470.020000 3520.400000 2473.020000 3547.300000 ;
-        RECT 2650.020000 3520.400000 2653.020000 3547.300000 ;
-        RECT 2830.020000 3520.400000 2833.020000 3547.300000 ;
-        RECT 130.020000 -27.620000 133.020000 -0.400000 ;
-        RECT 310.020000 -27.620000 313.020000 -0.400000 ;
-        RECT 490.020000 -27.620000 493.020000 -0.400000 ;
-        RECT 670.020000 -27.620000 673.020000 -0.400000 ;
-        RECT 850.020000 -27.620000 853.020000 -0.400000 ;
-        RECT 1030.020000 -27.620000 1033.020000 -0.400000 ;
-        RECT 1210.020000 -27.620000 1213.020000 -0.400000 ;
-        RECT 1390.020000 -27.620000 1393.020000 -0.400000 ;
-        RECT 1570.020000 -27.620000 1573.020000 -0.400000 ;
-        RECT 1750.020000 -27.620000 1753.020000 -0.400000 ;
-        RECT 1930.020000 -27.620000 1933.020000 -0.400000 ;
-        RECT 2110.020000 -27.620000 2113.020000 -0.400000 ;
-        RECT 2290.020000 -27.620000 2293.020000 -0.400000 ;
-        RECT 2470.020000 -27.620000 2473.020000 -0.400000 ;
-        RECT 2650.020000 -27.620000 2653.020000 -0.400000 ;
-        RECT 2830.020000 -27.620000 2833.020000 -0.400000 ;
-        RECT 2949.600000 -27.620000 2952.600000 3547.300000 ;
-      LAYER M4M5_PR_C ;
-        RECT -32.070000 3546.010000 -30.890000 3547.190000 ;
-        RECT -32.070000 3544.410000 -30.890000 3545.590000 ;
-        RECT 130.930000 3546.010000 132.110000 3547.190000 ;
-        RECT 130.930000 3544.410000 132.110000 3545.590000 ;
-        RECT 310.930000 3546.010000 312.110000 3547.190000 ;
-        RECT 310.930000 3544.410000 312.110000 3545.590000 ;
-        RECT 490.930000 3546.010000 492.110000 3547.190000 ;
-        RECT 490.930000 3544.410000 492.110000 3545.590000 ;
-        RECT 670.930000 3546.010000 672.110000 3547.190000 ;
-        RECT 670.930000 3544.410000 672.110000 3545.590000 ;
-        RECT 850.930000 3546.010000 852.110000 3547.190000 ;
-        RECT 850.930000 3544.410000 852.110000 3545.590000 ;
-        RECT 1030.930000 3546.010000 1032.110000 3547.190000 ;
-        RECT 1030.930000 3544.410000 1032.110000 3545.590000 ;
-        RECT 1210.930000 3546.010000 1212.110000 3547.190000 ;
-        RECT 1210.930000 3544.410000 1212.110000 3545.590000 ;
-        RECT 1390.930000 3546.010000 1392.110000 3547.190000 ;
-        RECT 1390.930000 3544.410000 1392.110000 3545.590000 ;
-        RECT 1570.930000 3546.010000 1572.110000 3547.190000 ;
-        RECT 1570.930000 3544.410000 1572.110000 3545.590000 ;
-        RECT 1750.930000 3546.010000 1752.110000 3547.190000 ;
-        RECT 1750.930000 3544.410000 1752.110000 3545.590000 ;
-        RECT 1930.930000 3546.010000 1932.110000 3547.190000 ;
-        RECT 1930.930000 3544.410000 1932.110000 3545.590000 ;
-        RECT 2110.930000 3546.010000 2112.110000 3547.190000 ;
-        RECT 2110.930000 3544.410000 2112.110000 3545.590000 ;
-        RECT 2290.930000 3546.010000 2292.110000 3547.190000 ;
-        RECT 2290.930000 3544.410000 2292.110000 3545.590000 ;
-        RECT 2470.930000 3546.010000 2472.110000 3547.190000 ;
-        RECT 2470.930000 3544.410000 2472.110000 3545.590000 ;
-        RECT 2650.930000 3546.010000 2652.110000 3547.190000 ;
-        RECT 2650.930000 3544.410000 2652.110000 3545.590000 ;
-        RECT 2830.930000 3546.010000 2832.110000 3547.190000 ;
-        RECT 2830.930000 3544.410000 2832.110000 3545.590000 ;
-        RECT 2950.510000 3546.010000 2951.690000 3547.190000 ;
-        RECT 2950.510000 3544.410000 2951.690000 3545.590000 ;
-        RECT -32.070000 3377.090000 -30.890000 3378.270000 ;
-        RECT -32.070000 3375.490000 -30.890000 3376.670000 ;
-        RECT -32.070000 3197.090000 -30.890000 3198.270000 ;
-        RECT -32.070000 3195.490000 -30.890000 3196.670000 ;
-        RECT -32.070000 3017.090000 -30.890000 3018.270000 ;
-        RECT -32.070000 3015.490000 -30.890000 3016.670000 ;
-        RECT -32.070000 2837.090000 -30.890000 2838.270000 ;
-        RECT -32.070000 2835.490000 -30.890000 2836.670000 ;
-        RECT -32.070000 2657.090000 -30.890000 2658.270000 ;
-        RECT -32.070000 2655.490000 -30.890000 2656.670000 ;
-        RECT -32.070000 2477.090000 -30.890000 2478.270000 ;
-        RECT -32.070000 2475.490000 -30.890000 2476.670000 ;
-        RECT -32.070000 2297.090000 -30.890000 2298.270000 ;
-        RECT -32.070000 2295.490000 -30.890000 2296.670000 ;
-        RECT -32.070000 2117.090000 -30.890000 2118.270000 ;
-        RECT -32.070000 2115.490000 -30.890000 2116.670000 ;
-        RECT -32.070000 1937.090000 -30.890000 1938.270000 ;
-        RECT -32.070000 1935.490000 -30.890000 1936.670000 ;
-        RECT -32.070000 1757.090000 -30.890000 1758.270000 ;
-        RECT -32.070000 1755.490000 -30.890000 1756.670000 ;
-        RECT -32.070000 1577.090000 -30.890000 1578.270000 ;
-        RECT -32.070000 1575.490000 -30.890000 1576.670000 ;
-        RECT -32.070000 1397.090000 -30.890000 1398.270000 ;
-        RECT -32.070000 1395.490000 -30.890000 1396.670000 ;
-        RECT -32.070000 1217.090000 -30.890000 1218.270000 ;
-        RECT -32.070000 1215.490000 -30.890000 1216.670000 ;
-        RECT -32.070000 1037.090000 -30.890000 1038.270000 ;
-        RECT -32.070000 1035.490000 -30.890000 1036.670000 ;
-        RECT -32.070000 857.090000 -30.890000 858.270000 ;
-        RECT -32.070000 855.490000 -30.890000 856.670000 ;
-        RECT -32.070000 677.090000 -30.890000 678.270000 ;
-        RECT -32.070000 675.490000 -30.890000 676.670000 ;
-        RECT -32.070000 497.090000 -30.890000 498.270000 ;
-        RECT -32.070000 495.490000 -30.890000 496.670000 ;
-        RECT -32.070000 317.090000 -30.890000 318.270000 ;
-        RECT -32.070000 315.490000 -30.890000 316.670000 ;
-        RECT -32.070000 137.090000 -30.890000 138.270000 ;
-        RECT -32.070000 135.490000 -30.890000 136.670000 ;
-        RECT 2950.510000 3377.090000 2951.690000 3378.270000 ;
-        RECT 2950.510000 3375.490000 2951.690000 3376.670000 ;
-        RECT 2950.510000 3197.090000 2951.690000 3198.270000 ;
-        RECT 2950.510000 3195.490000 2951.690000 3196.670000 ;
-        RECT 2950.510000 3017.090000 2951.690000 3018.270000 ;
-        RECT 2950.510000 3015.490000 2951.690000 3016.670000 ;
-        RECT 2950.510000 2837.090000 2951.690000 2838.270000 ;
-        RECT 2950.510000 2835.490000 2951.690000 2836.670000 ;
-        RECT 2950.510000 2657.090000 2951.690000 2658.270000 ;
-        RECT 2950.510000 2655.490000 2951.690000 2656.670000 ;
-        RECT 2950.510000 2477.090000 2951.690000 2478.270000 ;
-        RECT 2950.510000 2475.490000 2951.690000 2476.670000 ;
-        RECT 2950.510000 2297.090000 2951.690000 2298.270000 ;
-        RECT 2950.510000 2295.490000 2951.690000 2296.670000 ;
-        RECT 2950.510000 2117.090000 2951.690000 2118.270000 ;
-        RECT 2950.510000 2115.490000 2951.690000 2116.670000 ;
-        RECT 2950.510000 1937.090000 2951.690000 1938.270000 ;
-        RECT 2950.510000 1935.490000 2951.690000 1936.670000 ;
-        RECT 2950.510000 1757.090000 2951.690000 1758.270000 ;
-        RECT 2950.510000 1755.490000 2951.690000 1756.670000 ;
-        RECT 2950.510000 1577.090000 2951.690000 1578.270000 ;
-        RECT 2950.510000 1575.490000 2951.690000 1576.670000 ;
-        RECT 2950.510000 1397.090000 2951.690000 1398.270000 ;
-        RECT 2950.510000 1395.490000 2951.690000 1396.670000 ;
-        RECT 2950.510000 1217.090000 2951.690000 1218.270000 ;
-        RECT 2950.510000 1215.490000 2951.690000 1216.670000 ;
-        RECT 2950.510000 1037.090000 2951.690000 1038.270000 ;
-        RECT 2950.510000 1035.490000 2951.690000 1036.670000 ;
-        RECT 2950.510000 857.090000 2951.690000 858.270000 ;
-        RECT 2950.510000 855.490000 2951.690000 856.670000 ;
-        RECT 2950.510000 677.090000 2951.690000 678.270000 ;
-        RECT 2950.510000 675.490000 2951.690000 676.670000 ;
-        RECT 2950.510000 497.090000 2951.690000 498.270000 ;
-        RECT 2950.510000 495.490000 2951.690000 496.670000 ;
-        RECT 2950.510000 317.090000 2951.690000 318.270000 ;
-        RECT 2950.510000 315.490000 2951.690000 316.670000 ;
-        RECT 2950.510000 137.090000 2951.690000 138.270000 ;
-        RECT 2950.510000 135.490000 2951.690000 136.670000 ;
-        RECT -32.070000 -25.910000 -30.890000 -24.730000 ;
-        RECT -32.070000 -27.510000 -30.890000 -26.330000 ;
-        RECT 130.930000 -25.910000 132.110000 -24.730000 ;
-        RECT 130.930000 -27.510000 132.110000 -26.330000 ;
-        RECT 310.930000 -25.910000 312.110000 -24.730000 ;
-        RECT 310.930000 -27.510000 312.110000 -26.330000 ;
-        RECT 490.930000 -25.910000 492.110000 -24.730000 ;
-        RECT 490.930000 -27.510000 492.110000 -26.330000 ;
-        RECT 670.930000 -25.910000 672.110000 -24.730000 ;
-        RECT 670.930000 -27.510000 672.110000 -26.330000 ;
-        RECT 850.930000 -25.910000 852.110000 -24.730000 ;
-        RECT 850.930000 -27.510000 852.110000 -26.330000 ;
-        RECT 1030.930000 -25.910000 1032.110000 -24.730000 ;
-        RECT 1030.930000 -27.510000 1032.110000 -26.330000 ;
-        RECT 1210.930000 -25.910000 1212.110000 -24.730000 ;
-        RECT 1210.930000 -27.510000 1212.110000 -26.330000 ;
-        RECT 1390.930000 -25.910000 1392.110000 -24.730000 ;
-        RECT 1390.930000 -27.510000 1392.110000 -26.330000 ;
-        RECT 1570.930000 -25.910000 1572.110000 -24.730000 ;
-        RECT 1570.930000 -27.510000 1572.110000 -26.330000 ;
-        RECT 1750.930000 -25.910000 1752.110000 -24.730000 ;
-        RECT 1750.930000 -27.510000 1752.110000 -26.330000 ;
-        RECT 1930.930000 -25.910000 1932.110000 -24.730000 ;
-        RECT 1930.930000 -27.510000 1932.110000 -26.330000 ;
-        RECT 2110.930000 -25.910000 2112.110000 -24.730000 ;
-        RECT 2110.930000 -27.510000 2112.110000 -26.330000 ;
-        RECT 2290.930000 -25.910000 2292.110000 -24.730000 ;
-        RECT 2290.930000 -27.510000 2292.110000 -26.330000 ;
-        RECT 2470.930000 -25.910000 2472.110000 -24.730000 ;
-        RECT 2470.930000 -27.510000 2472.110000 -26.330000 ;
-        RECT 2650.930000 -25.910000 2652.110000 -24.730000 ;
-        RECT 2650.930000 -27.510000 2652.110000 -26.330000 ;
-        RECT 2830.930000 -25.910000 2832.110000 -24.730000 ;
-        RECT 2830.930000 -27.510000 2832.110000 -26.330000 ;
-        RECT 2950.510000 -25.910000 2951.690000 -24.730000 ;
-        RECT 2950.510000 -27.510000 2951.690000 -26.330000 ;
-      LAYER met5 ;
-        RECT -32.980000 3547.300000 -29.980000 3547.310000 ;
-        RECT 130.020000 3547.300000 133.020000 3547.310000 ;
-        RECT 310.020000 3547.300000 313.020000 3547.310000 ;
-        RECT 490.020000 3547.300000 493.020000 3547.310000 ;
-        RECT 670.020000 3547.300000 673.020000 3547.310000 ;
-        RECT 850.020000 3547.300000 853.020000 3547.310000 ;
-        RECT 1030.020000 3547.300000 1033.020000 3547.310000 ;
-        RECT 1210.020000 3547.300000 1213.020000 3547.310000 ;
-        RECT 1390.020000 3547.300000 1393.020000 3547.310000 ;
-        RECT 1570.020000 3547.300000 1573.020000 3547.310000 ;
-        RECT 1750.020000 3547.300000 1753.020000 3547.310000 ;
-        RECT 1930.020000 3547.300000 1933.020000 3547.310000 ;
-        RECT 2110.020000 3547.300000 2113.020000 3547.310000 ;
-        RECT 2290.020000 3547.300000 2293.020000 3547.310000 ;
-        RECT 2470.020000 3547.300000 2473.020000 3547.310000 ;
-        RECT 2650.020000 3547.300000 2653.020000 3547.310000 ;
-        RECT 2830.020000 3547.300000 2833.020000 3547.310000 ;
-        RECT 2949.600000 3547.300000 2952.600000 3547.310000 ;
-        RECT -32.980000 3544.300000 2952.600000 3547.300000 ;
-        RECT -32.980000 3544.290000 -29.980000 3544.300000 ;
-        RECT 130.020000 3544.290000 133.020000 3544.300000 ;
-        RECT 310.020000 3544.290000 313.020000 3544.300000 ;
-        RECT 490.020000 3544.290000 493.020000 3544.300000 ;
-        RECT 670.020000 3544.290000 673.020000 3544.300000 ;
-        RECT 850.020000 3544.290000 853.020000 3544.300000 ;
-        RECT 1030.020000 3544.290000 1033.020000 3544.300000 ;
-        RECT 1210.020000 3544.290000 1213.020000 3544.300000 ;
-        RECT 1390.020000 3544.290000 1393.020000 3544.300000 ;
-        RECT 1570.020000 3544.290000 1573.020000 3544.300000 ;
-        RECT 1750.020000 3544.290000 1753.020000 3544.300000 ;
-        RECT 1930.020000 3544.290000 1933.020000 3544.300000 ;
-        RECT 2110.020000 3544.290000 2113.020000 3544.300000 ;
-        RECT 2290.020000 3544.290000 2293.020000 3544.300000 ;
-        RECT 2470.020000 3544.290000 2473.020000 3544.300000 ;
-        RECT 2650.020000 3544.290000 2653.020000 3544.300000 ;
-        RECT 2830.020000 3544.290000 2833.020000 3544.300000 ;
-        RECT 2949.600000 3544.290000 2952.600000 3544.300000 ;
-        RECT -32.980000 3378.380000 -29.980000 3378.390000 ;
-        RECT 2949.600000 3378.380000 2952.600000 3378.390000 ;
-        RECT -32.980000 3375.380000 -0.400000 3378.380000 ;
-        RECT 2920.400000 3375.380000 2952.600000 3378.380000 ;
-        RECT -32.980000 3375.370000 -29.980000 3375.380000 ;
-        RECT 2949.600000 3375.370000 2952.600000 3375.380000 ;
-        RECT -32.980000 3198.380000 -29.980000 3198.390000 ;
-        RECT 2949.600000 3198.380000 2952.600000 3198.390000 ;
-        RECT -32.980000 3195.380000 -0.400000 3198.380000 ;
-        RECT 2920.400000 3195.380000 2952.600000 3198.380000 ;
-        RECT -32.980000 3195.370000 -29.980000 3195.380000 ;
-        RECT 2949.600000 3195.370000 2952.600000 3195.380000 ;
-        RECT -32.980000 3018.380000 -29.980000 3018.390000 ;
-        RECT 2949.600000 3018.380000 2952.600000 3018.390000 ;
-        RECT -32.980000 3015.380000 -0.400000 3018.380000 ;
-        RECT 2920.400000 3015.380000 2952.600000 3018.380000 ;
-        RECT -32.980000 3015.370000 -29.980000 3015.380000 ;
-        RECT 2949.600000 3015.370000 2952.600000 3015.380000 ;
-        RECT -32.980000 2838.380000 -29.980000 2838.390000 ;
-        RECT 2949.600000 2838.380000 2952.600000 2838.390000 ;
-        RECT -32.980000 2835.380000 -0.400000 2838.380000 ;
-        RECT 2920.400000 2835.380000 2952.600000 2838.380000 ;
-        RECT -32.980000 2835.370000 -29.980000 2835.380000 ;
-        RECT 2949.600000 2835.370000 2952.600000 2835.380000 ;
-        RECT -32.980000 2658.380000 -29.980000 2658.390000 ;
-        RECT 2949.600000 2658.380000 2952.600000 2658.390000 ;
-        RECT -32.980000 2655.380000 -0.400000 2658.380000 ;
-        RECT 2920.400000 2655.380000 2952.600000 2658.380000 ;
-        RECT -32.980000 2655.370000 -29.980000 2655.380000 ;
-        RECT 2949.600000 2655.370000 2952.600000 2655.380000 ;
-        RECT -32.980000 2478.380000 -29.980000 2478.390000 ;
-        RECT 2949.600000 2478.380000 2952.600000 2478.390000 ;
-        RECT -32.980000 2475.380000 -0.400000 2478.380000 ;
-        RECT 2920.400000 2475.380000 2952.600000 2478.380000 ;
-        RECT -32.980000 2475.370000 -29.980000 2475.380000 ;
-        RECT 2949.600000 2475.370000 2952.600000 2475.380000 ;
-        RECT -32.980000 2298.380000 -29.980000 2298.390000 ;
-        RECT 2949.600000 2298.380000 2952.600000 2298.390000 ;
-        RECT -32.980000 2295.380000 -0.400000 2298.380000 ;
-        RECT 2920.400000 2295.380000 2952.600000 2298.380000 ;
-        RECT -32.980000 2295.370000 -29.980000 2295.380000 ;
-        RECT 2949.600000 2295.370000 2952.600000 2295.380000 ;
-        RECT -32.980000 2118.380000 -29.980000 2118.390000 ;
-        RECT 2949.600000 2118.380000 2952.600000 2118.390000 ;
-        RECT -32.980000 2115.380000 -0.400000 2118.380000 ;
-        RECT 2920.400000 2115.380000 2952.600000 2118.380000 ;
-        RECT -32.980000 2115.370000 -29.980000 2115.380000 ;
-        RECT 2949.600000 2115.370000 2952.600000 2115.380000 ;
-        RECT -32.980000 1938.380000 -29.980000 1938.390000 ;
-        RECT 2949.600000 1938.380000 2952.600000 1938.390000 ;
-        RECT -32.980000 1935.380000 -0.400000 1938.380000 ;
-        RECT 2920.400000 1935.380000 2952.600000 1938.380000 ;
-        RECT -32.980000 1935.370000 -29.980000 1935.380000 ;
-        RECT 2949.600000 1935.370000 2952.600000 1935.380000 ;
-        RECT -32.980000 1758.380000 -29.980000 1758.390000 ;
-        RECT 2949.600000 1758.380000 2952.600000 1758.390000 ;
-        RECT -32.980000 1755.380000 -0.400000 1758.380000 ;
-        RECT 2920.400000 1755.380000 2952.600000 1758.380000 ;
-        RECT -32.980000 1755.370000 -29.980000 1755.380000 ;
-        RECT 2949.600000 1755.370000 2952.600000 1755.380000 ;
-        RECT -32.980000 1578.380000 -29.980000 1578.390000 ;
-        RECT 2949.600000 1578.380000 2952.600000 1578.390000 ;
-        RECT -32.980000 1575.380000 -0.400000 1578.380000 ;
-        RECT 2920.400000 1575.380000 2952.600000 1578.380000 ;
-        RECT -32.980000 1575.370000 -29.980000 1575.380000 ;
-        RECT 2949.600000 1575.370000 2952.600000 1575.380000 ;
-        RECT -32.980000 1398.380000 -29.980000 1398.390000 ;
-        RECT 2949.600000 1398.380000 2952.600000 1398.390000 ;
-        RECT -32.980000 1395.380000 -0.400000 1398.380000 ;
-        RECT 2920.400000 1395.380000 2952.600000 1398.380000 ;
-        RECT -32.980000 1395.370000 -29.980000 1395.380000 ;
-        RECT 2949.600000 1395.370000 2952.600000 1395.380000 ;
-        RECT -32.980000 1218.380000 -29.980000 1218.390000 ;
-        RECT 2949.600000 1218.380000 2952.600000 1218.390000 ;
-        RECT -32.980000 1215.380000 -0.400000 1218.380000 ;
-        RECT 2920.400000 1215.380000 2952.600000 1218.380000 ;
-        RECT -32.980000 1215.370000 -29.980000 1215.380000 ;
-        RECT 2949.600000 1215.370000 2952.600000 1215.380000 ;
-        RECT -32.980000 1038.380000 -29.980000 1038.390000 ;
-        RECT 2949.600000 1038.380000 2952.600000 1038.390000 ;
-        RECT -32.980000 1035.380000 -0.400000 1038.380000 ;
-        RECT 2920.400000 1035.380000 2952.600000 1038.380000 ;
-        RECT -32.980000 1035.370000 -29.980000 1035.380000 ;
-        RECT 2949.600000 1035.370000 2952.600000 1035.380000 ;
-        RECT -32.980000 858.380000 -29.980000 858.390000 ;
-        RECT 2949.600000 858.380000 2952.600000 858.390000 ;
-        RECT -32.980000 855.380000 -0.400000 858.380000 ;
-        RECT 2920.400000 855.380000 2952.600000 858.380000 ;
-        RECT -32.980000 855.370000 -29.980000 855.380000 ;
-        RECT 2949.600000 855.370000 2952.600000 855.380000 ;
-        RECT -32.980000 678.380000 -29.980000 678.390000 ;
-        RECT 2949.600000 678.380000 2952.600000 678.390000 ;
-        RECT -32.980000 675.380000 -0.400000 678.380000 ;
-        RECT 2920.400000 675.380000 2952.600000 678.380000 ;
-        RECT -32.980000 675.370000 -29.980000 675.380000 ;
-        RECT 2949.600000 675.370000 2952.600000 675.380000 ;
-        RECT -32.980000 498.380000 -29.980000 498.390000 ;
-        RECT 2949.600000 498.380000 2952.600000 498.390000 ;
-        RECT -32.980000 495.380000 -0.400000 498.380000 ;
-        RECT 2920.400000 495.380000 2952.600000 498.380000 ;
-        RECT -32.980000 495.370000 -29.980000 495.380000 ;
-        RECT 2949.600000 495.370000 2952.600000 495.380000 ;
-        RECT -32.980000 318.380000 -29.980000 318.390000 ;
-        RECT 2949.600000 318.380000 2952.600000 318.390000 ;
-        RECT -32.980000 315.380000 -0.400000 318.380000 ;
-        RECT 2920.400000 315.380000 2952.600000 318.380000 ;
-        RECT -32.980000 315.370000 -29.980000 315.380000 ;
-        RECT 2949.600000 315.370000 2952.600000 315.380000 ;
-        RECT -32.980000 138.380000 -29.980000 138.390000 ;
-        RECT 2949.600000 138.380000 2952.600000 138.390000 ;
-        RECT -32.980000 135.380000 -0.400000 138.380000 ;
-        RECT 2920.400000 135.380000 2952.600000 138.380000 ;
-        RECT -32.980000 135.370000 -29.980000 135.380000 ;
-        RECT 2949.600000 135.370000 2952.600000 135.380000 ;
-        RECT -32.980000 -24.620000 -29.980000 -24.610000 ;
-        RECT 130.020000 -24.620000 133.020000 -24.610000 ;
-        RECT 310.020000 -24.620000 313.020000 -24.610000 ;
-        RECT 490.020000 -24.620000 493.020000 -24.610000 ;
-        RECT 670.020000 -24.620000 673.020000 -24.610000 ;
-        RECT 850.020000 -24.620000 853.020000 -24.610000 ;
-        RECT 1030.020000 -24.620000 1033.020000 -24.610000 ;
-        RECT 1210.020000 -24.620000 1213.020000 -24.610000 ;
-        RECT 1390.020000 -24.620000 1393.020000 -24.610000 ;
-        RECT 1570.020000 -24.620000 1573.020000 -24.610000 ;
-        RECT 1750.020000 -24.620000 1753.020000 -24.610000 ;
-        RECT 1930.020000 -24.620000 1933.020000 -24.610000 ;
-        RECT 2110.020000 -24.620000 2113.020000 -24.610000 ;
-        RECT 2290.020000 -24.620000 2293.020000 -24.610000 ;
-        RECT 2470.020000 -24.620000 2473.020000 -24.610000 ;
-        RECT 2650.020000 -24.620000 2653.020000 -24.610000 ;
-        RECT 2830.020000 -24.620000 2833.020000 -24.610000 ;
-        RECT 2949.600000 -24.620000 2952.600000 -24.610000 ;
-        RECT -32.980000 -27.620000 2952.600000 -24.620000 ;
-        RECT -32.980000 -27.630000 -29.980000 -27.620000 ;
-        RECT 130.020000 -27.630000 133.020000 -27.620000 ;
-        RECT 310.020000 -27.630000 313.020000 -27.620000 ;
-        RECT 490.020000 -27.630000 493.020000 -27.620000 ;
-        RECT 670.020000 -27.630000 673.020000 -27.620000 ;
-        RECT 850.020000 -27.630000 853.020000 -27.620000 ;
-        RECT 1030.020000 -27.630000 1033.020000 -27.620000 ;
-        RECT 1210.020000 -27.630000 1213.020000 -27.620000 ;
-        RECT 1390.020000 -27.630000 1393.020000 -27.620000 ;
-        RECT 1570.020000 -27.630000 1573.020000 -27.620000 ;
-        RECT 1750.020000 -27.630000 1753.020000 -27.620000 ;
-        RECT 1930.020000 -27.630000 1933.020000 -27.620000 ;
-        RECT 2110.020000 -27.630000 2113.020000 -27.620000 ;
-        RECT 2290.020000 -27.630000 2293.020000 -27.620000 ;
-        RECT 2470.020000 -27.630000 2473.020000 -27.620000 ;
-        RECT 2650.020000 -27.630000 2653.020000 -27.620000 ;
-        RECT 2830.020000 -27.630000 2833.020000 -27.620000 ;
-        RECT 2949.600000 -27.630000 2952.600000 -27.620000 ;
-    END
-  END vssa1
-  PIN vdda2
-    DIRECTION INPUT ;
-    PORT
-      LAYER met4 ;
-        RECT -37.580000 -32.220000 -34.580000 3551.900000 ;
-        RECT 58.020000 3520.400000 61.020000 3556.500000 ;
-        RECT 238.020000 3520.400000 241.020000 3556.500000 ;
-        RECT 418.020000 3520.400000 421.020000 3556.500000 ;
-        RECT 598.020000 3520.400000 601.020000 3556.500000 ;
-        RECT 778.020000 3520.400000 781.020000 3556.500000 ;
-        RECT 958.020000 3520.400000 961.020000 3556.500000 ;
-        RECT 1138.020000 3520.400000 1141.020000 3556.500000 ;
-        RECT 1318.020000 3520.400000 1321.020000 3556.500000 ;
-        RECT 1498.020000 3520.400000 1501.020000 3556.500000 ;
-        RECT 1678.020000 3520.400000 1681.020000 3556.500000 ;
-        RECT 1858.020000 3520.400000 1861.020000 3556.500000 ;
-        RECT 2038.020000 3520.400000 2041.020000 3556.500000 ;
-        RECT 2218.020000 3520.400000 2221.020000 3556.500000 ;
-        RECT 2398.020000 3520.400000 2401.020000 3556.500000 ;
-        RECT 2578.020000 3520.400000 2581.020000 3556.500000 ;
-        RECT 2758.020000 3520.400000 2761.020000 3556.500000 ;
-        RECT 58.020000 -36.820000 61.020000 -0.400000 ;
-        RECT 238.020000 -36.820000 241.020000 -0.400000 ;
-        RECT 418.020000 -36.820000 421.020000 -0.400000 ;
-        RECT 598.020000 -36.820000 601.020000 -0.400000 ;
-        RECT 778.020000 -36.820000 781.020000 -0.400000 ;
-        RECT 958.020000 -36.820000 961.020000 -0.400000 ;
-        RECT 1138.020000 -36.820000 1141.020000 -0.400000 ;
-        RECT 1318.020000 -36.820000 1321.020000 -0.400000 ;
-        RECT 1498.020000 -36.820000 1501.020000 -0.400000 ;
-        RECT 1678.020000 -36.820000 1681.020000 -0.400000 ;
-        RECT 1858.020000 -36.820000 1861.020000 -0.400000 ;
-        RECT 2038.020000 -36.820000 2041.020000 -0.400000 ;
-        RECT 2218.020000 -36.820000 2221.020000 -0.400000 ;
-        RECT 2398.020000 -36.820000 2401.020000 -0.400000 ;
-        RECT 2578.020000 -36.820000 2581.020000 -0.400000 ;
-        RECT 2758.020000 -36.820000 2761.020000 -0.400000 ;
-        RECT 2954.200000 -32.220000 2957.200000 3551.900000 ;
-      LAYER M4M5_PR_C ;
-        RECT -36.670000 3550.610000 -35.490000 3551.790000 ;
-        RECT -36.670000 3549.010000 -35.490000 3550.190000 ;
-        RECT 58.930000 3550.610000 60.110000 3551.790000 ;
-        RECT 58.930000 3549.010000 60.110000 3550.190000 ;
-        RECT 238.930000 3550.610000 240.110000 3551.790000 ;
-        RECT 238.930000 3549.010000 240.110000 3550.190000 ;
-        RECT 418.930000 3550.610000 420.110000 3551.790000 ;
-        RECT 418.930000 3549.010000 420.110000 3550.190000 ;
-        RECT 598.930000 3550.610000 600.110000 3551.790000 ;
-        RECT 598.930000 3549.010000 600.110000 3550.190000 ;
-        RECT 778.930000 3550.610000 780.110000 3551.790000 ;
-        RECT 778.930000 3549.010000 780.110000 3550.190000 ;
-        RECT 958.930000 3550.610000 960.110000 3551.790000 ;
-        RECT 958.930000 3549.010000 960.110000 3550.190000 ;
-        RECT 1138.930000 3550.610000 1140.110000 3551.790000 ;
-        RECT 1138.930000 3549.010000 1140.110000 3550.190000 ;
-        RECT 1318.930000 3550.610000 1320.110000 3551.790000 ;
-        RECT 1318.930000 3549.010000 1320.110000 3550.190000 ;
-        RECT 1498.930000 3550.610000 1500.110000 3551.790000 ;
-        RECT 1498.930000 3549.010000 1500.110000 3550.190000 ;
-        RECT 1678.930000 3550.610000 1680.110000 3551.790000 ;
-        RECT 1678.930000 3549.010000 1680.110000 3550.190000 ;
-        RECT 1858.930000 3550.610000 1860.110000 3551.790000 ;
-        RECT 1858.930000 3549.010000 1860.110000 3550.190000 ;
-        RECT 2038.930000 3550.610000 2040.110000 3551.790000 ;
-        RECT 2038.930000 3549.010000 2040.110000 3550.190000 ;
-        RECT 2218.930000 3550.610000 2220.110000 3551.790000 ;
-        RECT 2218.930000 3549.010000 2220.110000 3550.190000 ;
-        RECT 2398.930000 3550.610000 2400.110000 3551.790000 ;
-        RECT 2398.930000 3549.010000 2400.110000 3550.190000 ;
-        RECT 2578.930000 3550.610000 2580.110000 3551.790000 ;
-        RECT 2578.930000 3549.010000 2580.110000 3550.190000 ;
-        RECT 2758.930000 3550.610000 2760.110000 3551.790000 ;
-        RECT 2758.930000 3549.010000 2760.110000 3550.190000 ;
-        RECT 2955.110000 3550.610000 2956.290000 3551.790000 ;
-        RECT 2955.110000 3549.010000 2956.290000 3550.190000 ;
-        RECT -36.670000 3485.090000 -35.490000 3486.270000 ;
-        RECT -36.670000 3483.490000 -35.490000 3484.670000 ;
-        RECT -36.670000 3305.090000 -35.490000 3306.270000 ;
-        RECT -36.670000 3303.490000 -35.490000 3304.670000 ;
-        RECT -36.670000 3125.090000 -35.490000 3126.270000 ;
-        RECT -36.670000 3123.490000 -35.490000 3124.670000 ;
-        RECT -36.670000 2945.090000 -35.490000 2946.270000 ;
-        RECT -36.670000 2943.490000 -35.490000 2944.670000 ;
-        RECT -36.670000 2765.090000 -35.490000 2766.270000 ;
-        RECT -36.670000 2763.490000 -35.490000 2764.670000 ;
-        RECT -36.670000 2585.090000 -35.490000 2586.270000 ;
-        RECT -36.670000 2583.490000 -35.490000 2584.670000 ;
-        RECT -36.670000 2405.090000 -35.490000 2406.270000 ;
-        RECT -36.670000 2403.490000 -35.490000 2404.670000 ;
-        RECT -36.670000 2225.090000 -35.490000 2226.270000 ;
-        RECT -36.670000 2223.490000 -35.490000 2224.670000 ;
-        RECT -36.670000 2045.090000 -35.490000 2046.270000 ;
-        RECT -36.670000 2043.490000 -35.490000 2044.670000 ;
-        RECT -36.670000 1865.090000 -35.490000 1866.270000 ;
-        RECT -36.670000 1863.490000 -35.490000 1864.670000 ;
-        RECT -36.670000 1685.090000 -35.490000 1686.270000 ;
-        RECT -36.670000 1683.490000 -35.490000 1684.670000 ;
-        RECT -36.670000 1505.090000 -35.490000 1506.270000 ;
-        RECT -36.670000 1503.490000 -35.490000 1504.670000 ;
-        RECT -36.670000 1325.090000 -35.490000 1326.270000 ;
-        RECT -36.670000 1323.490000 -35.490000 1324.670000 ;
-        RECT -36.670000 1145.090000 -35.490000 1146.270000 ;
-        RECT -36.670000 1143.490000 -35.490000 1144.670000 ;
-        RECT -36.670000 965.090000 -35.490000 966.270000 ;
-        RECT -36.670000 963.490000 -35.490000 964.670000 ;
-        RECT -36.670000 785.090000 -35.490000 786.270000 ;
-        RECT -36.670000 783.490000 -35.490000 784.670000 ;
-        RECT -36.670000 605.090000 -35.490000 606.270000 ;
-        RECT -36.670000 603.490000 -35.490000 604.670000 ;
-        RECT -36.670000 425.090000 -35.490000 426.270000 ;
-        RECT -36.670000 423.490000 -35.490000 424.670000 ;
-        RECT -36.670000 245.090000 -35.490000 246.270000 ;
-        RECT -36.670000 243.490000 -35.490000 244.670000 ;
-        RECT -36.670000 65.090000 -35.490000 66.270000 ;
-        RECT -36.670000 63.490000 -35.490000 64.670000 ;
-        RECT 2955.110000 3485.090000 2956.290000 3486.270000 ;
-        RECT 2955.110000 3483.490000 2956.290000 3484.670000 ;
-        RECT 2955.110000 3305.090000 2956.290000 3306.270000 ;
-        RECT 2955.110000 3303.490000 2956.290000 3304.670000 ;
-        RECT 2955.110000 3125.090000 2956.290000 3126.270000 ;
-        RECT 2955.110000 3123.490000 2956.290000 3124.670000 ;
-        RECT 2955.110000 2945.090000 2956.290000 2946.270000 ;
-        RECT 2955.110000 2943.490000 2956.290000 2944.670000 ;
-        RECT 2955.110000 2765.090000 2956.290000 2766.270000 ;
-        RECT 2955.110000 2763.490000 2956.290000 2764.670000 ;
-        RECT 2955.110000 2585.090000 2956.290000 2586.270000 ;
-        RECT 2955.110000 2583.490000 2956.290000 2584.670000 ;
-        RECT 2955.110000 2405.090000 2956.290000 2406.270000 ;
-        RECT 2955.110000 2403.490000 2956.290000 2404.670000 ;
-        RECT 2955.110000 2225.090000 2956.290000 2226.270000 ;
-        RECT 2955.110000 2223.490000 2956.290000 2224.670000 ;
-        RECT 2955.110000 2045.090000 2956.290000 2046.270000 ;
-        RECT 2955.110000 2043.490000 2956.290000 2044.670000 ;
-        RECT 2955.110000 1865.090000 2956.290000 1866.270000 ;
-        RECT 2955.110000 1863.490000 2956.290000 1864.670000 ;
-        RECT 2955.110000 1685.090000 2956.290000 1686.270000 ;
-        RECT 2955.110000 1683.490000 2956.290000 1684.670000 ;
-        RECT 2955.110000 1505.090000 2956.290000 1506.270000 ;
-        RECT 2955.110000 1503.490000 2956.290000 1504.670000 ;
-        RECT 2955.110000 1325.090000 2956.290000 1326.270000 ;
-        RECT 2955.110000 1323.490000 2956.290000 1324.670000 ;
-        RECT 2955.110000 1145.090000 2956.290000 1146.270000 ;
-        RECT 2955.110000 1143.490000 2956.290000 1144.670000 ;
-        RECT 2955.110000 965.090000 2956.290000 966.270000 ;
-        RECT 2955.110000 963.490000 2956.290000 964.670000 ;
-        RECT 2955.110000 785.090000 2956.290000 786.270000 ;
-        RECT 2955.110000 783.490000 2956.290000 784.670000 ;
-        RECT 2955.110000 605.090000 2956.290000 606.270000 ;
-        RECT 2955.110000 603.490000 2956.290000 604.670000 ;
-        RECT 2955.110000 425.090000 2956.290000 426.270000 ;
-        RECT 2955.110000 423.490000 2956.290000 424.670000 ;
-        RECT 2955.110000 245.090000 2956.290000 246.270000 ;
-        RECT 2955.110000 243.490000 2956.290000 244.670000 ;
-        RECT 2955.110000 65.090000 2956.290000 66.270000 ;
-        RECT 2955.110000 63.490000 2956.290000 64.670000 ;
-        RECT -36.670000 -30.510000 -35.490000 -29.330000 ;
-        RECT -36.670000 -32.110000 -35.490000 -30.930000 ;
-        RECT 58.930000 -30.510000 60.110000 -29.330000 ;
-        RECT 58.930000 -32.110000 60.110000 -30.930000 ;
-        RECT 238.930000 -30.510000 240.110000 -29.330000 ;
-        RECT 238.930000 -32.110000 240.110000 -30.930000 ;
-        RECT 418.930000 -30.510000 420.110000 -29.330000 ;
-        RECT 418.930000 -32.110000 420.110000 -30.930000 ;
-        RECT 598.930000 -30.510000 600.110000 -29.330000 ;
-        RECT 598.930000 -32.110000 600.110000 -30.930000 ;
-        RECT 778.930000 -30.510000 780.110000 -29.330000 ;
-        RECT 778.930000 -32.110000 780.110000 -30.930000 ;
-        RECT 958.930000 -30.510000 960.110000 -29.330000 ;
-        RECT 958.930000 -32.110000 960.110000 -30.930000 ;
-        RECT 1138.930000 -30.510000 1140.110000 -29.330000 ;
-        RECT 1138.930000 -32.110000 1140.110000 -30.930000 ;
-        RECT 1318.930000 -30.510000 1320.110000 -29.330000 ;
-        RECT 1318.930000 -32.110000 1320.110000 -30.930000 ;
-        RECT 1498.930000 -30.510000 1500.110000 -29.330000 ;
-        RECT 1498.930000 -32.110000 1500.110000 -30.930000 ;
-        RECT 1678.930000 -30.510000 1680.110000 -29.330000 ;
-        RECT 1678.930000 -32.110000 1680.110000 -30.930000 ;
-        RECT 1858.930000 -30.510000 1860.110000 -29.330000 ;
-        RECT 1858.930000 -32.110000 1860.110000 -30.930000 ;
-        RECT 2038.930000 -30.510000 2040.110000 -29.330000 ;
-        RECT 2038.930000 -32.110000 2040.110000 -30.930000 ;
-        RECT 2218.930000 -30.510000 2220.110000 -29.330000 ;
-        RECT 2218.930000 -32.110000 2220.110000 -30.930000 ;
-        RECT 2398.930000 -30.510000 2400.110000 -29.330000 ;
-        RECT 2398.930000 -32.110000 2400.110000 -30.930000 ;
-        RECT 2578.930000 -30.510000 2580.110000 -29.330000 ;
-        RECT 2578.930000 -32.110000 2580.110000 -30.930000 ;
-        RECT 2758.930000 -30.510000 2760.110000 -29.330000 ;
-        RECT 2758.930000 -32.110000 2760.110000 -30.930000 ;
-        RECT 2955.110000 -30.510000 2956.290000 -29.330000 ;
-        RECT 2955.110000 -32.110000 2956.290000 -30.930000 ;
-      LAYER met5 ;
-        RECT -37.580000 3551.900000 -34.580000 3551.910000 ;
-        RECT 58.020000 3551.900000 61.020000 3551.910000 ;
-        RECT 238.020000 3551.900000 241.020000 3551.910000 ;
-        RECT 418.020000 3551.900000 421.020000 3551.910000 ;
-        RECT 598.020000 3551.900000 601.020000 3551.910000 ;
-        RECT 778.020000 3551.900000 781.020000 3551.910000 ;
-        RECT 958.020000 3551.900000 961.020000 3551.910000 ;
-        RECT 1138.020000 3551.900000 1141.020000 3551.910000 ;
-        RECT 1318.020000 3551.900000 1321.020000 3551.910000 ;
-        RECT 1498.020000 3551.900000 1501.020000 3551.910000 ;
-        RECT 1678.020000 3551.900000 1681.020000 3551.910000 ;
-        RECT 1858.020000 3551.900000 1861.020000 3551.910000 ;
-        RECT 2038.020000 3551.900000 2041.020000 3551.910000 ;
-        RECT 2218.020000 3551.900000 2221.020000 3551.910000 ;
-        RECT 2398.020000 3551.900000 2401.020000 3551.910000 ;
-        RECT 2578.020000 3551.900000 2581.020000 3551.910000 ;
-        RECT 2758.020000 3551.900000 2761.020000 3551.910000 ;
-        RECT 2954.200000 3551.900000 2957.200000 3551.910000 ;
-        RECT -37.580000 3548.900000 2957.200000 3551.900000 ;
-        RECT -37.580000 3548.890000 -34.580000 3548.900000 ;
-        RECT 58.020000 3548.890000 61.020000 3548.900000 ;
-        RECT 238.020000 3548.890000 241.020000 3548.900000 ;
-        RECT 418.020000 3548.890000 421.020000 3548.900000 ;
-        RECT 598.020000 3548.890000 601.020000 3548.900000 ;
-        RECT 778.020000 3548.890000 781.020000 3548.900000 ;
-        RECT 958.020000 3548.890000 961.020000 3548.900000 ;
-        RECT 1138.020000 3548.890000 1141.020000 3548.900000 ;
-        RECT 1318.020000 3548.890000 1321.020000 3548.900000 ;
-        RECT 1498.020000 3548.890000 1501.020000 3548.900000 ;
-        RECT 1678.020000 3548.890000 1681.020000 3548.900000 ;
-        RECT 1858.020000 3548.890000 1861.020000 3548.900000 ;
-        RECT 2038.020000 3548.890000 2041.020000 3548.900000 ;
-        RECT 2218.020000 3548.890000 2221.020000 3548.900000 ;
-        RECT 2398.020000 3548.890000 2401.020000 3548.900000 ;
-        RECT 2578.020000 3548.890000 2581.020000 3548.900000 ;
-        RECT 2758.020000 3548.890000 2761.020000 3548.900000 ;
-        RECT 2954.200000 3548.890000 2957.200000 3548.900000 ;
-        RECT -37.580000 3486.380000 -34.580000 3486.390000 ;
-        RECT 2954.200000 3486.380000 2957.200000 3486.390000 ;
-        RECT -42.180000 3483.380000 -0.400000 3486.380000 ;
-        RECT 2920.400000 3483.380000 2961.800000 3486.380000 ;
-        RECT -37.580000 3483.370000 -34.580000 3483.380000 ;
-        RECT 2954.200000 3483.370000 2957.200000 3483.380000 ;
-        RECT -37.580000 3306.380000 -34.580000 3306.390000 ;
-        RECT 2954.200000 3306.380000 2957.200000 3306.390000 ;
-        RECT -42.180000 3303.380000 -0.400000 3306.380000 ;
-        RECT 2920.400000 3303.380000 2961.800000 3306.380000 ;
-        RECT -37.580000 3303.370000 -34.580000 3303.380000 ;
-        RECT 2954.200000 3303.370000 2957.200000 3303.380000 ;
-        RECT -37.580000 3126.380000 -34.580000 3126.390000 ;
-        RECT 2954.200000 3126.380000 2957.200000 3126.390000 ;
-        RECT -42.180000 3123.380000 -0.400000 3126.380000 ;
-        RECT 2920.400000 3123.380000 2961.800000 3126.380000 ;
-        RECT -37.580000 3123.370000 -34.580000 3123.380000 ;
-        RECT 2954.200000 3123.370000 2957.200000 3123.380000 ;
-        RECT -37.580000 2946.380000 -34.580000 2946.390000 ;
-        RECT 2954.200000 2946.380000 2957.200000 2946.390000 ;
-        RECT -42.180000 2943.380000 -0.400000 2946.380000 ;
-        RECT 2920.400000 2943.380000 2961.800000 2946.380000 ;
-        RECT -37.580000 2943.370000 -34.580000 2943.380000 ;
-        RECT 2954.200000 2943.370000 2957.200000 2943.380000 ;
-        RECT -37.580000 2766.380000 -34.580000 2766.390000 ;
-        RECT 2954.200000 2766.380000 2957.200000 2766.390000 ;
-        RECT -42.180000 2763.380000 -0.400000 2766.380000 ;
-        RECT 2920.400000 2763.380000 2961.800000 2766.380000 ;
-        RECT -37.580000 2763.370000 -34.580000 2763.380000 ;
-        RECT 2954.200000 2763.370000 2957.200000 2763.380000 ;
-        RECT -37.580000 2586.380000 -34.580000 2586.390000 ;
-        RECT 2954.200000 2586.380000 2957.200000 2586.390000 ;
-        RECT -42.180000 2583.380000 -0.400000 2586.380000 ;
-        RECT 2920.400000 2583.380000 2961.800000 2586.380000 ;
-        RECT -37.580000 2583.370000 -34.580000 2583.380000 ;
-        RECT 2954.200000 2583.370000 2957.200000 2583.380000 ;
-        RECT -37.580000 2406.380000 -34.580000 2406.390000 ;
-        RECT 2954.200000 2406.380000 2957.200000 2406.390000 ;
-        RECT -42.180000 2403.380000 -0.400000 2406.380000 ;
-        RECT 2920.400000 2403.380000 2961.800000 2406.380000 ;
-        RECT -37.580000 2403.370000 -34.580000 2403.380000 ;
-        RECT 2954.200000 2403.370000 2957.200000 2403.380000 ;
-        RECT -37.580000 2226.380000 -34.580000 2226.390000 ;
-        RECT 2954.200000 2226.380000 2957.200000 2226.390000 ;
-        RECT -42.180000 2223.380000 -0.400000 2226.380000 ;
-        RECT 2920.400000 2223.380000 2961.800000 2226.380000 ;
-        RECT -37.580000 2223.370000 -34.580000 2223.380000 ;
-        RECT 2954.200000 2223.370000 2957.200000 2223.380000 ;
-        RECT -37.580000 2046.380000 -34.580000 2046.390000 ;
-        RECT 2954.200000 2046.380000 2957.200000 2046.390000 ;
-        RECT -42.180000 2043.380000 -0.400000 2046.380000 ;
-        RECT 2920.400000 2043.380000 2961.800000 2046.380000 ;
-        RECT -37.580000 2043.370000 -34.580000 2043.380000 ;
-        RECT 2954.200000 2043.370000 2957.200000 2043.380000 ;
-        RECT -37.580000 1866.380000 -34.580000 1866.390000 ;
-        RECT 2954.200000 1866.380000 2957.200000 1866.390000 ;
-        RECT -42.180000 1863.380000 -0.400000 1866.380000 ;
-        RECT 2920.400000 1863.380000 2961.800000 1866.380000 ;
-        RECT -37.580000 1863.370000 -34.580000 1863.380000 ;
-        RECT 2954.200000 1863.370000 2957.200000 1863.380000 ;
-        RECT -37.580000 1686.380000 -34.580000 1686.390000 ;
-        RECT 2954.200000 1686.380000 2957.200000 1686.390000 ;
-        RECT -42.180000 1683.380000 -0.400000 1686.380000 ;
-        RECT 2920.400000 1683.380000 2961.800000 1686.380000 ;
-        RECT -37.580000 1683.370000 -34.580000 1683.380000 ;
-        RECT 2954.200000 1683.370000 2957.200000 1683.380000 ;
-        RECT -37.580000 1506.380000 -34.580000 1506.390000 ;
-        RECT 2954.200000 1506.380000 2957.200000 1506.390000 ;
-        RECT -42.180000 1503.380000 -0.400000 1506.380000 ;
-        RECT 2920.400000 1503.380000 2961.800000 1506.380000 ;
-        RECT -37.580000 1503.370000 -34.580000 1503.380000 ;
-        RECT 2954.200000 1503.370000 2957.200000 1503.380000 ;
-        RECT -37.580000 1326.380000 -34.580000 1326.390000 ;
-        RECT 2954.200000 1326.380000 2957.200000 1326.390000 ;
-        RECT -42.180000 1323.380000 -0.400000 1326.380000 ;
-        RECT 2920.400000 1323.380000 2961.800000 1326.380000 ;
-        RECT -37.580000 1323.370000 -34.580000 1323.380000 ;
-        RECT 2954.200000 1323.370000 2957.200000 1323.380000 ;
-        RECT -37.580000 1146.380000 -34.580000 1146.390000 ;
-        RECT 2954.200000 1146.380000 2957.200000 1146.390000 ;
-        RECT -42.180000 1143.380000 -0.400000 1146.380000 ;
-        RECT 2920.400000 1143.380000 2961.800000 1146.380000 ;
-        RECT -37.580000 1143.370000 -34.580000 1143.380000 ;
-        RECT 2954.200000 1143.370000 2957.200000 1143.380000 ;
-        RECT -37.580000 966.380000 -34.580000 966.390000 ;
-        RECT 2954.200000 966.380000 2957.200000 966.390000 ;
-        RECT -42.180000 963.380000 -0.400000 966.380000 ;
-        RECT 2920.400000 963.380000 2961.800000 966.380000 ;
-        RECT -37.580000 963.370000 -34.580000 963.380000 ;
-        RECT 2954.200000 963.370000 2957.200000 963.380000 ;
-        RECT -37.580000 786.380000 -34.580000 786.390000 ;
-        RECT 2954.200000 786.380000 2957.200000 786.390000 ;
-        RECT -42.180000 783.380000 -0.400000 786.380000 ;
-        RECT 2920.400000 783.380000 2961.800000 786.380000 ;
-        RECT -37.580000 783.370000 -34.580000 783.380000 ;
-        RECT 2954.200000 783.370000 2957.200000 783.380000 ;
-        RECT -37.580000 606.380000 -34.580000 606.390000 ;
-        RECT 2954.200000 606.380000 2957.200000 606.390000 ;
-        RECT -42.180000 603.380000 -0.400000 606.380000 ;
-        RECT 2920.400000 603.380000 2961.800000 606.380000 ;
-        RECT -37.580000 603.370000 -34.580000 603.380000 ;
-        RECT 2954.200000 603.370000 2957.200000 603.380000 ;
-        RECT -37.580000 426.380000 -34.580000 426.390000 ;
-        RECT 2954.200000 426.380000 2957.200000 426.390000 ;
-        RECT -42.180000 423.380000 -0.400000 426.380000 ;
-        RECT 2920.400000 423.380000 2961.800000 426.380000 ;
-        RECT -37.580000 423.370000 -34.580000 423.380000 ;
-        RECT 2954.200000 423.370000 2957.200000 423.380000 ;
-        RECT -37.580000 246.380000 -34.580000 246.390000 ;
-        RECT 2954.200000 246.380000 2957.200000 246.390000 ;
-        RECT -42.180000 243.380000 -0.400000 246.380000 ;
-        RECT 2920.400000 243.380000 2961.800000 246.380000 ;
-        RECT -37.580000 243.370000 -34.580000 243.380000 ;
-        RECT 2954.200000 243.370000 2957.200000 243.380000 ;
-        RECT -37.580000 66.380000 -34.580000 66.390000 ;
-        RECT 2954.200000 66.380000 2957.200000 66.390000 ;
-        RECT -42.180000 63.380000 -0.400000 66.380000 ;
-        RECT 2920.400000 63.380000 2961.800000 66.380000 ;
-        RECT -37.580000 63.370000 -34.580000 63.380000 ;
-        RECT 2954.200000 63.370000 2957.200000 63.380000 ;
-        RECT -37.580000 -29.220000 -34.580000 -29.210000 ;
-        RECT 58.020000 -29.220000 61.020000 -29.210000 ;
-        RECT 238.020000 -29.220000 241.020000 -29.210000 ;
-        RECT 418.020000 -29.220000 421.020000 -29.210000 ;
-        RECT 598.020000 -29.220000 601.020000 -29.210000 ;
-        RECT 778.020000 -29.220000 781.020000 -29.210000 ;
-        RECT 958.020000 -29.220000 961.020000 -29.210000 ;
-        RECT 1138.020000 -29.220000 1141.020000 -29.210000 ;
-        RECT 1318.020000 -29.220000 1321.020000 -29.210000 ;
-        RECT 1498.020000 -29.220000 1501.020000 -29.210000 ;
-        RECT 1678.020000 -29.220000 1681.020000 -29.210000 ;
-        RECT 1858.020000 -29.220000 1861.020000 -29.210000 ;
-        RECT 2038.020000 -29.220000 2041.020000 -29.210000 ;
-        RECT 2218.020000 -29.220000 2221.020000 -29.210000 ;
-        RECT 2398.020000 -29.220000 2401.020000 -29.210000 ;
-        RECT 2578.020000 -29.220000 2581.020000 -29.210000 ;
-        RECT 2758.020000 -29.220000 2761.020000 -29.210000 ;
-        RECT 2954.200000 -29.220000 2957.200000 -29.210000 ;
-        RECT -37.580000 -32.220000 2957.200000 -29.220000 ;
-        RECT -37.580000 -32.230000 -34.580000 -32.220000 ;
-        RECT 58.020000 -32.230000 61.020000 -32.220000 ;
-        RECT 238.020000 -32.230000 241.020000 -32.220000 ;
-        RECT 418.020000 -32.230000 421.020000 -32.220000 ;
-        RECT 598.020000 -32.230000 601.020000 -32.220000 ;
-        RECT 778.020000 -32.230000 781.020000 -32.220000 ;
-        RECT 958.020000 -32.230000 961.020000 -32.220000 ;
-        RECT 1138.020000 -32.230000 1141.020000 -32.220000 ;
-        RECT 1318.020000 -32.230000 1321.020000 -32.220000 ;
-        RECT 1498.020000 -32.230000 1501.020000 -32.220000 ;
-        RECT 1678.020000 -32.230000 1681.020000 -32.220000 ;
-        RECT 1858.020000 -32.230000 1861.020000 -32.220000 ;
-        RECT 2038.020000 -32.230000 2041.020000 -32.220000 ;
-        RECT 2218.020000 -32.230000 2221.020000 -32.220000 ;
-        RECT 2398.020000 -32.230000 2401.020000 -32.220000 ;
-        RECT 2578.020000 -32.230000 2581.020000 -32.220000 ;
-        RECT 2758.020000 -32.230000 2761.020000 -32.220000 ;
-        RECT 2954.200000 -32.230000 2957.200000 -32.220000 ;
-    END
-  END vdda2
-  PIN vssa2
-    DIRECTION INPUT ;
-    PORT
-      LAYER met4 ;
-        RECT -42.180000 -36.820000 -39.180000 3556.500000 ;
-        RECT 148.020000 3520.400000 151.020000 3556.500000 ;
-        RECT 328.020000 3520.400000 331.020000 3556.500000 ;
-        RECT 508.020000 3520.400000 511.020000 3556.500000 ;
-        RECT 688.020000 3520.400000 691.020000 3556.500000 ;
-        RECT 868.020000 3520.400000 871.020000 3556.500000 ;
-        RECT 1048.020000 3520.400000 1051.020000 3556.500000 ;
-        RECT 1228.020000 3520.400000 1231.020000 3556.500000 ;
-        RECT 1408.020000 3520.400000 1411.020000 3556.500000 ;
-        RECT 1588.020000 3520.400000 1591.020000 3556.500000 ;
-        RECT 1768.020000 3520.400000 1771.020000 3556.500000 ;
-        RECT 1948.020000 3520.400000 1951.020000 3556.500000 ;
-        RECT 2128.020000 3520.400000 2131.020000 3556.500000 ;
-        RECT 2308.020000 3520.400000 2311.020000 3556.500000 ;
-        RECT 2488.020000 3520.400000 2491.020000 3556.500000 ;
-        RECT 2668.020000 3520.400000 2671.020000 3556.500000 ;
-        RECT 2848.020000 3520.400000 2851.020000 3556.500000 ;
-        RECT 148.020000 -36.820000 151.020000 -0.400000 ;
-        RECT 328.020000 -36.820000 331.020000 -0.400000 ;
-        RECT 508.020000 -36.820000 511.020000 -0.400000 ;
-        RECT 688.020000 -36.820000 691.020000 -0.400000 ;
-        RECT 868.020000 -36.820000 871.020000 -0.400000 ;
-        RECT 1048.020000 -36.820000 1051.020000 -0.400000 ;
-        RECT 1228.020000 -36.820000 1231.020000 -0.400000 ;
-        RECT 1408.020000 -36.820000 1411.020000 -0.400000 ;
-        RECT 1588.020000 -36.820000 1591.020000 -0.400000 ;
-        RECT 1768.020000 -36.820000 1771.020000 -0.400000 ;
-        RECT 1948.020000 -36.820000 1951.020000 -0.400000 ;
-        RECT 2128.020000 -36.820000 2131.020000 -0.400000 ;
-        RECT 2308.020000 -36.820000 2311.020000 -0.400000 ;
-        RECT 2488.020000 -36.820000 2491.020000 -0.400000 ;
-        RECT 2668.020000 -36.820000 2671.020000 -0.400000 ;
-        RECT 2848.020000 -36.820000 2851.020000 -0.400000 ;
-        RECT 2958.800000 -36.820000 2961.800000 3556.500000 ;
-      LAYER M4M5_PR_C ;
-        RECT -41.270000 3555.210000 -40.090000 3556.390000 ;
-        RECT -41.270000 3553.610000 -40.090000 3554.790000 ;
-        RECT 148.930000 3555.210000 150.110000 3556.390000 ;
-        RECT 148.930000 3553.610000 150.110000 3554.790000 ;
-        RECT 328.930000 3555.210000 330.110000 3556.390000 ;
-        RECT 328.930000 3553.610000 330.110000 3554.790000 ;
-        RECT 508.930000 3555.210000 510.110000 3556.390000 ;
-        RECT 508.930000 3553.610000 510.110000 3554.790000 ;
-        RECT 688.930000 3555.210000 690.110000 3556.390000 ;
-        RECT 688.930000 3553.610000 690.110000 3554.790000 ;
-        RECT 868.930000 3555.210000 870.110000 3556.390000 ;
-        RECT 868.930000 3553.610000 870.110000 3554.790000 ;
-        RECT 1048.930000 3555.210000 1050.110000 3556.390000 ;
-        RECT 1048.930000 3553.610000 1050.110000 3554.790000 ;
-        RECT 1228.930000 3555.210000 1230.110000 3556.390000 ;
-        RECT 1228.930000 3553.610000 1230.110000 3554.790000 ;
-        RECT 1408.930000 3555.210000 1410.110000 3556.390000 ;
-        RECT 1408.930000 3553.610000 1410.110000 3554.790000 ;
-        RECT 1588.930000 3555.210000 1590.110000 3556.390000 ;
-        RECT 1588.930000 3553.610000 1590.110000 3554.790000 ;
-        RECT 1768.930000 3555.210000 1770.110000 3556.390000 ;
-        RECT 1768.930000 3553.610000 1770.110000 3554.790000 ;
-        RECT 1948.930000 3555.210000 1950.110000 3556.390000 ;
-        RECT 1948.930000 3553.610000 1950.110000 3554.790000 ;
-        RECT 2128.930000 3555.210000 2130.110000 3556.390000 ;
-        RECT 2128.930000 3553.610000 2130.110000 3554.790000 ;
-        RECT 2308.930000 3555.210000 2310.110000 3556.390000 ;
-        RECT 2308.930000 3553.610000 2310.110000 3554.790000 ;
-        RECT 2488.930000 3555.210000 2490.110000 3556.390000 ;
-        RECT 2488.930000 3553.610000 2490.110000 3554.790000 ;
-        RECT 2668.930000 3555.210000 2670.110000 3556.390000 ;
-        RECT 2668.930000 3553.610000 2670.110000 3554.790000 ;
-        RECT 2848.930000 3555.210000 2850.110000 3556.390000 ;
-        RECT 2848.930000 3553.610000 2850.110000 3554.790000 ;
-        RECT 2959.710000 3555.210000 2960.890000 3556.390000 ;
-        RECT 2959.710000 3553.610000 2960.890000 3554.790000 ;
-        RECT -41.270000 3395.090000 -40.090000 3396.270000 ;
-        RECT -41.270000 3393.490000 -40.090000 3394.670000 ;
-        RECT -41.270000 3215.090000 -40.090000 3216.270000 ;
-        RECT -41.270000 3213.490000 -40.090000 3214.670000 ;
-        RECT -41.270000 3035.090000 -40.090000 3036.270000 ;
-        RECT -41.270000 3033.490000 -40.090000 3034.670000 ;
-        RECT -41.270000 2855.090000 -40.090000 2856.270000 ;
-        RECT -41.270000 2853.490000 -40.090000 2854.670000 ;
-        RECT -41.270000 2675.090000 -40.090000 2676.270000 ;
-        RECT -41.270000 2673.490000 -40.090000 2674.670000 ;
-        RECT -41.270000 2495.090000 -40.090000 2496.270000 ;
-        RECT -41.270000 2493.490000 -40.090000 2494.670000 ;
-        RECT -41.270000 2315.090000 -40.090000 2316.270000 ;
-        RECT -41.270000 2313.490000 -40.090000 2314.670000 ;
-        RECT -41.270000 2135.090000 -40.090000 2136.270000 ;
-        RECT -41.270000 2133.490000 -40.090000 2134.670000 ;
-        RECT -41.270000 1955.090000 -40.090000 1956.270000 ;
-        RECT -41.270000 1953.490000 -40.090000 1954.670000 ;
-        RECT -41.270000 1775.090000 -40.090000 1776.270000 ;
-        RECT -41.270000 1773.490000 -40.090000 1774.670000 ;
-        RECT -41.270000 1595.090000 -40.090000 1596.270000 ;
-        RECT -41.270000 1593.490000 -40.090000 1594.670000 ;
-        RECT -41.270000 1415.090000 -40.090000 1416.270000 ;
-        RECT -41.270000 1413.490000 -40.090000 1414.670000 ;
-        RECT -41.270000 1235.090000 -40.090000 1236.270000 ;
-        RECT -41.270000 1233.490000 -40.090000 1234.670000 ;
-        RECT -41.270000 1055.090000 -40.090000 1056.270000 ;
-        RECT -41.270000 1053.490000 -40.090000 1054.670000 ;
-        RECT -41.270000 875.090000 -40.090000 876.270000 ;
-        RECT -41.270000 873.490000 -40.090000 874.670000 ;
-        RECT -41.270000 695.090000 -40.090000 696.270000 ;
-        RECT -41.270000 693.490000 -40.090000 694.670000 ;
-        RECT -41.270000 515.090000 -40.090000 516.270000 ;
-        RECT -41.270000 513.490000 -40.090000 514.670000 ;
-        RECT -41.270000 335.090000 -40.090000 336.270000 ;
-        RECT -41.270000 333.490000 -40.090000 334.670000 ;
-        RECT -41.270000 155.090000 -40.090000 156.270000 ;
-        RECT -41.270000 153.490000 -40.090000 154.670000 ;
-        RECT 2959.710000 3395.090000 2960.890000 3396.270000 ;
-        RECT 2959.710000 3393.490000 2960.890000 3394.670000 ;
-        RECT 2959.710000 3215.090000 2960.890000 3216.270000 ;
-        RECT 2959.710000 3213.490000 2960.890000 3214.670000 ;
-        RECT 2959.710000 3035.090000 2960.890000 3036.270000 ;
-        RECT 2959.710000 3033.490000 2960.890000 3034.670000 ;
-        RECT 2959.710000 2855.090000 2960.890000 2856.270000 ;
-        RECT 2959.710000 2853.490000 2960.890000 2854.670000 ;
-        RECT 2959.710000 2675.090000 2960.890000 2676.270000 ;
-        RECT 2959.710000 2673.490000 2960.890000 2674.670000 ;
-        RECT 2959.710000 2495.090000 2960.890000 2496.270000 ;
-        RECT 2959.710000 2493.490000 2960.890000 2494.670000 ;
-        RECT 2959.710000 2315.090000 2960.890000 2316.270000 ;
-        RECT 2959.710000 2313.490000 2960.890000 2314.670000 ;
-        RECT 2959.710000 2135.090000 2960.890000 2136.270000 ;
-        RECT 2959.710000 2133.490000 2960.890000 2134.670000 ;
-        RECT 2959.710000 1955.090000 2960.890000 1956.270000 ;
-        RECT 2959.710000 1953.490000 2960.890000 1954.670000 ;
-        RECT 2959.710000 1775.090000 2960.890000 1776.270000 ;
-        RECT 2959.710000 1773.490000 2960.890000 1774.670000 ;
-        RECT 2959.710000 1595.090000 2960.890000 1596.270000 ;
-        RECT 2959.710000 1593.490000 2960.890000 1594.670000 ;
-        RECT 2959.710000 1415.090000 2960.890000 1416.270000 ;
-        RECT 2959.710000 1413.490000 2960.890000 1414.670000 ;
-        RECT 2959.710000 1235.090000 2960.890000 1236.270000 ;
-        RECT 2959.710000 1233.490000 2960.890000 1234.670000 ;
-        RECT 2959.710000 1055.090000 2960.890000 1056.270000 ;
-        RECT 2959.710000 1053.490000 2960.890000 1054.670000 ;
-        RECT 2959.710000 875.090000 2960.890000 876.270000 ;
-        RECT 2959.710000 873.490000 2960.890000 874.670000 ;
-        RECT 2959.710000 695.090000 2960.890000 696.270000 ;
-        RECT 2959.710000 693.490000 2960.890000 694.670000 ;
-        RECT 2959.710000 515.090000 2960.890000 516.270000 ;
-        RECT 2959.710000 513.490000 2960.890000 514.670000 ;
-        RECT 2959.710000 335.090000 2960.890000 336.270000 ;
-        RECT 2959.710000 333.490000 2960.890000 334.670000 ;
-        RECT 2959.710000 155.090000 2960.890000 156.270000 ;
-        RECT 2959.710000 153.490000 2960.890000 154.670000 ;
-        RECT -41.270000 -35.110000 -40.090000 -33.930000 ;
-        RECT -41.270000 -36.710000 -40.090000 -35.530000 ;
-        RECT 148.930000 -35.110000 150.110000 -33.930000 ;
-        RECT 148.930000 -36.710000 150.110000 -35.530000 ;
-        RECT 328.930000 -35.110000 330.110000 -33.930000 ;
-        RECT 328.930000 -36.710000 330.110000 -35.530000 ;
-        RECT 508.930000 -35.110000 510.110000 -33.930000 ;
-        RECT 508.930000 -36.710000 510.110000 -35.530000 ;
-        RECT 688.930000 -35.110000 690.110000 -33.930000 ;
-        RECT 688.930000 -36.710000 690.110000 -35.530000 ;
-        RECT 868.930000 -35.110000 870.110000 -33.930000 ;
-        RECT 868.930000 -36.710000 870.110000 -35.530000 ;
-        RECT 1048.930000 -35.110000 1050.110000 -33.930000 ;
-        RECT 1048.930000 -36.710000 1050.110000 -35.530000 ;
-        RECT 1228.930000 -35.110000 1230.110000 -33.930000 ;
-        RECT 1228.930000 -36.710000 1230.110000 -35.530000 ;
-        RECT 1408.930000 -35.110000 1410.110000 -33.930000 ;
-        RECT 1408.930000 -36.710000 1410.110000 -35.530000 ;
-        RECT 1588.930000 -35.110000 1590.110000 -33.930000 ;
-        RECT 1588.930000 -36.710000 1590.110000 -35.530000 ;
-        RECT 1768.930000 -35.110000 1770.110000 -33.930000 ;
-        RECT 1768.930000 -36.710000 1770.110000 -35.530000 ;
-        RECT 1948.930000 -35.110000 1950.110000 -33.930000 ;
-        RECT 1948.930000 -36.710000 1950.110000 -35.530000 ;
-        RECT 2128.930000 -35.110000 2130.110000 -33.930000 ;
-        RECT 2128.930000 -36.710000 2130.110000 -35.530000 ;
-        RECT 2308.930000 -35.110000 2310.110000 -33.930000 ;
-        RECT 2308.930000 -36.710000 2310.110000 -35.530000 ;
-        RECT 2488.930000 -35.110000 2490.110000 -33.930000 ;
-        RECT 2488.930000 -36.710000 2490.110000 -35.530000 ;
-        RECT 2668.930000 -35.110000 2670.110000 -33.930000 ;
-        RECT 2668.930000 -36.710000 2670.110000 -35.530000 ;
-        RECT 2848.930000 -35.110000 2850.110000 -33.930000 ;
-        RECT 2848.930000 -36.710000 2850.110000 -35.530000 ;
-        RECT 2959.710000 -35.110000 2960.890000 -33.930000 ;
-        RECT 2959.710000 -36.710000 2960.890000 -35.530000 ;
-      LAYER met5 ;
-        RECT -42.180000 3556.500000 -39.180000 3556.510000 ;
-        RECT 148.020000 3556.500000 151.020000 3556.510000 ;
-        RECT 328.020000 3556.500000 331.020000 3556.510000 ;
-        RECT 508.020000 3556.500000 511.020000 3556.510000 ;
-        RECT 688.020000 3556.500000 691.020000 3556.510000 ;
-        RECT 868.020000 3556.500000 871.020000 3556.510000 ;
-        RECT 1048.020000 3556.500000 1051.020000 3556.510000 ;
-        RECT 1228.020000 3556.500000 1231.020000 3556.510000 ;
-        RECT 1408.020000 3556.500000 1411.020000 3556.510000 ;
-        RECT 1588.020000 3556.500000 1591.020000 3556.510000 ;
-        RECT 1768.020000 3556.500000 1771.020000 3556.510000 ;
-        RECT 1948.020000 3556.500000 1951.020000 3556.510000 ;
-        RECT 2128.020000 3556.500000 2131.020000 3556.510000 ;
-        RECT 2308.020000 3556.500000 2311.020000 3556.510000 ;
-        RECT 2488.020000 3556.500000 2491.020000 3556.510000 ;
-        RECT 2668.020000 3556.500000 2671.020000 3556.510000 ;
-        RECT 2848.020000 3556.500000 2851.020000 3556.510000 ;
-        RECT 2958.800000 3556.500000 2961.800000 3556.510000 ;
-        RECT -42.180000 3553.500000 2961.800000 3556.500000 ;
-        RECT -42.180000 3553.490000 -39.180000 3553.500000 ;
-        RECT 148.020000 3553.490000 151.020000 3553.500000 ;
-        RECT 328.020000 3553.490000 331.020000 3553.500000 ;
-        RECT 508.020000 3553.490000 511.020000 3553.500000 ;
-        RECT 688.020000 3553.490000 691.020000 3553.500000 ;
-        RECT 868.020000 3553.490000 871.020000 3553.500000 ;
-        RECT 1048.020000 3553.490000 1051.020000 3553.500000 ;
-        RECT 1228.020000 3553.490000 1231.020000 3553.500000 ;
-        RECT 1408.020000 3553.490000 1411.020000 3553.500000 ;
-        RECT 1588.020000 3553.490000 1591.020000 3553.500000 ;
-        RECT 1768.020000 3553.490000 1771.020000 3553.500000 ;
-        RECT 1948.020000 3553.490000 1951.020000 3553.500000 ;
-        RECT 2128.020000 3553.490000 2131.020000 3553.500000 ;
-        RECT 2308.020000 3553.490000 2311.020000 3553.500000 ;
-        RECT 2488.020000 3553.490000 2491.020000 3553.500000 ;
-        RECT 2668.020000 3553.490000 2671.020000 3553.500000 ;
-        RECT 2848.020000 3553.490000 2851.020000 3553.500000 ;
-        RECT 2958.800000 3553.490000 2961.800000 3553.500000 ;
-        RECT -42.180000 3396.380000 -39.180000 3396.390000 ;
-        RECT 2958.800000 3396.380000 2961.800000 3396.390000 ;
-        RECT -42.180000 3393.380000 -0.400000 3396.380000 ;
-        RECT 2920.400000 3393.380000 2961.800000 3396.380000 ;
-        RECT -42.180000 3393.370000 -39.180000 3393.380000 ;
-        RECT 2958.800000 3393.370000 2961.800000 3393.380000 ;
-        RECT -42.180000 3216.380000 -39.180000 3216.390000 ;
-        RECT 2958.800000 3216.380000 2961.800000 3216.390000 ;
-        RECT -42.180000 3213.380000 -0.400000 3216.380000 ;
-        RECT 2920.400000 3213.380000 2961.800000 3216.380000 ;
-        RECT -42.180000 3213.370000 -39.180000 3213.380000 ;
-        RECT 2958.800000 3213.370000 2961.800000 3213.380000 ;
-        RECT -42.180000 3036.380000 -39.180000 3036.390000 ;
-        RECT 2958.800000 3036.380000 2961.800000 3036.390000 ;
-        RECT -42.180000 3033.380000 -0.400000 3036.380000 ;
-        RECT 2920.400000 3033.380000 2961.800000 3036.380000 ;
-        RECT -42.180000 3033.370000 -39.180000 3033.380000 ;
-        RECT 2958.800000 3033.370000 2961.800000 3033.380000 ;
-        RECT -42.180000 2856.380000 -39.180000 2856.390000 ;
-        RECT 2958.800000 2856.380000 2961.800000 2856.390000 ;
-        RECT -42.180000 2853.380000 -0.400000 2856.380000 ;
-        RECT 2920.400000 2853.380000 2961.800000 2856.380000 ;
-        RECT -42.180000 2853.370000 -39.180000 2853.380000 ;
-        RECT 2958.800000 2853.370000 2961.800000 2853.380000 ;
-        RECT -42.180000 2676.380000 -39.180000 2676.390000 ;
-        RECT 2958.800000 2676.380000 2961.800000 2676.390000 ;
-        RECT -42.180000 2673.380000 -0.400000 2676.380000 ;
-        RECT 2920.400000 2673.380000 2961.800000 2676.380000 ;
-        RECT -42.180000 2673.370000 -39.180000 2673.380000 ;
-        RECT 2958.800000 2673.370000 2961.800000 2673.380000 ;
-        RECT -42.180000 2496.380000 -39.180000 2496.390000 ;
-        RECT 2958.800000 2496.380000 2961.800000 2496.390000 ;
-        RECT -42.180000 2493.380000 -0.400000 2496.380000 ;
-        RECT 2920.400000 2493.380000 2961.800000 2496.380000 ;
-        RECT -42.180000 2493.370000 -39.180000 2493.380000 ;
-        RECT 2958.800000 2493.370000 2961.800000 2493.380000 ;
-        RECT -42.180000 2316.380000 -39.180000 2316.390000 ;
-        RECT 2958.800000 2316.380000 2961.800000 2316.390000 ;
-        RECT -42.180000 2313.380000 -0.400000 2316.380000 ;
-        RECT 2920.400000 2313.380000 2961.800000 2316.380000 ;
-        RECT -42.180000 2313.370000 -39.180000 2313.380000 ;
-        RECT 2958.800000 2313.370000 2961.800000 2313.380000 ;
-        RECT -42.180000 2136.380000 -39.180000 2136.390000 ;
-        RECT 2958.800000 2136.380000 2961.800000 2136.390000 ;
-        RECT -42.180000 2133.380000 -0.400000 2136.380000 ;
-        RECT 2920.400000 2133.380000 2961.800000 2136.380000 ;
-        RECT -42.180000 2133.370000 -39.180000 2133.380000 ;
-        RECT 2958.800000 2133.370000 2961.800000 2133.380000 ;
-        RECT -42.180000 1956.380000 -39.180000 1956.390000 ;
-        RECT 2958.800000 1956.380000 2961.800000 1956.390000 ;
-        RECT -42.180000 1953.380000 -0.400000 1956.380000 ;
-        RECT 2920.400000 1953.380000 2961.800000 1956.380000 ;
-        RECT -42.180000 1953.370000 -39.180000 1953.380000 ;
-        RECT 2958.800000 1953.370000 2961.800000 1953.380000 ;
-        RECT -42.180000 1776.380000 -39.180000 1776.390000 ;
-        RECT 2958.800000 1776.380000 2961.800000 1776.390000 ;
-        RECT -42.180000 1773.380000 -0.400000 1776.380000 ;
-        RECT 2920.400000 1773.380000 2961.800000 1776.380000 ;
-        RECT -42.180000 1773.370000 -39.180000 1773.380000 ;
-        RECT 2958.800000 1773.370000 2961.800000 1773.380000 ;
-        RECT -42.180000 1596.380000 -39.180000 1596.390000 ;
-        RECT 2958.800000 1596.380000 2961.800000 1596.390000 ;
-        RECT -42.180000 1593.380000 -0.400000 1596.380000 ;
-        RECT 2920.400000 1593.380000 2961.800000 1596.380000 ;
-        RECT -42.180000 1593.370000 -39.180000 1593.380000 ;
-        RECT 2958.800000 1593.370000 2961.800000 1593.380000 ;
-        RECT -42.180000 1416.380000 -39.180000 1416.390000 ;
-        RECT 2958.800000 1416.380000 2961.800000 1416.390000 ;
-        RECT -42.180000 1413.380000 -0.400000 1416.380000 ;
-        RECT 2920.400000 1413.380000 2961.800000 1416.380000 ;
-        RECT -42.180000 1413.370000 -39.180000 1413.380000 ;
-        RECT 2958.800000 1413.370000 2961.800000 1413.380000 ;
-        RECT -42.180000 1236.380000 -39.180000 1236.390000 ;
-        RECT 2958.800000 1236.380000 2961.800000 1236.390000 ;
-        RECT -42.180000 1233.380000 -0.400000 1236.380000 ;
-        RECT 2920.400000 1233.380000 2961.800000 1236.380000 ;
-        RECT -42.180000 1233.370000 -39.180000 1233.380000 ;
-        RECT 2958.800000 1233.370000 2961.800000 1233.380000 ;
-        RECT -42.180000 1056.380000 -39.180000 1056.390000 ;
-        RECT 2958.800000 1056.380000 2961.800000 1056.390000 ;
-        RECT -42.180000 1053.380000 -0.400000 1056.380000 ;
-        RECT 2920.400000 1053.380000 2961.800000 1056.380000 ;
-        RECT -42.180000 1053.370000 -39.180000 1053.380000 ;
-        RECT 2958.800000 1053.370000 2961.800000 1053.380000 ;
-        RECT -42.180000 876.380000 -39.180000 876.390000 ;
-        RECT 2958.800000 876.380000 2961.800000 876.390000 ;
-        RECT -42.180000 873.380000 -0.400000 876.380000 ;
-        RECT 2920.400000 873.380000 2961.800000 876.380000 ;
-        RECT -42.180000 873.370000 -39.180000 873.380000 ;
-        RECT 2958.800000 873.370000 2961.800000 873.380000 ;
-        RECT -42.180000 696.380000 -39.180000 696.390000 ;
-        RECT 2958.800000 696.380000 2961.800000 696.390000 ;
-        RECT -42.180000 693.380000 -0.400000 696.380000 ;
-        RECT 2920.400000 693.380000 2961.800000 696.380000 ;
-        RECT -42.180000 693.370000 -39.180000 693.380000 ;
-        RECT 2958.800000 693.370000 2961.800000 693.380000 ;
-        RECT -42.180000 516.380000 -39.180000 516.390000 ;
-        RECT 2958.800000 516.380000 2961.800000 516.390000 ;
-        RECT -42.180000 513.380000 -0.400000 516.380000 ;
-        RECT 2920.400000 513.380000 2961.800000 516.380000 ;
-        RECT -42.180000 513.370000 -39.180000 513.380000 ;
-        RECT 2958.800000 513.370000 2961.800000 513.380000 ;
-        RECT -42.180000 336.380000 -39.180000 336.390000 ;
-        RECT 2958.800000 336.380000 2961.800000 336.390000 ;
-        RECT -42.180000 333.380000 -0.400000 336.380000 ;
-        RECT 2920.400000 333.380000 2961.800000 336.380000 ;
-        RECT -42.180000 333.370000 -39.180000 333.380000 ;
-        RECT 2958.800000 333.370000 2961.800000 333.380000 ;
-        RECT -42.180000 156.380000 -39.180000 156.390000 ;
-        RECT 2958.800000 156.380000 2961.800000 156.390000 ;
-        RECT -42.180000 153.380000 -0.400000 156.380000 ;
-        RECT 2920.400000 153.380000 2961.800000 156.380000 ;
-        RECT -42.180000 153.370000 -39.180000 153.380000 ;
-        RECT 2958.800000 153.370000 2961.800000 153.380000 ;
-        RECT -42.180000 -33.820000 -39.180000 -33.810000 ;
-        RECT 148.020000 -33.820000 151.020000 -33.810000 ;
-        RECT 328.020000 -33.820000 331.020000 -33.810000 ;
-        RECT 508.020000 -33.820000 511.020000 -33.810000 ;
-        RECT 688.020000 -33.820000 691.020000 -33.810000 ;
-        RECT 868.020000 -33.820000 871.020000 -33.810000 ;
-        RECT 1048.020000 -33.820000 1051.020000 -33.810000 ;
-        RECT 1228.020000 -33.820000 1231.020000 -33.810000 ;
-        RECT 1408.020000 -33.820000 1411.020000 -33.810000 ;
-        RECT 1588.020000 -33.820000 1591.020000 -33.810000 ;
-        RECT 1768.020000 -33.820000 1771.020000 -33.810000 ;
-        RECT 1948.020000 -33.820000 1951.020000 -33.810000 ;
-        RECT 2128.020000 -33.820000 2131.020000 -33.810000 ;
-        RECT 2308.020000 -33.820000 2311.020000 -33.810000 ;
-        RECT 2488.020000 -33.820000 2491.020000 -33.810000 ;
-        RECT 2668.020000 -33.820000 2671.020000 -33.810000 ;
-        RECT 2848.020000 -33.820000 2851.020000 -33.810000 ;
-        RECT 2958.800000 -33.820000 2961.800000 -33.810000 ;
-        RECT -42.180000 -36.820000 2961.800000 -33.820000 ;
-        RECT -42.180000 -36.830000 -39.180000 -36.820000 ;
-        RECT 148.020000 -36.830000 151.020000 -36.820000 ;
-        RECT 328.020000 -36.830000 331.020000 -36.820000 ;
-        RECT 508.020000 -36.830000 511.020000 -36.820000 ;
-        RECT 688.020000 -36.830000 691.020000 -36.820000 ;
-        RECT 868.020000 -36.830000 871.020000 -36.820000 ;
-        RECT 1048.020000 -36.830000 1051.020000 -36.820000 ;
-        RECT 1228.020000 -36.830000 1231.020000 -36.820000 ;
-        RECT 1408.020000 -36.830000 1411.020000 -36.820000 ;
-        RECT 1588.020000 -36.830000 1591.020000 -36.820000 ;
-        RECT 1768.020000 -36.830000 1771.020000 -36.820000 ;
-        RECT 1948.020000 -36.830000 1951.020000 -36.820000 ;
-        RECT 2128.020000 -36.830000 2131.020000 -36.820000 ;
-        RECT 2308.020000 -36.830000 2311.020000 -36.820000 ;
-        RECT 2488.020000 -36.830000 2491.020000 -36.820000 ;
-        RECT 2668.020000 -36.830000 2671.020000 -36.820000 ;
-        RECT 2848.020000 -36.830000 2851.020000 -36.820000 ;
-        RECT 2958.800000 -36.830000 2961.800000 -36.820000 ;
-    END
-  END vssa2
-   OBS
-     LAYER li1 ;
-       RECT 0.000000 0.000000 2920.0 3520.0 ;
-     LAYER met1 ;
-       RECT 0.000000 0.000000 2920.0 3520.0 ;
-     LAYER met2 ;
-       RECT 0.000000 0.000000 2920.0 3520.0 ;
-     LAYER met3 ;
-       RECT 0.000000 0.000000 2920.0 3520.0 ;
-     LAYER met4 ;
-       RECT 0.000000 0.000000 2920.0 3520.0 ;
-     LAYER met5 ;
-       RECT 0.000000 0.000000 2920.0 3520.0 ;
-   END
-END user_project_wrapper
-END LIBRARY
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/placement/merged_unpadded.lef b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/placement/merged_unpadded.lef
deleted file mode 120000
index c48782e..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/placement/merged_unpadded.lef
+++ /dev/null
@@ -1 +0,0 @@
-../../tmp/merged_unpadded.lef
\ No newline at end of file
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/routing/merged_unpadded.lef b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/routing/merged_unpadded.lef
deleted file mode 120000
index c48782e..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/routing/merged_unpadded.lef
+++ /dev/null
@@ -1 +0,0 @@
-../../tmp/merged_unpadded.lef
\ No newline at end of file
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/synthesis/merged_unpadded.lef b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/synthesis/merged_unpadded.lef
deleted file mode 120000
index c48782e..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/synthesis/merged_unpadded.lef
+++ /dev/null
@@ -1 +0,0 @@
-../../tmp/merged_unpadded.lef
\ No newline at end of file
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/cts/merged_unpadded.lef b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/cts/merged_unpadded.lef
deleted file mode 120000
index c48782e..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/cts/merged_unpadded.lef
+++ /dev/null
@@ -1 +0,0 @@
-../../tmp/merged_unpadded.lef
\ No newline at end of file
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/ioPlacer.def b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/ioPlacer.def
deleted file mode 100644
index 7496b91..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/ioPlacer.def
+++ /dev/null
@@ -1,2585 +0,0 @@
-VERSION 5.8 ;
-DIVIDERCHAR "/" ;
-BUSBITCHARS "[]" ;
-DESIGN user_project_wrapper ;
-UNITS DISTANCE MICRONS 1000 ;
-DIEAREA ( 0 0 ) ( 2920000 3520000 ) ;
-ROW ROW_0 unithd 5520 10880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1 unithd 5520 13600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_2 unithd 5520 16320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_3 unithd 5520 19040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_4 unithd 5520 21760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_5 unithd 5520 24480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_6 unithd 5520 27200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_7 unithd 5520 29920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_8 unithd 5520 32640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_9 unithd 5520 35360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_10 unithd 5520 38080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_11 unithd 5520 40800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_12 unithd 5520 43520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_13 unithd 5520 46240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_14 unithd 5520 48960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_15 unithd 5520 51680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_16 unithd 5520 54400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_17 unithd 5520 57120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_18 unithd 5520 59840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_19 unithd 5520 62560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_20 unithd 5520 65280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_21 unithd 5520 68000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_22 unithd 5520 70720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_23 unithd 5520 73440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_24 unithd 5520 76160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_25 unithd 5520 78880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_26 unithd 5520 81600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_27 unithd 5520 84320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_28 unithd 5520 87040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_29 unithd 5520 89760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_30 unithd 5520 92480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_31 unithd 5520 95200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_32 unithd 5520 97920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_33 unithd 5520 100640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_34 unithd 5520 103360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_35 unithd 5520 106080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_36 unithd 5520 108800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_37 unithd 5520 111520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_38 unithd 5520 114240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_39 unithd 5520 116960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_40 unithd 5520 119680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_41 unithd 5520 122400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_42 unithd 5520 125120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_43 unithd 5520 127840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_44 unithd 5520 130560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_45 unithd 5520 133280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_46 unithd 5520 136000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_47 unithd 5520 138720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_48 unithd 5520 141440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_49 unithd 5520 144160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_50 unithd 5520 146880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_51 unithd 5520 149600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_52 unithd 5520 152320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_53 unithd 5520 155040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_54 unithd 5520 157760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_55 unithd 5520 160480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_56 unithd 5520 163200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_57 unithd 5520 165920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_58 unithd 5520 168640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_59 unithd 5520 171360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_60 unithd 5520 174080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_61 unithd 5520 176800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_62 unithd 5520 179520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_63 unithd 5520 182240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_64 unithd 5520 184960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_65 unithd 5520 187680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_66 unithd 5520 190400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_67 unithd 5520 193120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_68 unithd 5520 195840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_69 unithd 5520 198560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_70 unithd 5520 201280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_71 unithd 5520 204000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_72 unithd 5520 206720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_73 unithd 5520 209440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_74 unithd 5520 212160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_75 unithd 5520 214880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_76 unithd 5520 217600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_77 unithd 5520 220320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_78 unithd 5520 223040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_79 unithd 5520 225760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_80 unithd 5520 228480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_81 unithd 5520 231200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_82 unithd 5520 233920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_83 unithd 5520 236640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_84 unithd 5520 239360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_85 unithd 5520 242080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_86 unithd 5520 244800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_87 unithd 5520 247520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_88 unithd 5520 250240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_89 unithd 5520 252960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_90 unithd 5520 255680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_91 unithd 5520 258400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_92 unithd 5520 261120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_93 unithd 5520 263840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_94 unithd 5520 266560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_95 unithd 5520 269280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_96 unithd 5520 272000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_97 unithd 5520 274720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_98 unithd 5520 277440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_99 unithd 5520 280160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_100 unithd 5520 282880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_101 unithd 5520 285600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_102 unithd 5520 288320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_103 unithd 5520 291040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_104 unithd 5520 293760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_105 unithd 5520 296480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_106 unithd 5520 299200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_107 unithd 5520 301920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_108 unithd 5520 304640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_109 unithd 5520 307360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_110 unithd 5520 310080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_111 unithd 5520 312800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_112 unithd 5520 315520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_113 unithd 5520 318240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_114 unithd 5520 320960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_115 unithd 5520 323680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_116 unithd 5520 326400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_117 unithd 5520 329120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_118 unithd 5520 331840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_119 unithd 5520 334560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_120 unithd 5520 337280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_121 unithd 5520 340000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_122 unithd 5520 342720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_123 unithd 5520 345440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_124 unithd 5520 348160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_125 unithd 5520 350880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_126 unithd 5520 353600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_127 unithd 5520 356320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_128 unithd 5520 359040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_129 unithd 5520 361760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_130 unithd 5520 364480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_131 unithd 5520 367200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_132 unithd 5520 369920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_133 unithd 5520 372640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_134 unithd 5520 375360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_135 unithd 5520 378080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_136 unithd 5520 380800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_137 unithd 5520 383520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_138 unithd 5520 386240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_139 unithd 5520 388960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_140 unithd 5520 391680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_141 unithd 5520 394400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_142 unithd 5520 397120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_143 unithd 5520 399840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_144 unithd 5520 402560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_145 unithd 5520 405280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_146 unithd 5520 408000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_147 unithd 5520 410720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_148 unithd 5520 413440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_149 unithd 5520 416160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_150 unithd 5520 418880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_151 unithd 5520 421600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_152 unithd 5520 424320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_153 unithd 5520 427040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_154 unithd 5520 429760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_155 unithd 5520 432480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_156 unithd 5520 435200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_157 unithd 5520 437920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_158 unithd 5520 440640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_159 unithd 5520 443360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_160 unithd 5520 446080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_161 unithd 5520 448800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_162 unithd 5520 451520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_163 unithd 5520 454240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_164 unithd 5520 456960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_165 unithd 5520 459680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_166 unithd 5520 462400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_167 unithd 5520 465120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_168 unithd 5520 467840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_169 unithd 5520 470560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_170 unithd 5520 473280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_171 unithd 5520 476000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_172 unithd 5520 478720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_173 unithd 5520 481440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_174 unithd 5520 484160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_175 unithd 5520 486880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_176 unithd 5520 489600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_177 unithd 5520 492320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_178 unithd 5520 495040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_179 unithd 5520 497760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_180 unithd 5520 500480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_181 unithd 5520 503200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_182 unithd 5520 505920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_183 unithd 5520 508640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_184 unithd 5520 511360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_185 unithd 5520 514080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_186 unithd 5520 516800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_187 unithd 5520 519520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_188 unithd 5520 522240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_189 unithd 5520 524960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_190 unithd 5520 527680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_191 unithd 5520 530400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_192 unithd 5520 533120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_193 unithd 5520 535840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_194 unithd 5520 538560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_195 unithd 5520 541280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_196 unithd 5520 544000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_197 unithd 5520 546720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_198 unithd 5520 549440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_199 unithd 5520 552160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_200 unithd 5520 554880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_201 unithd 5520 557600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_202 unithd 5520 560320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_203 unithd 5520 563040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_204 unithd 5520 565760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_205 unithd 5520 568480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_206 unithd 5520 571200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_207 unithd 5520 573920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_208 unithd 5520 576640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_209 unithd 5520 579360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_210 unithd 5520 582080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_211 unithd 5520 584800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_212 unithd 5520 587520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_213 unithd 5520 590240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_214 unithd 5520 592960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_215 unithd 5520 595680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_216 unithd 5520 598400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_217 unithd 5520 601120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_218 unithd 5520 603840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_219 unithd 5520 606560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_220 unithd 5520 609280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_221 unithd 5520 612000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_222 unithd 5520 614720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_223 unithd 5520 617440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_224 unithd 5520 620160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_225 unithd 5520 622880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_226 unithd 5520 625600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_227 unithd 5520 628320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_228 unithd 5520 631040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_229 unithd 5520 633760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_230 unithd 5520 636480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_231 unithd 5520 639200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_232 unithd 5520 641920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_233 unithd 5520 644640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_234 unithd 5520 647360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_235 unithd 5520 650080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_236 unithd 5520 652800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_237 unithd 5520 655520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_238 unithd 5520 658240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_239 unithd 5520 660960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_240 unithd 5520 663680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_241 unithd 5520 666400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_242 unithd 5520 669120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_243 unithd 5520 671840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_244 unithd 5520 674560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_245 unithd 5520 677280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_246 unithd 5520 680000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_247 unithd 5520 682720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_248 unithd 5520 685440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_249 unithd 5520 688160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_250 unithd 5520 690880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_251 unithd 5520 693600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_252 unithd 5520 696320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_253 unithd 5520 699040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_254 unithd 5520 701760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_255 unithd 5520 704480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_256 unithd 5520 707200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_257 unithd 5520 709920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_258 unithd 5520 712640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_259 unithd 5520 715360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_260 unithd 5520 718080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_261 unithd 5520 720800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_262 unithd 5520 723520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_263 unithd 5520 726240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_264 unithd 5520 728960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_265 unithd 5520 731680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_266 unithd 5520 734400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_267 unithd 5520 737120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_268 unithd 5520 739840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_269 unithd 5520 742560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_270 unithd 5520 745280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_271 unithd 5520 748000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_272 unithd 5520 750720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_273 unithd 5520 753440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_274 unithd 5520 756160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_275 unithd 5520 758880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_276 unithd 5520 761600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_277 unithd 5520 764320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_278 unithd 5520 767040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_279 unithd 5520 769760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_280 unithd 5520 772480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_281 unithd 5520 775200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_282 unithd 5520 777920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_283 unithd 5520 780640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_284 unithd 5520 783360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_285 unithd 5520 786080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_286 unithd 5520 788800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_287 unithd 5520 791520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_288 unithd 5520 794240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_289 unithd 5520 796960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_290 unithd 5520 799680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_291 unithd 5520 802400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_292 unithd 5520 805120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_293 unithd 5520 807840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_294 unithd 5520 810560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_295 unithd 5520 813280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_296 unithd 5520 816000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_297 unithd 5520 818720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_298 unithd 5520 821440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_299 unithd 5520 824160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_300 unithd 5520 826880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_301 unithd 5520 829600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_302 unithd 5520 832320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_303 unithd 5520 835040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_304 unithd 5520 837760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_305 unithd 5520 840480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_306 unithd 5520 843200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_307 unithd 5520 845920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_308 unithd 5520 848640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_309 unithd 5520 851360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_310 unithd 5520 854080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_311 unithd 5520 856800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_312 unithd 5520 859520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_313 unithd 5520 862240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_314 unithd 5520 864960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_315 unithd 5520 867680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_316 unithd 5520 870400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_317 unithd 5520 873120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_318 unithd 5520 875840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_319 unithd 5520 878560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_320 unithd 5520 881280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_321 unithd 5520 884000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_322 unithd 5520 886720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_323 unithd 5520 889440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_324 unithd 5520 892160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_325 unithd 5520 894880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_326 unithd 5520 897600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_327 unithd 5520 900320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_328 unithd 5520 903040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_329 unithd 5520 905760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_330 unithd 5520 908480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_331 unithd 5520 911200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_332 unithd 5520 913920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_333 unithd 5520 916640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_334 unithd 5520 919360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_335 unithd 5520 922080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_336 unithd 5520 924800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_337 unithd 5520 927520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_338 unithd 5520 930240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_339 unithd 5520 932960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_340 unithd 5520 935680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_341 unithd 5520 938400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_342 unithd 5520 941120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_343 unithd 5520 943840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_344 unithd 5520 946560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_345 unithd 5520 949280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_346 unithd 5520 952000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_347 unithd 5520 954720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_348 unithd 5520 957440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_349 unithd 5520 960160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_350 unithd 5520 962880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_351 unithd 5520 965600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_352 unithd 5520 968320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_353 unithd 5520 971040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_354 unithd 5520 973760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_355 unithd 5520 976480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_356 unithd 5520 979200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_357 unithd 5520 981920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_358 unithd 5520 984640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_359 unithd 5520 987360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_360 unithd 5520 990080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_361 unithd 5520 992800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_362 unithd 5520 995520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_363 unithd 5520 998240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_364 unithd 5520 1000960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_365 unithd 5520 1003680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_366 unithd 5520 1006400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_367 unithd 5520 1009120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_368 unithd 5520 1011840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_369 unithd 5520 1014560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_370 unithd 5520 1017280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_371 unithd 5520 1020000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_372 unithd 5520 1022720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_373 unithd 5520 1025440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_374 unithd 5520 1028160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_375 unithd 5520 1030880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_376 unithd 5520 1033600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_377 unithd 5520 1036320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_378 unithd 5520 1039040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_379 unithd 5520 1041760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_380 unithd 5520 1044480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_381 unithd 5520 1047200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_382 unithd 5520 1049920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_383 unithd 5520 1052640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_384 unithd 5520 1055360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_385 unithd 5520 1058080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_386 unithd 5520 1060800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_387 unithd 5520 1063520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_388 unithd 5520 1066240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_389 unithd 5520 1068960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_390 unithd 5520 1071680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_391 unithd 5520 1074400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_392 unithd 5520 1077120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_393 unithd 5520 1079840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_394 unithd 5520 1082560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_395 unithd 5520 1085280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_396 unithd 5520 1088000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_397 unithd 5520 1090720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_398 unithd 5520 1093440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_399 unithd 5520 1096160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_400 unithd 5520 1098880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_401 unithd 5520 1101600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_402 unithd 5520 1104320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_403 unithd 5520 1107040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_404 unithd 5520 1109760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_405 unithd 5520 1112480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_406 unithd 5520 1115200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_407 unithd 5520 1117920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_408 unithd 5520 1120640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_409 unithd 5520 1123360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_410 unithd 5520 1126080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_411 unithd 5520 1128800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_412 unithd 5520 1131520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_413 unithd 5520 1134240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_414 unithd 5520 1136960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_415 unithd 5520 1139680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_416 unithd 5520 1142400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_417 unithd 5520 1145120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_418 unithd 5520 1147840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_419 unithd 5520 1150560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_420 unithd 5520 1153280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_421 unithd 5520 1156000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_422 unithd 5520 1158720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_423 unithd 5520 1161440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_424 unithd 5520 1164160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_425 unithd 5520 1166880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_426 unithd 5520 1169600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_427 unithd 5520 1172320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_428 unithd 5520 1175040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_429 unithd 5520 1177760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_430 unithd 5520 1180480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_431 unithd 5520 1183200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_432 unithd 5520 1185920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_433 unithd 5520 1188640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_434 unithd 5520 1191360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_435 unithd 5520 1194080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_436 unithd 5520 1196800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_437 unithd 5520 1199520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_438 unithd 5520 1202240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_439 unithd 5520 1204960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_440 unithd 5520 1207680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_441 unithd 5520 1210400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_442 unithd 5520 1213120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_443 unithd 5520 1215840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_444 unithd 5520 1218560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_445 unithd 5520 1221280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_446 unithd 5520 1224000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_447 unithd 5520 1226720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_448 unithd 5520 1229440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_449 unithd 5520 1232160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_450 unithd 5520 1234880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_451 unithd 5520 1237600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_452 unithd 5520 1240320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_453 unithd 5520 1243040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_454 unithd 5520 1245760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_455 unithd 5520 1248480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_456 unithd 5520 1251200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_457 unithd 5520 1253920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_458 unithd 5520 1256640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_459 unithd 5520 1259360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_460 unithd 5520 1262080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_461 unithd 5520 1264800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_462 unithd 5520 1267520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_463 unithd 5520 1270240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_464 unithd 5520 1272960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_465 unithd 5520 1275680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_466 unithd 5520 1278400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_467 unithd 5520 1281120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_468 unithd 5520 1283840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_469 unithd 5520 1286560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_470 unithd 5520 1289280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_471 unithd 5520 1292000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_472 unithd 5520 1294720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_473 unithd 5520 1297440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_474 unithd 5520 1300160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_475 unithd 5520 1302880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_476 unithd 5520 1305600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_477 unithd 5520 1308320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_478 unithd 5520 1311040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_479 unithd 5520 1313760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_480 unithd 5520 1316480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_481 unithd 5520 1319200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_482 unithd 5520 1321920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_483 unithd 5520 1324640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_484 unithd 5520 1327360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_485 unithd 5520 1330080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_486 unithd 5520 1332800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_487 unithd 5520 1335520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_488 unithd 5520 1338240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_489 unithd 5520 1340960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_490 unithd 5520 1343680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_491 unithd 5520 1346400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_492 unithd 5520 1349120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_493 unithd 5520 1351840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_494 unithd 5520 1354560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_495 unithd 5520 1357280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_496 unithd 5520 1360000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_497 unithd 5520 1362720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_498 unithd 5520 1365440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_499 unithd 5520 1368160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_500 unithd 5520 1370880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_501 unithd 5520 1373600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_502 unithd 5520 1376320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_503 unithd 5520 1379040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_504 unithd 5520 1381760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_505 unithd 5520 1384480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_506 unithd 5520 1387200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_507 unithd 5520 1389920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_508 unithd 5520 1392640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_509 unithd 5520 1395360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_510 unithd 5520 1398080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_511 unithd 5520 1400800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_512 unithd 5520 1403520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_513 unithd 5520 1406240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_514 unithd 5520 1408960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_515 unithd 5520 1411680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_516 unithd 5520 1414400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_517 unithd 5520 1417120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_518 unithd 5520 1419840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_519 unithd 5520 1422560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_520 unithd 5520 1425280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_521 unithd 5520 1428000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_522 unithd 5520 1430720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_523 unithd 5520 1433440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_524 unithd 5520 1436160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_525 unithd 5520 1438880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_526 unithd 5520 1441600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_527 unithd 5520 1444320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_528 unithd 5520 1447040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_529 unithd 5520 1449760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_530 unithd 5520 1452480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_531 unithd 5520 1455200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_532 unithd 5520 1457920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_533 unithd 5520 1460640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_534 unithd 5520 1463360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_535 unithd 5520 1466080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_536 unithd 5520 1468800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_537 unithd 5520 1471520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_538 unithd 5520 1474240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_539 unithd 5520 1476960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_540 unithd 5520 1479680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_541 unithd 5520 1482400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_542 unithd 5520 1485120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_543 unithd 5520 1487840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_544 unithd 5520 1490560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_545 unithd 5520 1493280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_546 unithd 5520 1496000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_547 unithd 5520 1498720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_548 unithd 5520 1501440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_549 unithd 5520 1504160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_550 unithd 5520 1506880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_551 unithd 5520 1509600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_552 unithd 5520 1512320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_553 unithd 5520 1515040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_554 unithd 5520 1517760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_555 unithd 5520 1520480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_556 unithd 5520 1523200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_557 unithd 5520 1525920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_558 unithd 5520 1528640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_559 unithd 5520 1531360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_560 unithd 5520 1534080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_561 unithd 5520 1536800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_562 unithd 5520 1539520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_563 unithd 5520 1542240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_564 unithd 5520 1544960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_565 unithd 5520 1547680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_566 unithd 5520 1550400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_567 unithd 5520 1553120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_568 unithd 5520 1555840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_569 unithd 5520 1558560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_570 unithd 5520 1561280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_571 unithd 5520 1564000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_572 unithd 5520 1566720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_573 unithd 5520 1569440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_574 unithd 5520 1572160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_575 unithd 5520 1574880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_576 unithd 5520 1577600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_577 unithd 5520 1580320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_578 unithd 5520 1583040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_579 unithd 5520 1585760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_580 unithd 5520 1588480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_581 unithd 5520 1591200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_582 unithd 5520 1593920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_583 unithd 5520 1596640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_584 unithd 5520 1599360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_585 unithd 5520 1602080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_586 unithd 5520 1604800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_587 unithd 5520 1607520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_588 unithd 5520 1610240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_589 unithd 5520 1612960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_590 unithd 5520 1615680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_591 unithd 5520 1618400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_592 unithd 5520 1621120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_593 unithd 5520 1623840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_594 unithd 5520 1626560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_595 unithd 5520 1629280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_596 unithd 5520 1632000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_597 unithd 5520 1634720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_598 unithd 5520 1637440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_599 unithd 5520 1640160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_600 unithd 5520 1642880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_601 unithd 5520 1645600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_602 unithd 5520 1648320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_603 unithd 5520 1651040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_604 unithd 5520 1653760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_605 unithd 5520 1656480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_606 unithd 5520 1659200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_607 unithd 5520 1661920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_608 unithd 5520 1664640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_609 unithd 5520 1667360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_610 unithd 5520 1670080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_611 unithd 5520 1672800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_612 unithd 5520 1675520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_613 unithd 5520 1678240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_614 unithd 5520 1680960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_615 unithd 5520 1683680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_616 unithd 5520 1686400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_617 unithd 5520 1689120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_618 unithd 5520 1691840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_619 unithd 5520 1694560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_620 unithd 5520 1697280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_621 unithd 5520 1700000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_622 unithd 5520 1702720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_623 unithd 5520 1705440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_624 unithd 5520 1708160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_625 unithd 5520 1710880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_626 unithd 5520 1713600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_627 unithd 5520 1716320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_628 unithd 5520 1719040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_629 unithd 5520 1721760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_630 unithd 5520 1724480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_631 unithd 5520 1727200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_632 unithd 5520 1729920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_633 unithd 5520 1732640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_634 unithd 5520 1735360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_635 unithd 5520 1738080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_636 unithd 5520 1740800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_637 unithd 5520 1743520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_638 unithd 5520 1746240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_639 unithd 5520 1748960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_640 unithd 5520 1751680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_641 unithd 5520 1754400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_642 unithd 5520 1757120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_643 unithd 5520 1759840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_644 unithd 5520 1762560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_645 unithd 5520 1765280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_646 unithd 5520 1768000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_647 unithd 5520 1770720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_648 unithd 5520 1773440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_649 unithd 5520 1776160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_650 unithd 5520 1778880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_651 unithd 5520 1781600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_652 unithd 5520 1784320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_653 unithd 5520 1787040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_654 unithd 5520 1789760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_655 unithd 5520 1792480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_656 unithd 5520 1795200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_657 unithd 5520 1797920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_658 unithd 5520 1800640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_659 unithd 5520 1803360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_660 unithd 5520 1806080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_661 unithd 5520 1808800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_662 unithd 5520 1811520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_663 unithd 5520 1814240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_664 unithd 5520 1816960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_665 unithd 5520 1819680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_666 unithd 5520 1822400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_667 unithd 5520 1825120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_668 unithd 5520 1827840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_669 unithd 5520 1830560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_670 unithd 5520 1833280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_671 unithd 5520 1836000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_672 unithd 5520 1838720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_673 unithd 5520 1841440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_674 unithd 5520 1844160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_675 unithd 5520 1846880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_676 unithd 5520 1849600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_677 unithd 5520 1852320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_678 unithd 5520 1855040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_679 unithd 5520 1857760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_680 unithd 5520 1860480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_681 unithd 5520 1863200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_682 unithd 5520 1865920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_683 unithd 5520 1868640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_684 unithd 5520 1871360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_685 unithd 5520 1874080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_686 unithd 5520 1876800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_687 unithd 5520 1879520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_688 unithd 5520 1882240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_689 unithd 5520 1884960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_690 unithd 5520 1887680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_691 unithd 5520 1890400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_692 unithd 5520 1893120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_693 unithd 5520 1895840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_694 unithd 5520 1898560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_695 unithd 5520 1901280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_696 unithd 5520 1904000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_697 unithd 5520 1906720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_698 unithd 5520 1909440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_699 unithd 5520 1912160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_700 unithd 5520 1914880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_701 unithd 5520 1917600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_702 unithd 5520 1920320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_703 unithd 5520 1923040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_704 unithd 5520 1925760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_705 unithd 5520 1928480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_706 unithd 5520 1931200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_707 unithd 5520 1933920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_708 unithd 5520 1936640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_709 unithd 5520 1939360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_710 unithd 5520 1942080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_711 unithd 5520 1944800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_712 unithd 5520 1947520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_713 unithd 5520 1950240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_714 unithd 5520 1952960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_715 unithd 5520 1955680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_716 unithd 5520 1958400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_717 unithd 5520 1961120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_718 unithd 5520 1963840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_719 unithd 5520 1966560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_720 unithd 5520 1969280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_721 unithd 5520 1972000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_722 unithd 5520 1974720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_723 unithd 5520 1977440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_724 unithd 5520 1980160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_725 unithd 5520 1982880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_726 unithd 5520 1985600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_727 unithd 5520 1988320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_728 unithd 5520 1991040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_729 unithd 5520 1993760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_730 unithd 5520 1996480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_731 unithd 5520 1999200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_732 unithd 5520 2001920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_733 unithd 5520 2004640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_734 unithd 5520 2007360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_735 unithd 5520 2010080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_736 unithd 5520 2012800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_737 unithd 5520 2015520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_738 unithd 5520 2018240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_739 unithd 5520 2020960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_740 unithd 5520 2023680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_741 unithd 5520 2026400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_742 unithd 5520 2029120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_743 unithd 5520 2031840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_744 unithd 5520 2034560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_745 unithd 5520 2037280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_746 unithd 5520 2040000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_747 unithd 5520 2042720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_748 unithd 5520 2045440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_749 unithd 5520 2048160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_750 unithd 5520 2050880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_751 unithd 5520 2053600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_752 unithd 5520 2056320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_753 unithd 5520 2059040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_754 unithd 5520 2061760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_755 unithd 5520 2064480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_756 unithd 5520 2067200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_757 unithd 5520 2069920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_758 unithd 5520 2072640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_759 unithd 5520 2075360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_760 unithd 5520 2078080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_761 unithd 5520 2080800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_762 unithd 5520 2083520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_763 unithd 5520 2086240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_764 unithd 5520 2088960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_765 unithd 5520 2091680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_766 unithd 5520 2094400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_767 unithd 5520 2097120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_768 unithd 5520 2099840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_769 unithd 5520 2102560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_770 unithd 5520 2105280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_771 unithd 5520 2108000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_772 unithd 5520 2110720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_773 unithd 5520 2113440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_774 unithd 5520 2116160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_775 unithd 5520 2118880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_776 unithd 5520 2121600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_777 unithd 5520 2124320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_778 unithd 5520 2127040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_779 unithd 5520 2129760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_780 unithd 5520 2132480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_781 unithd 5520 2135200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_782 unithd 5520 2137920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_783 unithd 5520 2140640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_784 unithd 5520 2143360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_785 unithd 5520 2146080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_786 unithd 5520 2148800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_787 unithd 5520 2151520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_788 unithd 5520 2154240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_789 unithd 5520 2156960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_790 unithd 5520 2159680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_791 unithd 5520 2162400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_792 unithd 5520 2165120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_793 unithd 5520 2167840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_794 unithd 5520 2170560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_795 unithd 5520 2173280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_796 unithd 5520 2176000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_797 unithd 5520 2178720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_798 unithd 5520 2181440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_799 unithd 5520 2184160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_800 unithd 5520 2186880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_801 unithd 5520 2189600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_802 unithd 5520 2192320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_803 unithd 5520 2195040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_804 unithd 5520 2197760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_805 unithd 5520 2200480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_806 unithd 5520 2203200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_807 unithd 5520 2205920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_808 unithd 5520 2208640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_809 unithd 5520 2211360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_810 unithd 5520 2214080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_811 unithd 5520 2216800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_812 unithd 5520 2219520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_813 unithd 5520 2222240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_814 unithd 5520 2224960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_815 unithd 5520 2227680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_816 unithd 5520 2230400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_817 unithd 5520 2233120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_818 unithd 5520 2235840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_819 unithd 5520 2238560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_820 unithd 5520 2241280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_821 unithd 5520 2244000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_822 unithd 5520 2246720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_823 unithd 5520 2249440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_824 unithd 5520 2252160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_825 unithd 5520 2254880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_826 unithd 5520 2257600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_827 unithd 5520 2260320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_828 unithd 5520 2263040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_829 unithd 5520 2265760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_830 unithd 5520 2268480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_831 unithd 5520 2271200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_832 unithd 5520 2273920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_833 unithd 5520 2276640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_834 unithd 5520 2279360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_835 unithd 5520 2282080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_836 unithd 5520 2284800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_837 unithd 5520 2287520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_838 unithd 5520 2290240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_839 unithd 5520 2292960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_840 unithd 5520 2295680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_841 unithd 5520 2298400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_842 unithd 5520 2301120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_843 unithd 5520 2303840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_844 unithd 5520 2306560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_845 unithd 5520 2309280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_846 unithd 5520 2312000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_847 unithd 5520 2314720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_848 unithd 5520 2317440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_849 unithd 5520 2320160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_850 unithd 5520 2322880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_851 unithd 5520 2325600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_852 unithd 5520 2328320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_853 unithd 5520 2331040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_854 unithd 5520 2333760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_855 unithd 5520 2336480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_856 unithd 5520 2339200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_857 unithd 5520 2341920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_858 unithd 5520 2344640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_859 unithd 5520 2347360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_860 unithd 5520 2350080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_861 unithd 5520 2352800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_862 unithd 5520 2355520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_863 unithd 5520 2358240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_864 unithd 5520 2360960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_865 unithd 5520 2363680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_866 unithd 5520 2366400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_867 unithd 5520 2369120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_868 unithd 5520 2371840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_869 unithd 5520 2374560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_870 unithd 5520 2377280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_871 unithd 5520 2380000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_872 unithd 5520 2382720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_873 unithd 5520 2385440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_874 unithd 5520 2388160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_875 unithd 5520 2390880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_876 unithd 5520 2393600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_877 unithd 5520 2396320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_878 unithd 5520 2399040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_879 unithd 5520 2401760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_880 unithd 5520 2404480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_881 unithd 5520 2407200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_882 unithd 5520 2409920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_883 unithd 5520 2412640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_884 unithd 5520 2415360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_885 unithd 5520 2418080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_886 unithd 5520 2420800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_887 unithd 5520 2423520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_888 unithd 5520 2426240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_889 unithd 5520 2428960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_890 unithd 5520 2431680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_891 unithd 5520 2434400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_892 unithd 5520 2437120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_893 unithd 5520 2439840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_894 unithd 5520 2442560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_895 unithd 5520 2445280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_896 unithd 5520 2448000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_897 unithd 5520 2450720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_898 unithd 5520 2453440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_899 unithd 5520 2456160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_900 unithd 5520 2458880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_901 unithd 5520 2461600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_902 unithd 5520 2464320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_903 unithd 5520 2467040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_904 unithd 5520 2469760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_905 unithd 5520 2472480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_906 unithd 5520 2475200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_907 unithd 5520 2477920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_908 unithd 5520 2480640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_909 unithd 5520 2483360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_910 unithd 5520 2486080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_911 unithd 5520 2488800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_912 unithd 5520 2491520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_913 unithd 5520 2494240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_914 unithd 5520 2496960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_915 unithd 5520 2499680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_916 unithd 5520 2502400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_917 unithd 5520 2505120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_918 unithd 5520 2507840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_919 unithd 5520 2510560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_920 unithd 5520 2513280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_921 unithd 5520 2516000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_922 unithd 5520 2518720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_923 unithd 5520 2521440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_924 unithd 5520 2524160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_925 unithd 5520 2526880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_926 unithd 5520 2529600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_927 unithd 5520 2532320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_928 unithd 5520 2535040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_929 unithd 5520 2537760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_930 unithd 5520 2540480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_931 unithd 5520 2543200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_932 unithd 5520 2545920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_933 unithd 5520 2548640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_934 unithd 5520 2551360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_935 unithd 5520 2554080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_936 unithd 5520 2556800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_937 unithd 5520 2559520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_938 unithd 5520 2562240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_939 unithd 5520 2564960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_940 unithd 5520 2567680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_941 unithd 5520 2570400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_942 unithd 5520 2573120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_943 unithd 5520 2575840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_944 unithd 5520 2578560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_945 unithd 5520 2581280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_946 unithd 5520 2584000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_947 unithd 5520 2586720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_948 unithd 5520 2589440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_949 unithd 5520 2592160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_950 unithd 5520 2594880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_951 unithd 5520 2597600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_952 unithd 5520 2600320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_953 unithd 5520 2603040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_954 unithd 5520 2605760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_955 unithd 5520 2608480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_956 unithd 5520 2611200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_957 unithd 5520 2613920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_958 unithd 5520 2616640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_959 unithd 5520 2619360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_960 unithd 5520 2622080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_961 unithd 5520 2624800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_962 unithd 5520 2627520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_963 unithd 5520 2630240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_964 unithd 5520 2632960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_965 unithd 5520 2635680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_966 unithd 5520 2638400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_967 unithd 5520 2641120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_968 unithd 5520 2643840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_969 unithd 5520 2646560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_970 unithd 5520 2649280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_971 unithd 5520 2652000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_972 unithd 5520 2654720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_973 unithd 5520 2657440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_974 unithd 5520 2660160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_975 unithd 5520 2662880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_976 unithd 5520 2665600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_977 unithd 5520 2668320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_978 unithd 5520 2671040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_979 unithd 5520 2673760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_980 unithd 5520 2676480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_981 unithd 5520 2679200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_982 unithd 5520 2681920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_983 unithd 5520 2684640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_984 unithd 5520 2687360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_985 unithd 5520 2690080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_986 unithd 5520 2692800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_987 unithd 5520 2695520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_988 unithd 5520 2698240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_989 unithd 5520 2700960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_990 unithd 5520 2703680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_991 unithd 5520 2706400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_992 unithd 5520 2709120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_993 unithd 5520 2711840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_994 unithd 5520 2714560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_995 unithd 5520 2717280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_996 unithd 5520 2720000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_997 unithd 5520 2722720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_998 unithd 5520 2725440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_999 unithd 5520 2728160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1000 unithd 5520 2730880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1001 unithd 5520 2733600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1002 unithd 5520 2736320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1003 unithd 5520 2739040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1004 unithd 5520 2741760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1005 unithd 5520 2744480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1006 unithd 5520 2747200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1007 unithd 5520 2749920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1008 unithd 5520 2752640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1009 unithd 5520 2755360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1010 unithd 5520 2758080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1011 unithd 5520 2760800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1012 unithd 5520 2763520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1013 unithd 5520 2766240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1014 unithd 5520 2768960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1015 unithd 5520 2771680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1016 unithd 5520 2774400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1017 unithd 5520 2777120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1018 unithd 5520 2779840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1019 unithd 5520 2782560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1020 unithd 5520 2785280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1021 unithd 5520 2788000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1022 unithd 5520 2790720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1023 unithd 5520 2793440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1024 unithd 5520 2796160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1025 unithd 5520 2798880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1026 unithd 5520 2801600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1027 unithd 5520 2804320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1028 unithd 5520 2807040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1029 unithd 5520 2809760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1030 unithd 5520 2812480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1031 unithd 5520 2815200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1032 unithd 5520 2817920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1033 unithd 5520 2820640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1034 unithd 5520 2823360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1035 unithd 5520 2826080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1036 unithd 5520 2828800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1037 unithd 5520 2831520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1038 unithd 5520 2834240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1039 unithd 5520 2836960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1040 unithd 5520 2839680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1041 unithd 5520 2842400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1042 unithd 5520 2845120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1043 unithd 5520 2847840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1044 unithd 5520 2850560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1045 unithd 5520 2853280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1046 unithd 5520 2856000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1047 unithd 5520 2858720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1048 unithd 5520 2861440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1049 unithd 5520 2864160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1050 unithd 5520 2866880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1051 unithd 5520 2869600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1052 unithd 5520 2872320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1053 unithd 5520 2875040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1054 unithd 5520 2877760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1055 unithd 5520 2880480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1056 unithd 5520 2883200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1057 unithd 5520 2885920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1058 unithd 5520 2888640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1059 unithd 5520 2891360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1060 unithd 5520 2894080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1061 unithd 5520 2896800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1062 unithd 5520 2899520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1063 unithd 5520 2902240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1064 unithd 5520 2904960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1065 unithd 5520 2907680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1066 unithd 5520 2910400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1067 unithd 5520 2913120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1068 unithd 5520 2915840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1069 unithd 5520 2918560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1070 unithd 5520 2921280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1071 unithd 5520 2924000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1072 unithd 5520 2926720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1073 unithd 5520 2929440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1074 unithd 5520 2932160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1075 unithd 5520 2934880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1076 unithd 5520 2937600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1077 unithd 5520 2940320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1078 unithd 5520 2943040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1079 unithd 5520 2945760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1080 unithd 5520 2948480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1081 unithd 5520 2951200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1082 unithd 5520 2953920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1083 unithd 5520 2956640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1084 unithd 5520 2959360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1085 unithd 5520 2962080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1086 unithd 5520 2964800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1087 unithd 5520 2967520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1088 unithd 5520 2970240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1089 unithd 5520 2972960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1090 unithd 5520 2975680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1091 unithd 5520 2978400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1092 unithd 5520 2981120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1093 unithd 5520 2983840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1094 unithd 5520 2986560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1095 unithd 5520 2989280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1096 unithd 5520 2992000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1097 unithd 5520 2994720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1098 unithd 5520 2997440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1099 unithd 5520 3000160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1100 unithd 5520 3002880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1101 unithd 5520 3005600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1102 unithd 5520 3008320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1103 unithd 5520 3011040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1104 unithd 5520 3013760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1105 unithd 5520 3016480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1106 unithd 5520 3019200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1107 unithd 5520 3021920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1108 unithd 5520 3024640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1109 unithd 5520 3027360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1110 unithd 5520 3030080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1111 unithd 5520 3032800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1112 unithd 5520 3035520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1113 unithd 5520 3038240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1114 unithd 5520 3040960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1115 unithd 5520 3043680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1116 unithd 5520 3046400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1117 unithd 5520 3049120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1118 unithd 5520 3051840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1119 unithd 5520 3054560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1120 unithd 5520 3057280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1121 unithd 5520 3060000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1122 unithd 5520 3062720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1123 unithd 5520 3065440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1124 unithd 5520 3068160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1125 unithd 5520 3070880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1126 unithd 5520 3073600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1127 unithd 5520 3076320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1128 unithd 5520 3079040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1129 unithd 5520 3081760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1130 unithd 5520 3084480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1131 unithd 5520 3087200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1132 unithd 5520 3089920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1133 unithd 5520 3092640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1134 unithd 5520 3095360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1135 unithd 5520 3098080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1136 unithd 5520 3100800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1137 unithd 5520 3103520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1138 unithd 5520 3106240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1139 unithd 5520 3108960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1140 unithd 5520 3111680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1141 unithd 5520 3114400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1142 unithd 5520 3117120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1143 unithd 5520 3119840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1144 unithd 5520 3122560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1145 unithd 5520 3125280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1146 unithd 5520 3128000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1147 unithd 5520 3130720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1148 unithd 5520 3133440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1149 unithd 5520 3136160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1150 unithd 5520 3138880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1151 unithd 5520 3141600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1152 unithd 5520 3144320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1153 unithd 5520 3147040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1154 unithd 5520 3149760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1155 unithd 5520 3152480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1156 unithd 5520 3155200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1157 unithd 5520 3157920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1158 unithd 5520 3160640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1159 unithd 5520 3163360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1160 unithd 5520 3166080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1161 unithd 5520 3168800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1162 unithd 5520 3171520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1163 unithd 5520 3174240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1164 unithd 5520 3176960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1165 unithd 5520 3179680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1166 unithd 5520 3182400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1167 unithd 5520 3185120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1168 unithd 5520 3187840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1169 unithd 5520 3190560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1170 unithd 5520 3193280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1171 unithd 5520 3196000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1172 unithd 5520 3198720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1173 unithd 5520 3201440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1174 unithd 5520 3204160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1175 unithd 5520 3206880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1176 unithd 5520 3209600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1177 unithd 5520 3212320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1178 unithd 5520 3215040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1179 unithd 5520 3217760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1180 unithd 5520 3220480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1181 unithd 5520 3223200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1182 unithd 5520 3225920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1183 unithd 5520 3228640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1184 unithd 5520 3231360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1185 unithd 5520 3234080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1186 unithd 5520 3236800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1187 unithd 5520 3239520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1188 unithd 5520 3242240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1189 unithd 5520 3244960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1190 unithd 5520 3247680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1191 unithd 5520 3250400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1192 unithd 5520 3253120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1193 unithd 5520 3255840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1194 unithd 5520 3258560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1195 unithd 5520 3261280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1196 unithd 5520 3264000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1197 unithd 5520 3266720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1198 unithd 5520 3269440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1199 unithd 5520 3272160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1200 unithd 5520 3274880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1201 unithd 5520 3277600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1202 unithd 5520 3280320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1203 unithd 5520 3283040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1204 unithd 5520 3285760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1205 unithd 5520 3288480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1206 unithd 5520 3291200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1207 unithd 5520 3293920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1208 unithd 5520 3296640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1209 unithd 5520 3299360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1210 unithd 5520 3302080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1211 unithd 5520 3304800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1212 unithd 5520 3307520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1213 unithd 5520 3310240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1214 unithd 5520 3312960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1215 unithd 5520 3315680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1216 unithd 5520 3318400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1217 unithd 5520 3321120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1218 unithd 5520 3323840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1219 unithd 5520 3326560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1220 unithd 5520 3329280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1221 unithd 5520 3332000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1222 unithd 5520 3334720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1223 unithd 5520 3337440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1224 unithd 5520 3340160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1225 unithd 5520 3342880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1226 unithd 5520 3345600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1227 unithd 5520 3348320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1228 unithd 5520 3351040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1229 unithd 5520 3353760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1230 unithd 5520 3356480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1231 unithd 5520 3359200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1232 unithd 5520 3361920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1233 unithd 5520 3364640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1234 unithd 5520 3367360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1235 unithd 5520 3370080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1236 unithd 5520 3372800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1237 unithd 5520 3375520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1238 unithd 5520 3378240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1239 unithd 5520 3380960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1240 unithd 5520 3383680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1241 unithd 5520 3386400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1242 unithd 5520 3389120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1243 unithd 5520 3391840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1244 unithd 5520 3394560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1245 unithd 5520 3397280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1246 unithd 5520 3400000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1247 unithd 5520 3402720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1248 unithd 5520 3405440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1249 unithd 5520 3408160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1250 unithd 5520 3410880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1251 unithd 5520 3413600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1252 unithd 5520 3416320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1253 unithd 5520 3419040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1254 unithd 5520 3421760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1255 unithd 5520 3424480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1256 unithd 5520 3427200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1257 unithd 5520 3429920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1258 unithd 5520 3432640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1259 unithd 5520 3435360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1260 unithd 5520 3438080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1261 unithd 5520 3440800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1262 unithd 5520 3443520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1263 unithd 5520 3446240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1264 unithd 5520 3448960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1265 unithd 5520 3451680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1266 unithd 5520 3454400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1267 unithd 5520 3457120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1268 unithd 5520 3459840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1269 unithd 5520 3462560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1270 unithd 5520 3465280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1271 unithd 5520 3468000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1272 unithd 5520 3470720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1273 unithd 5520 3473440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1274 unithd 5520 3476160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1275 unithd 5520 3478880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1276 unithd 5520 3481600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1277 unithd 5520 3484320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1278 unithd 5520 3487040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1279 unithd 5520 3489760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1280 unithd 5520 3492480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1281 unithd 5520 3495200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1282 unithd 5520 3497920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1283 unithd 5520 3500640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1284 unithd 5520 3503360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1285 unithd 5520 3506080 N DO 6323 BY 1 STEP 460 0 ;
-TRACKS X 230 DO 6348 STEP 460 LAYER li1 ;
-TRACKS Y 170 DO 10353 STEP 340 LAYER li1 ;
-TRACKS X 170 DO 8588 STEP 340 LAYER met1 ;
-TRACKS Y 170 DO 10353 STEP 340 LAYER met1 ;
-TRACKS X 230 DO 6348 STEP 460 LAYER met2 ;
-TRACKS Y 230 DO 7652 STEP 460 LAYER met2 ;
-TRACKS X 340 DO 4294 STEP 680 LAYER met3 ;
-TRACKS Y 340 DO 5176 STEP 680 LAYER met3 ;
-TRACKS X 460 DO 3174 STEP 920 LAYER met4 ;
-TRACKS Y 460 DO 3826 STEP 920 LAYER met4 ;
-TRACKS X 1700 DO 859 STEP 3400 LAYER met5 ;
-TRACKS Y 1700 DO 1035 STEP 3400 LAYER met5 ;
-COMPONENTS 2 ;
-- obs_core_obs obs_core_obs + FIXED ( 2400 2400 ) N ;
-    - mprj user_proj_example ;
-END COMPONENTS
-PINS 636 ;
-    - analog_io[0] + NET analog_io[0] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 29580 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[10] + NET analog_io[10] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 2375580 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[11] + NET analog_io[11] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 2610180 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[12] + NET analog_io[12] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 2844780 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[13] + NET analog_io[13] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 3079380 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[14] + NET analog_io[14] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 3313980 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[15] + NET analog_io[15] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2879370 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - analog_io[16] + NET analog_io[16] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2555070 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - analog_io[17] + NET analog_io[17] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2230770 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - analog_io[18] + NET analog_io[18] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1906010 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - analog_io[19] + NET analog_io[19] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1581710 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - analog_io[1] + NET analog_io[1] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 264180 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[20] + NET analog_io[20] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1257410 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - analog_io[21] + NET analog_io[21] + DIRECTION INOUT + USE SIGNAL + PLACED ( 932650 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - analog_io[22] + NET analog_io[22] + DIRECTION INOUT + USE SIGNAL + PLACED ( 608350 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - analog_io[23] + NET analog_io[23] + DIRECTION INOUT + USE SIGNAL + PLACED ( 284050 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - analog_io[24] + NET analog_io[24] + DIRECTION INOUT + USE SIGNAL + PLACED ( -1200 3483300 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[25] + NET analog_io[25] + DIRECTION INOUT + USE SIGNAL + PLACED ( -1200 3195660 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[26] + NET analog_io[26] + DIRECTION INOUT + USE SIGNAL + PLACED ( -1200 2908700 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[27] + NET analog_io[27] + DIRECTION INOUT + USE SIGNAL + PLACED ( -1200 2621060 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[28] + NET analog_io[28] + DIRECTION INOUT + USE SIGNAL + PLACED ( -1200 2334100 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[29] + NET analog_io[29] + DIRECTION INOUT + USE SIGNAL + PLACED ( -1200 2046460 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[2] + NET analog_io[2] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 498780 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[30] + NET analog_io[30] + DIRECTION INOUT + USE SIGNAL + PLACED ( -1200 1759500 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[3] + NET analog_io[3] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 733380 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[4] + NET analog_io[4] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 967980 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[5] + NET analog_io[5] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 1202580 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[6] + NET analog_io[6] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 1437180 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[7] + NET analog_io[7] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 1671780 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[8] + NET analog_io[8] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 1906380 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[9] + NET analog_io[9] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 2140980 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[0] + NET io_in[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 88060 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[10] + NET io_in[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 2434060 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[11] + NET io_in[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 2669340 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[12] + NET io_in[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 2903940 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[13] + NET io_in[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 3138540 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[14] + NET io_in[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 3373140 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[15] + NET io_in[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2798410 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_in[16] + NET io_in[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2474110 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_in[17] + NET io_in[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2149350 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_in[18] + NET io_in[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1825050 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_in[19] + NET io_in[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1500750 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_in[1] + NET io_in[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 322660 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[20] + NET io_in[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1175990 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_in[21] + NET io_in[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 851690 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_in[22] + NET io_in[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 527390 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_in[23] + NET io_in[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 202630 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_in[24] + NET io_in[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 3411220 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[25] + NET io_in[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 3124260 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[26] + NET io_in[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 2836620 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[27] + NET io_in[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 2549660 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[28] + NET io_in[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 2262020 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[29] + NET io_in[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 1975060 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[2] + NET io_in[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 557260 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[30] + NET io_in[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 1687420 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[31] + NET io_in[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 1471860 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[32] + NET io_in[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 1256300 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[33] + NET io_in[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 1040740 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[34] + NET io_in[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 825180 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[35] + NET io_in[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 610300 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[36] + NET io_in[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 394740 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[37] + NET io_in[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 179180 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[3] + NET io_in[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 791860 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[4] + NET io_in[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 1026460 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[5] + NET io_in[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 1261060 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[6] + NET io_in[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 1495660 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[7] + NET io_in[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 1730260 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[8] + NET io_in[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 1964860 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[9] + NET io_in[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 2199460 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[0] + NET io_oeb[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 205020 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[10] + NET io_oeb[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2551700 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[11] + NET io_oeb[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2786300 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[12] + NET io_oeb[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 3020900 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[13] + NET io_oeb[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 3255500 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[14] + NET io_oeb[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 3490100 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[15] + NET io_oeb[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2636030 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_oeb[16] + NET io_oeb[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2311730 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_oeb[17] + NET io_oeb[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1987430 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_oeb[18] + NET io_oeb[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1662670 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_oeb[19] + NET io_oeb[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1338370 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_oeb[1] + NET io_oeb[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 439620 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[20] + NET io_oeb[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1014070 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_oeb[21] + NET io_oeb[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 689310 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_oeb[22] + NET io_oeb[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 365010 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_oeb[23] + NET io_oeb[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 40710 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_oeb[24] + NET io_oeb[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 3267740 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[25] + NET io_oeb[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 2980100 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[26] + NET io_oeb[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 2693140 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[27] + NET io_oeb[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 2405500 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[28] + NET io_oeb[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 2118540 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[29] + NET io_oeb[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1830900 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[2] + NET io_oeb[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 674220 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[30] + NET io_oeb[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1543940 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[31] + NET io_oeb[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1328380 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[32] + NET io_oeb[32] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1112820 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[33] + NET io_oeb[33] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 897260 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[34] + NET io_oeb[34] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 681700 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[35] + NET io_oeb[35] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 466140 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[36] + NET io_oeb[36] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 250580 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[37] + NET io_oeb[37] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 35700 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[3] + NET io_oeb[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 909500 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[4] + NET io_oeb[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1144100 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[5] + NET io_oeb[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1378700 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[6] + NET io_oeb[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1613300 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[7] + NET io_oeb[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1847900 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[8] + NET io_oeb[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2082500 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[9] + NET io_oeb[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2317100 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[0] + NET io_out[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 146540 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[10] + NET io_out[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2493220 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[11] + NET io_out[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2727820 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[12] + NET io_out[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2962420 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[13] + NET io_out[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 3197020 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[14] + NET io_out[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 3431620 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[15] + NET io_out[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2717450 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_out[16] + NET io_out[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2392690 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_out[17] + NET io_out[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2068390 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_out[18] + NET io_out[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1744090 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_out[19] + NET io_out[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1419330 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_out[1] + NET io_out[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 381140 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[20] + NET io_out[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1095030 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_out[21] + NET io_out[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 770730 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_out[22] + NET io_out[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 445970 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_out[23] + NET io_out[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 121670 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_out[24] + NET io_out[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 3339820 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[25] + NET io_out[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 3052180 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[26] + NET io_out[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 2765220 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[27] + NET io_out[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 2477580 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[28] + NET io_out[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 2189940 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[29] + NET io_out[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1902980 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[2] + NET io_out[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 615740 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[30] + NET io_out[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1615340 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[31] + NET io_out[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1400460 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[32] + NET io_out[32] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1184900 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[33] + NET io_out[33] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 969340 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[34] + NET io_out[34] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 753780 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[35] + NET io_out[35] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 538220 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[36] + NET io_out[36] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 322660 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[37] + NET io_out[37] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 107100 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[3] + NET io_out[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 850340 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[4] + NET io_out[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1084940 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[5] + NET io_out[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1319540 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[6] + NET io_out[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1554140 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[7] + NET io_out[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1789420 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[8] + NET io_out[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2024020 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[9] + NET io_out[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2258620 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - la_data_in[0] + NET la_data_in[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 633190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[100] + NET la_data_in[100] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2417530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[101] + NET la_data_in[101] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2435010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[102] + NET la_data_in[102] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2452950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[103] + NET la_data_in[103] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2470890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[104] + NET la_data_in[104] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2488830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[105] + NET la_data_in[105] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2506310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[106] + NET la_data_in[106] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2524250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[107] + NET la_data_in[107] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2542190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[108] + NET la_data_in[108] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2560130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[109] + NET la_data_in[109] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2578070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[10] + NET la_data_in[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 811670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[110] + NET la_data_in[110] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2595550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[111] + NET la_data_in[111] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2613490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[112] + NET la_data_in[112] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2631430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[113] + NET la_data_in[113] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2649370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[114] + NET la_data_in[114] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2667310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[115] + NET la_data_in[115] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2684790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[116] + NET la_data_in[116] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2702730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[117] + NET la_data_in[117] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2720670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[118] + NET la_data_in[118] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2738610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[119] + NET la_data_in[119] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2756090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[11] + NET la_data_in[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 829610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[120] + NET la_data_in[120] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2774030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[121] + NET la_data_in[121] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2791970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[122] + NET la_data_in[122] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2809910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[123] + NET la_data_in[123] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2827850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[124] + NET la_data_in[124] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2845330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[125] + NET la_data_in[125] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2863270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[126] + NET la_data_in[126] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2881210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[127] + NET la_data_in[127] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2899150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[12] + NET la_data_in[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 847090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[13] + NET la_data_in[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 865030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[14] + NET la_data_in[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 882970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[15] + NET la_data_in[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 900910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[16] + NET la_data_in[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 918850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[17] + NET la_data_in[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 936330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[18] + NET la_data_in[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 954270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[19] + NET la_data_in[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 972210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[1] + NET la_data_in[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 651130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[20] + NET la_data_in[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 990150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[21] + NET la_data_in[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1007630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[22] + NET la_data_in[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1025570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[23] + NET la_data_in[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1043510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[24] + NET la_data_in[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1061450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[25] + NET la_data_in[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1079390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[26] + NET la_data_in[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1096870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[27] + NET la_data_in[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1114810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[28] + NET la_data_in[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1132750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[29] + NET la_data_in[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1150690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[2] + NET la_data_in[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 669070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[30] + NET la_data_in[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1168630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[31] + NET la_data_in[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1186110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[32] + NET la_data_in[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1204050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[33] + NET la_data_in[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1221990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[34] + NET la_data_in[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1239930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[35] + NET la_data_in[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1257410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[36] + NET la_data_in[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1275350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[37] + NET la_data_in[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1293290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[38] + NET la_data_in[38] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1311230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[39] + NET la_data_in[39] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1329170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[3] + NET la_data_in[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 686550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[40] + NET la_data_in[40] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1346650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[41] + NET la_data_in[41] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1364590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[42] + NET la_data_in[42] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1382530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[43] + NET la_data_in[43] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1400470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[44] + NET la_data_in[44] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1418410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[45] + NET la_data_in[45] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1435890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[46] + NET la_data_in[46] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1453830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[47] + NET la_data_in[47] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1471770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[48] + NET la_data_in[48] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1489710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[49] + NET la_data_in[49] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1507190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[4] + NET la_data_in[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 704490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[50] + NET la_data_in[50] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1525130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[51] + NET la_data_in[51] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1543070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[52] + NET la_data_in[52] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1561010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[53] + NET la_data_in[53] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1578950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[54] + NET la_data_in[54] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1596430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[55] + NET la_data_in[55] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1614370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[56] + NET la_data_in[56] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1632310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[57] + NET la_data_in[57] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1650250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[58] + NET la_data_in[58] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1668190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[59] + NET la_data_in[59] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1685670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[5] + NET la_data_in[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 722430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[60] + NET la_data_in[60] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1703610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[61] + NET la_data_in[61] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1721550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[62] + NET la_data_in[62] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1739490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[63] + NET la_data_in[63] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1756970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[64] + NET la_data_in[64] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1774910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[65] + NET la_data_in[65] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1792850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[66] + NET la_data_in[66] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1810790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[67] + NET la_data_in[67] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1828730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[68] + NET la_data_in[68] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1846210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[69] + NET la_data_in[69] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1864150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[6] + NET la_data_in[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 740370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[70] + NET la_data_in[70] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1882090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[71] + NET la_data_in[71] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1900030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[72] + NET la_data_in[72] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1917970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[73] + NET la_data_in[73] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1935450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[74] + NET la_data_in[74] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1953390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[75] + NET la_data_in[75] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1971330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[76] + NET la_data_in[76] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1989270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[77] + NET la_data_in[77] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2006750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[78] + NET la_data_in[78] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2024690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[79] + NET la_data_in[79] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2042630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[7] + NET la_data_in[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 757850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[80] + NET la_data_in[80] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2060570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[81] + NET la_data_in[81] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2078510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[82] + NET la_data_in[82] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2095990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[83] + NET la_data_in[83] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2113930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[84] + NET la_data_in[84] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2131870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[85] + NET la_data_in[85] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2149810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[86] + NET la_data_in[86] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2167750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[87] + NET la_data_in[87] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2185230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[88] + NET la_data_in[88] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2203170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[89] + NET la_data_in[89] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2221110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[8] + NET la_data_in[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 775790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[90] + NET la_data_in[90] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2239050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[91] + NET la_data_in[91] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2256530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[92] + NET la_data_in[92] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2274470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[93] + NET la_data_in[93] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2292410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[94] + NET la_data_in[94] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2310350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[95] + NET la_data_in[95] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2328290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[96] + NET la_data_in[96] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2345770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[97] + NET la_data_in[97] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2363710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[98] + NET la_data_in[98] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2381650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[99] + NET la_data_in[99] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2399590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[9] + NET la_data_in[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 793730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[0] + NET la_data_out[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 639170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[100] + NET la_data_out[100] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2423050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[101] + NET la_data_out[101] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2440990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[102] + NET la_data_out[102] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2458930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[103] + NET la_data_out[103] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2476870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[104] + NET la_data_out[104] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2494810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[105] + NET la_data_out[105] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2512290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[106] + NET la_data_out[106] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2530230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[107] + NET la_data_out[107] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2548170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[108] + NET la_data_out[108] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2566110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[109] + NET la_data_out[109] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2584050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[10] + NET la_data_out[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 817650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[110] + NET la_data_out[110] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2601530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[111] + NET la_data_out[111] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2619470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[112] + NET la_data_out[112] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2637410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[113] + NET la_data_out[113] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2655350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[114] + NET la_data_out[114] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2672830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[115] + NET la_data_out[115] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2690770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[116] + NET la_data_out[116] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2708710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[117] + NET la_data_out[117] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2726650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[118] + NET la_data_out[118] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2744590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[119] + NET la_data_out[119] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2762070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[11] + NET la_data_out[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 835590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[120] + NET la_data_out[120] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2780010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[121] + NET la_data_out[121] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2797950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[122] + NET la_data_out[122] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2815890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[123] + NET la_data_out[123] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2833830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[124] + NET la_data_out[124] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2851310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[125] + NET la_data_out[125] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2869250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[126] + NET la_data_out[126] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2887190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[127] + NET la_data_out[127] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2905130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[12] + NET la_data_out[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 853070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[13] + NET la_data_out[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 871010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[14] + NET la_data_out[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 888950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[15] + NET la_data_out[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 906890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[16] + NET la_data_out[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 924370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[17] + NET la_data_out[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 942310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[18] + NET la_data_out[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 960250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[19] + NET la_data_out[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 978190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[1] + NET la_data_out[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 657110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[20] + NET la_data_out[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 996130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[21] + NET la_data_out[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1013610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[22] + NET la_data_out[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1031550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[23] + NET la_data_out[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1049490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[24] + NET la_data_out[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1067430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[25] + NET la_data_out[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1085370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[26] + NET la_data_out[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1102850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[27] + NET la_data_out[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1120790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[28] + NET la_data_out[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1138730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[29] + NET la_data_out[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1156670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[2] + NET la_data_out[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 674590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[30] + NET la_data_out[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1174150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[31] + NET la_data_out[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1192090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[32] + NET la_data_out[32] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1210030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[33] + NET la_data_out[33] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1227970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[34] + NET la_data_out[34] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1245910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[35] + NET la_data_out[35] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1263390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[36] + NET la_data_out[36] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1281330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[37] + NET la_data_out[37] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1299270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[38] + NET la_data_out[38] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1317210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[39] + NET la_data_out[39] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1335150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[3] + NET la_data_out[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 692530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[40] + NET la_data_out[40] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1352630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[41] + NET la_data_out[41] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1370570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[42] + NET la_data_out[42] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1388510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[43] + NET la_data_out[43] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1406450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[44] + NET la_data_out[44] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1423930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[45] + NET la_data_out[45] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1441870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[46] + NET la_data_out[46] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1459810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[47] + NET la_data_out[47] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1477750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[48] + NET la_data_out[48] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1495690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[49] + NET la_data_out[49] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1513170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[4] + NET la_data_out[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 710470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[50] + NET la_data_out[50] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1531110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[51] + NET la_data_out[51] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1549050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[52] + NET la_data_out[52] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1566990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[53] + NET la_data_out[53] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1584930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[54] + NET la_data_out[54] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1602410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[55] + NET la_data_out[55] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1620350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[56] + NET la_data_out[56] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1638290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[57] + NET la_data_out[57] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1656230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[58] + NET la_data_out[58] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1673710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[59] + NET la_data_out[59] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1691650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[5] + NET la_data_out[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 728410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[60] + NET la_data_out[60] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1709590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[61] + NET la_data_out[61] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1727530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[62] + NET la_data_out[62] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1745470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[63] + NET la_data_out[63] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1762950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[64] + NET la_data_out[64] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1780890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[65] + NET la_data_out[65] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1798830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[66] + NET la_data_out[66] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1816770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[67] + NET la_data_out[67] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1834710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[68] + NET la_data_out[68] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1852190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[69] + NET la_data_out[69] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1870130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[6] + NET la_data_out[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 746350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[70] + NET la_data_out[70] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1888070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[71] + NET la_data_out[71] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1906010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[72] + NET la_data_out[72] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1923490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[73] + NET la_data_out[73] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1941430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[74] + NET la_data_out[74] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1959370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[75] + NET la_data_out[75] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1977310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[76] + NET la_data_out[76] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1995250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[77] + NET la_data_out[77] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2012730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[78] + NET la_data_out[78] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2030670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[79] + NET la_data_out[79] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2048610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[7] + NET la_data_out[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 763830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[80] + NET la_data_out[80] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2066550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[81] + NET la_data_out[81] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2084490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[82] + NET la_data_out[82] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2101970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[83] + NET la_data_out[83] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2119910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[84] + NET la_data_out[84] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2137850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[85] + NET la_data_out[85] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2155790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[86] + NET la_data_out[86] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2173270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[87] + NET la_data_out[87] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2191210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[88] + NET la_data_out[88] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2209150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[89] + NET la_data_out[89] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2227090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[8] + NET la_data_out[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 781770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[90] + NET la_data_out[90] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2245030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[91] + NET la_data_out[91] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2262510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[92] + NET la_data_out[92] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2280450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[93] + NET la_data_out[93] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2298390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[94] + NET la_data_out[94] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2316330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[95] + NET la_data_out[95] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2334270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[96] + NET la_data_out[96] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2351750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[97] + NET la_data_out[97] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2369690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[98] + NET la_data_out[98] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2387630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[99] + NET la_data_out[99] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2405570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[9] + NET la_data_out[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 799710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[0] + NET la_oen[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 645150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[100] + NET la_oen[100] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2429030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[101] + NET la_oen[101] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2446970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[102] + NET la_oen[102] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2464910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[103] + NET la_oen[103] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2482850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[104] + NET la_oen[104] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2500790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[105] + NET la_oen[105] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2518270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[106] + NET la_oen[106] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2536210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[107] + NET la_oen[107] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2554150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[108] + NET la_oen[108] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2572090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[109] + NET la_oen[109] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2589570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[10] + NET la_oen[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 823630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[110] + NET la_oen[110] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2607510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[111] + NET la_oen[111] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2625450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[112] + NET la_oen[112] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2643390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[113] + NET la_oen[113] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2661330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[114] + NET la_oen[114] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2678810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[115] + NET la_oen[115] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2696750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[116] + NET la_oen[116] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2714690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[117] + NET la_oen[117] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2732630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[118] + NET la_oen[118] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2750570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[119] + NET la_oen[119] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2768050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[11] + NET la_oen[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 841110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[120] + NET la_oen[120] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2785990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[121] + NET la_oen[121] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2803930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[122] + NET la_oen[122] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2821870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[123] + NET la_oen[123] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2839350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[124] + NET la_oen[124] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2857290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[125] + NET la_oen[125] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2875230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[126] + NET la_oen[126] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2893170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[127] + NET la_oen[127] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2911110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[12] + NET la_oen[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 859050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[13] + NET la_oen[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 876990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[14] + NET la_oen[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 894930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[15] + NET la_oen[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 912870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[16] + NET la_oen[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 930350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[17] + NET la_oen[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 948290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[18] + NET la_oen[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 966230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[19] + NET la_oen[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 984170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[1] + NET la_oen[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 663090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[20] + NET la_oen[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1002110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[21] + NET la_oen[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1019590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[22] + NET la_oen[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1037530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[23] + NET la_oen[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1055470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[24] + NET la_oen[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1073410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[25] + NET la_oen[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1090890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[26] + NET la_oen[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1108830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[27] + NET la_oen[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1126770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[28] + NET la_oen[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1144710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[29] + NET la_oen[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1162650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[2] + NET la_oen[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 680570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[30] + NET la_oen[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1180130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[31] + NET la_oen[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1198070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[32] + NET la_oen[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1216010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[33] + NET la_oen[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1233950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[34] + NET la_oen[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1251890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[35] + NET la_oen[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1269370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[36] + NET la_oen[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1287310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[37] + NET la_oen[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1305250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[38] + NET la_oen[38] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1323190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[39] + NET la_oen[39] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1340670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[3] + NET la_oen[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 698510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[40] + NET la_oen[40] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1358610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[41] + NET la_oen[41] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1376550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[42] + NET la_oen[42] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1394490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[43] + NET la_oen[43] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1412430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[44] + NET la_oen[44] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1429910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[45] + NET la_oen[45] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1447850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[46] + NET la_oen[46] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1465790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[47] + NET la_oen[47] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1483730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[48] + NET la_oen[48] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1501670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[49] + NET la_oen[49] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1519150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[4] + NET la_oen[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 716450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[50] + NET la_oen[50] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1537090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[51] + NET la_oen[51] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1555030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[52] + NET la_oen[52] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1572970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[53] + NET la_oen[53] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1590450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[54] + NET la_oen[54] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1608390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[55] + NET la_oen[55] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1626330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[56] + NET la_oen[56] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1644270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[57] + NET la_oen[57] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1662210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[58] + NET la_oen[58] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1679690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[59] + NET la_oen[59] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1697630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[5] + NET la_oen[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 734390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[60] + NET la_oen[60] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1715570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[61] + NET la_oen[61] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1733510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[62] + NET la_oen[62] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1751450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[63] + NET la_oen[63] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1768930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[64] + NET la_oen[64] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1786870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[65] + NET la_oen[65] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1804810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[66] + NET la_oen[66] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1822750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[67] + NET la_oen[67] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1840230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[68] + NET la_oen[68] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1858170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[69] + NET la_oen[69] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1876110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[6] + NET la_oen[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 752330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[70] + NET la_oen[70] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1894050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[71] + NET la_oen[71] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1911990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[72] + NET la_oen[72] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1929470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[73] + NET la_oen[73] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1947410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[74] + NET la_oen[74] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1965350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[75] + NET la_oen[75] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1983290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[76] + NET la_oen[76] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2001230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[77] + NET la_oen[77] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2018710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[78] + NET la_oen[78] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2036650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[79] + NET la_oen[79] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2054590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[7] + NET la_oen[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 769810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[80] + NET la_oen[80] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2072530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[81] + NET la_oen[81] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2090010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[82] + NET la_oen[82] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2107950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[83] + NET la_oen[83] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2125890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[84] + NET la_oen[84] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2143830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[85] + NET la_oen[85] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2161770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[86] + NET la_oen[86] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2179250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[87] + NET la_oen[87] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2197190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[88] + NET la_oen[88] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2215130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[89] + NET la_oen[89] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2233070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[8] + NET la_oen[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 787750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[90] + NET la_oen[90] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2251010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[91] + NET la_oen[91] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2268490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[92] + NET la_oen[92] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2286430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[93] + NET la_oen[93] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2304370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[94] + NET la_oen[94] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2322310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[95] + NET la_oen[95] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2339790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[96] + NET la_oen[96] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2357730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[97] + NET la_oen[97] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2375670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[98] + NET la_oen[98] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2393610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[99] + NET la_oen[99] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2411550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[9] + NET la_oen[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 805690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - user_clock2 + NET user_clock2 + DIRECTION INPUT + USE SIGNAL + PLACED ( 2917090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wb_clk_i + NET wb_clk_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 2990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wb_rst_i + NET wb_rst_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 8510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_ack_o + NET wbs_ack_o + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 14490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[0] + NET wbs_adr_i[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 38410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[10] + NET wbs_adr_i[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 240810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[11] + NET wbs_adr_i[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 258290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[12] + NET wbs_adr_i[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 276230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[13] + NET wbs_adr_i[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 294170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[14] + NET wbs_adr_i[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 312110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[15] + NET wbs_adr_i[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 330050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[16] + NET wbs_adr_i[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 347530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[17] + NET wbs_adr_i[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 365470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[18] + NET wbs_adr_i[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 383410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[19] + NET wbs_adr_i[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 401350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[1] + NET wbs_adr_i[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 62330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[20] + NET wbs_adr_i[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 419290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[21] + NET wbs_adr_i[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 436770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[22] + NET wbs_adr_i[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 454710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[23] + NET wbs_adr_i[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 472650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[24] + NET wbs_adr_i[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 490590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[25] + NET wbs_adr_i[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 508070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[26] + NET wbs_adr_i[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 526010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[27] + NET wbs_adr_i[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 543950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[28] + NET wbs_adr_i[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 561890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[29] + NET wbs_adr_i[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 579830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[2] + NET wbs_adr_i[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 86250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[30] + NET wbs_adr_i[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 597310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[31] + NET wbs_adr_i[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 615250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[3] + NET wbs_adr_i[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 109710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[4] + NET wbs_adr_i[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 133630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[5] + NET wbs_adr_i[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 151570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[6] + NET wbs_adr_i[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 169510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[7] + NET wbs_adr_i[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 186990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[8] + NET wbs_adr_i[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 204930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[9] + NET wbs_adr_i[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 222870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_cyc_i + NET wbs_cyc_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 20470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[0] + NET wbs_dat_i[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 44390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[10] + NET wbs_dat_i[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 246790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[11] + NET wbs_dat_i[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 264270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[12] + NET wbs_dat_i[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 282210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[13] + NET wbs_dat_i[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 300150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[14] + NET wbs_dat_i[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 318090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[15] + NET wbs_dat_i[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 336030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[16] + NET wbs_dat_i[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 353510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[17] + NET wbs_dat_i[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 371450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[18] + NET wbs_dat_i[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 389390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[19] + NET wbs_dat_i[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 407330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[1] + NET wbs_dat_i[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 68310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[20] + NET wbs_dat_i[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 424810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[21] + NET wbs_dat_i[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 442750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[22] + NET wbs_dat_i[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 460690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[23] + NET wbs_dat_i[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 478630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[24] + NET wbs_dat_i[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 496570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[25] + NET wbs_dat_i[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 514050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[26] + NET wbs_dat_i[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 531990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[27] + NET wbs_dat_i[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 549930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[28] + NET wbs_dat_i[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 567870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[29] + NET wbs_dat_i[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 585810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[2] + NET wbs_dat_i[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 91770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[30] + NET wbs_dat_i[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 603290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[31] + NET wbs_dat_i[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 621230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[3] + NET wbs_dat_i[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 115690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[4] + NET wbs_dat_i[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 139610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[5] + NET wbs_dat_i[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 157550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[6] + NET wbs_dat_i[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 175030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[7] + NET wbs_dat_i[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 192970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[8] + NET wbs_dat_i[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 210910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[9] + NET wbs_dat_i[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 228850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[0] + NET wbs_dat_o[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 50370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[10] + NET wbs_dat_o[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 252770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[11] + NET wbs_dat_o[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 270250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[12] + NET wbs_dat_o[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 288190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[13] + NET wbs_dat_o[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 306130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[14] + NET wbs_dat_o[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 324070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[15] + NET wbs_dat_o[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 341550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[16] + NET wbs_dat_o[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 359490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[17] + NET wbs_dat_o[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 377430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[18] + NET wbs_dat_o[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 395370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[19] + NET wbs_dat_o[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 413310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[1] + NET wbs_dat_o[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 74290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[20] + NET wbs_dat_o[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 430790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[21] + NET wbs_dat_o[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 448730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[22] + NET wbs_dat_o[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 466670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[23] + NET wbs_dat_o[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 484610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[24] + NET wbs_dat_o[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 502550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[25] + NET wbs_dat_o[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 520030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[26] + NET wbs_dat_o[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 537970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[27] + NET wbs_dat_o[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 555910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[28] + NET wbs_dat_o[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 573850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[29] + NET wbs_dat_o[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 591330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[2] + NET wbs_dat_o[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 97750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[30] + NET wbs_dat_o[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 609270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[31] + NET wbs_dat_o[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 627210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[3] + NET wbs_dat_o[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 121670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[4] + NET wbs_dat_o[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 145590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[5] + NET wbs_dat_o[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 163530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[6] + NET wbs_dat_o[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 181010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[7] + NET wbs_dat_o[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 198950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[8] + NET wbs_dat_o[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 216890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[9] + NET wbs_dat_o[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 234830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_sel_i[0] + NET wbs_sel_i[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 56350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_sel_i[1] + NET wbs_sel_i[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 80270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_sel_i[2] + NET wbs_sel_i[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 103730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_sel_i[3] + NET wbs_sel_i[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 127650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_stb_i + NET wbs_stb_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 26450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_we_i + NET wbs_we_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 32430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-END PINS
-NETS 636 ;
-    - analog_io[0] ( PIN analog_io[0] ) + USE SIGNAL ;
-    - analog_io[10] ( PIN analog_io[10] ) + USE SIGNAL ;
-    - analog_io[11] ( PIN analog_io[11] ) + USE SIGNAL ;
-    - analog_io[12] ( PIN analog_io[12] ) + USE SIGNAL ;
-    - analog_io[13] ( PIN analog_io[13] ) + USE SIGNAL ;
-    - analog_io[14] ( PIN analog_io[14] ) + USE SIGNAL ;
-    - analog_io[15] ( PIN analog_io[15] ) + USE SIGNAL ;
-    - analog_io[16] ( PIN analog_io[16] ) + USE SIGNAL ;
-    - analog_io[17] ( PIN analog_io[17] ) + USE SIGNAL ;
-    - analog_io[18] ( PIN analog_io[18] ) + USE SIGNAL ;
-    - analog_io[19] ( PIN analog_io[19] ) + USE SIGNAL ;
-    - analog_io[1] ( PIN analog_io[1] ) + USE SIGNAL ;
-    - analog_io[20] ( PIN analog_io[20] ) + USE SIGNAL ;
-    - analog_io[21] ( PIN analog_io[21] ) + USE SIGNAL ;
-    - analog_io[22] ( PIN analog_io[22] ) + USE SIGNAL ;
-    - analog_io[23] ( PIN analog_io[23] ) + USE SIGNAL ;
-    - analog_io[24] ( PIN analog_io[24] ) + USE SIGNAL ;
-    - analog_io[25] ( PIN analog_io[25] ) + USE SIGNAL ;
-    - analog_io[26] ( PIN analog_io[26] ) + USE SIGNAL ;
-    - analog_io[27] ( PIN analog_io[27] ) + USE SIGNAL ;
-    - analog_io[28] ( PIN analog_io[28] ) + USE SIGNAL ;
-    - analog_io[29] ( PIN analog_io[29] ) + USE SIGNAL ;
-    - analog_io[2] ( PIN analog_io[2] ) + USE SIGNAL ;
-    - analog_io[30] ( PIN analog_io[30] ) + USE SIGNAL ;
-    - analog_io[3] ( PIN analog_io[3] ) + USE SIGNAL ;
-    - analog_io[4] ( PIN analog_io[4] ) + USE SIGNAL ;
-    - analog_io[5] ( PIN analog_io[5] ) + USE SIGNAL ;
-    - analog_io[6] ( PIN analog_io[6] ) + USE SIGNAL ;
-    - analog_io[7] ( PIN analog_io[7] ) + USE SIGNAL ;
-    - analog_io[8] ( PIN analog_io[8] ) + USE SIGNAL ;
-    - analog_io[9] ( PIN analog_io[9] ) + USE SIGNAL ;
-    - io_in[0] ( PIN io_in[0] ) ( mprj io_in[0] ) + USE SIGNAL ;
-    - io_in[10] ( PIN io_in[10] ) ( mprj io_in[10] ) + USE SIGNAL ;
-    - io_in[11] ( PIN io_in[11] ) ( mprj io_in[11] ) + USE SIGNAL ;
-    - io_in[12] ( PIN io_in[12] ) ( mprj io_in[12] ) + USE SIGNAL ;
-    - io_in[13] ( PIN io_in[13] ) ( mprj io_in[13] ) + USE SIGNAL ;
-    - io_in[14] ( PIN io_in[14] ) ( mprj io_in[14] ) + USE SIGNAL ;
-    - io_in[15] ( PIN io_in[15] ) ( mprj io_in[15] ) + USE SIGNAL ;
-    - io_in[16] ( PIN io_in[16] ) ( mprj io_in[16] ) + USE SIGNAL ;
-    - io_in[17] ( PIN io_in[17] ) ( mprj io_in[17] ) + USE SIGNAL ;
-    - io_in[18] ( PIN io_in[18] ) ( mprj io_in[18] ) + USE SIGNAL ;
-    - io_in[19] ( PIN io_in[19] ) ( mprj io_in[19] ) + USE SIGNAL ;
-    - io_in[1] ( PIN io_in[1] ) ( mprj io_in[1] ) + USE SIGNAL ;
-    - io_in[20] ( PIN io_in[20] ) ( mprj io_in[20] ) + USE SIGNAL ;
-    - io_in[21] ( PIN io_in[21] ) ( mprj io_in[21] ) + USE SIGNAL ;
-    - io_in[22] ( PIN io_in[22] ) ( mprj io_in[22] ) + USE SIGNAL ;
-    - io_in[23] ( PIN io_in[23] ) ( mprj io_in[23] ) + USE SIGNAL ;
-    - io_in[24] ( PIN io_in[24] ) ( mprj io_in[24] ) + USE SIGNAL ;
-    - io_in[25] ( PIN io_in[25] ) ( mprj io_in[25] ) + USE SIGNAL ;
-    - io_in[26] ( PIN io_in[26] ) ( mprj io_in[26] ) + USE SIGNAL ;
-    - io_in[27] ( PIN io_in[27] ) ( mprj io_in[27] ) + USE SIGNAL ;
-    - io_in[28] ( PIN io_in[28] ) ( mprj io_in[28] ) + USE SIGNAL ;
-    - io_in[29] ( PIN io_in[29] ) ( mprj io_in[29] ) + USE SIGNAL ;
-    - io_in[2] ( PIN io_in[2] ) ( mprj io_in[2] ) + USE SIGNAL ;
-    - io_in[30] ( PIN io_in[30] ) ( mprj io_in[30] ) + USE SIGNAL ;
-    - io_in[31] ( PIN io_in[31] ) ( mprj io_in[31] ) + USE SIGNAL ;
-    - io_in[32] ( PIN io_in[32] ) ( mprj io_in[32] ) + USE SIGNAL ;
-    - io_in[33] ( PIN io_in[33] ) ( mprj io_in[33] ) + USE SIGNAL ;
-    - io_in[34] ( PIN io_in[34] ) ( mprj io_in[34] ) + USE SIGNAL ;
-    - io_in[35] ( PIN io_in[35] ) ( mprj io_in[35] ) + USE SIGNAL ;
-    - io_in[36] ( PIN io_in[36] ) ( mprj io_in[36] ) + USE SIGNAL ;
-    - io_in[37] ( PIN io_in[37] ) ( mprj io_in[37] ) + USE SIGNAL ;
-    - io_in[3] ( PIN io_in[3] ) ( mprj io_in[3] ) + USE SIGNAL ;
-    - io_in[4] ( PIN io_in[4] ) ( mprj io_in[4] ) + USE SIGNAL ;
-    - io_in[5] ( PIN io_in[5] ) ( mprj io_in[5] ) + USE SIGNAL ;
-    - io_in[6] ( PIN io_in[6] ) ( mprj io_in[6] ) + USE SIGNAL ;
-    - io_in[7] ( PIN io_in[7] ) ( mprj io_in[7] ) + USE SIGNAL ;
-    - io_in[8] ( PIN io_in[8] ) ( mprj io_in[8] ) + USE SIGNAL ;
-    - io_in[9] ( PIN io_in[9] ) ( mprj io_in[9] ) + USE SIGNAL ;
-    - io_oeb[0] ( PIN io_oeb[0] ) ( mprj io_oeb[0] ) + USE SIGNAL ;
-    - io_oeb[10] ( PIN io_oeb[10] ) ( mprj io_oeb[10] ) + USE SIGNAL ;
-    - io_oeb[11] ( PIN io_oeb[11] ) ( mprj io_oeb[11] ) + USE SIGNAL ;
-    - io_oeb[12] ( PIN io_oeb[12] ) ( mprj io_oeb[12] ) + USE SIGNAL ;
-    - io_oeb[13] ( PIN io_oeb[13] ) ( mprj io_oeb[13] ) + USE SIGNAL ;
-    - io_oeb[14] ( PIN io_oeb[14] ) ( mprj io_oeb[14] ) + USE SIGNAL ;
-    - io_oeb[15] ( PIN io_oeb[15] ) ( mprj io_oeb[15] ) + USE SIGNAL ;
-    - io_oeb[16] ( PIN io_oeb[16] ) ( mprj io_oeb[16] ) + USE SIGNAL ;
-    - io_oeb[17] ( PIN io_oeb[17] ) ( mprj io_oeb[17] ) + USE SIGNAL ;
-    - io_oeb[18] ( PIN io_oeb[18] ) ( mprj io_oeb[18] ) + USE SIGNAL ;
-    - io_oeb[19] ( PIN io_oeb[19] ) ( mprj io_oeb[19] ) + USE SIGNAL ;
-    - io_oeb[1] ( PIN io_oeb[1] ) ( mprj io_oeb[1] ) + USE SIGNAL ;
-    - io_oeb[20] ( PIN io_oeb[20] ) ( mprj io_oeb[20] ) + USE SIGNAL ;
-    - io_oeb[21] ( PIN io_oeb[21] ) ( mprj io_oeb[21] ) + USE SIGNAL ;
-    - io_oeb[22] ( PIN io_oeb[22] ) ( mprj io_oeb[22] ) + USE SIGNAL ;
-    - io_oeb[23] ( PIN io_oeb[23] ) ( mprj io_oeb[23] ) + USE SIGNAL ;
-    - io_oeb[24] ( PIN io_oeb[24] ) ( mprj io_oeb[24] ) + USE SIGNAL ;
-    - io_oeb[25] ( PIN io_oeb[25] ) ( mprj io_oeb[25] ) + USE SIGNAL ;
-    - io_oeb[26] ( PIN io_oeb[26] ) ( mprj io_oeb[26] ) + USE SIGNAL ;
-    - io_oeb[27] ( PIN io_oeb[27] ) ( mprj io_oeb[27] ) + USE SIGNAL ;
-    - io_oeb[28] ( PIN io_oeb[28] ) ( mprj io_oeb[28] ) + USE SIGNAL ;
-    - io_oeb[29] ( PIN io_oeb[29] ) ( mprj io_oeb[29] ) + USE SIGNAL ;
-    - io_oeb[2] ( PIN io_oeb[2] ) ( mprj io_oeb[2] ) + USE SIGNAL ;
-    - io_oeb[30] ( PIN io_oeb[30] ) ( mprj io_oeb[30] ) + USE SIGNAL ;
-    - io_oeb[31] ( PIN io_oeb[31] ) ( mprj io_oeb[31] ) + USE SIGNAL ;
-    - io_oeb[32] ( PIN io_oeb[32] ) ( mprj io_oeb[32] ) + USE SIGNAL ;
-    - io_oeb[33] ( PIN io_oeb[33] ) ( mprj io_oeb[33] ) + USE SIGNAL ;
-    - io_oeb[34] ( PIN io_oeb[34] ) ( mprj io_oeb[34] ) + USE SIGNAL ;
-    - io_oeb[35] ( PIN io_oeb[35] ) ( mprj io_oeb[35] ) + USE SIGNAL ;
-    - io_oeb[36] ( PIN io_oeb[36] ) ( mprj io_oeb[36] ) + USE SIGNAL ;
-    - io_oeb[37] ( PIN io_oeb[37] ) ( mprj io_oeb[37] ) + USE SIGNAL ;
-    - io_oeb[3] ( PIN io_oeb[3] ) ( mprj io_oeb[3] ) + USE SIGNAL ;
-    - io_oeb[4] ( PIN io_oeb[4] ) ( mprj io_oeb[4] ) + USE SIGNAL ;
-    - io_oeb[5] ( PIN io_oeb[5] ) ( mprj io_oeb[5] ) + USE SIGNAL ;
-    - io_oeb[6] ( PIN io_oeb[6] ) ( mprj io_oeb[6] ) + USE SIGNAL ;
-    - io_oeb[7] ( PIN io_oeb[7] ) ( mprj io_oeb[7] ) + USE SIGNAL ;
-    - io_oeb[8] ( PIN io_oeb[8] ) ( mprj io_oeb[8] ) + USE SIGNAL ;
-    - io_oeb[9] ( PIN io_oeb[9] ) ( mprj io_oeb[9] ) + USE SIGNAL ;
-    - io_out[0] ( PIN io_out[0] ) ( mprj io_out[0] ) + USE SIGNAL ;
-    - io_out[10] ( PIN io_out[10] ) ( mprj io_out[10] ) + USE SIGNAL ;
-    - io_out[11] ( PIN io_out[11] ) ( mprj io_out[11] ) + USE SIGNAL ;
-    - io_out[12] ( PIN io_out[12] ) ( mprj io_out[12] ) + USE SIGNAL ;
-    - io_out[13] ( PIN io_out[13] ) ( mprj io_out[13] ) + USE SIGNAL ;
-    - io_out[14] ( PIN io_out[14] ) ( mprj io_out[14] ) + USE SIGNAL ;
-    - io_out[15] ( PIN io_out[15] ) ( mprj io_out[15] ) + USE SIGNAL ;
-    - io_out[16] ( PIN io_out[16] ) ( mprj io_out[16] ) + USE SIGNAL ;
-    - io_out[17] ( PIN io_out[17] ) ( mprj io_out[17] ) + USE SIGNAL ;
-    - io_out[18] ( PIN io_out[18] ) ( mprj io_out[18] ) + USE SIGNAL ;
-    - io_out[19] ( PIN io_out[19] ) ( mprj io_out[19] ) + USE SIGNAL ;
-    - io_out[1] ( PIN io_out[1] ) ( mprj io_out[1] ) + USE SIGNAL ;
-    - io_out[20] ( PIN io_out[20] ) ( mprj io_out[20] ) + USE SIGNAL ;
-    - io_out[21] ( PIN io_out[21] ) ( mprj io_out[21] ) + USE SIGNAL ;
-    - io_out[22] ( PIN io_out[22] ) ( mprj io_out[22] ) + USE SIGNAL ;
-    - io_out[23] ( PIN io_out[23] ) ( mprj io_out[23] ) + USE SIGNAL ;
-    - io_out[24] ( PIN io_out[24] ) ( mprj io_out[24] ) + USE SIGNAL ;
-    - io_out[25] ( PIN io_out[25] ) ( mprj io_out[25] ) + USE SIGNAL ;
-    - io_out[26] ( PIN io_out[26] ) ( mprj io_out[26] ) + USE SIGNAL ;
-    - io_out[27] ( PIN io_out[27] ) ( mprj io_out[27] ) + USE SIGNAL ;
-    - io_out[28] ( PIN io_out[28] ) ( mprj io_out[28] ) + USE SIGNAL ;
-    - io_out[29] ( PIN io_out[29] ) ( mprj io_out[29] ) + USE SIGNAL ;
-    - io_out[2] ( PIN io_out[2] ) ( mprj io_out[2] ) + USE SIGNAL ;
-    - io_out[30] ( PIN io_out[30] ) ( mprj io_out[30] ) + USE SIGNAL ;
-    - io_out[31] ( PIN io_out[31] ) ( mprj io_out[31] ) + USE SIGNAL ;
-    - io_out[32] ( PIN io_out[32] ) ( mprj io_out[32] ) + USE SIGNAL ;
-    - io_out[33] ( PIN io_out[33] ) ( mprj io_out[33] ) + USE SIGNAL ;
-    - io_out[34] ( PIN io_out[34] ) ( mprj io_out[34] ) + USE SIGNAL ;
-    - io_out[35] ( PIN io_out[35] ) ( mprj io_out[35] ) + USE SIGNAL ;
-    - io_out[36] ( PIN io_out[36] ) ( mprj io_out[36] ) + USE SIGNAL ;
-    - io_out[37] ( PIN io_out[37] ) ( mprj io_out[37] ) + USE SIGNAL ;
-    - io_out[3] ( PIN io_out[3] ) ( mprj io_out[3] ) + USE SIGNAL ;
-    - io_out[4] ( PIN io_out[4] ) ( mprj io_out[4] ) + USE SIGNAL ;
-    - io_out[5] ( PIN io_out[5] ) ( mprj io_out[5] ) + USE SIGNAL ;
-    - io_out[6] ( PIN io_out[6] ) ( mprj io_out[6] ) + USE SIGNAL ;
-    - io_out[7] ( PIN io_out[7] ) ( mprj io_out[7] ) + USE SIGNAL ;
-    - io_out[8] ( PIN io_out[8] ) ( mprj io_out[8] ) + USE SIGNAL ;
-    - io_out[9] ( PIN io_out[9] ) ( mprj io_out[9] ) + USE SIGNAL ;
-    - la_data_in[0] ( PIN la_data_in[0] ) ( mprj la_data_in[0] ) + USE SIGNAL ;
-    - la_data_in[100] ( PIN la_data_in[100] ) ( mprj la_data_in[100] ) + USE SIGNAL ;
-    - la_data_in[101] ( PIN la_data_in[101] ) ( mprj la_data_in[101] ) + USE SIGNAL ;
-    - la_data_in[102] ( PIN la_data_in[102] ) ( mprj la_data_in[102] ) + USE SIGNAL ;
-    - la_data_in[103] ( PIN la_data_in[103] ) ( mprj la_data_in[103] ) + USE SIGNAL ;
-    - la_data_in[104] ( PIN la_data_in[104] ) ( mprj la_data_in[104] ) + USE SIGNAL ;
-    - la_data_in[105] ( PIN la_data_in[105] ) ( mprj la_data_in[105] ) + USE SIGNAL ;
-    - la_data_in[106] ( PIN la_data_in[106] ) ( mprj la_data_in[106] ) + USE SIGNAL ;
-    - la_data_in[107] ( PIN la_data_in[107] ) ( mprj la_data_in[107] ) + USE SIGNAL ;
-    - la_data_in[108] ( PIN la_data_in[108] ) ( mprj la_data_in[108] ) + USE SIGNAL ;
-    - la_data_in[109] ( PIN la_data_in[109] ) ( mprj la_data_in[109] ) + USE SIGNAL ;
-    - la_data_in[10] ( PIN la_data_in[10] ) ( mprj la_data_in[10] ) + USE SIGNAL ;
-    - la_data_in[110] ( PIN la_data_in[110] ) ( mprj la_data_in[110] ) + USE SIGNAL ;
-    - la_data_in[111] ( PIN la_data_in[111] ) ( mprj la_data_in[111] ) + USE SIGNAL ;
-    - la_data_in[112] ( PIN la_data_in[112] ) ( mprj la_data_in[112] ) + USE SIGNAL ;
-    - la_data_in[113] ( PIN la_data_in[113] ) ( mprj la_data_in[113] ) + USE SIGNAL ;
-    - la_data_in[114] ( PIN la_data_in[114] ) ( mprj la_data_in[114] ) + USE SIGNAL ;
-    - la_data_in[115] ( PIN la_data_in[115] ) ( mprj la_data_in[115] ) + USE SIGNAL ;
-    - la_data_in[116] ( PIN la_data_in[116] ) ( mprj la_data_in[116] ) + USE SIGNAL ;
-    - la_data_in[117] ( PIN la_data_in[117] ) ( mprj la_data_in[117] ) + USE SIGNAL ;
-    - la_data_in[118] ( PIN la_data_in[118] ) ( mprj la_data_in[118] ) + USE SIGNAL ;
-    - la_data_in[119] ( PIN la_data_in[119] ) ( mprj la_data_in[119] ) + USE SIGNAL ;
-    - la_data_in[11] ( PIN la_data_in[11] ) ( mprj la_data_in[11] ) + USE SIGNAL ;
-    - la_data_in[120] ( PIN la_data_in[120] ) ( mprj la_data_in[120] ) + USE SIGNAL ;
-    - la_data_in[121] ( PIN la_data_in[121] ) ( mprj la_data_in[121] ) + USE SIGNAL ;
-    - la_data_in[122] ( PIN la_data_in[122] ) ( mprj la_data_in[122] ) + USE SIGNAL ;
-    - la_data_in[123] ( PIN la_data_in[123] ) ( mprj la_data_in[123] ) + USE SIGNAL ;
-    - la_data_in[124] ( PIN la_data_in[124] ) ( mprj la_data_in[124] ) + USE SIGNAL ;
-    - la_data_in[125] ( PIN la_data_in[125] ) ( mprj la_data_in[125] ) + USE SIGNAL ;
-    - la_data_in[126] ( PIN la_data_in[126] ) ( mprj la_data_in[126] ) + USE SIGNAL ;
-    - la_data_in[127] ( PIN la_data_in[127] ) ( mprj la_data_in[127] ) + USE SIGNAL ;
-    - la_data_in[12] ( PIN la_data_in[12] ) ( mprj la_data_in[12] ) + USE SIGNAL ;
-    - la_data_in[13] ( PIN la_data_in[13] ) ( mprj la_data_in[13] ) + USE SIGNAL ;
-    - la_data_in[14] ( PIN la_data_in[14] ) ( mprj la_data_in[14] ) + USE SIGNAL ;
-    - la_data_in[15] ( PIN la_data_in[15] ) ( mprj la_data_in[15] ) + USE SIGNAL ;
-    - la_data_in[16] ( PIN la_data_in[16] ) ( mprj la_data_in[16] ) + USE SIGNAL ;
-    - la_data_in[17] ( PIN la_data_in[17] ) ( mprj la_data_in[17] ) + USE SIGNAL ;
-    - la_data_in[18] ( PIN la_data_in[18] ) ( mprj la_data_in[18] ) + USE SIGNAL ;
-    - la_data_in[19] ( PIN la_data_in[19] ) ( mprj la_data_in[19] ) + USE SIGNAL ;
-    - la_data_in[1] ( PIN la_data_in[1] ) ( mprj la_data_in[1] ) + USE SIGNAL ;
-    - la_data_in[20] ( PIN la_data_in[20] ) ( mprj la_data_in[20] ) + USE SIGNAL ;
-    - la_data_in[21] ( PIN la_data_in[21] ) ( mprj la_data_in[21] ) + USE SIGNAL ;
-    - la_data_in[22] ( PIN la_data_in[22] ) ( mprj la_data_in[22] ) + USE SIGNAL ;
-    - la_data_in[23] ( PIN la_data_in[23] ) ( mprj la_data_in[23] ) + USE SIGNAL ;
-    - la_data_in[24] ( PIN la_data_in[24] ) ( mprj la_data_in[24] ) + USE SIGNAL ;
-    - la_data_in[25] ( PIN la_data_in[25] ) ( mprj la_data_in[25] ) + USE SIGNAL ;
-    - la_data_in[26] ( PIN la_data_in[26] ) ( mprj la_data_in[26] ) + USE SIGNAL ;
-    - la_data_in[27] ( PIN la_data_in[27] ) ( mprj la_data_in[27] ) + USE SIGNAL ;
-    - la_data_in[28] ( PIN la_data_in[28] ) ( mprj la_data_in[28] ) + USE SIGNAL ;
-    - la_data_in[29] ( PIN la_data_in[29] ) ( mprj la_data_in[29] ) + USE SIGNAL ;
-    - la_data_in[2] ( PIN la_data_in[2] ) ( mprj la_data_in[2] ) + USE SIGNAL ;
-    - la_data_in[30] ( PIN la_data_in[30] ) ( mprj la_data_in[30] ) + USE SIGNAL ;
-    - la_data_in[31] ( PIN la_data_in[31] ) ( mprj la_data_in[31] ) + USE SIGNAL ;
-    - la_data_in[32] ( PIN la_data_in[32] ) ( mprj la_data_in[32] ) + USE SIGNAL ;
-    - la_data_in[33] ( PIN la_data_in[33] ) ( mprj la_data_in[33] ) + USE SIGNAL ;
-    - la_data_in[34] ( PIN la_data_in[34] ) ( mprj la_data_in[34] ) + USE SIGNAL ;
-    - la_data_in[35] ( PIN la_data_in[35] ) ( mprj la_data_in[35] ) + USE SIGNAL ;
-    - la_data_in[36] ( PIN la_data_in[36] ) ( mprj la_data_in[36] ) + USE SIGNAL ;
-    - la_data_in[37] ( PIN la_data_in[37] ) ( mprj la_data_in[37] ) + USE SIGNAL ;
-    - la_data_in[38] ( PIN la_data_in[38] ) ( mprj la_data_in[38] ) + USE SIGNAL ;
-    - la_data_in[39] ( PIN la_data_in[39] ) ( mprj la_data_in[39] ) + USE SIGNAL ;
-    - la_data_in[3] ( PIN la_data_in[3] ) ( mprj la_data_in[3] ) + USE SIGNAL ;
-    - la_data_in[40] ( PIN la_data_in[40] ) ( mprj la_data_in[40] ) + USE SIGNAL ;
-    - la_data_in[41] ( PIN la_data_in[41] ) ( mprj la_data_in[41] ) + USE SIGNAL ;
-    - la_data_in[42] ( PIN la_data_in[42] ) ( mprj la_data_in[42] ) + USE SIGNAL ;
-    - la_data_in[43] ( PIN la_data_in[43] ) ( mprj la_data_in[43] ) + USE SIGNAL ;
-    - la_data_in[44] ( PIN la_data_in[44] ) ( mprj la_data_in[44] ) + USE SIGNAL ;
-    - la_data_in[45] ( PIN la_data_in[45] ) ( mprj la_data_in[45] ) + USE SIGNAL ;
-    - la_data_in[46] ( PIN la_data_in[46] ) ( mprj la_data_in[46] ) + USE SIGNAL ;
-    - la_data_in[47] ( PIN la_data_in[47] ) ( mprj la_data_in[47] ) + USE SIGNAL ;
-    - la_data_in[48] ( PIN la_data_in[48] ) ( mprj la_data_in[48] ) + USE SIGNAL ;
-    - la_data_in[49] ( PIN la_data_in[49] ) ( mprj la_data_in[49] ) + USE SIGNAL ;
-    - la_data_in[4] ( PIN la_data_in[4] ) ( mprj la_data_in[4] ) + USE SIGNAL ;
-    - la_data_in[50] ( PIN la_data_in[50] ) ( mprj la_data_in[50] ) + USE SIGNAL ;
-    - la_data_in[51] ( PIN la_data_in[51] ) ( mprj la_data_in[51] ) + USE SIGNAL ;
-    - la_data_in[52] ( PIN la_data_in[52] ) ( mprj la_data_in[52] ) + USE SIGNAL ;
-    - la_data_in[53] ( PIN la_data_in[53] ) ( mprj la_data_in[53] ) + USE SIGNAL ;
-    - la_data_in[54] ( PIN la_data_in[54] ) ( mprj la_data_in[54] ) + USE SIGNAL ;
-    - la_data_in[55] ( PIN la_data_in[55] ) ( mprj la_data_in[55] ) + USE SIGNAL ;
-    - la_data_in[56] ( PIN la_data_in[56] ) ( mprj la_data_in[56] ) + USE SIGNAL ;
-    - la_data_in[57] ( PIN la_data_in[57] ) ( mprj la_data_in[57] ) + USE SIGNAL ;
-    - la_data_in[58] ( PIN la_data_in[58] ) ( mprj la_data_in[58] ) + USE SIGNAL ;
-    - la_data_in[59] ( PIN la_data_in[59] ) ( mprj la_data_in[59] ) + USE SIGNAL ;
-    - la_data_in[5] ( PIN la_data_in[5] ) ( mprj la_data_in[5] ) + USE SIGNAL ;
-    - la_data_in[60] ( PIN la_data_in[60] ) ( mprj la_data_in[60] ) + USE SIGNAL ;
-    - la_data_in[61] ( PIN la_data_in[61] ) ( mprj la_data_in[61] ) + USE SIGNAL ;
-    - la_data_in[62] ( PIN la_data_in[62] ) ( mprj la_data_in[62] ) + USE SIGNAL ;
-    - la_data_in[63] ( PIN la_data_in[63] ) ( mprj la_data_in[63] ) + USE SIGNAL ;
-    - la_data_in[64] ( PIN la_data_in[64] ) ( mprj la_data_in[64] ) + USE SIGNAL ;
-    - la_data_in[65] ( PIN la_data_in[65] ) ( mprj la_data_in[65] ) + USE SIGNAL ;
-    - la_data_in[66] ( PIN la_data_in[66] ) ( mprj la_data_in[66] ) + USE SIGNAL ;
-    - la_data_in[67] ( PIN la_data_in[67] ) ( mprj la_data_in[67] ) + USE SIGNAL ;
-    - la_data_in[68] ( PIN la_data_in[68] ) ( mprj la_data_in[68] ) + USE SIGNAL ;
-    - la_data_in[69] ( PIN la_data_in[69] ) ( mprj la_data_in[69] ) + USE SIGNAL ;
-    - la_data_in[6] ( PIN la_data_in[6] ) ( mprj la_data_in[6] ) + USE SIGNAL ;
-    - la_data_in[70] ( PIN la_data_in[70] ) ( mprj la_data_in[70] ) + USE SIGNAL ;
-    - la_data_in[71] ( PIN la_data_in[71] ) ( mprj la_data_in[71] ) + USE SIGNAL ;
-    - la_data_in[72] ( PIN la_data_in[72] ) ( mprj la_data_in[72] ) + USE SIGNAL ;
-    - la_data_in[73] ( PIN la_data_in[73] ) ( mprj la_data_in[73] ) + USE SIGNAL ;
-    - la_data_in[74] ( PIN la_data_in[74] ) ( mprj la_data_in[74] ) + USE SIGNAL ;
-    - la_data_in[75] ( PIN la_data_in[75] ) ( mprj la_data_in[75] ) + USE SIGNAL ;
-    - la_data_in[76] ( PIN la_data_in[76] ) ( mprj la_data_in[76] ) + USE SIGNAL ;
-    - la_data_in[77] ( PIN la_data_in[77] ) ( mprj la_data_in[77] ) + USE SIGNAL ;
-    - la_data_in[78] ( PIN la_data_in[78] ) ( mprj la_data_in[78] ) + USE SIGNAL ;
-    - la_data_in[79] ( PIN la_data_in[79] ) ( mprj la_data_in[79] ) + USE SIGNAL ;
-    - la_data_in[7] ( PIN la_data_in[7] ) ( mprj la_data_in[7] ) + USE SIGNAL ;
-    - la_data_in[80] ( PIN la_data_in[80] ) ( mprj la_data_in[80] ) + USE SIGNAL ;
-    - la_data_in[81] ( PIN la_data_in[81] ) ( mprj la_data_in[81] ) + USE SIGNAL ;
-    - la_data_in[82] ( PIN la_data_in[82] ) ( mprj la_data_in[82] ) + USE SIGNAL ;
-    - la_data_in[83] ( PIN la_data_in[83] ) ( mprj la_data_in[83] ) + USE SIGNAL ;
-    - la_data_in[84] ( PIN la_data_in[84] ) ( mprj la_data_in[84] ) + USE SIGNAL ;
-    - la_data_in[85] ( PIN la_data_in[85] ) ( mprj la_data_in[85] ) + USE SIGNAL ;
-    - la_data_in[86] ( PIN la_data_in[86] ) ( mprj la_data_in[86] ) + USE SIGNAL ;
-    - la_data_in[87] ( PIN la_data_in[87] ) ( mprj la_data_in[87] ) + USE SIGNAL ;
-    - la_data_in[88] ( PIN la_data_in[88] ) ( mprj la_data_in[88] ) + USE SIGNAL ;
-    - la_data_in[89] ( PIN la_data_in[89] ) ( mprj la_data_in[89] ) + USE SIGNAL ;
-    - la_data_in[8] ( PIN la_data_in[8] ) ( mprj la_data_in[8] ) + USE SIGNAL ;
-    - la_data_in[90] ( PIN la_data_in[90] ) ( mprj la_data_in[90] ) + USE SIGNAL ;
-    - la_data_in[91] ( PIN la_data_in[91] ) ( mprj la_data_in[91] ) + USE SIGNAL ;
-    - la_data_in[92] ( PIN la_data_in[92] ) ( mprj la_data_in[92] ) + USE SIGNAL ;
-    - la_data_in[93] ( PIN la_data_in[93] ) ( mprj la_data_in[93] ) + USE SIGNAL ;
-    - la_data_in[94] ( PIN la_data_in[94] ) ( mprj la_data_in[94] ) + USE SIGNAL ;
-    - la_data_in[95] ( PIN la_data_in[95] ) ( mprj la_data_in[95] ) + USE SIGNAL ;
-    - la_data_in[96] ( PIN la_data_in[96] ) ( mprj la_data_in[96] ) + USE SIGNAL ;
-    - la_data_in[97] ( PIN la_data_in[97] ) ( mprj la_data_in[97] ) + USE SIGNAL ;
-    - la_data_in[98] ( PIN la_data_in[98] ) ( mprj la_data_in[98] ) + USE SIGNAL ;
-    - la_data_in[99] ( PIN la_data_in[99] ) ( mprj la_data_in[99] ) + USE SIGNAL ;
-    - la_data_in[9] ( PIN la_data_in[9] ) ( mprj la_data_in[9] ) + USE SIGNAL ;
-    - la_data_out[0] ( PIN la_data_out[0] ) ( mprj la_data_out[0] ) + USE SIGNAL ;
-    - la_data_out[100] ( PIN la_data_out[100] ) ( mprj la_data_out[100] ) + USE SIGNAL ;
-    - la_data_out[101] ( PIN la_data_out[101] ) ( mprj la_data_out[101] ) + USE SIGNAL ;
-    - la_data_out[102] ( PIN la_data_out[102] ) ( mprj la_data_out[102] ) + USE SIGNAL ;
-    - la_data_out[103] ( PIN la_data_out[103] ) ( mprj la_data_out[103] ) + USE SIGNAL ;
-    - la_data_out[104] ( PIN la_data_out[104] ) ( mprj la_data_out[104] ) + USE SIGNAL ;
-    - la_data_out[105] ( PIN la_data_out[105] ) ( mprj la_data_out[105] ) + USE SIGNAL ;
-    - la_data_out[106] ( PIN la_data_out[106] ) ( mprj la_data_out[106] ) + USE SIGNAL ;
-    - la_data_out[107] ( PIN la_data_out[107] ) ( mprj la_data_out[107] ) + USE SIGNAL ;
-    - la_data_out[108] ( PIN la_data_out[108] ) ( mprj la_data_out[108] ) + USE SIGNAL ;
-    - la_data_out[109] ( PIN la_data_out[109] ) ( mprj la_data_out[109] ) + USE SIGNAL ;
-    - la_data_out[10] ( PIN la_data_out[10] ) ( mprj la_data_out[10] ) + USE SIGNAL ;
-    - la_data_out[110] ( PIN la_data_out[110] ) ( mprj la_data_out[110] ) + USE SIGNAL ;
-    - la_data_out[111] ( PIN la_data_out[111] ) ( mprj la_data_out[111] ) + USE SIGNAL ;
-    - la_data_out[112] ( PIN la_data_out[112] ) ( mprj la_data_out[112] ) + USE SIGNAL ;
-    - la_data_out[113] ( PIN la_data_out[113] ) ( mprj la_data_out[113] ) + USE SIGNAL ;
-    - la_data_out[114] ( PIN la_data_out[114] ) ( mprj la_data_out[114] ) + USE SIGNAL ;
-    - la_data_out[115] ( PIN la_data_out[115] ) ( mprj la_data_out[115] ) + USE SIGNAL ;
-    - la_data_out[116] ( PIN la_data_out[116] ) ( mprj la_data_out[116] ) + USE SIGNAL ;
-    - la_data_out[117] ( PIN la_data_out[117] ) ( mprj la_data_out[117] ) + USE SIGNAL ;
-    - la_data_out[118] ( PIN la_data_out[118] ) ( mprj la_data_out[118] ) + USE SIGNAL ;
-    - la_data_out[119] ( PIN la_data_out[119] ) ( mprj la_data_out[119] ) + USE SIGNAL ;
-    - la_data_out[11] ( PIN la_data_out[11] ) ( mprj la_data_out[11] ) + USE SIGNAL ;
-    - la_data_out[120] ( PIN la_data_out[120] ) ( mprj la_data_out[120] ) + USE SIGNAL ;
-    - la_data_out[121] ( PIN la_data_out[121] ) ( mprj la_data_out[121] ) + USE SIGNAL ;
-    - la_data_out[122] ( PIN la_data_out[122] ) ( mprj la_data_out[122] ) + USE SIGNAL ;
-    - la_data_out[123] ( PIN la_data_out[123] ) ( mprj la_data_out[123] ) + USE SIGNAL ;
-    - la_data_out[124] ( PIN la_data_out[124] ) ( mprj la_data_out[124] ) + USE SIGNAL ;
-    - la_data_out[125] ( PIN la_data_out[125] ) ( mprj la_data_out[125] ) + USE SIGNAL ;
-    - la_data_out[126] ( PIN la_data_out[126] ) ( mprj la_data_out[126] ) + USE SIGNAL ;
-    - la_data_out[127] ( PIN la_data_out[127] ) ( mprj la_data_out[127] ) + USE SIGNAL ;
-    - la_data_out[12] ( PIN la_data_out[12] ) ( mprj la_data_out[12] ) + USE SIGNAL ;
-    - la_data_out[13] ( PIN la_data_out[13] ) ( mprj la_data_out[13] ) + USE SIGNAL ;
-    - la_data_out[14] ( PIN la_data_out[14] ) ( mprj la_data_out[14] ) + USE SIGNAL ;
-    - la_data_out[15] ( PIN la_data_out[15] ) ( mprj la_data_out[15] ) + USE SIGNAL ;
-    - la_data_out[16] ( PIN la_data_out[16] ) ( mprj la_data_out[16] ) + USE SIGNAL ;
-    - la_data_out[17] ( PIN la_data_out[17] ) ( mprj la_data_out[17] ) + USE SIGNAL ;
-    - la_data_out[18] ( PIN la_data_out[18] ) ( mprj la_data_out[18] ) + USE SIGNAL ;
-    - la_data_out[19] ( PIN la_data_out[19] ) ( mprj la_data_out[19] ) + USE SIGNAL ;
-    - la_data_out[1] ( PIN la_data_out[1] ) ( mprj la_data_out[1] ) + USE SIGNAL ;
-    - la_data_out[20] ( PIN la_data_out[20] ) ( mprj la_data_out[20] ) + USE SIGNAL ;
-    - la_data_out[21] ( PIN la_data_out[21] ) ( mprj la_data_out[21] ) + USE SIGNAL ;
-    - la_data_out[22] ( PIN la_data_out[22] ) ( mprj la_data_out[22] ) + USE SIGNAL ;
-    - la_data_out[23] ( PIN la_data_out[23] ) ( mprj la_data_out[23] ) + USE SIGNAL ;
-    - la_data_out[24] ( PIN la_data_out[24] ) ( mprj la_data_out[24] ) + USE SIGNAL ;
-    - la_data_out[25] ( PIN la_data_out[25] ) ( mprj la_data_out[25] ) + USE SIGNAL ;
-    - la_data_out[26] ( PIN la_data_out[26] ) ( mprj la_data_out[26] ) + USE SIGNAL ;
-    - la_data_out[27] ( PIN la_data_out[27] ) ( mprj la_data_out[27] ) + USE SIGNAL ;
-    - la_data_out[28] ( PIN la_data_out[28] ) ( mprj la_data_out[28] ) + USE SIGNAL ;
-    - la_data_out[29] ( PIN la_data_out[29] ) ( mprj la_data_out[29] ) + USE SIGNAL ;
-    - la_data_out[2] ( PIN la_data_out[2] ) ( mprj la_data_out[2] ) + USE SIGNAL ;
-    - la_data_out[30] ( PIN la_data_out[30] ) ( mprj la_data_out[30] ) + USE SIGNAL ;
-    - la_data_out[31] ( PIN la_data_out[31] ) ( mprj la_data_out[31] ) + USE SIGNAL ;
-    - la_data_out[32] ( PIN la_data_out[32] ) ( mprj la_data_out[32] ) + USE SIGNAL ;
-    - la_data_out[33] ( PIN la_data_out[33] ) ( mprj la_data_out[33] ) + USE SIGNAL ;
-    - la_data_out[34] ( PIN la_data_out[34] ) ( mprj la_data_out[34] ) + USE SIGNAL ;
-    - la_data_out[35] ( PIN la_data_out[35] ) ( mprj la_data_out[35] ) + USE SIGNAL ;
-    - la_data_out[36] ( PIN la_data_out[36] ) ( mprj la_data_out[36] ) + USE SIGNAL ;
-    - la_data_out[37] ( PIN la_data_out[37] ) ( mprj la_data_out[37] ) + USE SIGNAL ;
-    - la_data_out[38] ( PIN la_data_out[38] ) ( mprj la_data_out[38] ) + USE SIGNAL ;
-    - la_data_out[39] ( PIN la_data_out[39] ) ( mprj la_data_out[39] ) + USE SIGNAL ;
-    - la_data_out[3] ( PIN la_data_out[3] ) ( mprj la_data_out[3] ) + USE SIGNAL ;
-    - la_data_out[40] ( PIN la_data_out[40] ) ( mprj la_data_out[40] ) + USE SIGNAL ;
-    - la_data_out[41] ( PIN la_data_out[41] ) ( mprj la_data_out[41] ) + USE SIGNAL ;
-    - la_data_out[42] ( PIN la_data_out[42] ) ( mprj la_data_out[42] ) + USE SIGNAL ;
-    - la_data_out[43] ( PIN la_data_out[43] ) ( mprj la_data_out[43] ) + USE SIGNAL ;
-    - la_data_out[44] ( PIN la_data_out[44] ) ( mprj la_data_out[44] ) + USE SIGNAL ;
-    - la_data_out[45] ( PIN la_data_out[45] ) ( mprj la_data_out[45] ) + USE SIGNAL ;
-    - la_data_out[46] ( PIN la_data_out[46] ) ( mprj la_data_out[46] ) + USE SIGNAL ;
-    - la_data_out[47] ( PIN la_data_out[47] ) ( mprj la_data_out[47] ) + USE SIGNAL ;
-    - la_data_out[48] ( PIN la_data_out[48] ) ( mprj la_data_out[48] ) + USE SIGNAL ;
-    - la_data_out[49] ( PIN la_data_out[49] ) ( mprj la_data_out[49] ) + USE SIGNAL ;
-    - la_data_out[4] ( PIN la_data_out[4] ) ( mprj la_data_out[4] ) + USE SIGNAL ;
-    - la_data_out[50] ( PIN la_data_out[50] ) ( mprj la_data_out[50] ) + USE SIGNAL ;
-    - la_data_out[51] ( PIN la_data_out[51] ) ( mprj la_data_out[51] ) + USE SIGNAL ;
-    - la_data_out[52] ( PIN la_data_out[52] ) ( mprj la_data_out[52] ) + USE SIGNAL ;
-    - la_data_out[53] ( PIN la_data_out[53] ) ( mprj la_data_out[53] ) + USE SIGNAL ;
-    - la_data_out[54] ( PIN la_data_out[54] ) ( mprj la_data_out[54] ) + USE SIGNAL ;
-    - la_data_out[55] ( PIN la_data_out[55] ) ( mprj la_data_out[55] ) + USE SIGNAL ;
-    - la_data_out[56] ( PIN la_data_out[56] ) ( mprj la_data_out[56] ) + USE SIGNAL ;
-    - la_data_out[57] ( PIN la_data_out[57] ) ( mprj la_data_out[57] ) + USE SIGNAL ;
-    - la_data_out[58] ( PIN la_data_out[58] ) ( mprj la_data_out[58] ) + USE SIGNAL ;
-    - la_data_out[59] ( PIN la_data_out[59] ) ( mprj la_data_out[59] ) + USE SIGNAL ;
-    - la_data_out[5] ( PIN la_data_out[5] ) ( mprj la_data_out[5] ) + USE SIGNAL ;
-    - la_data_out[60] ( PIN la_data_out[60] ) ( mprj la_data_out[60] ) + USE SIGNAL ;
-    - la_data_out[61] ( PIN la_data_out[61] ) ( mprj la_data_out[61] ) + USE SIGNAL ;
-    - la_data_out[62] ( PIN la_data_out[62] ) ( mprj la_data_out[62] ) + USE SIGNAL ;
-    - la_data_out[63] ( PIN la_data_out[63] ) ( mprj la_data_out[63] ) + USE SIGNAL ;
-    - la_data_out[64] ( PIN la_data_out[64] ) ( mprj la_data_out[64] ) + USE SIGNAL ;
-    - la_data_out[65] ( PIN la_data_out[65] ) ( mprj la_data_out[65] ) + USE SIGNAL ;
-    - la_data_out[66] ( PIN la_data_out[66] ) ( mprj la_data_out[66] ) + USE SIGNAL ;
-    - la_data_out[67] ( PIN la_data_out[67] ) ( mprj la_data_out[67] ) + USE SIGNAL ;
-    - la_data_out[68] ( PIN la_data_out[68] ) ( mprj la_data_out[68] ) + USE SIGNAL ;
-    - la_data_out[69] ( PIN la_data_out[69] ) ( mprj la_data_out[69] ) + USE SIGNAL ;
-    - la_data_out[6] ( PIN la_data_out[6] ) ( mprj la_data_out[6] ) + USE SIGNAL ;
-    - la_data_out[70] ( PIN la_data_out[70] ) ( mprj la_data_out[70] ) + USE SIGNAL ;
-    - la_data_out[71] ( PIN la_data_out[71] ) ( mprj la_data_out[71] ) + USE SIGNAL ;
-    - la_data_out[72] ( PIN la_data_out[72] ) ( mprj la_data_out[72] ) + USE SIGNAL ;
-    - la_data_out[73] ( PIN la_data_out[73] ) ( mprj la_data_out[73] ) + USE SIGNAL ;
-    - la_data_out[74] ( PIN la_data_out[74] ) ( mprj la_data_out[74] ) + USE SIGNAL ;
-    - la_data_out[75] ( PIN la_data_out[75] ) ( mprj la_data_out[75] ) + USE SIGNAL ;
-    - la_data_out[76] ( PIN la_data_out[76] ) ( mprj la_data_out[76] ) + USE SIGNAL ;
-    - la_data_out[77] ( PIN la_data_out[77] ) ( mprj la_data_out[77] ) + USE SIGNAL ;
-    - la_data_out[78] ( PIN la_data_out[78] ) ( mprj la_data_out[78] ) + USE SIGNAL ;
-    - la_data_out[79] ( PIN la_data_out[79] ) ( mprj la_data_out[79] ) + USE SIGNAL ;
-    - la_data_out[7] ( PIN la_data_out[7] ) ( mprj la_data_out[7] ) + USE SIGNAL ;
-    - la_data_out[80] ( PIN la_data_out[80] ) ( mprj la_data_out[80] ) + USE SIGNAL ;
-    - la_data_out[81] ( PIN la_data_out[81] ) ( mprj la_data_out[81] ) + USE SIGNAL ;
-    - la_data_out[82] ( PIN la_data_out[82] ) ( mprj la_data_out[82] ) + USE SIGNAL ;
-    - la_data_out[83] ( PIN la_data_out[83] ) ( mprj la_data_out[83] ) + USE SIGNAL ;
-    - la_data_out[84] ( PIN la_data_out[84] ) ( mprj la_data_out[84] ) + USE SIGNAL ;
-    - la_data_out[85] ( PIN la_data_out[85] ) ( mprj la_data_out[85] ) + USE SIGNAL ;
-    - la_data_out[86] ( PIN la_data_out[86] ) ( mprj la_data_out[86] ) + USE SIGNAL ;
-    - la_data_out[87] ( PIN la_data_out[87] ) ( mprj la_data_out[87] ) + USE SIGNAL ;
-    - la_data_out[88] ( PIN la_data_out[88] ) ( mprj la_data_out[88] ) + USE SIGNAL ;
-    - la_data_out[89] ( PIN la_data_out[89] ) ( mprj la_data_out[89] ) + USE SIGNAL ;
-    - la_data_out[8] ( PIN la_data_out[8] ) ( mprj la_data_out[8] ) + USE SIGNAL ;
-    - la_data_out[90] ( PIN la_data_out[90] ) ( mprj la_data_out[90] ) + USE SIGNAL ;
-    - la_data_out[91] ( PIN la_data_out[91] ) ( mprj la_data_out[91] ) + USE SIGNAL ;
-    - la_data_out[92] ( PIN la_data_out[92] ) ( mprj la_data_out[92] ) + USE SIGNAL ;
-    - la_data_out[93] ( PIN la_data_out[93] ) ( mprj la_data_out[93] ) + USE SIGNAL ;
-    - la_data_out[94] ( PIN la_data_out[94] ) ( mprj la_data_out[94] ) + USE SIGNAL ;
-    - la_data_out[95] ( PIN la_data_out[95] ) ( mprj la_data_out[95] ) + USE SIGNAL ;
-    - la_data_out[96] ( PIN la_data_out[96] ) ( mprj la_data_out[96] ) + USE SIGNAL ;
-    - la_data_out[97] ( PIN la_data_out[97] ) ( mprj la_data_out[97] ) + USE SIGNAL ;
-    - la_data_out[98] ( PIN la_data_out[98] ) ( mprj la_data_out[98] ) + USE SIGNAL ;
-    - la_data_out[99] ( PIN la_data_out[99] ) ( mprj la_data_out[99] ) + USE SIGNAL ;
-    - la_data_out[9] ( PIN la_data_out[9] ) ( mprj la_data_out[9] ) + USE SIGNAL ;
-    - la_oen[0] ( PIN la_oen[0] ) ( mprj la_oen[0] ) + USE SIGNAL ;
-    - la_oen[100] ( PIN la_oen[100] ) ( mprj la_oen[100] ) + USE SIGNAL ;
-    - la_oen[101] ( PIN la_oen[101] ) ( mprj la_oen[101] ) + USE SIGNAL ;
-    - la_oen[102] ( PIN la_oen[102] ) ( mprj la_oen[102] ) + USE SIGNAL ;
-    - la_oen[103] ( PIN la_oen[103] ) ( mprj la_oen[103] ) + USE SIGNAL ;
-    - la_oen[104] ( PIN la_oen[104] ) ( mprj la_oen[104] ) + USE SIGNAL ;
-    - la_oen[105] ( PIN la_oen[105] ) ( mprj la_oen[105] ) + USE SIGNAL ;
-    - la_oen[106] ( PIN la_oen[106] ) ( mprj la_oen[106] ) + USE SIGNAL ;
-    - la_oen[107] ( PIN la_oen[107] ) ( mprj la_oen[107] ) + USE SIGNAL ;
-    - la_oen[108] ( PIN la_oen[108] ) ( mprj la_oen[108] ) + USE SIGNAL ;
-    - la_oen[109] ( PIN la_oen[109] ) ( mprj la_oen[109] ) + USE SIGNAL ;
-    - la_oen[10] ( PIN la_oen[10] ) ( mprj la_oen[10] ) + USE SIGNAL ;
-    - la_oen[110] ( PIN la_oen[110] ) ( mprj la_oen[110] ) + USE SIGNAL ;
-    - la_oen[111] ( PIN la_oen[111] ) ( mprj la_oen[111] ) + USE SIGNAL ;
-    - la_oen[112] ( PIN la_oen[112] ) ( mprj la_oen[112] ) + USE SIGNAL ;
-    - la_oen[113] ( PIN la_oen[113] ) ( mprj la_oen[113] ) + USE SIGNAL ;
-    - la_oen[114] ( PIN la_oen[114] ) ( mprj la_oen[114] ) + USE SIGNAL ;
-    - la_oen[115] ( PIN la_oen[115] ) ( mprj la_oen[115] ) + USE SIGNAL ;
-    - la_oen[116] ( PIN la_oen[116] ) ( mprj la_oen[116] ) + USE SIGNAL ;
-    - la_oen[117] ( PIN la_oen[117] ) ( mprj la_oen[117] ) + USE SIGNAL ;
-    - la_oen[118] ( PIN la_oen[118] ) ( mprj la_oen[118] ) + USE SIGNAL ;
-    - la_oen[119] ( PIN la_oen[119] ) ( mprj la_oen[119] ) + USE SIGNAL ;
-    - la_oen[11] ( PIN la_oen[11] ) ( mprj la_oen[11] ) + USE SIGNAL ;
-    - la_oen[120] ( PIN la_oen[120] ) ( mprj la_oen[120] ) + USE SIGNAL ;
-    - la_oen[121] ( PIN la_oen[121] ) ( mprj la_oen[121] ) + USE SIGNAL ;
-    - la_oen[122] ( PIN la_oen[122] ) ( mprj la_oen[122] ) + USE SIGNAL ;
-    - la_oen[123] ( PIN la_oen[123] ) ( mprj la_oen[123] ) + USE SIGNAL ;
-    - la_oen[124] ( PIN la_oen[124] ) ( mprj la_oen[124] ) + USE SIGNAL ;
-    - la_oen[125] ( PIN la_oen[125] ) ( mprj la_oen[125] ) + USE SIGNAL ;
-    - la_oen[126] ( PIN la_oen[126] ) ( mprj la_oen[126] ) + USE SIGNAL ;
-    - la_oen[127] ( PIN la_oen[127] ) ( mprj la_oen[127] ) + USE SIGNAL ;
-    - la_oen[12] ( PIN la_oen[12] ) ( mprj la_oen[12] ) + USE SIGNAL ;
-    - la_oen[13] ( PIN la_oen[13] ) ( mprj la_oen[13] ) + USE SIGNAL ;
-    - la_oen[14] ( PIN la_oen[14] ) ( mprj la_oen[14] ) + USE SIGNAL ;
-    - la_oen[15] ( PIN la_oen[15] ) ( mprj la_oen[15] ) + USE SIGNAL ;
-    - la_oen[16] ( PIN la_oen[16] ) ( mprj la_oen[16] ) + USE SIGNAL ;
-    - la_oen[17] ( PIN la_oen[17] ) ( mprj la_oen[17] ) + USE SIGNAL ;
-    - la_oen[18] ( PIN la_oen[18] ) ( mprj la_oen[18] ) + USE SIGNAL ;
-    - la_oen[19] ( PIN la_oen[19] ) ( mprj la_oen[19] ) + USE SIGNAL ;
-    - la_oen[1] ( PIN la_oen[1] ) ( mprj la_oen[1] ) + USE SIGNAL ;
-    - la_oen[20] ( PIN la_oen[20] ) ( mprj la_oen[20] ) + USE SIGNAL ;
-    - la_oen[21] ( PIN la_oen[21] ) ( mprj la_oen[21] ) + USE SIGNAL ;
-    - la_oen[22] ( PIN la_oen[22] ) ( mprj la_oen[22] ) + USE SIGNAL ;
-    - la_oen[23] ( PIN la_oen[23] ) ( mprj la_oen[23] ) + USE SIGNAL ;
-    - la_oen[24] ( PIN la_oen[24] ) ( mprj la_oen[24] ) + USE SIGNAL ;
-    - la_oen[25] ( PIN la_oen[25] ) ( mprj la_oen[25] ) + USE SIGNAL ;
-    - la_oen[26] ( PIN la_oen[26] ) ( mprj la_oen[26] ) + USE SIGNAL ;
-    - la_oen[27] ( PIN la_oen[27] ) ( mprj la_oen[27] ) + USE SIGNAL ;
-    - la_oen[28] ( PIN la_oen[28] ) ( mprj la_oen[28] ) + USE SIGNAL ;
-    - la_oen[29] ( PIN la_oen[29] ) ( mprj la_oen[29] ) + USE SIGNAL ;
-    - la_oen[2] ( PIN la_oen[2] ) ( mprj la_oen[2] ) + USE SIGNAL ;
-    - la_oen[30] ( PIN la_oen[30] ) ( mprj la_oen[30] ) + USE SIGNAL ;
-    - la_oen[31] ( PIN la_oen[31] ) ( mprj la_oen[31] ) + USE SIGNAL ;
-    - la_oen[32] ( PIN la_oen[32] ) ( mprj la_oen[32] ) + USE SIGNAL ;
-    - la_oen[33] ( PIN la_oen[33] ) ( mprj la_oen[33] ) + USE SIGNAL ;
-    - la_oen[34] ( PIN la_oen[34] ) ( mprj la_oen[34] ) + USE SIGNAL ;
-    - la_oen[35] ( PIN la_oen[35] ) ( mprj la_oen[35] ) + USE SIGNAL ;
-    - la_oen[36] ( PIN la_oen[36] ) ( mprj la_oen[36] ) + USE SIGNAL ;
-    - la_oen[37] ( PIN la_oen[37] ) ( mprj la_oen[37] ) + USE SIGNAL ;
-    - la_oen[38] ( PIN la_oen[38] ) ( mprj la_oen[38] ) + USE SIGNAL ;
-    - la_oen[39] ( PIN la_oen[39] ) ( mprj la_oen[39] ) + USE SIGNAL ;
-    - la_oen[3] ( PIN la_oen[3] ) ( mprj la_oen[3] ) + USE SIGNAL ;
-    - la_oen[40] ( PIN la_oen[40] ) ( mprj la_oen[40] ) + USE SIGNAL ;
-    - la_oen[41] ( PIN la_oen[41] ) ( mprj la_oen[41] ) + USE SIGNAL ;
-    - la_oen[42] ( PIN la_oen[42] ) ( mprj la_oen[42] ) + USE SIGNAL ;
-    - la_oen[43] ( PIN la_oen[43] ) ( mprj la_oen[43] ) + USE SIGNAL ;
-    - la_oen[44] ( PIN la_oen[44] ) ( mprj la_oen[44] ) + USE SIGNAL ;
-    - la_oen[45] ( PIN la_oen[45] ) ( mprj la_oen[45] ) + USE SIGNAL ;
-    - la_oen[46] ( PIN la_oen[46] ) ( mprj la_oen[46] ) + USE SIGNAL ;
-    - la_oen[47] ( PIN la_oen[47] ) ( mprj la_oen[47] ) + USE SIGNAL ;
-    - la_oen[48] ( PIN la_oen[48] ) ( mprj la_oen[48] ) + USE SIGNAL ;
-    - la_oen[49] ( PIN la_oen[49] ) ( mprj la_oen[49] ) + USE SIGNAL ;
-    - la_oen[4] ( PIN la_oen[4] ) ( mprj la_oen[4] ) + USE SIGNAL ;
-    - la_oen[50] ( PIN la_oen[50] ) ( mprj la_oen[50] ) + USE SIGNAL ;
-    - la_oen[51] ( PIN la_oen[51] ) ( mprj la_oen[51] ) + USE SIGNAL ;
-    - la_oen[52] ( PIN la_oen[52] ) ( mprj la_oen[52] ) + USE SIGNAL ;
-    - la_oen[53] ( PIN la_oen[53] ) ( mprj la_oen[53] ) + USE SIGNAL ;
-    - la_oen[54] ( PIN la_oen[54] ) ( mprj la_oen[54] ) + USE SIGNAL ;
-    - la_oen[55] ( PIN la_oen[55] ) ( mprj la_oen[55] ) + USE SIGNAL ;
-    - la_oen[56] ( PIN la_oen[56] ) ( mprj la_oen[56] ) + USE SIGNAL ;
-    - la_oen[57] ( PIN la_oen[57] ) ( mprj la_oen[57] ) + USE SIGNAL ;
-    - la_oen[58] ( PIN la_oen[58] ) ( mprj la_oen[58] ) + USE SIGNAL ;
-    - la_oen[59] ( PIN la_oen[59] ) ( mprj la_oen[59] ) + USE SIGNAL ;
-    - la_oen[5] ( PIN la_oen[5] ) ( mprj la_oen[5] ) + USE SIGNAL ;
-    - la_oen[60] ( PIN la_oen[60] ) ( mprj la_oen[60] ) + USE SIGNAL ;
-    - la_oen[61] ( PIN la_oen[61] ) ( mprj la_oen[61] ) + USE SIGNAL ;
-    - la_oen[62] ( PIN la_oen[62] ) ( mprj la_oen[62] ) + USE SIGNAL ;
-    - la_oen[63] ( PIN la_oen[63] ) ( mprj la_oen[63] ) + USE SIGNAL ;
-    - la_oen[64] ( PIN la_oen[64] ) ( mprj la_oen[64] ) + USE SIGNAL ;
-    - la_oen[65] ( PIN la_oen[65] ) ( mprj la_oen[65] ) + USE SIGNAL ;
-    - la_oen[66] ( PIN la_oen[66] ) ( mprj la_oen[66] ) + USE SIGNAL ;
-    - la_oen[67] ( PIN la_oen[67] ) ( mprj la_oen[67] ) + USE SIGNAL ;
-    - la_oen[68] ( PIN la_oen[68] ) ( mprj la_oen[68] ) + USE SIGNAL ;
-    - la_oen[69] ( PIN la_oen[69] ) ( mprj la_oen[69] ) + USE SIGNAL ;
-    - la_oen[6] ( PIN la_oen[6] ) ( mprj la_oen[6] ) + USE SIGNAL ;
-    - la_oen[70] ( PIN la_oen[70] ) ( mprj la_oen[70] ) + USE SIGNAL ;
-    - la_oen[71] ( PIN la_oen[71] ) ( mprj la_oen[71] ) + USE SIGNAL ;
-    - la_oen[72] ( PIN la_oen[72] ) ( mprj la_oen[72] ) + USE SIGNAL ;
-    - la_oen[73] ( PIN la_oen[73] ) ( mprj la_oen[73] ) + USE SIGNAL ;
-    - la_oen[74] ( PIN la_oen[74] ) ( mprj la_oen[74] ) + USE SIGNAL ;
-    - la_oen[75] ( PIN la_oen[75] ) ( mprj la_oen[75] ) + USE SIGNAL ;
-    - la_oen[76] ( PIN la_oen[76] ) ( mprj la_oen[76] ) + USE SIGNAL ;
-    - la_oen[77] ( PIN la_oen[77] ) ( mprj la_oen[77] ) + USE SIGNAL ;
-    - la_oen[78] ( PIN la_oen[78] ) ( mprj la_oen[78] ) + USE SIGNAL ;
-    - la_oen[79] ( PIN la_oen[79] ) ( mprj la_oen[79] ) + USE SIGNAL ;
-    - la_oen[7] ( PIN la_oen[7] ) ( mprj la_oen[7] ) + USE SIGNAL ;
-    - la_oen[80] ( PIN la_oen[80] ) ( mprj la_oen[80] ) + USE SIGNAL ;
-    - la_oen[81] ( PIN la_oen[81] ) ( mprj la_oen[81] ) + USE SIGNAL ;
-    - la_oen[82] ( PIN la_oen[82] ) ( mprj la_oen[82] ) + USE SIGNAL ;
-    - la_oen[83] ( PIN la_oen[83] ) ( mprj la_oen[83] ) + USE SIGNAL ;
-    - la_oen[84] ( PIN la_oen[84] ) ( mprj la_oen[84] ) + USE SIGNAL ;
-    - la_oen[85] ( PIN la_oen[85] ) ( mprj la_oen[85] ) + USE SIGNAL ;
-    - la_oen[86] ( PIN la_oen[86] ) ( mprj la_oen[86] ) + USE SIGNAL ;
-    - la_oen[87] ( PIN la_oen[87] ) ( mprj la_oen[87] ) + USE SIGNAL ;
-    - la_oen[88] ( PIN la_oen[88] ) ( mprj la_oen[88] ) + USE SIGNAL ;
-    - la_oen[89] ( PIN la_oen[89] ) ( mprj la_oen[89] ) + USE SIGNAL ;
-    - la_oen[8] ( PIN la_oen[8] ) ( mprj la_oen[8] ) + USE SIGNAL ;
-    - la_oen[90] ( PIN la_oen[90] ) ( mprj la_oen[90] ) + USE SIGNAL ;
-    - la_oen[91] ( PIN la_oen[91] ) ( mprj la_oen[91] ) + USE SIGNAL ;
-    - la_oen[92] ( PIN la_oen[92] ) ( mprj la_oen[92] ) + USE SIGNAL ;
-    - la_oen[93] ( PIN la_oen[93] ) ( mprj la_oen[93] ) + USE SIGNAL ;
-    - la_oen[94] ( PIN la_oen[94] ) ( mprj la_oen[94] ) + USE SIGNAL ;
-    - la_oen[95] ( PIN la_oen[95] ) ( mprj la_oen[95] ) + USE SIGNAL ;
-    - la_oen[96] ( PIN la_oen[96] ) ( mprj la_oen[96] ) + USE SIGNAL ;
-    - la_oen[97] ( PIN la_oen[97] ) ( mprj la_oen[97] ) + USE SIGNAL ;
-    - la_oen[98] ( PIN la_oen[98] ) ( mprj la_oen[98] ) + USE SIGNAL ;
-    - la_oen[99] ( PIN la_oen[99] ) ( mprj la_oen[99] ) + USE SIGNAL ;
-    - la_oen[9] ( PIN la_oen[9] ) ( mprj la_oen[9] ) + USE SIGNAL ;
-    - user_clock2 ( PIN user_clock2 ) + USE SIGNAL ;
-    - wb_clk_i ( PIN wb_clk_i ) ( mprj wb_clk_i ) + USE SIGNAL ;
-    - wb_rst_i ( PIN wb_rst_i ) ( mprj wb_rst_i ) + USE SIGNAL ;
-    - wbs_ack_o ( PIN wbs_ack_o ) ( mprj wbs_ack_o ) + USE SIGNAL ;
-    - wbs_adr_i[0] ( PIN wbs_adr_i[0] ) ( mprj wbs_adr_i[0] ) + USE SIGNAL ;
-    - wbs_adr_i[10] ( PIN wbs_adr_i[10] ) ( mprj wbs_adr_i[10] ) + USE SIGNAL ;
-    - wbs_adr_i[11] ( PIN wbs_adr_i[11] ) ( mprj wbs_adr_i[11] ) + USE SIGNAL ;
-    - wbs_adr_i[12] ( PIN wbs_adr_i[12] ) ( mprj wbs_adr_i[12] ) + USE SIGNAL ;
-    - wbs_adr_i[13] ( PIN wbs_adr_i[13] ) ( mprj wbs_adr_i[13] ) + USE SIGNAL ;
-    - wbs_adr_i[14] ( PIN wbs_adr_i[14] ) ( mprj wbs_adr_i[14] ) + USE SIGNAL ;
-    - wbs_adr_i[15] ( PIN wbs_adr_i[15] ) ( mprj wbs_adr_i[15] ) + USE SIGNAL ;
-    - wbs_adr_i[16] ( PIN wbs_adr_i[16] ) ( mprj wbs_adr_i[16] ) + USE SIGNAL ;
-    - wbs_adr_i[17] ( PIN wbs_adr_i[17] ) ( mprj wbs_adr_i[17] ) + USE SIGNAL ;
-    - wbs_adr_i[18] ( PIN wbs_adr_i[18] ) ( mprj wbs_adr_i[18] ) + USE SIGNAL ;
-    - wbs_adr_i[19] ( PIN wbs_adr_i[19] ) ( mprj wbs_adr_i[19] ) + USE SIGNAL ;
-    - wbs_adr_i[1] ( PIN wbs_adr_i[1] ) ( mprj wbs_adr_i[1] ) + USE SIGNAL ;
-    - wbs_adr_i[20] ( PIN wbs_adr_i[20] ) ( mprj wbs_adr_i[20] ) + USE SIGNAL ;
-    - wbs_adr_i[21] ( PIN wbs_adr_i[21] ) ( mprj wbs_adr_i[21] ) + USE SIGNAL ;
-    - wbs_adr_i[22] ( PIN wbs_adr_i[22] ) ( mprj wbs_adr_i[22] ) + USE SIGNAL ;
-    - wbs_adr_i[23] ( PIN wbs_adr_i[23] ) ( mprj wbs_adr_i[23] ) + USE SIGNAL ;
-    - wbs_adr_i[24] ( PIN wbs_adr_i[24] ) ( mprj wbs_adr_i[24] ) + USE SIGNAL ;
-    - wbs_adr_i[25] ( PIN wbs_adr_i[25] ) ( mprj wbs_adr_i[25] ) + USE SIGNAL ;
-    - wbs_adr_i[26] ( PIN wbs_adr_i[26] ) ( mprj wbs_adr_i[26] ) + USE SIGNAL ;
-    - wbs_adr_i[27] ( PIN wbs_adr_i[27] ) ( mprj wbs_adr_i[27] ) + USE SIGNAL ;
-    - wbs_adr_i[28] ( PIN wbs_adr_i[28] ) ( mprj wbs_adr_i[28] ) + USE SIGNAL ;
-    - wbs_adr_i[29] ( PIN wbs_adr_i[29] ) ( mprj wbs_adr_i[29] ) + USE SIGNAL ;
-    - wbs_adr_i[2] ( PIN wbs_adr_i[2] ) ( mprj wbs_adr_i[2] ) + USE SIGNAL ;
-    - wbs_adr_i[30] ( PIN wbs_adr_i[30] ) ( mprj wbs_adr_i[30] ) + USE SIGNAL ;
-    - wbs_adr_i[31] ( PIN wbs_adr_i[31] ) ( mprj wbs_adr_i[31] ) + USE SIGNAL ;
-    - wbs_adr_i[3] ( PIN wbs_adr_i[3] ) ( mprj wbs_adr_i[3] ) + USE SIGNAL ;
-    - wbs_adr_i[4] ( PIN wbs_adr_i[4] ) ( mprj wbs_adr_i[4] ) + USE SIGNAL ;
-    - wbs_adr_i[5] ( PIN wbs_adr_i[5] ) ( mprj wbs_adr_i[5] ) + USE SIGNAL ;
-    - wbs_adr_i[6] ( PIN wbs_adr_i[6] ) ( mprj wbs_adr_i[6] ) + USE SIGNAL ;
-    - wbs_adr_i[7] ( PIN wbs_adr_i[7] ) ( mprj wbs_adr_i[7] ) + USE SIGNAL ;
-    - wbs_adr_i[8] ( PIN wbs_adr_i[8] ) ( mprj wbs_adr_i[8] ) + USE SIGNAL ;
-    - wbs_adr_i[9] ( PIN wbs_adr_i[9] ) ( mprj wbs_adr_i[9] ) + USE SIGNAL ;
-    - wbs_cyc_i ( PIN wbs_cyc_i ) ( mprj wbs_cyc_i ) + USE SIGNAL ;
-    - wbs_dat_i[0] ( PIN wbs_dat_i[0] ) ( mprj wbs_dat_i[0] ) + USE SIGNAL ;
-    - wbs_dat_i[10] ( PIN wbs_dat_i[10] ) ( mprj wbs_dat_i[10] ) + USE SIGNAL ;
-    - wbs_dat_i[11] ( PIN wbs_dat_i[11] ) ( mprj wbs_dat_i[11] ) + USE SIGNAL ;
-    - wbs_dat_i[12] ( PIN wbs_dat_i[12] ) ( mprj wbs_dat_i[12] ) + USE SIGNAL ;
-    - wbs_dat_i[13] ( PIN wbs_dat_i[13] ) ( mprj wbs_dat_i[13] ) + USE SIGNAL ;
-    - wbs_dat_i[14] ( PIN wbs_dat_i[14] ) ( mprj wbs_dat_i[14] ) + USE SIGNAL ;
-    - wbs_dat_i[15] ( PIN wbs_dat_i[15] ) ( mprj wbs_dat_i[15] ) + USE SIGNAL ;
-    - wbs_dat_i[16] ( PIN wbs_dat_i[16] ) ( mprj wbs_dat_i[16] ) + USE SIGNAL ;
-    - wbs_dat_i[17] ( PIN wbs_dat_i[17] ) ( mprj wbs_dat_i[17] ) + USE SIGNAL ;
-    - wbs_dat_i[18] ( PIN wbs_dat_i[18] ) ( mprj wbs_dat_i[18] ) + USE SIGNAL ;
-    - wbs_dat_i[19] ( PIN wbs_dat_i[19] ) ( mprj wbs_dat_i[19] ) + USE SIGNAL ;
-    - wbs_dat_i[1] ( PIN wbs_dat_i[1] ) ( mprj wbs_dat_i[1] ) + USE SIGNAL ;
-    - wbs_dat_i[20] ( PIN wbs_dat_i[20] ) ( mprj wbs_dat_i[20] ) + USE SIGNAL ;
-    - wbs_dat_i[21] ( PIN wbs_dat_i[21] ) ( mprj wbs_dat_i[21] ) + USE SIGNAL ;
-    - wbs_dat_i[22] ( PIN wbs_dat_i[22] ) ( mprj wbs_dat_i[22] ) + USE SIGNAL ;
-    - wbs_dat_i[23] ( PIN wbs_dat_i[23] ) ( mprj wbs_dat_i[23] ) + USE SIGNAL ;
-    - wbs_dat_i[24] ( PIN wbs_dat_i[24] ) ( mprj wbs_dat_i[24] ) + USE SIGNAL ;
-    - wbs_dat_i[25] ( PIN wbs_dat_i[25] ) ( mprj wbs_dat_i[25] ) + USE SIGNAL ;
-    - wbs_dat_i[26] ( PIN wbs_dat_i[26] ) ( mprj wbs_dat_i[26] ) + USE SIGNAL ;
-    - wbs_dat_i[27] ( PIN wbs_dat_i[27] ) ( mprj wbs_dat_i[27] ) + USE SIGNAL ;
-    - wbs_dat_i[28] ( PIN wbs_dat_i[28] ) ( mprj wbs_dat_i[28] ) + USE SIGNAL ;
-    - wbs_dat_i[29] ( PIN wbs_dat_i[29] ) ( mprj wbs_dat_i[29] ) + USE SIGNAL ;
-    - wbs_dat_i[2] ( PIN wbs_dat_i[2] ) ( mprj wbs_dat_i[2] ) + USE SIGNAL ;
-    - wbs_dat_i[30] ( PIN wbs_dat_i[30] ) ( mprj wbs_dat_i[30] ) + USE SIGNAL ;
-    - wbs_dat_i[31] ( PIN wbs_dat_i[31] ) ( mprj wbs_dat_i[31] ) + USE SIGNAL ;
-    - wbs_dat_i[3] ( PIN wbs_dat_i[3] ) ( mprj wbs_dat_i[3] ) + USE SIGNAL ;
-    - wbs_dat_i[4] ( PIN wbs_dat_i[4] ) ( mprj wbs_dat_i[4] ) + USE SIGNAL ;
-    - wbs_dat_i[5] ( PIN wbs_dat_i[5] ) ( mprj wbs_dat_i[5] ) + USE SIGNAL ;
-    - wbs_dat_i[6] ( PIN wbs_dat_i[6] ) ( mprj wbs_dat_i[6] ) + USE SIGNAL ;
-    - wbs_dat_i[7] ( PIN wbs_dat_i[7] ) ( mprj wbs_dat_i[7] ) + USE SIGNAL ;
-    - wbs_dat_i[8] ( PIN wbs_dat_i[8] ) ( mprj wbs_dat_i[8] ) + USE SIGNAL ;
-    - wbs_dat_i[9] ( PIN wbs_dat_i[9] ) ( mprj wbs_dat_i[9] ) + USE SIGNAL ;
-    - wbs_dat_o[0] ( PIN wbs_dat_o[0] ) ( mprj wbs_dat_o[0] ) + USE SIGNAL ;
-    - wbs_dat_o[10] ( PIN wbs_dat_o[10] ) ( mprj wbs_dat_o[10] ) + USE SIGNAL ;
-    - wbs_dat_o[11] ( PIN wbs_dat_o[11] ) ( mprj wbs_dat_o[11] ) + USE SIGNAL ;
-    - wbs_dat_o[12] ( PIN wbs_dat_o[12] ) ( mprj wbs_dat_o[12] ) + USE SIGNAL ;
-    - wbs_dat_o[13] ( PIN wbs_dat_o[13] ) ( mprj wbs_dat_o[13] ) + USE SIGNAL ;
-    - wbs_dat_o[14] ( PIN wbs_dat_o[14] ) ( mprj wbs_dat_o[14] ) + USE SIGNAL ;
-    - wbs_dat_o[15] ( PIN wbs_dat_o[15] ) ( mprj wbs_dat_o[15] ) + USE SIGNAL ;
-    - wbs_dat_o[16] ( PIN wbs_dat_o[16] ) ( mprj wbs_dat_o[16] ) + USE SIGNAL ;
-    - wbs_dat_o[17] ( PIN wbs_dat_o[17] ) ( mprj wbs_dat_o[17] ) + USE SIGNAL ;
-    - wbs_dat_o[18] ( PIN wbs_dat_o[18] ) ( mprj wbs_dat_o[18] ) + USE SIGNAL ;
-    - wbs_dat_o[19] ( PIN wbs_dat_o[19] ) ( mprj wbs_dat_o[19] ) + USE SIGNAL ;
-    - wbs_dat_o[1] ( PIN wbs_dat_o[1] ) ( mprj wbs_dat_o[1] ) + USE SIGNAL ;
-    - wbs_dat_o[20] ( PIN wbs_dat_o[20] ) ( mprj wbs_dat_o[20] ) + USE SIGNAL ;
-    - wbs_dat_o[21] ( PIN wbs_dat_o[21] ) ( mprj wbs_dat_o[21] ) + USE SIGNAL ;
-    - wbs_dat_o[22] ( PIN wbs_dat_o[22] ) ( mprj wbs_dat_o[22] ) + USE SIGNAL ;
-    - wbs_dat_o[23] ( PIN wbs_dat_o[23] ) ( mprj wbs_dat_o[23] ) + USE SIGNAL ;
-    - wbs_dat_o[24] ( PIN wbs_dat_o[24] ) ( mprj wbs_dat_o[24] ) + USE SIGNAL ;
-    - wbs_dat_o[25] ( PIN wbs_dat_o[25] ) ( mprj wbs_dat_o[25] ) + USE SIGNAL ;
-    - wbs_dat_o[26] ( PIN wbs_dat_o[26] ) ( mprj wbs_dat_o[26] ) + USE SIGNAL ;
-    - wbs_dat_o[27] ( PIN wbs_dat_o[27] ) ( mprj wbs_dat_o[27] ) + USE SIGNAL ;
-    - wbs_dat_o[28] ( PIN wbs_dat_o[28] ) ( mprj wbs_dat_o[28] ) + USE SIGNAL ;
-    - wbs_dat_o[29] ( PIN wbs_dat_o[29] ) ( mprj wbs_dat_o[29] ) + USE SIGNAL ;
-    - wbs_dat_o[2] ( PIN wbs_dat_o[2] ) ( mprj wbs_dat_o[2] ) + USE SIGNAL ;
-    - wbs_dat_o[30] ( PIN wbs_dat_o[30] ) ( mprj wbs_dat_o[30] ) + USE SIGNAL ;
-    - wbs_dat_o[31] ( PIN wbs_dat_o[31] ) ( mprj wbs_dat_o[31] ) + USE SIGNAL ;
-    - wbs_dat_o[3] ( PIN wbs_dat_o[3] ) ( mprj wbs_dat_o[3] ) + USE SIGNAL ;
-    - wbs_dat_o[4] ( PIN wbs_dat_o[4] ) ( mprj wbs_dat_o[4] ) + USE SIGNAL ;
-    - wbs_dat_o[5] ( PIN wbs_dat_o[5] ) ( mprj wbs_dat_o[5] ) + USE SIGNAL ;
-    - wbs_dat_o[6] ( PIN wbs_dat_o[6] ) ( mprj wbs_dat_o[6] ) + USE SIGNAL ;
-    - wbs_dat_o[7] ( PIN wbs_dat_o[7] ) ( mprj wbs_dat_o[7] ) + USE SIGNAL ;
-    - wbs_dat_o[8] ( PIN wbs_dat_o[8] ) ( mprj wbs_dat_o[8] ) + USE SIGNAL ;
-    - wbs_dat_o[9] ( PIN wbs_dat_o[9] ) ( mprj wbs_dat_o[9] ) + USE SIGNAL ;
-    - wbs_sel_i[0] ( PIN wbs_sel_i[0] ) ( mprj wbs_sel_i[0] ) + USE SIGNAL ;
-    - wbs_sel_i[1] ( PIN wbs_sel_i[1] ) ( mprj wbs_sel_i[1] ) + USE SIGNAL ;
-    - wbs_sel_i[2] ( PIN wbs_sel_i[2] ) ( mprj wbs_sel_i[2] ) + USE SIGNAL ;
-    - wbs_sel_i[3] ( PIN wbs_sel_i[3] ) ( mprj wbs_sel_i[3] ) + USE SIGNAL ;
-    - wbs_stb_i ( PIN wbs_stb_i ) ( mprj wbs_stb_i ) + USE SIGNAL ;
-    - wbs_we_i ( PIN wbs_we_i ) ( mprj wbs_we_i ) + USE SIGNAL ;
-END NETS
-END DESIGN
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/merged_unpadded.lef b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/merged_unpadded.lef
deleted file mode 120000
index c48782e..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/merged_unpadded.lef
+++ /dev/null
@@ -1 +0,0 @@
-../../tmp/merged_unpadded.lef
\ No newline at end of file
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/pdn.def b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/pdn.def
deleted file mode 100644
index fee93a0..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/pdn.def
+++ /dev/null
@@ -1,3172 +0,0 @@
-VERSION 5.8 ;
-DIVIDERCHAR "/" ;
-BUSBITCHARS "[]" ;
-DESIGN user_project_wrapper ;
-UNITS DISTANCE MICRONS 1000 ;
-DIEAREA ( 0 0 ) ( 2920000 3520000 ) ;
-ROW ROW_0 unithd 5520 10880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1 unithd 5520 13600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_2 unithd 5520 16320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_3 unithd 5520 19040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_4 unithd 5520 21760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_5 unithd 5520 24480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_6 unithd 5520 27200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_7 unithd 5520 29920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_8 unithd 5520 32640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_9 unithd 5520 35360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_10 unithd 5520 38080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_11 unithd 5520 40800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_12 unithd 5520 43520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_13 unithd 5520 46240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_14 unithd 5520 48960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_15 unithd 5520 51680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_16 unithd 5520 54400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_17 unithd 5520 57120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_18 unithd 5520 59840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_19 unithd 5520 62560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_20 unithd 5520 65280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_21 unithd 5520 68000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_22 unithd 5520 70720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_23 unithd 5520 73440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_24 unithd 5520 76160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_25 unithd 5520 78880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_26 unithd 5520 81600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_27 unithd 5520 84320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_28 unithd 5520 87040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_29 unithd 5520 89760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_30 unithd 5520 92480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_31 unithd 5520 95200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_32 unithd 5520 97920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_33 unithd 5520 100640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_34 unithd 5520 103360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_35 unithd 5520 106080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_36 unithd 5520 108800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_37 unithd 5520 111520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_38 unithd 5520 114240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_39 unithd 5520 116960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_40 unithd 5520 119680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_41 unithd 5520 122400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_42 unithd 5520 125120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_43 unithd 5520 127840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_44 unithd 5520 130560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_45 unithd 5520 133280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_46 unithd 5520 136000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_47 unithd 5520 138720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_48 unithd 5520 141440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_49 unithd 5520 144160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_50 unithd 5520 146880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_51 unithd 5520 149600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_52 unithd 5520 152320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_53 unithd 5520 155040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_54 unithd 5520 157760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_55 unithd 5520 160480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_56 unithd 5520 163200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_57 unithd 5520 165920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_58 unithd 5520 168640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_59 unithd 5520 171360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_60 unithd 5520 174080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_61 unithd 5520 176800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_62 unithd 5520 179520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_63 unithd 5520 182240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_64 unithd 5520 184960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_65 unithd 5520 187680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_66 unithd 5520 190400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_67 unithd 5520 193120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_68 unithd 5520 195840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_69 unithd 5520 198560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_70 unithd 5520 201280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_71 unithd 5520 204000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_72 unithd 5520 206720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_73 unithd 5520 209440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_74 unithd 5520 212160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_75 unithd 5520 214880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_76 unithd 5520 217600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_77 unithd 5520 220320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_78 unithd 5520 223040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_79 unithd 5520 225760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_80 unithd 5520 228480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_81 unithd 5520 231200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_82 unithd 5520 233920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_83 unithd 5520 236640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_84 unithd 5520 239360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_85 unithd 5520 242080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_86 unithd 5520 244800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_87 unithd 5520 247520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_88 unithd 5520 250240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_89 unithd 5520 252960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_90 unithd 5520 255680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_91 unithd 5520 258400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_92 unithd 5520 261120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_93 unithd 5520 263840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_94 unithd 5520 266560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_95 unithd 5520 269280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_96 unithd 5520 272000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_97 unithd 5520 274720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_98 unithd 5520 277440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_99 unithd 5520 280160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_100 unithd 5520 282880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_101 unithd 5520 285600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_102 unithd 5520 288320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_103 unithd 5520 291040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_104 unithd 5520 293760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_105 unithd 5520 296480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_106 unithd 5520 299200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_107 unithd 5520 301920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_108 unithd 5520 304640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_109 unithd 5520 307360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_110 unithd 5520 310080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_111 unithd 5520 312800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_112 unithd 5520 315520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_113 unithd 5520 318240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_114 unithd 5520 320960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_115 unithd 5520 323680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_116 unithd 5520 326400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_117 unithd 5520 329120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_118 unithd 5520 331840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_119 unithd 5520 334560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_120 unithd 5520 337280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_121 unithd 5520 340000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_122 unithd 5520 342720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_123 unithd 5520 345440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_124 unithd 5520 348160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_125 unithd 5520 350880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_126 unithd 5520 353600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_127 unithd 5520 356320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_128 unithd 5520 359040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_129 unithd 5520 361760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_130 unithd 5520 364480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_131 unithd 5520 367200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_132 unithd 5520 369920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_133 unithd 5520 372640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_134 unithd 5520 375360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_135 unithd 5520 378080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_136 unithd 5520 380800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_137 unithd 5520 383520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_138 unithd 5520 386240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_139 unithd 5520 388960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_140 unithd 5520 391680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_141 unithd 5520 394400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_142 unithd 5520 397120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_143 unithd 5520 399840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_144 unithd 5520 402560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_145 unithd 5520 405280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_146 unithd 5520 408000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_147 unithd 5520 410720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_148 unithd 5520 413440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_149 unithd 5520 416160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_150 unithd 5520 418880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_151 unithd 5520 421600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_152 unithd 5520 424320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_153 unithd 5520 427040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_154 unithd 5520 429760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_155 unithd 5520 432480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_156 unithd 5520 435200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_157 unithd 5520 437920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_158 unithd 5520 440640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_159 unithd 5520 443360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_160 unithd 5520 446080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_161 unithd 5520 448800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_162 unithd 5520 451520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_163 unithd 5520 454240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_164 unithd 5520 456960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_165 unithd 5520 459680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_166 unithd 5520 462400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_167 unithd 5520 465120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_168 unithd 5520 467840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_169 unithd 5520 470560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_170 unithd 5520 473280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_171 unithd 5520 476000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_172 unithd 5520 478720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_173 unithd 5520 481440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_174 unithd 5520 484160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_175 unithd 5520 486880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_176 unithd 5520 489600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_177 unithd 5520 492320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_178 unithd 5520 495040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_179 unithd 5520 497760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_180 unithd 5520 500480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_181 unithd 5520 503200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_182 unithd 5520 505920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_183 unithd 5520 508640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_184 unithd 5520 511360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_185 unithd 5520 514080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_186 unithd 5520 516800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_187 unithd 5520 519520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_188 unithd 5520 522240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_189 unithd 5520 524960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_190 unithd 5520 527680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_191 unithd 5520 530400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_192 unithd 5520 533120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_193 unithd 5520 535840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_194 unithd 5520 538560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_195 unithd 5520 541280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_196 unithd 5520 544000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_197 unithd 5520 546720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_198 unithd 5520 549440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_199 unithd 5520 552160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_200 unithd 5520 554880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_201 unithd 5520 557600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_202 unithd 5520 560320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_203 unithd 5520 563040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_204 unithd 5520 565760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_205 unithd 5520 568480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_206 unithd 5520 571200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_207 unithd 5520 573920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_208 unithd 5520 576640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_209 unithd 5520 579360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_210 unithd 5520 582080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_211 unithd 5520 584800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_212 unithd 5520 587520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_213 unithd 5520 590240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_214 unithd 5520 592960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_215 unithd 5520 595680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_216 unithd 5520 598400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_217 unithd 5520 601120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_218 unithd 5520 603840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_219 unithd 5520 606560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_220 unithd 5520 609280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_221 unithd 5520 612000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_222 unithd 5520 614720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_223 unithd 5520 617440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_224 unithd 5520 620160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_225 unithd 5520 622880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_226 unithd 5520 625600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_227 unithd 5520 628320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_228 unithd 5520 631040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_229 unithd 5520 633760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_230 unithd 5520 636480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_231 unithd 5520 639200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_232 unithd 5520 641920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_233 unithd 5520 644640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_234 unithd 5520 647360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_235 unithd 5520 650080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_236 unithd 5520 652800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_237 unithd 5520 655520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_238 unithd 5520 658240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_239 unithd 5520 660960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_240 unithd 5520 663680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_241 unithd 5520 666400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_242 unithd 5520 669120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_243 unithd 5520 671840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_244 unithd 5520 674560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_245 unithd 5520 677280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_246 unithd 5520 680000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_247 unithd 5520 682720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_248 unithd 5520 685440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_249 unithd 5520 688160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_250 unithd 5520 690880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_251 unithd 5520 693600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_252 unithd 5520 696320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_253 unithd 5520 699040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_254 unithd 5520 701760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_255 unithd 5520 704480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_256 unithd 5520 707200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_257 unithd 5520 709920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_258 unithd 5520 712640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_259 unithd 5520 715360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_260 unithd 5520 718080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_261 unithd 5520 720800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_262 unithd 5520 723520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_263 unithd 5520 726240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_264 unithd 5520 728960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_265 unithd 5520 731680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_266 unithd 5520 734400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_267 unithd 5520 737120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_268 unithd 5520 739840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_269 unithd 5520 742560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_270 unithd 5520 745280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_271 unithd 5520 748000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_272 unithd 5520 750720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_273 unithd 5520 753440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_274 unithd 5520 756160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_275 unithd 5520 758880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_276 unithd 5520 761600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_277 unithd 5520 764320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_278 unithd 5520 767040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_279 unithd 5520 769760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_280 unithd 5520 772480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_281 unithd 5520 775200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_282 unithd 5520 777920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_283 unithd 5520 780640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_284 unithd 5520 783360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_285 unithd 5520 786080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_286 unithd 5520 788800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_287 unithd 5520 791520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_288 unithd 5520 794240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_289 unithd 5520 796960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_290 unithd 5520 799680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_291 unithd 5520 802400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_292 unithd 5520 805120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_293 unithd 5520 807840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_294 unithd 5520 810560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_295 unithd 5520 813280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_296 unithd 5520 816000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_297 unithd 5520 818720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_298 unithd 5520 821440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_299 unithd 5520 824160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_300 unithd 5520 826880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_301 unithd 5520 829600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_302 unithd 5520 832320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_303 unithd 5520 835040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_304 unithd 5520 837760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_305 unithd 5520 840480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_306 unithd 5520 843200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_307 unithd 5520 845920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_308 unithd 5520 848640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_309 unithd 5520 851360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_310 unithd 5520 854080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_311 unithd 5520 856800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_312 unithd 5520 859520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_313 unithd 5520 862240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_314 unithd 5520 864960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_315 unithd 5520 867680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_316 unithd 5520 870400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_317 unithd 5520 873120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_318 unithd 5520 875840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_319 unithd 5520 878560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_320 unithd 5520 881280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_321 unithd 5520 884000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_322 unithd 5520 886720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_323 unithd 5520 889440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_324 unithd 5520 892160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_325 unithd 5520 894880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_326 unithd 5520 897600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_327 unithd 5520 900320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_328 unithd 5520 903040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_329 unithd 5520 905760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_330 unithd 5520 908480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_331 unithd 5520 911200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_332 unithd 5520 913920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_333 unithd 5520 916640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_334 unithd 5520 919360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_335 unithd 5520 922080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_336 unithd 5520 924800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_337 unithd 5520 927520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_338 unithd 5520 930240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_339 unithd 5520 932960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_340 unithd 5520 935680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_341 unithd 5520 938400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_342 unithd 5520 941120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_343 unithd 5520 943840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_344 unithd 5520 946560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_345 unithd 5520 949280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_346 unithd 5520 952000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_347 unithd 5520 954720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_348 unithd 5520 957440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_349 unithd 5520 960160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_350 unithd 5520 962880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_351 unithd 5520 965600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_352 unithd 5520 968320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_353 unithd 5520 971040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_354 unithd 5520 973760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_355 unithd 5520 976480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_356 unithd 5520 979200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_357 unithd 5520 981920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_358 unithd 5520 984640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_359 unithd 5520 987360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_360 unithd 5520 990080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_361 unithd 5520 992800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_362 unithd 5520 995520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_363 unithd 5520 998240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_364 unithd 5520 1000960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_365 unithd 5520 1003680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_366 unithd 5520 1006400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_367 unithd 5520 1009120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_368 unithd 5520 1011840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_369 unithd 5520 1014560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_370 unithd 5520 1017280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_371 unithd 5520 1020000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_372 unithd 5520 1022720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_373 unithd 5520 1025440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_374 unithd 5520 1028160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_375 unithd 5520 1030880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_376 unithd 5520 1033600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_377 unithd 5520 1036320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_378 unithd 5520 1039040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_379 unithd 5520 1041760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_380 unithd 5520 1044480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_381 unithd 5520 1047200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_382 unithd 5520 1049920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_383 unithd 5520 1052640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_384 unithd 5520 1055360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_385 unithd 5520 1058080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_386 unithd 5520 1060800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_387 unithd 5520 1063520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_388 unithd 5520 1066240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_389 unithd 5520 1068960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_390 unithd 5520 1071680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_391 unithd 5520 1074400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_392 unithd 5520 1077120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_393 unithd 5520 1079840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_394 unithd 5520 1082560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_395 unithd 5520 1085280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_396 unithd 5520 1088000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_397 unithd 5520 1090720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_398 unithd 5520 1093440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_399 unithd 5520 1096160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_400 unithd 5520 1098880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_401 unithd 5520 1101600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_402 unithd 5520 1104320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_403 unithd 5520 1107040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_404 unithd 5520 1109760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_405 unithd 5520 1112480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_406 unithd 5520 1115200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_407 unithd 5520 1117920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_408 unithd 5520 1120640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_409 unithd 5520 1123360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_410 unithd 5520 1126080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_411 unithd 5520 1128800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_412 unithd 5520 1131520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_413 unithd 5520 1134240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_414 unithd 5520 1136960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_415 unithd 5520 1139680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_416 unithd 5520 1142400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_417 unithd 5520 1145120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_418 unithd 5520 1147840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_419 unithd 5520 1150560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_420 unithd 5520 1153280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_421 unithd 5520 1156000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_422 unithd 5520 1158720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_423 unithd 5520 1161440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_424 unithd 5520 1164160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_425 unithd 5520 1166880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_426 unithd 5520 1169600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_427 unithd 5520 1172320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_428 unithd 5520 1175040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_429 unithd 5520 1177760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_430 unithd 5520 1180480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_431 unithd 5520 1183200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_432 unithd 5520 1185920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_433 unithd 5520 1188640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_434 unithd 5520 1191360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_435 unithd 5520 1194080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_436 unithd 5520 1196800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_437 unithd 5520 1199520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_438 unithd 5520 1202240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_439 unithd 5520 1204960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_440 unithd 5520 1207680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_441 unithd 5520 1210400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_442 unithd 5520 1213120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_443 unithd 5520 1215840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_444 unithd 5520 1218560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_445 unithd 5520 1221280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_446 unithd 5520 1224000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_447 unithd 5520 1226720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_448 unithd 5520 1229440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_449 unithd 5520 1232160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_450 unithd 5520 1234880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_451 unithd 5520 1237600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_452 unithd 5520 1240320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_453 unithd 5520 1243040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_454 unithd 5520 1245760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_455 unithd 5520 1248480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_456 unithd 5520 1251200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_457 unithd 5520 1253920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_458 unithd 5520 1256640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_459 unithd 5520 1259360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_460 unithd 5520 1262080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_461 unithd 5520 1264800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_462 unithd 5520 1267520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_463 unithd 5520 1270240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_464 unithd 5520 1272960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_465 unithd 5520 1275680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_466 unithd 5520 1278400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_467 unithd 5520 1281120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_468 unithd 5520 1283840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_469 unithd 5520 1286560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_470 unithd 5520 1289280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_471 unithd 5520 1292000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_472 unithd 5520 1294720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_473 unithd 5520 1297440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_474 unithd 5520 1300160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_475 unithd 5520 1302880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_476 unithd 5520 1305600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_477 unithd 5520 1308320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_478 unithd 5520 1311040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_479 unithd 5520 1313760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_480 unithd 5520 1316480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_481 unithd 5520 1319200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_482 unithd 5520 1321920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_483 unithd 5520 1324640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_484 unithd 5520 1327360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_485 unithd 5520 1330080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_486 unithd 5520 1332800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_487 unithd 5520 1335520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_488 unithd 5520 1338240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_489 unithd 5520 1340960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_490 unithd 5520 1343680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_491 unithd 5520 1346400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_492 unithd 5520 1349120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_493 unithd 5520 1351840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_494 unithd 5520 1354560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_495 unithd 5520 1357280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_496 unithd 5520 1360000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_497 unithd 5520 1362720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_498 unithd 5520 1365440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_499 unithd 5520 1368160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_500 unithd 5520 1370880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_501 unithd 5520 1373600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_502 unithd 5520 1376320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_503 unithd 5520 1379040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_504 unithd 5520 1381760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_505 unithd 5520 1384480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_506 unithd 5520 1387200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_507 unithd 5520 1389920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_508 unithd 5520 1392640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_509 unithd 5520 1395360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_510 unithd 5520 1398080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_511 unithd 5520 1400800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_512 unithd 5520 1403520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_513 unithd 5520 1406240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_514 unithd 5520 1408960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_515 unithd 5520 1411680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_516 unithd 5520 1414400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_517 unithd 5520 1417120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_518 unithd 5520 1419840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_519 unithd 5520 1422560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_520 unithd 5520 1425280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_521 unithd 5520 1428000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_522 unithd 5520 1430720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_523 unithd 5520 1433440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_524 unithd 5520 1436160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_525 unithd 5520 1438880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_526 unithd 5520 1441600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_527 unithd 5520 1444320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_528 unithd 5520 1447040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_529 unithd 5520 1449760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_530 unithd 5520 1452480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_531 unithd 5520 1455200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_532 unithd 5520 1457920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_533 unithd 5520 1460640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_534 unithd 5520 1463360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_535 unithd 5520 1466080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_536 unithd 5520 1468800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_537 unithd 5520 1471520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_538 unithd 5520 1474240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_539 unithd 5520 1476960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_540 unithd 5520 1479680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_541 unithd 5520 1482400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_542 unithd 5520 1485120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_543 unithd 5520 1487840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_544 unithd 5520 1490560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_545 unithd 5520 1493280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_546 unithd 5520 1496000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_547 unithd 5520 1498720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_548 unithd 5520 1501440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_549 unithd 5520 1504160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_550 unithd 5520 1506880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_551 unithd 5520 1509600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_552 unithd 5520 1512320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_553 unithd 5520 1515040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_554 unithd 5520 1517760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_555 unithd 5520 1520480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_556 unithd 5520 1523200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_557 unithd 5520 1525920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_558 unithd 5520 1528640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_559 unithd 5520 1531360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_560 unithd 5520 1534080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_561 unithd 5520 1536800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_562 unithd 5520 1539520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_563 unithd 5520 1542240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_564 unithd 5520 1544960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_565 unithd 5520 1547680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_566 unithd 5520 1550400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_567 unithd 5520 1553120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_568 unithd 5520 1555840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_569 unithd 5520 1558560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_570 unithd 5520 1561280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_571 unithd 5520 1564000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_572 unithd 5520 1566720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_573 unithd 5520 1569440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_574 unithd 5520 1572160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_575 unithd 5520 1574880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_576 unithd 5520 1577600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_577 unithd 5520 1580320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_578 unithd 5520 1583040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_579 unithd 5520 1585760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_580 unithd 5520 1588480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_581 unithd 5520 1591200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_582 unithd 5520 1593920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_583 unithd 5520 1596640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_584 unithd 5520 1599360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_585 unithd 5520 1602080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_586 unithd 5520 1604800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_587 unithd 5520 1607520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_588 unithd 5520 1610240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_589 unithd 5520 1612960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_590 unithd 5520 1615680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_591 unithd 5520 1618400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_592 unithd 5520 1621120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_593 unithd 5520 1623840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_594 unithd 5520 1626560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_595 unithd 5520 1629280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_596 unithd 5520 1632000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_597 unithd 5520 1634720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_598 unithd 5520 1637440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_599 unithd 5520 1640160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_600 unithd 5520 1642880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_601 unithd 5520 1645600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_602 unithd 5520 1648320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_603 unithd 5520 1651040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_604 unithd 5520 1653760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_605 unithd 5520 1656480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_606 unithd 5520 1659200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_607 unithd 5520 1661920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_608 unithd 5520 1664640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_609 unithd 5520 1667360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_610 unithd 5520 1670080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_611 unithd 5520 1672800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_612 unithd 5520 1675520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_613 unithd 5520 1678240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_614 unithd 5520 1680960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_615 unithd 5520 1683680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_616 unithd 5520 1686400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_617 unithd 5520 1689120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_618 unithd 5520 1691840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_619 unithd 5520 1694560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_620 unithd 5520 1697280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_621 unithd 5520 1700000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_622 unithd 5520 1702720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_623 unithd 5520 1705440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_624 unithd 5520 1708160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_625 unithd 5520 1710880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_626 unithd 5520 1713600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_627 unithd 5520 1716320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_628 unithd 5520 1719040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_629 unithd 5520 1721760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_630 unithd 5520 1724480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_631 unithd 5520 1727200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_632 unithd 5520 1729920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_633 unithd 5520 1732640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_634 unithd 5520 1735360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_635 unithd 5520 1738080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_636 unithd 5520 1740800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_637 unithd 5520 1743520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_638 unithd 5520 1746240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_639 unithd 5520 1748960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_640 unithd 5520 1751680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_641 unithd 5520 1754400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_642 unithd 5520 1757120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_643 unithd 5520 1759840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_644 unithd 5520 1762560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_645 unithd 5520 1765280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_646 unithd 5520 1768000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_647 unithd 5520 1770720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_648 unithd 5520 1773440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_649 unithd 5520 1776160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_650 unithd 5520 1778880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_651 unithd 5520 1781600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_652 unithd 5520 1784320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_653 unithd 5520 1787040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_654 unithd 5520 1789760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_655 unithd 5520 1792480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_656 unithd 5520 1795200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_657 unithd 5520 1797920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_658 unithd 5520 1800640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_659 unithd 5520 1803360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_660 unithd 5520 1806080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_661 unithd 5520 1808800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_662 unithd 5520 1811520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_663 unithd 5520 1814240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_664 unithd 5520 1816960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_665 unithd 5520 1819680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_666 unithd 5520 1822400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_667 unithd 5520 1825120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_668 unithd 5520 1827840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_669 unithd 5520 1830560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_670 unithd 5520 1833280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_671 unithd 5520 1836000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_672 unithd 5520 1838720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_673 unithd 5520 1841440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_674 unithd 5520 1844160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_675 unithd 5520 1846880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_676 unithd 5520 1849600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_677 unithd 5520 1852320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_678 unithd 5520 1855040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_679 unithd 5520 1857760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_680 unithd 5520 1860480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_681 unithd 5520 1863200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_682 unithd 5520 1865920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_683 unithd 5520 1868640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_684 unithd 5520 1871360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_685 unithd 5520 1874080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_686 unithd 5520 1876800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_687 unithd 5520 1879520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_688 unithd 5520 1882240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_689 unithd 5520 1884960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_690 unithd 5520 1887680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_691 unithd 5520 1890400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_692 unithd 5520 1893120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_693 unithd 5520 1895840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_694 unithd 5520 1898560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_695 unithd 5520 1901280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_696 unithd 5520 1904000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_697 unithd 5520 1906720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_698 unithd 5520 1909440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_699 unithd 5520 1912160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_700 unithd 5520 1914880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_701 unithd 5520 1917600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_702 unithd 5520 1920320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_703 unithd 5520 1923040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_704 unithd 5520 1925760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_705 unithd 5520 1928480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_706 unithd 5520 1931200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_707 unithd 5520 1933920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_708 unithd 5520 1936640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_709 unithd 5520 1939360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_710 unithd 5520 1942080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_711 unithd 5520 1944800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_712 unithd 5520 1947520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_713 unithd 5520 1950240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_714 unithd 5520 1952960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_715 unithd 5520 1955680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_716 unithd 5520 1958400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_717 unithd 5520 1961120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_718 unithd 5520 1963840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_719 unithd 5520 1966560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_720 unithd 5520 1969280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_721 unithd 5520 1972000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_722 unithd 5520 1974720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_723 unithd 5520 1977440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_724 unithd 5520 1980160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_725 unithd 5520 1982880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_726 unithd 5520 1985600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_727 unithd 5520 1988320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_728 unithd 5520 1991040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_729 unithd 5520 1993760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_730 unithd 5520 1996480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_731 unithd 5520 1999200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_732 unithd 5520 2001920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_733 unithd 5520 2004640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_734 unithd 5520 2007360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_735 unithd 5520 2010080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_736 unithd 5520 2012800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_737 unithd 5520 2015520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_738 unithd 5520 2018240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_739 unithd 5520 2020960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_740 unithd 5520 2023680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_741 unithd 5520 2026400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_742 unithd 5520 2029120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_743 unithd 5520 2031840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_744 unithd 5520 2034560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_745 unithd 5520 2037280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_746 unithd 5520 2040000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_747 unithd 5520 2042720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_748 unithd 5520 2045440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_749 unithd 5520 2048160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_750 unithd 5520 2050880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_751 unithd 5520 2053600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_752 unithd 5520 2056320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_753 unithd 5520 2059040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_754 unithd 5520 2061760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_755 unithd 5520 2064480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_756 unithd 5520 2067200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_757 unithd 5520 2069920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_758 unithd 5520 2072640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_759 unithd 5520 2075360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_760 unithd 5520 2078080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_761 unithd 5520 2080800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_762 unithd 5520 2083520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_763 unithd 5520 2086240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_764 unithd 5520 2088960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_765 unithd 5520 2091680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_766 unithd 5520 2094400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_767 unithd 5520 2097120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_768 unithd 5520 2099840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_769 unithd 5520 2102560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_770 unithd 5520 2105280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_771 unithd 5520 2108000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_772 unithd 5520 2110720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_773 unithd 5520 2113440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_774 unithd 5520 2116160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_775 unithd 5520 2118880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_776 unithd 5520 2121600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_777 unithd 5520 2124320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_778 unithd 5520 2127040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_779 unithd 5520 2129760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_780 unithd 5520 2132480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_781 unithd 5520 2135200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_782 unithd 5520 2137920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_783 unithd 5520 2140640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_784 unithd 5520 2143360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_785 unithd 5520 2146080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_786 unithd 5520 2148800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_787 unithd 5520 2151520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_788 unithd 5520 2154240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_789 unithd 5520 2156960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_790 unithd 5520 2159680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_791 unithd 5520 2162400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_792 unithd 5520 2165120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_793 unithd 5520 2167840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_794 unithd 5520 2170560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_795 unithd 5520 2173280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_796 unithd 5520 2176000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_797 unithd 5520 2178720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_798 unithd 5520 2181440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_799 unithd 5520 2184160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_800 unithd 5520 2186880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_801 unithd 5520 2189600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_802 unithd 5520 2192320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_803 unithd 5520 2195040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_804 unithd 5520 2197760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_805 unithd 5520 2200480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_806 unithd 5520 2203200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_807 unithd 5520 2205920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_808 unithd 5520 2208640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_809 unithd 5520 2211360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_810 unithd 5520 2214080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_811 unithd 5520 2216800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_812 unithd 5520 2219520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_813 unithd 5520 2222240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_814 unithd 5520 2224960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_815 unithd 5520 2227680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_816 unithd 5520 2230400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_817 unithd 5520 2233120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_818 unithd 5520 2235840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_819 unithd 5520 2238560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_820 unithd 5520 2241280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_821 unithd 5520 2244000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_822 unithd 5520 2246720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_823 unithd 5520 2249440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_824 unithd 5520 2252160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_825 unithd 5520 2254880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_826 unithd 5520 2257600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_827 unithd 5520 2260320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_828 unithd 5520 2263040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_829 unithd 5520 2265760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_830 unithd 5520 2268480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_831 unithd 5520 2271200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_832 unithd 5520 2273920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_833 unithd 5520 2276640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_834 unithd 5520 2279360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_835 unithd 5520 2282080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_836 unithd 5520 2284800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_837 unithd 5520 2287520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_838 unithd 5520 2290240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_839 unithd 5520 2292960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_840 unithd 5520 2295680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_841 unithd 5520 2298400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_842 unithd 5520 2301120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_843 unithd 5520 2303840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_844 unithd 5520 2306560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_845 unithd 5520 2309280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_846 unithd 5520 2312000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_847 unithd 5520 2314720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_848 unithd 5520 2317440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_849 unithd 5520 2320160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_850 unithd 5520 2322880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_851 unithd 5520 2325600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_852 unithd 5520 2328320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_853 unithd 5520 2331040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_854 unithd 5520 2333760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_855 unithd 5520 2336480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_856 unithd 5520 2339200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_857 unithd 5520 2341920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_858 unithd 5520 2344640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_859 unithd 5520 2347360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_860 unithd 5520 2350080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_861 unithd 5520 2352800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_862 unithd 5520 2355520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_863 unithd 5520 2358240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_864 unithd 5520 2360960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_865 unithd 5520 2363680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_866 unithd 5520 2366400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_867 unithd 5520 2369120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_868 unithd 5520 2371840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_869 unithd 5520 2374560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_870 unithd 5520 2377280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_871 unithd 5520 2380000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_872 unithd 5520 2382720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_873 unithd 5520 2385440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_874 unithd 5520 2388160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_875 unithd 5520 2390880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_876 unithd 5520 2393600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_877 unithd 5520 2396320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_878 unithd 5520 2399040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_879 unithd 5520 2401760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_880 unithd 5520 2404480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_881 unithd 5520 2407200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_882 unithd 5520 2409920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_883 unithd 5520 2412640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_884 unithd 5520 2415360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_885 unithd 5520 2418080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_886 unithd 5520 2420800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_887 unithd 5520 2423520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_888 unithd 5520 2426240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_889 unithd 5520 2428960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_890 unithd 5520 2431680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_891 unithd 5520 2434400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_892 unithd 5520 2437120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_893 unithd 5520 2439840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_894 unithd 5520 2442560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_895 unithd 5520 2445280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_896 unithd 5520 2448000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_897 unithd 5520 2450720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_898 unithd 5520 2453440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_899 unithd 5520 2456160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_900 unithd 5520 2458880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_901 unithd 5520 2461600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_902 unithd 5520 2464320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_903 unithd 5520 2467040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_904 unithd 5520 2469760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_905 unithd 5520 2472480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_906 unithd 5520 2475200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_907 unithd 5520 2477920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_908 unithd 5520 2480640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_909 unithd 5520 2483360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_910 unithd 5520 2486080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_911 unithd 5520 2488800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_912 unithd 5520 2491520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_913 unithd 5520 2494240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_914 unithd 5520 2496960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_915 unithd 5520 2499680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_916 unithd 5520 2502400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_917 unithd 5520 2505120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_918 unithd 5520 2507840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_919 unithd 5520 2510560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_920 unithd 5520 2513280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_921 unithd 5520 2516000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_922 unithd 5520 2518720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_923 unithd 5520 2521440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_924 unithd 5520 2524160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_925 unithd 5520 2526880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_926 unithd 5520 2529600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_927 unithd 5520 2532320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_928 unithd 5520 2535040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_929 unithd 5520 2537760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_930 unithd 5520 2540480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_931 unithd 5520 2543200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_932 unithd 5520 2545920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_933 unithd 5520 2548640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_934 unithd 5520 2551360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_935 unithd 5520 2554080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_936 unithd 5520 2556800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_937 unithd 5520 2559520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_938 unithd 5520 2562240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_939 unithd 5520 2564960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_940 unithd 5520 2567680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_941 unithd 5520 2570400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_942 unithd 5520 2573120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_943 unithd 5520 2575840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_944 unithd 5520 2578560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_945 unithd 5520 2581280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_946 unithd 5520 2584000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_947 unithd 5520 2586720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_948 unithd 5520 2589440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_949 unithd 5520 2592160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_950 unithd 5520 2594880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_951 unithd 5520 2597600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_952 unithd 5520 2600320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_953 unithd 5520 2603040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_954 unithd 5520 2605760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_955 unithd 5520 2608480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_956 unithd 5520 2611200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_957 unithd 5520 2613920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_958 unithd 5520 2616640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_959 unithd 5520 2619360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_960 unithd 5520 2622080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_961 unithd 5520 2624800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_962 unithd 5520 2627520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_963 unithd 5520 2630240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_964 unithd 5520 2632960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_965 unithd 5520 2635680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_966 unithd 5520 2638400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_967 unithd 5520 2641120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_968 unithd 5520 2643840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_969 unithd 5520 2646560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_970 unithd 5520 2649280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_971 unithd 5520 2652000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_972 unithd 5520 2654720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_973 unithd 5520 2657440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_974 unithd 5520 2660160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_975 unithd 5520 2662880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_976 unithd 5520 2665600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_977 unithd 5520 2668320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_978 unithd 5520 2671040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_979 unithd 5520 2673760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_980 unithd 5520 2676480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_981 unithd 5520 2679200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_982 unithd 5520 2681920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_983 unithd 5520 2684640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_984 unithd 5520 2687360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_985 unithd 5520 2690080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_986 unithd 5520 2692800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_987 unithd 5520 2695520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_988 unithd 5520 2698240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_989 unithd 5520 2700960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_990 unithd 5520 2703680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_991 unithd 5520 2706400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_992 unithd 5520 2709120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_993 unithd 5520 2711840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_994 unithd 5520 2714560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_995 unithd 5520 2717280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_996 unithd 5520 2720000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_997 unithd 5520 2722720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_998 unithd 5520 2725440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_999 unithd 5520 2728160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1000 unithd 5520 2730880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1001 unithd 5520 2733600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1002 unithd 5520 2736320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1003 unithd 5520 2739040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1004 unithd 5520 2741760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1005 unithd 5520 2744480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1006 unithd 5520 2747200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1007 unithd 5520 2749920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1008 unithd 5520 2752640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1009 unithd 5520 2755360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1010 unithd 5520 2758080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1011 unithd 5520 2760800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1012 unithd 5520 2763520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1013 unithd 5520 2766240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1014 unithd 5520 2768960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1015 unithd 5520 2771680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1016 unithd 5520 2774400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1017 unithd 5520 2777120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1018 unithd 5520 2779840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1019 unithd 5520 2782560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1020 unithd 5520 2785280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1021 unithd 5520 2788000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1022 unithd 5520 2790720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1023 unithd 5520 2793440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1024 unithd 5520 2796160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1025 unithd 5520 2798880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1026 unithd 5520 2801600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1027 unithd 5520 2804320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1028 unithd 5520 2807040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1029 unithd 5520 2809760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1030 unithd 5520 2812480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1031 unithd 5520 2815200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1032 unithd 5520 2817920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1033 unithd 5520 2820640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1034 unithd 5520 2823360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1035 unithd 5520 2826080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1036 unithd 5520 2828800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1037 unithd 5520 2831520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1038 unithd 5520 2834240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1039 unithd 5520 2836960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1040 unithd 5520 2839680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1041 unithd 5520 2842400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1042 unithd 5520 2845120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1043 unithd 5520 2847840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1044 unithd 5520 2850560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1045 unithd 5520 2853280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1046 unithd 5520 2856000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1047 unithd 5520 2858720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1048 unithd 5520 2861440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1049 unithd 5520 2864160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1050 unithd 5520 2866880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1051 unithd 5520 2869600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1052 unithd 5520 2872320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1053 unithd 5520 2875040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1054 unithd 5520 2877760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1055 unithd 5520 2880480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1056 unithd 5520 2883200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1057 unithd 5520 2885920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1058 unithd 5520 2888640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1059 unithd 5520 2891360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1060 unithd 5520 2894080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1061 unithd 5520 2896800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1062 unithd 5520 2899520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1063 unithd 5520 2902240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1064 unithd 5520 2904960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1065 unithd 5520 2907680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1066 unithd 5520 2910400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1067 unithd 5520 2913120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1068 unithd 5520 2915840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1069 unithd 5520 2918560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1070 unithd 5520 2921280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1071 unithd 5520 2924000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1072 unithd 5520 2926720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1073 unithd 5520 2929440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1074 unithd 5520 2932160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1075 unithd 5520 2934880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1076 unithd 5520 2937600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1077 unithd 5520 2940320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1078 unithd 5520 2943040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1079 unithd 5520 2945760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1080 unithd 5520 2948480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1081 unithd 5520 2951200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1082 unithd 5520 2953920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1083 unithd 5520 2956640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1084 unithd 5520 2959360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1085 unithd 5520 2962080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1086 unithd 5520 2964800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1087 unithd 5520 2967520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1088 unithd 5520 2970240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1089 unithd 5520 2972960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1090 unithd 5520 2975680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1091 unithd 5520 2978400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1092 unithd 5520 2981120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1093 unithd 5520 2983840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1094 unithd 5520 2986560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1095 unithd 5520 2989280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1096 unithd 5520 2992000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1097 unithd 5520 2994720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1098 unithd 5520 2997440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1099 unithd 5520 3000160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1100 unithd 5520 3002880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1101 unithd 5520 3005600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1102 unithd 5520 3008320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1103 unithd 5520 3011040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1104 unithd 5520 3013760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1105 unithd 5520 3016480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1106 unithd 5520 3019200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1107 unithd 5520 3021920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1108 unithd 5520 3024640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1109 unithd 5520 3027360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1110 unithd 5520 3030080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1111 unithd 5520 3032800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1112 unithd 5520 3035520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1113 unithd 5520 3038240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1114 unithd 5520 3040960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1115 unithd 5520 3043680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1116 unithd 5520 3046400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1117 unithd 5520 3049120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1118 unithd 5520 3051840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1119 unithd 5520 3054560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1120 unithd 5520 3057280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1121 unithd 5520 3060000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1122 unithd 5520 3062720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1123 unithd 5520 3065440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1124 unithd 5520 3068160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1125 unithd 5520 3070880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1126 unithd 5520 3073600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1127 unithd 5520 3076320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1128 unithd 5520 3079040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1129 unithd 5520 3081760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1130 unithd 5520 3084480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1131 unithd 5520 3087200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1132 unithd 5520 3089920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1133 unithd 5520 3092640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1134 unithd 5520 3095360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1135 unithd 5520 3098080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1136 unithd 5520 3100800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1137 unithd 5520 3103520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1138 unithd 5520 3106240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1139 unithd 5520 3108960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1140 unithd 5520 3111680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1141 unithd 5520 3114400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1142 unithd 5520 3117120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1143 unithd 5520 3119840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1144 unithd 5520 3122560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1145 unithd 5520 3125280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1146 unithd 5520 3128000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1147 unithd 5520 3130720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1148 unithd 5520 3133440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1149 unithd 5520 3136160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1150 unithd 5520 3138880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1151 unithd 5520 3141600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1152 unithd 5520 3144320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1153 unithd 5520 3147040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1154 unithd 5520 3149760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1155 unithd 5520 3152480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1156 unithd 5520 3155200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1157 unithd 5520 3157920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1158 unithd 5520 3160640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1159 unithd 5520 3163360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1160 unithd 5520 3166080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1161 unithd 5520 3168800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1162 unithd 5520 3171520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1163 unithd 5520 3174240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1164 unithd 5520 3176960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1165 unithd 5520 3179680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1166 unithd 5520 3182400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1167 unithd 5520 3185120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1168 unithd 5520 3187840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1169 unithd 5520 3190560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1170 unithd 5520 3193280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1171 unithd 5520 3196000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1172 unithd 5520 3198720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1173 unithd 5520 3201440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1174 unithd 5520 3204160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1175 unithd 5520 3206880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1176 unithd 5520 3209600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1177 unithd 5520 3212320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1178 unithd 5520 3215040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1179 unithd 5520 3217760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1180 unithd 5520 3220480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1181 unithd 5520 3223200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1182 unithd 5520 3225920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1183 unithd 5520 3228640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1184 unithd 5520 3231360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1185 unithd 5520 3234080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1186 unithd 5520 3236800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1187 unithd 5520 3239520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1188 unithd 5520 3242240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1189 unithd 5520 3244960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1190 unithd 5520 3247680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1191 unithd 5520 3250400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1192 unithd 5520 3253120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1193 unithd 5520 3255840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1194 unithd 5520 3258560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1195 unithd 5520 3261280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1196 unithd 5520 3264000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1197 unithd 5520 3266720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1198 unithd 5520 3269440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1199 unithd 5520 3272160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1200 unithd 5520 3274880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1201 unithd 5520 3277600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1202 unithd 5520 3280320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1203 unithd 5520 3283040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1204 unithd 5520 3285760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1205 unithd 5520 3288480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1206 unithd 5520 3291200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1207 unithd 5520 3293920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1208 unithd 5520 3296640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1209 unithd 5520 3299360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1210 unithd 5520 3302080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1211 unithd 5520 3304800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1212 unithd 5520 3307520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1213 unithd 5520 3310240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1214 unithd 5520 3312960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1215 unithd 5520 3315680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1216 unithd 5520 3318400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1217 unithd 5520 3321120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1218 unithd 5520 3323840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1219 unithd 5520 3326560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1220 unithd 5520 3329280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1221 unithd 5520 3332000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1222 unithd 5520 3334720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1223 unithd 5520 3337440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1224 unithd 5520 3340160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1225 unithd 5520 3342880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1226 unithd 5520 3345600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1227 unithd 5520 3348320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1228 unithd 5520 3351040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1229 unithd 5520 3353760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1230 unithd 5520 3356480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1231 unithd 5520 3359200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1232 unithd 5520 3361920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1233 unithd 5520 3364640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1234 unithd 5520 3367360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1235 unithd 5520 3370080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1236 unithd 5520 3372800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1237 unithd 5520 3375520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1238 unithd 5520 3378240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1239 unithd 5520 3380960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1240 unithd 5520 3383680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1241 unithd 5520 3386400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1242 unithd 5520 3389120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1243 unithd 5520 3391840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1244 unithd 5520 3394560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1245 unithd 5520 3397280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1246 unithd 5520 3400000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1247 unithd 5520 3402720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1248 unithd 5520 3405440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1249 unithd 5520 3408160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1250 unithd 5520 3410880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1251 unithd 5520 3413600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1252 unithd 5520 3416320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1253 unithd 5520 3419040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1254 unithd 5520 3421760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1255 unithd 5520 3424480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1256 unithd 5520 3427200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1257 unithd 5520 3429920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1258 unithd 5520 3432640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1259 unithd 5520 3435360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1260 unithd 5520 3438080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1261 unithd 5520 3440800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1262 unithd 5520 3443520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1263 unithd 5520 3446240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1264 unithd 5520 3448960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1265 unithd 5520 3451680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1266 unithd 5520 3454400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1267 unithd 5520 3457120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1268 unithd 5520 3459840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1269 unithd 5520 3462560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1270 unithd 5520 3465280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1271 unithd 5520 3468000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1272 unithd 5520 3470720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1273 unithd 5520 3473440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1274 unithd 5520 3476160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1275 unithd 5520 3478880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1276 unithd 5520 3481600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1277 unithd 5520 3484320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1278 unithd 5520 3487040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1279 unithd 5520 3489760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1280 unithd 5520 3492480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1281 unithd 5520 3495200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1282 unithd 5520 3497920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1283 unithd 5520 3500640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1284 unithd 5520 3503360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1285 unithd 5520 3506080 N DO 6323 BY 1 STEP 460 0 ;
-TRACKS X 230 DO 6348 STEP 460 LAYER li1 ;
-TRACKS Y 170 DO 10353 STEP 340 LAYER li1 ;
-TRACKS X 170 DO 8588 STEP 340 LAYER met1 ;
-TRACKS Y 170 DO 10353 STEP 340 LAYER met1 ;
-TRACKS X 230 DO 6348 STEP 460 LAYER met2 ;
-TRACKS Y 230 DO 7652 STEP 460 LAYER met2 ;
-TRACKS X 340 DO 4294 STEP 680 LAYER met3 ;
-TRACKS Y 340 DO 5176 STEP 680 LAYER met3 ;
-TRACKS X 460 DO 3174 STEP 920 LAYER met4 ;
-TRACKS Y 460 DO 3826 STEP 920 LAYER met4 ;
-TRACKS X 1700 DO 859 STEP 3400 LAYER met5 ;
-TRACKS Y 1700 DO 1035 STEP 3400 LAYER met5 ;
-VIAS 1 ;
-    - via4_3000x3000 + VIARULE M4M5_PR + CUTSIZE 800 800  + LAYERS met4 via4 met5  + CUTSPACING 800 800  + ENCLOSURE 1100 300 1100 310  + ROWCOL 2 1  ;
-END VIAS
-PINS 644 ;
-    - analog_io[0] + NET analog_io[0] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 29580 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[10] + NET analog_io[10] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 2375580 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[11] + NET analog_io[11] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 2610180 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[12] + NET analog_io[12] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 2844780 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[13] + NET analog_io[13] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 3079380 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[14] + NET analog_io[14] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 3313980 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[15] + NET analog_io[15] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2879370 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - analog_io[16] + NET analog_io[16] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2555070 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - analog_io[17] + NET analog_io[17] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2230770 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - analog_io[18] + NET analog_io[18] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1906010 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - analog_io[19] + NET analog_io[19] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1581710 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - analog_io[1] + NET analog_io[1] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 264180 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[20] + NET analog_io[20] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1257410 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - analog_io[21] + NET analog_io[21] + DIRECTION INOUT + USE SIGNAL + PLACED ( 932650 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - analog_io[22] + NET analog_io[22] + DIRECTION INOUT + USE SIGNAL + PLACED ( 608350 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - analog_io[23] + NET analog_io[23] + DIRECTION INOUT + USE SIGNAL + PLACED ( 284050 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - analog_io[24] + NET analog_io[24] + DIRECTION INOUT + USE SIGNAL + PLACED ( -1200 3483300 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[25] + NET analog_io[25] + DIRECTION INOUT + USE SIGNAL + PLACED ( -1200 3195660 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[26] + NET analog_io[26] + DIRECTION INOUT + USE SIGNAL + PLACED ( -1200 2908700 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[27] + NET analog_io[27] + DIRECTION INOUT + USE SIGNAL + PLACED ( -1200 2621060 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[28] + NET analog_io[28] + DIRECTION INOUT + USE SIGNAL + PLACED ( -1200 2334100 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[29] + NET analog_io[29] + DIRECTION INOUT + USE SIGNAL + PLACED ( -1200 2046460 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[2] + NET analog_io[2] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 498780 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[30] + NET analog_io[30] + DIRECTION INOUT + USE SIGNAL + PLACED ( -1200 1759500 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[3] + NET analog_io[3] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 733380 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[4] + NET analog_io[4] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 967980 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[5] + NET analog_io[5] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 1202580 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[6] + NET analog_io[6] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 1437180 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[7] + NET analog_io[7] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 1671780 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[8] + NET analog_io[8] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 1906380 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[9] + NET analog_io[9] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 2140980 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[0] + NET io_in[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 88060 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[10] + NET io_in[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 2434060 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[11] + NET io_in[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 2669340 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[12] + NET io_in[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 2903940 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[13] + NET io_in[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 3138540 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[14] + NET io_in[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 3373140 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[15] + NET io_in[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2798410 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_in[16] + NET io_in[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2474110 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_in[17] + NET io_in[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2149350 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_in[18] + NET io_in[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1825050 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_in[19] + NET io_in[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1500750 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_in[1] + NET io_in[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 322660 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[20] + NET io_in[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1175990 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_in[21] + NET io_in[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 851690 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_in[22] + NET io_in[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 527390 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_in[23] + NET io_in[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 202630 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_in[24] + NET io_in[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 3411220 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[25] + NET io_in[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 3124260 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[26] + NET io_in[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 2836620 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[27] + NET io_in[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 2549660 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[28] + NET io_in[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 2262020 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[29] + NET io_in[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 1975060 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[2] + NET io_in[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 557260 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[30] + NET io_in[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 1687420 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[31] + NET io_in[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 1471860 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[32] + NET io_in[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 1256300 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[33] + NET io_in[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 1040740 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[34] + NET io_in[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 825180 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[35] + NET io_in[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 610300 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[36] + NET io_in[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 394740 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[37] + NET io_in[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 179180 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[3] + NET io_in[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 791860 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[4] + NET io_in[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 1026460 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[5] + NET io_in[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 1261060 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[6] + NET io_in[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 1495660 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[7] + NET io_in[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 1730260 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[8] + NET io_in[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 1964860 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[9] + NET io_in[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 2199460 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[0] + NET io_oeb[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 205020 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[10] + NET io_oeb[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2551700 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[11] + NET io_oeb[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2786300 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[12] + NET io_oeb[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 3020900 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[13] + NET io_oeb[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 3255500 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[14] + NET io_oeb[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 3490100 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[15] + NET io_oeb[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2636030 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_oeb[16] + NET io_oeb[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2311730 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_oeb[17] + NET io_oeb[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1987430 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_oeb[18] + NET io_oeb[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1662670 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_oeb[19] + NET io_oeb[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1338370 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_oeb[1] + NET io_oeb[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 439620 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[20] + NET io_oeb[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1014070 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_oeb[21] + NET io_oeb[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 689310 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_oeb[22] + NET io_oeb[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 365010 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_oeb[23] + NET io_oeb[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 40710 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_oeb[24] + NET io_oeb[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 3267740 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[25] + NET io_oeb[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 2980100 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[26] + NET io_oeb[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 2693140 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[27] + NET io_oeb[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 2405500 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[28] + NET io_oeb[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 2118540 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[29] + NET io_oeb[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1830900 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[2] + NET io_oeb[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 674220 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[30] + NET io_oeb[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1543940 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[31] + NET io_oeb[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1328380 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[32] + NET io_oeb[32] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1112820 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[33] + NET io_oeb[33] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 897260 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[34] + NET io_oeb[34] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 681700 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[35] + NET io_oeb[35] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 466140 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[36] + NET io_oeb[36] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 250580 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[37] + NET io_oeb[37] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 35700 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[3] + NET io_oeb[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 909500 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[4] + NET io_oeb[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1144100 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[5] + NET io_oeb[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1378700 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[6] + NET io_oeb[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1613300 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[7] + NET io_oeb[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1847900 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[8] + NET io_oeb[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2082500 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[9] + NET io_oeb[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2317100 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[0] + NET io_out[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 146540 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[10] + NET io_out[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2493220 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[11] + NET io_out[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2727820 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[12] + NET io_out[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2962420 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[13] + NET io_out[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 3197020 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[14] + NET io_out[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 3431620 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[15] + NET io_out[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2717450 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_out[16] + NET io_out[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2392690 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_out[17] + NET io_out[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2068390 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_out[18] + NET io_out[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1744090 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_out[19] + NET io_out[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1419330 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_out[1] + NET io_out[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 381140 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[20] + NET io_out[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1095030 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_out[21] + NET io_out[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 770730 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_out[22] + NET io_out[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 445970 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_out[23] + NET io_out[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 121670 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_out[24] + NET io_out[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 3339820 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[25] + NET io_out[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 3052180 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[26] + NET io_out[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 2765220 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[27] + NET io_out[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 2477580 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[28] + NET io_out[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 2189940 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[29] + NET io_out[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1902980 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[2] + NET io_out[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 615740 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[30] + NET io_out[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1615340 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[31] + NET io_out[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1400460 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[32] + NET io_out[32] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1184900 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[33] + NET io_out[33] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 969340 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[34] + NET io_out[34] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 753780 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[35] + NET io_out[35] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 538220 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[36] + NET io_out[36] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 322660 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[37] + NET io_out[37] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 107100 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[3] + NET io_out[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 850340 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[4] + NET io_out[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1084940 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[5] + NET io_out[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1319540 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[6] + NET io_out[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1554140 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[7] + NET io_out[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1789420 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[8] + NET io_out[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2024020 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[9] + NET io_out[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2258620 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - la_data_in[0] + NET la_data_in[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 633190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[100] + NET la_data_in[100] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2417530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[101] + NET la_data_in[101] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2435010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[102] + NET la_data_in[102] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2452950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[103] + NET la_data_in[103] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2470890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[104] + NET la_data_in[104] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2488830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[105] + NET la_data_in[105] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2506310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[106] + NET la_data_in[106] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2524250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[107] + NET la_data_in[107] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2542190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[108] + NET la_data_in[108] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2560130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[109] + NET la_data_in[109] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2578070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[10] + NET la_data_in[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 811670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[110] + NET la_data_in[110] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2595550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[111] + NET la_data_in[111] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2613490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[112] + NET la_data_in[112] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2631430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[113] + NET la_data_in[113] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2649370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[114] + NET la_data_in[114] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2667310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[115] + NET la_data_in[115] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2684790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[116] + NET la_data_in[116] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2702730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[117] + NET la_data_in[117] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2720670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[118] + NET la_data_in[118] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2738610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[119] + NET la_data_in[119] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2756090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[11] + NET la_data_in[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 829610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[120] + NET la_data_in[120] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2774030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[121] + NET la_data_in[121] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2791970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[122] + NET la_data_in[122] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2809910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[123] + NET la_data_in[123] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2827850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[124] + NET la_data_in[124] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2845330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[125] + NET la_data_in[125] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2863270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[126] + NET la_data_in[126] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2881210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[127] + NET la_data_in[127] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2899150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[12] + NET la_data_in[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 847090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[13] + NET la_data_in[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 865030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[14] + NET la_data_in[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 882970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[15] + NET la_data_in[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 900910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[16] + NET la_data_in[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 918850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[17] + NET la_data_in[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 936330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[18] + NET la_data_in[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 954270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[19] + NET la_data_in[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 972210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[1] + NET la_data_in[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 651130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[20] + NET la_data_in[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 990150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[21] + NET la_data_in[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1007630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[22] + NET la_data_in[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1025570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[23] + NET la_data_in[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1043510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[24] + NET la_data_in[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1061450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[25] + NET la_data_in[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1079390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[26] + NET la_data_in[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1096870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[27] + NET la_data_in[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1114810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[28] + NET la_data_in[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1132750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[29] + NET la_data_in[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1150690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[2] + NET la_data_in[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 669070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[30] + NET la_data_in[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1168630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[31] + NET la_data_in[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1186110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[32] + NET la_data_in[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1204050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[33] + NET la_data_in[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1221990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[34] + NET la_data_in[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1239930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[35] + NET la_data_in[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1257410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[36] + NET la_data_in[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1275350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[37] + NET la_data_in[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1293290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[38] + NET la_data_in[38] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1311230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[39] + NET la_data_in[39] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1329170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[3] + NET la_data_in[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 686550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[40] + NET la_data_in[40] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1346650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[41] + NET la_data_in[41] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1364590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[42] + NET la_data_in[42] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1382530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[43] + NET la_data_in[43] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1400470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[44] + NET la_data_in[44] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1418410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[45] + NET la_data_in[45] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1435890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[46] + NET la_data_in[46] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1453830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[47] + NET la_data_in[47] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1471770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[48] + NET la_data_in[48] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1489710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[49] + NET la_data_in[49] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1507190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[4] + NET la_data_in[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 704490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[50] + NET la_data_in[50] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1525130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[51] + NET la_data_in[51] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1543070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[52] + NET la_data_in[52] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1561010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[53] + NET la_data_in[53] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1578950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[54] + NET la_data_in[54] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1596430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[55] + NET la_data_in[55] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1614370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[56] + NET la_data_in[56] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1632310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[57] + NET la_data_in[57] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1650250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[58] + NET la_data_in[58] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1668190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[59] + NET la_data_in[59] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1685670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[5] + NET la_data_in[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 722430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[60] + NET la_data_in[60] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1703610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[61] + NET la_data_in[61] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1721550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[62] + NET la_data_in[62] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1739490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[63] + NET la_data_in[63] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1756970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[64] + NET la_data_in[64] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1774910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[65] + NET la_data_in[65] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1792850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[66] + NET la_data_in[66] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1810790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[67] + NET la_data_in[67] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1828730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[68] + NET la_data_in[68] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1846210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[69] + NET la_data_in[69] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1864150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[6] + NET la_data_in[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 740370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[70] + NET la_data_in[70] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1882090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[71] + NET la_data_in[71] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1900030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[72] + NET la_data_in[72] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1917970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[73] + NET la_data_in[73] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1935450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[74] + NET la_data_in[74] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1953390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[75] + NET la_data_in[75] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1971330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[76] + NET la_data_in[76] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1989270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[77] + NET la_data_in[77] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2006750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[78] + NET la_data_in[78] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2024690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[79] + NET la_data_in[79] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2042630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[7] + NET la_data_in[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 757850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[80] + NET la_data_in[80] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2060570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[81] + NET la_data_in[81] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2078510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[82] + NET la_data_in[82] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2095990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[83] + NET la_data_in[83] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2113930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[84] + NET la_data_in[84] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2131870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[85] + NET la_data_in[85] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2149810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[86] + NET la_data_in[86] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2167750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[87] + NET la_data_in[87] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2185230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[88] + NET la_data_in[88] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2203170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[89] + NET la_data_in[89] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2221110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[8] + NET la_data_in[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 775790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[90] + NET la_data_in[90] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2239050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[91] + NET la_data_in[91] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2256530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[92] + NET la_data_in[92] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2274470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[93] + NET la_data_in[93] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2292410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[94] + NET la_data_in[94] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2310350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[95] + NET la_data_in[95] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2328290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[96] + NET la_data_in[96] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2345770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[97] + NET la_data_in[97] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2363710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[98] + NET la_data_in[98] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2381650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[99] + NET la_data_in[99] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2399590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[9] + NET la_data_in[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 793730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[0] + NET la_data_out[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 639170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[100] + NET la_data_out[100] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2423050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[101] + NET la_data_out[101] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2440990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[102] + NET la_data_out[102] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2458930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[103] + NET la_data_out[103] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2476870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[104] + NET la_data_out[104] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2494810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[105] + NET la_data_out[105] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2512290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[106] + NET la_data_out[106] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2530230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[107] + NET la_data_out[107] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2548170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[108] + NET la_data_out[108] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2566110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[109] + NET la_data_out[109] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2584050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[10] + NET la_data_out[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 817650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[110] + NET la_data_out[110] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2601530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[111] + NET la_data_out[111] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2619470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[112] + NET la_data_out[112] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2637410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[113] + NET la_data_out[113] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2655350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[114] + NET la_data_out[114] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2672830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[115] + NET la_data_out[115] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2690770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[116] + NET la_data_out[116] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2708710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[117] + NET la_data_out[117] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2726650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[118] + NET la_data_out[118] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2744590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[119] + NET la_data_out[119] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2762070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[11] + NET la_data_out[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 835590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[120] + NET la_data_out[120] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2780010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[121] + NET la_data_out[121] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2797950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[122] + NET la_data_out[122] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2815890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[123] + NET la_data_out[123] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2833830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[124] + NET la_data_out[124] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2851310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[125] + NET la_data_out[125] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2869250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[126] + NET la_data_out[126] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2887190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[127] + NET la_data_out[127] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2905130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[12] + NET la_data_out[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 853070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[13] + NET la_data_out[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 871010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[14] + NET la_data_out[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 888950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[15] + NET la_data_out[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 906890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[16] + NET la_data_out[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 924370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[17] + NET la_data_out[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 942310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[18] + NET la_data_out[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 960250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[19] + NET la_data_out[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 978190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[1] + NET la_data_out[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 657110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[20] + NET la_data_out[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 996130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[21] + NET la_data_out[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1013610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[22] + NET la_data_out[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1031550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[23] + NET la_data_out[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1049490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[24] + NET la_data_out[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1067430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[25] + NET la_data_out[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1085370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[26] + NET la_data_out[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1102850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[27] + NET la_data_out[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1120790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[28] + NET la_data_out[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1138730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[29] + NET la_data_out[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1156670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[2] + NET la_data_out[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 674590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[30] + NET la_data_out[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1174150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[31] + NET la_data_out[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1192090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[32] + NET la_data_out[32] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1210030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[33] + NET la_data_out[33] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1227970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[34] + NET la_data_out[34] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1245910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[35] + NET la_data_out[35] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1263390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[36] + NET la_data_out[36] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1281330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[37] + NET la_data_out[37] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1299270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[38] + NET la_data_out[38] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1317210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[39] + NET la_data_out[39] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1335150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[3] + NET la_data_out[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 692530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[40] + NET la_data_out[40] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1352630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[41] + NET la_data_out[41] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1370570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[42] + NET la_data_out[42] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1388510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[43] + NET la_data_out[43] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1406450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[44] + NET la_data_out[44] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1423930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[45] + NET la_data_out[45] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1441870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[46] + NET la_data_out[46] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1459810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[47] + NET la_data_out[47] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1477750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[48] + NET la_data_out[48] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1495690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[49] + NET la_data_out[49] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1513170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[4] + NET la_data_out[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 710470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[50] + NET la_data_out[50] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1531110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[51] + NET la_data_out[51] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1549050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[52] + NET la_data_out[52] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1566990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[53] + NET la_data_out[53] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1584930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[54] + NET la_data_out[54] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1602410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[55] + NET la_data_out[55] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1620350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[56] + NET la_data_out[56] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1638290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[57] + NET la_data_out[57] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1656230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[58] + NET la_data_out[58] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1673710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[59] + NET la_data_out[59] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1691650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[5] + NET la_data_out[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 728410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[60] + NET la_data_out[60] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1709590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[61] + NET la_data_out[61] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1727530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[62] + NET la_data_out[62] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1745470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[63] + NET la_data_out[63] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1762950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[64] + NET la_data_out[64] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1780890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[65] + NET la_data_out[65] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1798830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[66] + NET la_data_out[66] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1816770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[67] + NET la_data_out[67] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1834710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[68] + NET la_data_out[68] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1852190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[69] + NET la_data_out[69] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1870130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[6] + NET la_data_out[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 746350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[70] + NET la_data_out[70] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1888070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[71] + NET la_data_out[71] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1906010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[72] + NET la_data_out[72] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1923490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[73] + NET la_data_out[73] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1941430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[74] + NET la_data_out[74] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1959370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[75] + NET la_data_out[75] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1977310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[76] + NET la_data_out[76] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1995250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[77] + NET la_data_out[77] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2012730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[78] + NET la_data_out[78] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2030670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[79] + NET la_data_out[79] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2048610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[7] + NET la_data_out[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 763830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[80] + NET la_data_out[80] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2066550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[81] + NET la_data_out[81] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2084490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[82] + NET la_data_out[82] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2101970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[83] + NET la_data_out[83] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2119910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[84] + NET la_data_out[84] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2137850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[85] + NET la_data_out[85] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2155790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[86] + NET la_data_out[86] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2173270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[87] + NET la_data_out[87] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2191210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[88] + NET la_data_out[88] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2209150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[89] + NET la_data_out[89] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2227090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[8] + NET la_data_out[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 781770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[90] + NET la_data_out[90] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2245030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[91] + NET la_data_out[91] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2262510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[92] + NET la_data_out[92] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2280450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[93] + NET la_data_out[93] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2298390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[94] + NET la_data_out[94] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2316330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[95] + NET la_data_out[95] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2334270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[96] + NET la_data_out[96] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2351750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[97] + NET la_data_out[97] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2369690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[98] + NET la_data_out[98] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2387630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[99] + NET la_data_out[99] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2405570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[9] + NET la_data_out[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 799710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[0] + NET la_oen[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 645150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[100] + NET la_oen[100] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2429030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[101] + NET la_oen[101] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2446970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[102] + NET la_oen[102] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2464910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[103] + NET la_oen[103] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2482850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[104] + NET la_oen[104] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2500790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[105] + NET la_oen[105] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2518270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[106] + NET la_oen[106] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2536210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[107] + NET la_oen[107] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2554150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[108] + NET la_oen[108] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2572090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[109] + NET la_oen[109] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2589570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[10] + NET la_oen[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 823630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[110] + NET la_oen[110] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2607510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[111] + NET la_oen[111] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2625450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[112] + NET la_oen[112] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2643390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[113] + NET la_oen[113] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2661330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[114] + NET la_oen[114] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2678810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[115] + NET la_oen[115] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2696750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[116] + NET la_oen[116] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2714690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[117] + NET la_oen[117] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2732630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[118] + NET la_oen[118] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2750570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[119] + NET la_oen[119] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2768050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[11] + NET la_oen[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 841110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[120] + NET la_oen[120] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2785990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[121] + NET la_oen[121] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2803930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[122] + NET la_oen[122] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2821870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[123] + NET la_oen[123] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2839350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[124] + NET la_oen[124] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2857290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[125] + NET la_oen[125] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2875230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[126] + NET la_oen[126] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2893170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[127] + NET la_oen[127] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2911110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[12] + NET la_oen[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 859050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[13] + NET la_oen[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 876990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[14] + NET la_oen[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 894930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[15] + NET la_oen[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 912870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[16] + NET la_oen[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 930350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[17] + NET la_oen[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 948290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[18] + NET la_oen[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 966230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[19] + NET la_oen[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 984170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[1] + NET la_oen[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 663090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[20] + NET la_oen[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1002110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[21] + NET la_oen[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1019590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[22] + NET la_oen[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1037530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[23] + NET la_oen[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1055470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[24] + NET la_oen[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1073410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[25] + NET la_oen[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1090890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[26] + NET la_oen[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1108830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[27] + NET la_oen[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1126770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[28] + NET la_oen[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1144710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[29] + NET la_oen[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1162650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[2] + NET la_oen[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 680570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[30] + NET la_oen[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1180130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[31] + NET la_oen[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1198070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[32] + NET la_oen[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1216010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[33] + NET la_oen[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1233950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[34] + NET la_oen[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1251890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[35] + NET la_oen[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1269370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[36] + NET la_oen[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1287310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[37] + NET la_oen[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1305250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[38] + NET la_oen[38] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1323190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[39] + NET la_oen[39] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1340670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[3] + NET la_oen[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 698510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[40] + NET la_oen[40] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1358610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[41] + NET la_oen[41] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1376550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[42] + NET la_oen[42] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1394490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[43] + NET la_oen[43] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1412430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[44] + NET la_oen[44] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1429910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[45] + NET la_oen[45] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1447850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[46] + NET la_oen[46] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1465790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[47] + NET la_oen[47] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1483730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[48] + NET la_oen[48] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1501670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[49] + NET la_oen[49] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1519150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[4] + NET la_oen[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 716450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[50] + NET la_oen[50] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1537090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[51] + NET la_oen[51] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1555030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[52] + NET la_oen[52] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1572970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[53] + NET la_oen[53] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1590450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[54] + NET la_oen[54] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1608390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[55] + NET la_oen[55] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1626330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[56] + NET la_oen[56] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1644270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[57] + NET la_oen[57] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1662210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[58] + NET la_oen[58] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1679690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[59] + NET la_oen[59] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1697630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[5] + NET la_oen[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 734390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[60] + NET la_oen[60] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1715570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[61] + NET la_oen[61] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1733510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[62] + NET la_oen[62] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1751450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[63] + NET la_oen[63] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1768930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[64] + NET la_oen[64] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1786870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[65] + NET la_oen[65] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1804810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[66] + NET la_oen[66] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1822750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[67] + NET la_oen[67] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1840230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[68] + NET la_oen[68] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1858170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[69] + NET la_oen[69] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1876110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[6] + NET la_oen[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 752330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[70] + NET la_oen[70] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1894050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[71] + NET la_oen[71] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1911990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[72] + NET la_oen[72] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1929470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[73] + NET la_oen[73] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1947410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[74] + NET la_oen[74] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1965350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[75] + NET la_oen[75] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1983290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[76] + NET la_oen[76] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2001230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[77] + NET la_oen[77] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2018710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[78] + NET la_oen[78] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2036650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[79] + NET la_oen[79] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2054590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[7] + NET la_oen[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 769810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[80] + NET la_oen[80] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2072530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[81] + NET la_oen[81] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2090010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[82] + NET la_oen[82] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2107950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[83] + NET la_oen[83] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2125890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[84] + NET la_oen[84] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2143830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[85] + NET la_oen[85] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2161770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[86] + NET la_oen[86] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2179250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[87] + NET la_oen[87] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2197190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[88] + NET la_oen[88] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2215130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[89] + NET la_oen[89] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2233070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[8] + NET la_oen[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 787750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[90] + NET la_oen[90] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2251010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[91] + NET la_oen[91] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2268490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[92] + NET la_oen[92] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2286430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[93] + NET la_oen[93] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2304370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[94] + NET la_oen[94] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2322310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[95] + NET la_oen[95] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2339790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[96] + NET la_oen[96] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2357730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[97] + NET la_oen[97] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2375670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[98] + NET la_oen[98] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2393610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[99] + NET la_oen[99] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2411550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[9] + NET la_oen[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 805690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - user_clock2 + NET user_clock2 + DIRECTION INPUT + USE SIGNAL + PLACED ( 2917090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wb_clk_i + NET wb_clk_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 2990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wb_rst_i + NET wb_rst_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 8510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_ack_o + NET wbs_ack_o + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 14490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[0] + NET wbs_adr_i[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 38410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[10] + NET wbs_adr_i[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 240810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[11] + NET wbs_adr_i[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 258290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[12] + NET wbs_adr_i[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 276230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[13] + NET wbs_adr_i[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 294170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[14] + NET wbs_adr_i[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 312110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[15] + NET wbs_adr_i[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 330050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[16] + NET wbs_adr_i[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 347530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[17] + NET wbs_adr_i[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 365470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[18] + NET wbs_adr_i[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 383410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[19] + NET wbs_adr_i[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 401350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[1] + NET wbs_adr_i[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 62330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[20] + NET wbs_adr_i[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 419290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[21] + NET wbs_adr_i[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 436770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[22] + NET wbs_adr_i[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 454710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[23] + NET wbs_adr_i[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 472650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[24] + NET wbs_adr_i[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 490590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[25] + NET wbs_adr_i[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 508070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[26] + NET wbs_adr_i[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 526010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[27] + NET wbs_adr_i[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 543950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[28] + NET wbs_adr_i[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 561890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[29] + NET wbs_adr_i[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 579830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[2] + NET wbs_adr_i[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 86250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[30] + NET wbs_adr_i[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 597310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[31] + NET wbs_adr_i[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 615250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[3] + NET wbs_adr_i[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 109710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[4] + NET wbs_adr_i[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 133630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[5] + NET wbs_adr_i[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 151570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[6] + NET wbs_adr_i[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 169510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[7] + NET wbs_adr_i[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 186990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[8] + NET wbs_adr_i[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 204930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[9] + NET wbs_adr_i[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 222870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_cyc_i + NET wbs_cyc_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 20470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[0] + NET wbs_dat_i[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 44390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[10] + NET wbs_dat_i[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 246790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[11] + NET wbs_dat_i[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 264270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[12] + NET wbs_dat_i[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 282210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[13] + NET wbs_dat_i[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 300150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[14] + NET wbs_dat_i[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 318090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[15] + NET wbs_dat_i[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 336030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[16] + NET wbs_dat_i[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 353510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[17] + NET wbs_dat_i[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 371450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[18] + NET wbs_dat_i[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 389390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[19] + NET wbs_dat_i[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 407330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[1] + NET wbs_dat_i[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 68310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[20] + NET wbs_dat_i[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 424810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[21] + NET wbs_dat_i[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 442750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[22] + NET wbs_dat_i[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 460690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[23] + NET wbs_dat_i[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 478630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[24] + NET wbs_dat_i[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 496570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[25] + NET wbs_dat_i[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 514050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[26] + NET wbs_dat_i[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 531990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[27] + NET wbs_dat_i[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 549930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[28] + NET wbs_dat_i[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 567870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[29] + NET wbs_dat_i[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 585810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[2] + NET wbs_dat_i[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 91770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[30] + NET wbs_dat_i[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 603290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[31] + NET wbs_dat_i[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 621230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[3] + NET wbs_dat_i[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 115690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[4] + NET wbs_dat_i[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 139610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[5] + NET wbs_dat_i[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 157550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[6] + NET wbs_dat_i[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 175030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[7] + NET wbs_dat_i[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 192970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[8] + NET wbs_dat_i[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 210910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[9] + NET wbs_dat_i[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 228850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[0] + NET wbs_dat_o[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 50370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[10] + NET wbs_dat_o[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 252770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[11] + NET wbs_dat_o[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 270250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[12] + NET wbs_dat_o[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 288190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[13] + NET wbs_dat_o[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 306130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[14] + NET wbs_dat_o[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 324070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[15] + NET wbs_dat_o[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 341550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[16] + NET wbs_dat_o[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 359490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[17] + NET wbs_dat_o[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 377430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[18] + NET wbs_dat_o[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 395370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[19] + NET wbs_dat_o[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 413310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[1] + NET wbs_dat_o[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 74290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[20] + NET wbs_dat_o[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 430790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[21] + NET wbs_dat_o[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 448730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[22] + NET wbs_dat_o[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 466670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[23] + NET wbs_dat_o[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 484610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[24] + NET wbs_dat_o[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 502550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[25] + NET wbs_dat_o[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 520030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[26] + NET wbs_dat_o[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 537970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[27] + NET wbs_dat_o[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 555910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[28] + NET wbs_dat_o[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 573850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[29] + NET wbs_dat_o[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 591330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[2] + NET wbs_dat_o[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 97750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[30] + NET wbs_dat_o[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 609270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[31] + NET wbs_dat_o[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 627210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[3] + NET wbs_dat_o[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 121670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[4] + NET wbs_dat_o[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 145590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[5] + NET wbs_dat_o[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 163530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[6] + NET wbs_dat_o[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 181010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[7] + NET wbs_dat_o[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 198950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[8] + NET wbs_dat_o[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 216890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[9] + NET wbs_dat_o[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 234830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_sel_i[0] + NET wbs_sel_i[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 56350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_sel_i[1] + NET wbs_sel_i[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 80270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_sel_i[2] + NET wbs_sel_i[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 103730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_sel_i[3] + NET wbs_sel_i[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 127650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_stb_i + NET wbs_stb_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 26450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_we_i + NET wbs_we_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 32430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - vccd1 + NET vccd1 + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -3120 ) N + LAYER met5 ( -1469790 -1500 ) ( 1469790 1500 ) ;
-    - vssd1 + NET vssd1 + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -7720 ) N + LAYER met5 ( -1474390 -1500 ) ( 1474390 1500 ) ;
-    - vccd2 + NET vccd2 + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -12320 ) N + LAYER met5 ( -1478990 -1500 ) ( 1478990 1500 ) ;
-    - vssd2 + NET vssd2 + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -16920 ) N + LAYER met5 ( -1483590 -1500 ) ( 1483590 1500 ) ;
-    - vdda1 + NET vdda1 + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -21520 ) N + LAYER met5 ( -1488190 -1500 ) ( 1488190 1500 ) ;
-    - vssa1 + NET vssa1 + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -26120 ) N + LAYER met5 ( -1492790 -1500 ) ( 1492790 1500 ) ;
-    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -30720 ) N + LAYER met5 ( -1497390 -1500 ) ( 1497390 1500 ) ;
-    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -35320 ) N + LAYER met5 ( -1501990 -1500 ) ( 1501990 1500 ) ;
-END PINS
-SPECIALNETS 8 ;
-    - vccd1 ( PIN vccd1 ) + USE POWER
-      + ROUTED met4 0 + SHAPE STRIPE ( 2928100 3522800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2885520 3522800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2705520 3522800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2525520 3522800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2345520 3522800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2165520 3522800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1985520 3522800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1805520 3522800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1625520 3522800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1445520 3522800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1265520 3522800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1085520 3522800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 905520 3522800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 725520 3522800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 545520 3522800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 365520 3522800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 185520 3522800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 5520 3522800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -8480 3522800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2928100 3430880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -8480 3430880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2928100 3250880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -8480 3250880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2928100 3070880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -8480 3070880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2928100 2890880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -8480 2890880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2928100 2710880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -8480 2710880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2928100 2530880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -8480 2530880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2928100 2350880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -8480 2350880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2928100 2170880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -8480 2170880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2928100 1990880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -8480 1990880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2928100 1810880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -8480 1810880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2928100 1630880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -8480 1630880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2928100 1450880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -8480 1450880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2928100 1270880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -8480 1270880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2928100 1090880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -8480 1090880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2928100 910880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -8480 910880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2928100 730880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -8480 730880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2928100 550880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -8480 550880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2928100 370880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -8480 370880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2928100 190880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -8480 190880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2928100 10880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -8480 10880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2928100 -3120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2885520 -3120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2705520 -3120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2525520 -3120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2345520 -3120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2165520 -3120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1985520 -3120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1805520 -3120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1625520 -3120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1445520 -3120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1265520 -3120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1085520 -3120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 905520 -3120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 725520 -3120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 545520 -3120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 365520 -3120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 185520 -3120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 5520 -3120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -8480 -3120 ) via4_3000x3000
-      NEW met5 3000 + SHAPE STRIPE ( -9980 3522800 ) ( 2929600 3522800 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3430880 ) ( 2934200 3430880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 3430880 ) ( 2400 3430880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3250880 ) ( 2934200 3250880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 3250880 ) ( 2400 3250880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3070880 ) ( 2934200 3070880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 3070880 ) ( 2400 3070880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2890880 ) ( 2934200 2890880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2890880 ) ( 2400 2890880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2710880 ) ( 2934200 2710880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2710880 ) ( 2400 2710880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2530880 ) ( 2934200 2530880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2530880 ) ( 2400 2530880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2350880 ) ( 2934200 2350880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2350880 ) ( 2400 2350880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2170880 ) ( 2934200 2170880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2170880 ) ( 2400 2170880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1990880 ) ( 2934200 1990880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1990880 ) ( 2400 1990880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1810880 ) ( 2934200 1810880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1810880 ) ( 2400 1810880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1630880 ) ( 2934200 1630880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1630880 ) ( 2400 1630880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1450880 ) ( 2934200 1450880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1450880 ) ( 2400 1450880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1270880 ) ( 2934200 1270880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1270880 ) ( 2400 1270880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1090880 ) ( 2934200 1090880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1090880 ) ( 2400 1090880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 910880 ) ( 2934200 910880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 910880 ) ( 2400 910880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 730880 ) ( 2934200 730880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 730880 ) ( 2400 730880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 550880 ) ( 2934200 550880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 550880 ) ( 2400 550880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 370880 ) ( 2934200 370880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 370880 ) ( 2400 370880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 190880 ) ( 2934200 190880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 190880 ) ( 2400 190880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 10880 ) ( 2934200 10880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 10880 ) ( 2400 10880 )
-      NEW met5 3000 + SHAPE STRIPE ( -9980 -3120 ) ( 2929600 -3120 )
-      NEW met4 3000 + SHAPE STRIPE ( 2885520 3517600 ) ( 2885520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2705520 3517600 ) ( 2705520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2525520 3517600 ) ( 2525520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2345520 3517600 ) ( 2345520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2165520 3517600 ) ( 2165520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1985520 3517600 ) ( 1985520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1805520 3517600 ) ( 1805520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1625520 3517600 ) ( 1625520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1445520 3517600 ) ( 1445520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1265520 3517600 ) ( 1265520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1085520 3517600 ) ( 1085520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 905520 3517600 ) ( 905520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 725520 3517600 ) ( 725520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 545520 3517600 ) ( 545520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 365520 3517600 ) ( 365520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 185520 3517600 ) ( 185520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 5520 3517600 ) ( 5520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2928100 -4620 ) ( 2928100 3524300 )
-      NEW met4 3000 + SHAPE STRIPE ( -8480 -4620 ) ( -8480 3524300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2885520 -9220 ) ( 2885520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2705520 -9220 ) ( 2705520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2525520 -9220 ) ( 2525520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2345520 -9220 ) ( 2345520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2165520 -9220 ) ( 2165520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1985520 -9220 ) ( 1985520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1805520 -9220 ) ( 1805520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1625520 -9220 ) ( 1625520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1445520 -9220 ) ( 1445520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1265520 -9220 ) ( 1265520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1085520 -9220 ) ( 1085520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 905520 -9220 ) ( 905520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 725520 -9220 ) ( 725520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 545520 -9220 ) ( 545520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 365520 -9220 ) ( 365520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 185520 -9220 ) ( 185520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 5520 -9220 ) ( 5520 2400 ) ;
-    - vssd1 ( PIN vssd1 ) + USE GROUND
-      + ROUTED met4 0 + SHAPE STRIPE ( 2932700 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2795520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2615520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2435520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2255520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2075520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1895520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1715520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1535520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1355520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1175520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 995520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 815520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 635520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 455520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 275520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 95520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 3340880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 3340880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 3160880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 3160880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 2980880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 2980880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 2800880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 2800880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 2620880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 2620880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 2440880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 2440880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 2260880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 2260880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 2080880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 2080880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 1900880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 1900880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 1720880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 1720880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 1540880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 1540880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 1360880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 1360880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 1180880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 1180880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 1000880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 1000880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 820880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 820880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 640880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 640880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 460880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 460880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 280880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 280880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 100880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 100880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2795520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2615520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2435520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2255520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2075520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1895520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1715520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1535520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1355520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1175520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 995520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 815520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 635520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 455520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 275520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 95520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 -7720 ) via4_3000x3000
-      NEW met5 3000 + SHAPE STRIPE ( -14580 3527400 ) ( 2934200 3527400 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3340880 ) ( 2934200 3340880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 3340880 ) ( 2400 3340880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3160880 ) ( 2934200 3160880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 3160880 ) ( 2400 3160880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2980880 ) ( 2934200 2980880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2980880 ) ( 2400 2980880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2800880 ) ( 2934200 2800880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2800880 ) ( 2400 2800880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2620880 ) ( 2934200 2620880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2620880 ) ( 2400 2620880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2440880 ) ( 2934200 2440880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2440880 ) ( 2400 2440880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2260880 ) ( 2934200 2260880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2260880 ) ( 2400 2260880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2080880 ) ( 2934200 2080880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2080880 ) ( 2400 2080880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1900880 ) ( 2934200 1900880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1900880 ) ( 2400 1900880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1720880 ) ( 2934200 1720880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1720880 ) ( 2400 1720880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1540880 ) ( 2934200 1540880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1540880 ) ( 2400 1540880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1360880 ) ( 2934200 1360880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1360880 ) ( 2400 1360880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1180880 ) ( 2934200 1180880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1180880 ) ( 2400 1180880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1000880 ) ( 2934200 1000880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1000880 ) ( 2400 1000880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 820880 ) ( 2934200 820880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 820880 ) ( 2400 820880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 640880 ) ( 2934200 640880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 640880 ) ( 2400 640880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 460880 ) ( 2934200 460880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 460880 ) ( 2400 460880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 280880 ) ( 2934200 280880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 280880 ) ( 2400 280880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 100880 ) ( 2934200 100880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 100880 ) ( 2400 100880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 -7720 ) ( 2934200 -7720 )
-      NEW met4 3000 + SHAPE STRIPE ( 2932700 -9220 ) ( 2932700 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2795520 3517600 ) ( 2795520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2615520 3517600 ) ( 2615520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2435520 3517600 ) ( 2435520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2255520 3517600 ) ( 2255520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2075520 3517600 ) ( 2075520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1895520 3517600 ) ( 1895520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1715520 3517600 ) ( 1715520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1535520 3517600 ) ( 1535520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1355520 3517600 ) ( 1355520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1175520 3517600 ) ( 1175520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 995520 3517600 ) ( 995520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 815520 3517600 ) ( 815520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 635520 3517600 ) ( 635520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 455520 3517600 ) ( 455520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 275520 3517600 ) ( 275520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 95520 3517600 ) ( 95520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( -13080 -9220 ) ( -13080 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2795520 -9220 ) ( 2795520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2615520 -9220 ) ( 2615520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2435520 -9220 ) ( 2435520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2255520 -9220 ) ( 2255520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2075520 -9220 ) ( 2075520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1895520 -9220 ) ( 1895520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1715520 -9220 ) ( 1715520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1535520 -9220 ) ( 1535520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1355520 -9220 ) ( 1355520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1175520 -9220 ) ( 1175520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 995520 -9220 ) ( 995520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 815520 -9220 ) ( 815520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 635520 -9220 ) ( 635520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 455520 -9220 ) ( 455520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 275520 -9220 ) ( 275520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 95520 -9220 ) ( 95520 2400 ) ;
-    - vccd2 ( PIN vccd2 ) + USE POWER
-      + ROUTED met4 0 + SHAPE STRIPE ( 2937300 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2903520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2723520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2543520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2363520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2183520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2003520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1823520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1643520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1463520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1283520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1103520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 923520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 743520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 563520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 383520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 203520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 23520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 3448880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 3448880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 3268880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 3268880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 3088880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 3088880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 2908880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 2908880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 2728880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 2728880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 2548880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 2548880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 2368880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 2368880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 2188880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 2188880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 2008880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 2008880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 1828880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 1828880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 1648880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 1648880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 1468880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 1468880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 1288880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 1288880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 1108880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 1108880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 928880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 928880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 748880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 748880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 568880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 568880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 388880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 388880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 208880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 208880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 28880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 28880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2903520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2723520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2543520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2363520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2183520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2003520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1823520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1643520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1463520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1283520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1103520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 923520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 743520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 563520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 383520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 203520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 23520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 -12320 ) via4_3000x3000
-      NEW met5 3000 + SHAPE STRIPE ( -19180 3532000 ) ( 2938800 3532000 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3448880 ) ( 2943400 3448880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 3448880 ) ( 2400 3448880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3268880 ) ( 2943400 3268880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 3268880 ) ( 2400 3268880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3088880 ) ( 2943400 3088880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 3088880 ) ( 2400 3088880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2908880 ) ( 2943400 2908880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2908880 ) ( 2400 2908880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2728880 ) ( 2943400 2728880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2728880 ) ( 2400 2728880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2548880 ) ( 2943400 2548880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2548880 ) ( 2400 2548880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2368880 ) ( 2943400 2368880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2368880 ) ( 2400 2368880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2188880 ) ( 2943400 2188880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2188880 ) ( 2400 2188880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2008880 ) ( 2943400 2008880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2008880 ) ( 2400 2008880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1828880 ) ( 2943400 1828880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1828880 ) ( 2400 1828880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1648880 ) ( 2943400 1648880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1648880 ) ( 2400 1648880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1468880 ) ( 2943400 1468880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1468880 ) ( 2400 1468880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1288880 ) ( 2943400 1288880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1288880 ) ( 2400 1288880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1108880 ) ( 2943400 1108880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1108880 ) ( 2400 1108880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 928880 ) ( 2943400 928880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 928880 ) ( 2400 928880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 748880 ) ( 2943400 748880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 748880 ) ( 2400 748880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 568880 ) ( 2943400 568880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 568880 ) ( 2400 568880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 388880 ) ( 2943400 388880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 388880 ) ( 2400 388880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 208880 ) ( 2943400 208880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 208880 ) ( 2400 208880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 28880 ) ( 2943400 28880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 28880 ) ( 2400 28880 )
-      NEW met5 3000 + SHAPE STRIPE ( -19180 -12320 ) ( 2938800 -12320 )
-      NEW met4 3000 + SHAPE STRIPE ( 2903520 3517600 ) ( 2903520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2723520 3517600 ) ( 2723520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2543520 3517600 ) ( 2543520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2363520 3517600 ) ( 2363520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2183520 3517600 ) ( 2183520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2003520 3517600 ) ( 2003520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1823520 3517600 ) ( 1823520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1643520 3517600 ) ( 1643520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1463520 3517600 ) ( 1463520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1283520 3517600 ) ( 1283520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1103520 3517600 ) ( 1103520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 923520 3517600 ) ( 923520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 743520 3517600 ) ( 743520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 563520 3517600 ) ( 563520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 383520 3517600 ) ( 383520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 203520 3517600 ) ( 203520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 23520 3517600 ) ( 23520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2937300 -13820 ) ( 2937300 3533500 )
-      NEW met4 3000 + SHAPE STRIPE ( -17680 -13820 ) ( -17680 3533500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2903520 -18420 ) ( 2903520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2723520 -18420 ) ( 2723520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2543520 -18420 ) ( 2543520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2363520 -18420 ) ( 2363520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2183520 -18420 ) ( 2183520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2003520 -18420 ) ( 2003520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1823520 -18420 ) ( 1823520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1643520 -18420 ) ( 1643520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1463520 -18420 ) ( 1463520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1283520 -18420 ) ( 1283520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1103520 -18420 ) ( 1103520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 923520 -18420 ) ( 923520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 743520 -18420 ) ( 743520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 563520 -18420 ) ( 563520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 383520 -18420 ) ( 383520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 203520 -18420 ) ( 203520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 23520 -18420 ) ( 23520 2400 ) ;
-    - vssd2 ( PIN vssd2 ) + USE GROUND
-      + ROUTED met4 0 + SHAPE STRIPE ( 2941900 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2813520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2633520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2453520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2273520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2093520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1913520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1733520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1553520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1373520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1193520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1013520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 833520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 653520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 473520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 293520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 113520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 3358880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 3358880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 3178880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 3178880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 2998880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 2998880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 2818880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 2818880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 2638880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 2638880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 2458880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 2458880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 2278880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 2278880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 2098880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 2098880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 1918880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 1918880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 1738880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 1738880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 1558880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 1558880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 1378880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 1378880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 1198880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 1198880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 1018880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 1018880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 838880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 838880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 658880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 658880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 478880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 478880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 298880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 298880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 118880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 118880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2813520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2633520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2453520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2273520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2093520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1913520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1733520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1553520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1373520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1193520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1013520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 833520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 653520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 473520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 293520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 113520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 -16920 ) via4_3000x3000
-      NEW met5 3000 + SHAPE STRIPE ( -23780 3536600 ) ( 2943400 3536600 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3358880 ) ( 2943400 3358880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 3358880 ) ( 2400 3358880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3178880 ) ( 2943400 3178880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 3178880 ) ( 2400 3178880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2998880 ) ( 2943400 2998880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2998880 ) ( 2400 2998880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2818880 ) ( 2943400 2818880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2818880 ) ( 2400 2818880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2638880 ) ( 2943400 2638880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2638880 ) ( 2400 2638880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2458880 ) ( 2943400 2458880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2458880 ) ( 2400 2458880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2278880 ) ( 2943400 2278880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2278880 ) ( 2400 2278880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2098880 ) ( 2943400 2098880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2098880 ) ( 2400 2098880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1918880 ) ( 2943400 1918880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1918880 ) ( 2400 1918880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1738880 ) ( 2943400 1738880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1738880 ) ( 2400 1738880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1558880 ) ( 2943400 1558880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1558880 ) ( 2400 1558880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1378880 ) ( 2943400 1378880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1378880 ) ( 2400 1378880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1198880 ) ( 2943400 1198880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1198880 ) ( 2400 1198880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1018880 ) ( 2943400 1018880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1018880 ) ( 2400 1018880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 838880 ) ( 2943400 838880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 838880 ) ( 2400 838880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 658880 ) ( 2943400 658880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 658880 ) ( 2400 658880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 478880 ) ( 2943400 478880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 478880 ) ( 2400 478880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 298880 ) ( 2943400 298880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 298880 ) ( 2400 298880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 118880 ) ( 2943400 118880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 118880 ) ( 2400 118880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 -16920 ) ( 2943400 -16920 )
-      NEW met4 3000 + SHAPE STRIPE ( 2941900 -18420 ) ( 2941900 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2813520 3517600 ) ( 2813520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2633520 3517600 ) ( 2633520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2453520 3517600 ) ( 2453520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2273520 3517600 ) ( 2273520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2093520 3517600 ) ( 2093520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1913520 3517600 ) ( 1913520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1733520 3517600 ) ( 1733520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1553520 3517600 ) ( 1553520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1373520 3517600 ) ( 1373520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1193520 3517600 ) ( 1193520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1013520 3517600 ) ( 1013520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 833520 3517600 ) ( 833520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 653520 3517600 ) ( 653520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 473520 3517600 ) ( 473520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 293520 3517600 ) ( 293520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 113520 3517600 ) ( 113520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( -22280 -18420 ) ( -22280 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2813520 -18420 ) ( 2813520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2633520 -18420 ) ( 2633520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2453520 -18420 ) ( 2453520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2273520 -18420 ) ( 2273520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2093520 -18420 ) ( 2093520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1913520 -18420 ) ( 1913520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1733520 -18420 ) ( 1733520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1553520 -18420 ) ( 1553520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1373520 -18420 ) ( 1373520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1193520 -18420 ) ( 1193520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1013520 -18420 ) ( 1013520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 833520 -18420 ) ( 833520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 653520 -18420 ) ( 653520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 473520 -18420 ) ( 473520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 293520 -18420 ) ( 293520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 113520 -18420 ) ( 113520 2400 ) ;
-    - vdda1 ( PIN vdda1 ) + USE POWER
-      + ROUTED met4 0 + SHAPE STRIPE ( 2946500 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2741520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2561520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2381520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2201520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2021520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1841520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1661520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1481520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1301520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1121520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 941520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 761520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 581520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 401520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 221520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 41520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 3466880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 3466880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 3286880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 3286880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 3106880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 3106880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 2926880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 2926880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 2746880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 2746880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 2566880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 2566880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 2386880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 2386880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 2206880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 2206880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 2026880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 2026880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 1846880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 1846880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 1666880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 1666880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 1486880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 1486880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 1306880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 1306880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 1126880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 1126880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 946880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 946880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 766880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 766880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 586880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 586880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 406880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 406880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 226880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 226880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 46880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 46880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2741520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2561520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2381520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2201520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2021520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1841520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1661520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1481520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1301520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1121520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 941520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 761520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 581520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 401520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 221520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 41520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 -21520 ) via4_3000x3000
-      NEW met5 3000 + SHAPE STRIPE ( -28380 3541200 ) ( 2948000 3541200 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3466880 ) ( 2952600 3466880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 3466880 ) ( 2400 3466880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3286880 ) ( 2952600 3286880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 3286880 ) ( 2400 3286880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3106880 ) ( 2952600 3106880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 3106880 ) ( 2400 3106880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2926880 ) ( 2952600 2926880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2926880 ) ( 2400 2926880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2746880 ) ( 2952600 2746880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2746880 ) ( 2400 2746880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2566880 ) ( 2952600 2566880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2566880 ) ( 2400 2566880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2386880 ) ( 2952600 2386880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2386880 ) ( 2400 2386880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2206880 ) ( 2952600 2206880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2206880 ) ( 2400 2206880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2026880 ) ( 2952600 2026880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2026880 ) ( 2400 2026880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1846880 ) ( 2952600 1846880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1846880 ) ( 2400 1846880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1666880 ) ( 2952600 1666880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1666880 ) ( 2400 1666880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1486880 ) ( 2952600 1486880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1486880 ) ( 2400 1486880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1306880 ) ( 2952600 1306880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1306880 ) ( 2400 1306880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1126880 ) ( 2952600 1126880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1126880 ) ( 2400 1126880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 946880 ) ( 2952600 946880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 946880 ) ( 2400 946880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 766880 ) ( 2952600 766880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 766880 ) ( 2400 766880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 586880 ) ( 2952600 586880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 586880 ) ( 2400 586880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 406880 ) ( 2952600 406880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 406880 ) ( 2400 406880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 226880 ) ( 2952600 226880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 226880 ) ( 2400 226880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 46880 ) ( 2952600 46880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 46880 ) ( 2400 46880 )
-      NEW met5 3000 + SHAPE STRIPE ( -28380 -21520 ) ( 2948000 -21520 )
-      NEW met4 3000 + SHAPE STRIPE ( 2741520 3517600 ) ( 2741520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2561520 3517600 ) ( 2561520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2381520 3517600 ) ( 2381520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2201520 3517600 ) ( 2201520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2021520 3517600 ) ( 2021520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1841520 3517600 ) ( 1841520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1661520 3517600 ) ( 1661520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1481520 3517600 ) ( 1481520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1301520 3517600 ) ( 1301520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1121520 3517600 ) ( 1121520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 941520 3517600 ) ( 941520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 761520 3517600 ) ( 761520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 581520 3517600 ) ( 581520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 401520 3517600 ) ( 401520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 221520 3517600 ) ( 221520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 41520 3517600 ) ( 41520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2946500 -23020 ) ( 2946500 3542700 )
-      NEW met4 3000 + SHAPE STRIPE ( -26880 -23020 ) ( -26880 3542700 )
-      NEW met4 3000 + SHAPE STRIPE ( 2741520 -27620 ) ( 2741520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2561520 -27620 ) ( 2561520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2381520 -27620 ) ( 2381520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2201520 -27620 ) ( 2201520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2021520 -27620 ) ( 2021520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1841520 -27620 ) ( 1841520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1661520 -27620 ) ( 1661520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1481520 -27620 ) ( 1481520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1301520 -27620 ) ( 1301520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1121520 -27620 ) ( 1121520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 941520 -27620 ) ( 941520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 761520 -27620 ) ( 761520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 581520 -27620 ) ( 581520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 401520 -27620 ) ( 401520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 221520 -27620 ) ( 221520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 41520 -27620 ) ( 41520 2400 ) ;
-    - vssa1 ( PIN vssa1 ) + USE GROUND
-      + ROUTED met4 0 + SHAPE STRIPE ( 2951100 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2831520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2651520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2471520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2291520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2111520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1931520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1751520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1571520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1391520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1211520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1031520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 851520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 671520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 491520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 311520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 131520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 3376880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 3376880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 3196880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 3196880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 3016880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 3016880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 2836880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 2836880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 2656880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 2656880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 2476880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 2476880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 2296880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 2296880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 2116880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 2116880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 1936880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 1936880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 1756880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 1756880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 1576880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 1576880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 1396880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 1396880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 1216880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 1216880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 1036880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 1036880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 856880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 856880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 676880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 676880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 496880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 496880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 316880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 316880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 136880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 136880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2831520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2651520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2471520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2291520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2111520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1931520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1751520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1571520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1391520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1211520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1031520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 851520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 671520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 491520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 311520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 131520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 -26120 ) via4_3000x3000
-      NEW met5 3000 + SHAPE STRIPE ( -32980 3545800 ) ( 2952600 3545800 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3376880 ) ( 2952600 3376880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 3376880 ) ( 2400 3376880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3196880 ) ( 2952600 3196880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 3196880 ) ( 2400 3196880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3016880 ) ( 2952600 3016880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 3016880 ) ( 2400 3016880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2836880 ) ( 2952600 2836880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2836880 ) ( 2400 2836880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2656880 ) ( 2952600 2656880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2656880 ) ( 2400 2656880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2476880 ) ( 2952600 2476880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2476880 ) ( 2400 2476880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2296880 ) ( 2952600 2296880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2296880 ) ( 2400 2296880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2116880 ) ( 2952600 2116880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2116880 ) ( 2400 2116880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1936880 ) ( 2952600 1936880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1936880 ) ( 2400 1936880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1756880 ) ( 2952600 1756880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1756880 ) ( 2400 1756880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1576880 ) ( 2952600 1576880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1576880 ) ( 2400 1576880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1396880 ) ( 2952600 1396880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1396880 ) ( 2400 1396880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1216880 ) ( 2952600 1216880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1216880 ) ( 2400 1216880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1036880 ) ( 2952600 1036880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1036880 ) ( 2400 1036880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 856880 ) ( 2952600 856880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 856880 ) ( 2400 856880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 676880 ) ( 2952600 676880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 676880 ) ( 2400 676880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 496880 ) ( 2952600 496880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 496880 ) ( 2400 496880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 316880 ) ( 2952600 316880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 316880 ) ( 2400 316880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 136880 ) ( 2952600 136880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 136880 ) ( 2400 136880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 -26120 ) ( 2952600 -26120 )
-      NEW met4 3000 + SHAPE STRIPE ( 2951100 -27620 ) ( 2951100 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2831520 3517600 ) ( 2831520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2651520 3517600 ) ( 2651520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2471520 3517600 ) ( 2471520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2291520 3517600 ) ( 2291520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2111520 3517600 ) ( 2111520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1931520 3517600 ) ( 1931520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1751520 3517600 ) ( 1751520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1571520 3517600 ) ( 1571520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1391520 3517600 ) ( 1391520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1211520 3517600 ) ( 1211520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1031520 3517600 ) ( 1031520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 851520 3517600 ) ( 851520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 671520 3517600 ) ( 671520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 491520 3517600 ) ( 491520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 311520 3517600 ) ( 311520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 131520 3517600 ) ( 131520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( -31480 -27620 ) ( -31480 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2831520 -27620 ) ( 2831520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2651520 -27620 ) ( 2651520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2471520 -27620 ) ( 2471520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2291520 -27620 ) ( 2291520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2111520 -27620 ) ( 2111520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1931520 -27620 ) ( 1931520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1751520 -27620 ) ( 1751520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1571520 -27620 ) ( 1571520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1391520 -27620 ) ( 1391520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1211520 -27620 ) ( 1211520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1031520 -27620 ) ( 1031520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 851520 -27620 ) ( 851520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 671520 -27620 ) ( 671520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 491520 -27620 ) ( 491520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 311520 -27620 ) ( 311520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 131520 -27620 ) ( 131520 2400 ) ;
-    - vdda2 ( PIN vdda2 ) + USE POWER
-      + ROUTED met4 0 + SHAPE STRIPE ( 2955700 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2759520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2579520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2399520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2219520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2039520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1859520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1679520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1499520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1319520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1139520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 959520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 779520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 599520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 419520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 239520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 59520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 3484880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 3484880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 3304880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 3304880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 3124880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 3124880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 2944880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 2944880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 2764880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 2764880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 2584880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 2584880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 2404880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 2404880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 2224880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 2224880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 2044880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 2044880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 1864880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 1864880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 1684880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 1684880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 1504880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 1504880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 1324880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 1324880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 1144880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 1144880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 964880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 964880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 784880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 784880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 604880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 604880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 424880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 424880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 244880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 244880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 64880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 64880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2759520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2579520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2399520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2219520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2039520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1859520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1679520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1499520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1319520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1139520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 959520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 779520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 599520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 419520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 239520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 59520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 -30720 ) via4_3000x3000
-      NEW met5 3000 + SHAPE STRIPE ( -37580 3550400 ) ( 2957200 3550400 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3484880 ) ( 2961800 3484880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 3484880 ) ( 2400 3484880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3304880 ) ( 2961800 3304880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 3304880 ) ( 2400 3304880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3124880 ) ( 2961800 3124880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 3124880 ) ( 2400 3124880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2944880 ) ( 2961800 2944880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2944880 ) ( 2400 2944880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2764880 ) ( 2961800 2764880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2764880 ) ( 2400 2764880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2584880 ) ( 2961800 2584880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2584880 ) ( 2400 2584880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2404880 ) ( 2961800 2404880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2404880 ) ( 2400 2404880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2224880 ) ( 2961800 2224880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2224880 ) ( 2400 2224880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2044880 ) ( 2961800 2044880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2044880 ) ( 2400 2044880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1864880 ) ( 2961800 1864880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1864880 ) ( 2400 1864880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1684880 ) ( 2961800 1684880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1684880 ) ( 2400 1684880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1504880 ) ( 2961800 1504880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1504880 ) ( 2400 1504880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1324880 ) ( 2961800 1324880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1324880 ) ( 2400 1324880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1144880 ) ( 2961800 1144880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1144880 ) ( 2400 1144880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 964880 ) ( 2961800 964880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 964880 ) ( 2400 964880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 784880 ) ( 2961800 784880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 784880 ) ( 2400 784880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 604880 ) ( 2961800 604880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 604880 ) ( 2400 604880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 424880 ) ( 2961800 424880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 424880 ) ( 2400 424880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 244880 ) ( 2961800 244880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 244880 ) ( 2400 244880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 64880 ) ( 2961800 64880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 64880 ) ( 2400 64880 )
-      NEW met5 3000 + SHAPE STRIPE ( -37580 -30720 ) ( 2957200 -30720 )
-      NEW met4 3000 + SHAPE STRIPE ( 2759520 3517600 ) ( 2759520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2579520 3517600 ) ( 2579520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2399520 3517600 ) ( 2399520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2219520 3517600 ) ( 2219520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2039520 3517600 ) ( 2039520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1859520 3517600 ) ( 1859520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1679520 3517600 ) ( 1679520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1499520 3517600 ) ( 1499520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1319520 3517600 ) ( 1319520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1139520 3517600 ) ( 1139520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 959520 3517600 ) ( 959520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 779520 3517600 ) ( 779520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 599520 3517600 ) ( 599520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 419520 3517600 ) ( 419520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 239520 3517600 ) ( 239520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 59520 3517600 ) ( 59520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2955700 -32220 ) ( 2955700 3551900 )
-      NEW met4 3000 + SHAPE STRIPE ( -36080 -32220 ) ( -36080 3551900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2759520 -36820 ) ( 2759520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2579520 -36820 ) ( 2579520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2399520 -36820 ) ( 2399520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2219520 -36820 ) ( 2219520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2039520 -36820 ) ( 2039520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1859520 -36820 ) ( 1859520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1679520 -36820 ) ( 1679520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1499520 -36820 ) ( 1499520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1319520 -36820 ) ( 1319520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1139520 -36820 ) ( 1139520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 959520 -36820 ) ( 959520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 779520 -36820 ) ( 779520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 599520 -36820 ) ( 599520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 419520 -36820 ) ( 419520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 239520 -36820 ) ( 239520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 59520 -36820 ) ( 59520 2400 ) ;
-    - vssa2 ( PIN vssa2 ) + USE GROUND
-      + ROUTED met4 0 + SHAPE STRIPE ( 2960300 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2849520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2669520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2489520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2309520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2129520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1949520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1769520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1589520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1409520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1229520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1049520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 869520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 689520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 509520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 329520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 149520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 3394880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 3394880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 3214880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 3214880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 3034880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 3034880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 2854880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 2854880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 2674880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 2674880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 2494880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 2494880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 2314880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 2314880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 2134880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 2134880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 1954880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 1954880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 1774880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 1774880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 1594880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 1594880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 1414880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 1414880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 1234880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 1234880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 1054880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 1054880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 874880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 874880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 694880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 694880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 514880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 514880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 334880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 334880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 154880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 154880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2849520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2669520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2489520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2309520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2129520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1949520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1769520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1589520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1409520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1229520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1049520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 869520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 689520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 509520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 329520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 149520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 -35320 ) via4_3000x3000
-      NEW met5 3000 + SHAPE STRIPE ( -42180 3555000 ) ( 2961800 3555000 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3394880 ) ( 2961800 3394880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 3394880 ) ( 2400 3394880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3214880 ) ( 2961800 3214880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 3214880 ) ( 2400 3214880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3034880 ) ( 2961800 3034880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 3034880 ) ( 2400 3034880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2854880 ) ( 2961800 2854880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2854880 ) ( 2400 2854880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2674880 ) ( 2961800 2674880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2674880 ) ( 2400 2674880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2494880 ) ( 2961800 2494880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2494880 ) ( 2400 2494880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2314880 ) ( 2961800 2314880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2314880 ) ( 2400 2314880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2134880 ) ( 2961800 2134880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2134880 ) ( 2400 2134880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1954880 ) ( 2961800 1954880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1954880 ) ( 2400 1954880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1774880 ) ( 2961800 1774880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1774880 ) ( 2400 1774880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1594880 ) ( 2961800 1594880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1594880 ) ( 2400 1594880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1414880 ) ( 2961800 1414880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1414880 ) ( 2400 1414880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1234880 ) ( 2961800 1234880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1234880 ) ( 2400 1234880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1054880 ) ( 2961800 1054880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1054880 ) ( 2400 1054880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 874880 ) ( 2961800 874880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 874880 ) ( 2400 874880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 694880 ) ( 2961800 694880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 694880 ) ( 2400 694880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 514880 ) ( 2961800 514880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 514880 ) ( 2400 514880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 334880 ) ( 2961800 334880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 334880 ) ( 2400 334880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 154880 ) ( 2961800 154880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 154880 ) ( 2400 154880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 -35320 ) ( 2961800 -35320 )
-      NEW met4 3000 + SHAPE STRIPE ( 2960300 -36820 ) ( 2960300 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2849520 3517600 ) ( 2849520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2669520 3517600 ) ( 2669520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2489520 3517600 ) ( 2489520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2309520 3517600 ) ( 2309520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2129520 3517600 ) ( 2129520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1949520 3517600 ) ( 1949520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1769520 3517600 ) ( 1769520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1589520 3517600 ) ( 1589520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1409520 3517600 ) ( 1409520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1229520 3517600 ) ( 1229520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1049520 3517600 ) ( 1049520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 869520 3517600 ) ( 869520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 689520 3517600 ) ( 689520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 509520 3517600 ) ( 509520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 329520 3517600 ) ( 329520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 149520 3517600 ) ( 149520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( -40680 -36820 ) ( -40680 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2849520 -36820 ) ( 2849520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2669520 -36820 ) ( 2669520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2489520 -36820 ) ( 2489520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2309520 -36820 ) ( 2309520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2129520 -36820 ) ( 2129520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1949520 -36820 ) ( 1949520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1769520 -36820 ) ( 1769520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1589520 -36820 ) ( 1589520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1409520 -36820 ) ( 1409520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1229520 -36820 ) ( 1229520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1049520 -36820 ) ( 1049520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 869520 -36820 ) ( 869520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 689520 -36820 ) ( 689520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 509520 -36820 ) ( 509520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 329520 -36820 ) ( 329520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 149520 -36820 ) ( 149520 2400 ) ;
-END SPECIALNETS
-END DESIGN
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/pdn.defe b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/pdn.defe
deleted file mode 100644
index ba69be3..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/pdn.defe
+++ /dev/null
@@ -1,3176 +0,0 @@
-VERSION 5.8 ;
-DIVIDERCHAR "/" ;
-BUSBITCHARS "[]" ;
-DESIGN user_project_wrapper ;
-UNITS DISTANCE MICRONS 1000 ;
-DIEAREA ( 0 0 ) ( 2920000 3520000 ) ;
-ROW ROW_0 unithd 5520 10880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1 unithd 5520 13600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_2 unithd 5520 16320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_3 unithd 5520 19040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_4 unithd 5520 21760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_5 unithd 5520 24480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_6 unithd 5520 27200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_7 unithd 5520 29920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_8 unithd 5520 32640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_9 unithd 5520 35360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_10 unithd 5520 38080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_11 unithd 5520 40800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_12 unithd 5520 43520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_13 unithd 5520 46240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_14 unithd 5520 48960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_15 unithd 5520 51680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_16 unithd 5520 54400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_17 unithd 5520 57120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_18 unithd 5520 59840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_19 unithd 5520 62560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_20 unithd 5520 65280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_21 unithd 5520 68000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_22 unithd 5520 70720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_23 unithd 5520 73440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_24 unithd 5520 76160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_25 unithd 5520 78880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_26 unithd 5520 81600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_27 unithd 5520 84320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_28 unithd 5520 87040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_29 unithd 5520 89760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_30 unithd 5520 92480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_31 unithd 5520 95200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_32 unithd 5520 97920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_33 unithd 5520 100640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_34 unithd 5520 103360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_35 unithd 5520 106080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_36 unithd 5520 108800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_37 unithd 5520 111520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_38 unithd 5520 114240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_39 unithd 5520 116960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_40 unithd 5520 119680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_41 unithd 5520 122400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_42 unithd 5520 125120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_43 unithd 5520 127840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_44 unithd 5520 130560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_45 unithd 5520 133280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_46 unithd 5520 136000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_47 unithd 5520 138720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_48 unithd 5520 141440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_49 unithd 5520 144160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_50 unithd 5520 146880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_51 unithd 5520 149600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_52 unithd 5520 152320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_53 unithd 5520 155040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_54 unithd 5520 157760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_55 unithd 5520 160480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_56 unithd 5520 163200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_57 unithd 5520 165920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_58 unithd 5520 168640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_59 unithd 5520 171360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_60 unithd 5520 174080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_61 unithd 5520 176800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_62 unithd 5520 179520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_63 unithd 5520 182240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_64 unithd 5520 184960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_65 unithd 5520 187680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_66 unithd 5520 190400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_67 unithd 5520 193120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_68 unithd 5520 195840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_69 unithd 5520 198560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_70 unithd 5520 201280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_71 unithd 5520 204000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_72 unithd 5520 206720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_73 unithd 5520 209440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_74 unithd 5520 212160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_75 unithd 5520 214880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_76 unithd 5520 217600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_77 unithd 5520 220320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_78 unithd 5520 223040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_79 unithd 5520 225760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_80 unithd 5520 228480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_81 unithd 5520 231200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_82 unithd 5520 233920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_83 unithd 5520 236640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_84 unithd 5520 239360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_85 unithd 5520 242080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_86 unithd 5520 244800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_87 unithd 5520 247520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_88 unithd 5520 250240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_89 unithd 5520 252960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_90 unithd 5520 255680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_91 unithd 5520 258400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_92 unithd 5520 261120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_93 unithd 5520 263840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_94 unithd 5520 266560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_95 unithd 5520 269280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_96 unithd 5520 272000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_97 unithd 5520 274720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_98 unithd 5520 277440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_99 unithd 5520 280160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_100 unithd 5520 282880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_101 unithd 5520 285600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_102 unithd 5520 288320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_103 unithd 5520 291040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_104 unithd 5520 293760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_105 unithd 5520 296480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_106 unithd 5520 299200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_107 unithd 5520 301920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_108 unithd 5520 304640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_109 unithd 5520 307360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_110 unithd 5520 310080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_111 unithd 5520 312800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_112 unithd 5520 315520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_113 unithd 5520 318240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_114 unithd 5520 320960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_115 unithd 5520 323680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_116 unithd 5520 326400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_117 unithd 5520 329120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_118 unithd 5520 331840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_119 unithd 5520 334560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_120 unithd 5520 337280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_121 unithd 5520 340000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_122 unithd 5520 342720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_123 unithd 5520 345440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_124 unithd 5520 348160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_125 unithd 5520 350880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_126 unithd 5520 353600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_127 unithd 5520 356320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_128 unithd 5520 359040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_129 unithd 5520 361760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_130 unithd 5520 364480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_131 unithd 5520 367200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_132 unithd 5520 369920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_133 unithd 5520 372640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_134 unithd 5520 375360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_135 unithd 5520 378080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_136 unithd 5520 380800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_137 unithd 5520 383520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_138 unithd 5520 386240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_139 unithd 5520 388960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_140 unithd 5520 391680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_141 unithd 5520 394400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_142 unithd 5520 397120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_143 unithd 5520 399840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_144 unithd 5520 402560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_145 unithd 5520 405280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_146 unithd 5520 408000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_147 unithd 5520 410720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_148 unithd 5520 413440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_149 unithd 5520 416160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_150 unithd 5520 418880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_151 unithd 5520 421600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_152 unithd 5520 424320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_153 unithd 5520 427040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_154 unithd 5520 429760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_155 unithd 5520 432480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_156 unithd 5520 435200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_157 unithd 5520 437920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_158 unithd 5520 440640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_159 unithd 5520 443360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_160 unithd 5520 446080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_161 unithd 5520 448800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_162 unithd 5520 451520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_163 unithd 5520 454240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_164 unithd 5520 456960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_165 unithd 5520 459680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_166 unithd 5520 462400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_167 unithd 5520 465120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_168 unithd 5520 467840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_169 unithd 5520 470560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_170 unithd 5520 473280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_171 unithd 5520 476000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_172 unithd 5520 478720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_173 unithd 5520 481440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_174 unithd 5520 484160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_175 unithd 5520 486880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_176 unithd 5520 489600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_177 unithd 5520 492320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_178 unithd 5520 495040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_179 unithd 5520 497760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_180 unithd 5520 500480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_181 unithd 5520 503200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_182 unithd 5520 505920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_183 unithd 5520 508640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_184 unithd 5520 511360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_185 unithd 5520 514080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_186 unithd 5520 516800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_187 unithd 5520 519520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_188 unithd 5520 522240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_189 unithd 5520 524960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_190 unithd 5520 527680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_191 unithd 5520 530400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_192 unithd 5520 533120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_193 unithd 5520 535840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_194 unithd 5520 538560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_195 unithd 5520 541280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_196 unithd 5520 544000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_197 unithd 5520 546720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_198 unithd 5520 549440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_199 unithd 5520 552160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_200 unithd 5520 554880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_201 unithd 5520 557600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_202 unithd 5520 560320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_203 unithd 5520 563040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_204 unithd 5520 565760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_205 unithd 5520 568480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_206 unithd 5520 571200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_207 unithd 5520 573920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_208 unithd 5520 576640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_209 unithd 5520 579360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_210 unithd 5520 582080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_211 unithd 5520 584800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_212 unithd 5520 587520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_213 unithd 5520 590240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_214 unithd 5520 592960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_215 unithd 5520 595680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_216 unithd 5520 598400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_217 unithd 5520 601120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_218 unithd 5520 603840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_219 unithd 5520 606560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_220 unithd 5520 609280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_221 unithd 5520 612000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_222 unithd 5520 614720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_223 unithd 5520 617440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_224 unithd 5520 620160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_225 unithd 5520 622880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_226 unithd 5520 625600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_227 unithd 5520 628320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_228 unithd 5520 631040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_229 unithd 5520 633760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_230 unithd 5520 636480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_231 unithd 5520 639200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_232 unithd 5520 641920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_233 unithd 5520 644640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_234 unithd 5520 647360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_235 unithd 5520 650080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_236 unithd 5520 652800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_237 unithd 5520 655520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_238 unithd 5520 658240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_239 unithd 5520 660960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_240 unithd 5520 663680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_241 unithd 5520 666400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_242 unithd 5520 669120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_243 unithd 5520 671840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_244 unithd 5520 674560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_245 unithd 5520 677280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_246 unithd 5520 680000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_247 unithd 5520 682720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_248 unithd 5520 685440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_249 unithd 5520 688160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_250 unithd 5520 690880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_251 unithd 5520 693600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_252 unithd 5520 696320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_253 unithd 5520 699040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_254 unithd 5520 701760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_255 unithd 5520 704480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_256 unithd 5520 707200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_257 unithd 5520 709920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_258 unithd 5520 712640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_259 unithd 5520 715360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_260 unithd 5520 718080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_261 unithd 5520 720800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_262 unithd 5520 723520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_263 unithd 5520 726240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_264 unithd 5520 728960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_265 unithd 5520 731680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_266 unithd 5520 734400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_267 unithd 5520 737120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_268 unithd 5520 739840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_269 unithd 5520 742560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_270 unithd 5520 745280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_271 unithd 5520 748000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_272 unithd 5520 750720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_273 unithd 5520 753440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_274 unithd 5520 756160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_275 unithd 5520 758880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_276 unithd 5520 761600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_277 unithd 5520 764320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_278 unithd 5520 767040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_279 unithd 5520 769760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_280 unithd 5520 772480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_281 unithd 5520 775200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_282 unithd 5520 777920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_283 unithd 5520 780640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_284 unithd 5520 783360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_285 unithd 5520 786080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_286 unithd 5520 788800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_287 unithd 5520 791520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_288 unithd 5520 794240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_289 unithd 5520 796960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_290 unithd 5520 799680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_291 unithd 5520 802400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_292 unithd 5520 805120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_293 unithd 5520 807840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_294 unithd 5520 810560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_295 unithd 5520 813280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_296 unithd 5520 816000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_297 unithd 5520 818720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_298 unithd 5520 821440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_299 unithd 5520 824160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_300 unithd 5520 826880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_301 unithd 5520 829600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_302 unithd 5520 832320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_303 unithd 5520 835040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_304 unithd 5520 837760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_305 unithd 5520 840480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_306 unithd 5520 843200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_307 unithd 5520 845920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_308 unithd 5520 848640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_309 unithd 5520 851360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_310 unithd 5520 854080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_311 unithd 5520 856800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_312 unithd 5520 859520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_313 unithd 5520 862240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_314 unithd 5520 864960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_315 unithd 5520 867680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_316 unithd 5520 870400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_317 unithd 5520 873120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_318 unithd 5520 875840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_319 unithd 5520 878560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_320 unithd 5520 881280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_321 unithd 5520 884000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_322 unithd 5520 886720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_323 unithd 5520 889440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_324 unithd 5520 892160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_325 unithd 5520 894880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_326 unithd 5520 897600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_327 unithd 5520 900320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_328 unithd 5520 903040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_329 unithd 5520 905760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_330 unithd 5520 908480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_331 unithd 5520 911200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_332 unithd 5520 913920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_333 unithd 5520 916640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_334 unithd 5520 919360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_335 unithd 5520 922080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_336 unithd 5520 924800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_337 unithd 5520 927520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_338 unithd 5520 930240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_339 unithd 5520 932960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_340 unithd 5520 935680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_341 unithd 5520 938400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_342 unithd 5520 941120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_343 unithd 5520 943840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_344 unithd 5520 946560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_345 unithd 5520 949280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_346 unithd 5520 952000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_347 unithd 5520 954720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_348 unithd 5520 957440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_349 unithd 5520 960160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_350 unithd 5520 962880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_351 unithd 5520 965600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_352 unithd 5520 968320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_353 unithd 5520 971040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_354 unithd 5520 973760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_355 unithd 5520 976480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_356 unithd 5520 979200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_357 unithd 5520 981920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_358 unithd 5520 984640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_359 unithd 5520 987360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_360 unithd 5520 990080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_361 unithd 5520 992800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_362 unithd 5520 995520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_363 unithd 5520 998240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_364 unithd 5520 1000960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_365 unithd 5520 1003680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_366 unithd 5520 1006400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_367 unithd 5520 1009120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_368 unithd 5520 1011840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_369 unithd 5520 1014560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_370 unithd 5520 1017280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_371 unithd 5520 1020000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_372 unithd 5520 1022720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_373 unithd 5520 1025440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_374 unithd 5520 1028160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_375 unithd 5520 1030880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_376 unithd 5520 1033600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_377 unithd 5520 1036320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_378 unithd 5520 1039040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_379 unithd 5520 1041760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_380 unithd 5520 1044480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_381 unithd 5520 1047200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_382 unithd 5520 1049920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_383 unithd 5520 1052640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_384 unithd 5520 1055360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_385 unithd 5520 1058080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_386 unithd 5520 1060800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_387 unithd 5520 1063520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_388 unithd 5520 1066240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_389 unithd 5520 1068960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_390 unithd 5520 1071680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_391 unithd 5520 1074400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_392 unithd 5520 1077120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_393 unithd 5520 1079840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_394 unithd 5520 1082560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_395 unithd 5520 1085280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_396 unithd 5520 1088000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_397 unithd 5520 1090720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_398 unithd 5520 1093440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_399 unithd 5520 1096160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_400 unithd 5520 1098880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_401 unithd 5520 1101600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_402 unithd 5520 1104320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_403 unithd 5520 1107040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_404 unithd 5520 1109760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_405 unithd 5520 1112480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_406 unithd 5520 1115200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_407 unithd 5520 1117920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_408 unithd 5520 1120640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_409 unithd 5520 1123360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_410 unithd 5520 1126080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_411 unithd 5520 1128800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_412 unithd 5520 1131520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_413 unithd 5520 1134240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_414 unithd 5520 1136960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_415 unithd 5520 1139680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_416 unithd 5520 1142400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_417 unithd 5520 1145120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_418 unithd 5520 1147840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_419 unithd 5520 1150560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_420 unithd 5520 1153280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_421 unithd 5520 1156000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_422 unithd 5520 1158720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_423 unithd 5520 1161440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_424 unithd 5520 1164160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_425 unithd 5520 1166880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_426 unithd 5520 1169600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_427 unithd 5520 1172320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_428 unithd 5520 1175040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_429 unithd 5520 1177760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_430 unithd 5520 1180480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_431 unithd 5520 1183200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_432 unithd 5520 1185920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_433 unithd 5520 1188640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_434 unithd 5520 1191360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_435 unithd 5520 1194080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_436 unithd 5520 1196800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_437 unithd 5520 1199520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_438 unithd 5520 1202240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_439 unithd 5520 1204960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_440 unithd 5520 1207680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_441 unithd 5520 1210400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_442 unithd 5520 1213120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_443 unithd 5520 1215840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_444 unithd 5520 1218560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_445 unithd 5520 1221280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_446 unithd 5520 1224000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_447 unithd 5520 1226720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_448 unithd 5520 1229440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_449 unithd 5520 1232160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_450 unithd 5520 1234880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_451 unithd 5520 1237600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_452 unithd 5520 1240320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_453 unithd 5520 1243040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_454 unithd 5520 1245760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_455 unithd 5520 1248480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_456 unithd 5520 1251200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_457 unithd 5520 1253920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_458 unithd 5520 1256640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_459 unithd 5520 1259360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_460 unithd 5520 1262080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_461 unithd 5520 1264800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_462 unithd 5520 1267520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_463 unithd 5520 1270240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_464 unithd 5520 1272960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_465 unithd 5520 1275680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_466 unithd 5520 1278400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_467 unithd 5520 1281120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_468 unithd 5520 1283840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_469 unithd 5520 1286560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_470 unithd 5520 1289280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_471 unithd 5520 1292000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_472 unithd 5520 1294720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_473 unithd 5520 1297440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_474 unithd 5520 1300160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_475 unithd 5520 1302880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_476 unithd 5520 1305600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_477 unithd 5520 1308320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_478 unithd 5520 1311040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_479 unithd 5520 1313760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_480 unithd 5520 1316480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_481 unithd 5520 1319200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_482 unithd 5520 1321920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_483 unithd 5520 1324640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_484 unithd 5520 1327360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_485 unithd 5520 1330080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_486 unithd 5520 1332800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_487 unithd 5520 1335520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_488 unithd 5520 1338240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_489 unithd 5520 1340960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_490 unithd 5520 1343680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_491 unithd 5520 1346400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_492 unithd 5520 1349120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_493 unithd 5520 1351840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_494 unithd 5520 1354560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_495 unithd 5520 1357280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_496 unithd 5520 1360000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_497 unithd 5520 1362720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_498 unithd 5520 1365440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_499 unithd 5520 1368160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_500 unithd 5520 1370880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_501 unithd 5520 1373600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_502 unithd 5520 1376320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_503 unithd 5520 1379040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_504 unithd 5520 1381760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_505 unithd 5520 1384480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_506 unithd 5520 1387200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_507 unithd 5520 1389920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_508 unithd 5520 1392640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_509 unithd 5520 1395360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_510 unithd 5520 1398080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_511 unithd 5520 1400800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_512 unithd 5520 1403520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_513 unithd 5520 1406240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_514 unithd 5520 1408960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_515 unithd 5520 1411680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_516 unithd 5520 1414400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_517 unithd 5520 1417120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_518 unithd 5520 1419840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_519 unithd 5520 1422560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_520 unithd 5520 1425280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_521 unithd 5520 1428000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_522 unithd 5520 1430720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_523 unithd 5520 1433440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_524 unithd 5520 1436160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_525 unithd 5520 1438880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_526 unithd 5520 1441600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_527 unithd 5520 1444320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_528 unithd 5520 1447040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_529 unithd 5520 1449760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_530 unithd 5520 1452480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_531 unithd 5520 1455200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_532 unithd 5520 1457920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_533 unithd 5520 1460640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_534 unithd 5520 1463360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_535 unithd 5520 1466080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_536 unithd 5520 1468800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_537 unithd 5520 1471520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_538 unithd 5520 1474240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_539 unithd 5520 1476960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_540 unithd 5520 1479680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_541 unithd 5520 1482400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_542 unithd 5520 1485120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_543 unithd 5520 1487840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_544 unithd 5520 1490560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_545 unithd 5520 1493280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_546 unithd 5520 1496000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_547 unithd 5520 1498720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_548 unithd 5520 1501440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_549 unithd 5520 1504160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_550 unithd 5520 1506880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_551 unithd 5520 1509600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_552 unithd 5520 1512320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_553 unithd 5520 1515040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_554 unithd 5520 1517760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_555 unithd 5520 1520480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_556 unithd 5520 1523200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_557 unithd 5520 1525920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_558 unithd 5520 1528640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_559 unithd 5520 1531360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_560 unithd 5520 1534080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_561 unithd 5520 1536800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_562 unithd 5520 1539520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_563 unithd 5520 1542240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_564 unithd 5520 1544960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_565 unithd 5520 1547680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_566 unithd 5520 1550400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_567 unithd 5520 1553120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_568 unithd 5520 1555840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_569 unithd 5520 1558560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_570 unithd 5520 1561280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_571 unithd 5520 1564000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_572 unithd 5520 1566720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_573 unithd 5520 1569440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_574 unithd 5520 1572160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_575 unithd 5520 1574880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_576 unithd 5520 1577600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_577 unithd 5520 1580320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_578 unithd 5520 1583040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_579 unithd 5520 1585760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_580 unithd 5520 1588480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_581 unithd 5520 1591200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_582 unithd 5520 1593920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_583 unithd 5520 1596640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_584 unithd 5520 1599360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_585 unithd 5520 1602080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_586 unithd 5520 1604800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_587 unithd 5520 1607520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_588 unithd 5520 1610240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_589 unithd 5520 1612960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_590 unithd 5520 1615680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_591 unithd 5520 1618400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_592 unithd 5520 1621120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_593 unithd 5520 1623840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_594 unithd 5520 1626560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_595 unithd 5520 1629280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_596 unithd 5520 1632000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_597 unithd 5520 1634720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_598 unithd 5520 1637440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_599 unithd 5520 1640160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_600 unithd 5520 1642880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_601 unithd 5520 1645600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_602 unithd 5520 1648320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_603 unithd 5520 1651040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_604 unithd 5520 1653760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_605 unithd 5520 1656480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_606 unithd 5520 1659200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_607 unithd 5520 1661920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_608 unithd 5520 1664640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_609 unithd 5520 1667360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_610 unithd 5520 1670080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_611 unithd 5520 1672800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_612 unithd 5520 1675520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_613 unithd 5520 1678240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_614 unithd 5520 1680960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_615 unithd 5520 1683680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_616 unithd 5520 1686400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_617 unithd 5520 1689120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_618 unithd 5520 1691840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_619 unithd 5520 1694560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_620 unithd 5520 1697280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_621 unithd 5520 1700000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_622 unithd 5520 1702720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_623 unithd 5520 1705440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_624 unithd 5520 1708160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_625 unithd 5520 1710880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_626 unithd 5520 1713600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_627 unithd 5520 1716320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_628 unithd 5520 1719040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_629 unithd 5520 1721760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_630 unithd 5520 1724480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_631 unithd 5520 1727200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_632 unithd 5520 1729920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_633 unithd 5520 1732640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_634 unithd 5520 1735360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_635 unithd 5520 1738080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_636 unithd 5520 1740800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_637 unithd 5520 1743520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_638 unithd 5520 1746240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_639 unithd 5520 1748960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_640 unithd 5520 1751680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_641 unithd 5520 1754400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_642 unithd 5520 1757120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_643 unithd 5520 1759840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_644 unithd 5520 1762560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_645 unithd 5520 1765280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_646 unithd 5520 1768000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_647 unithd 5520 1770720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_648 unithd 5520 1773440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_649 unithd 5520 1776160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_650 unithd 5520 1778880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_651 unithd 5520 1781600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_652 unithd 5520 1784320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_653 unithd 5520 1787040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_654 unithd 5520 1789760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_655 unithd 5520 1792480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_656 unithd 5520 1795200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_657 unithd 5520 1797920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_658 unithd 5520 1800640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_659 unithd 5520 1803360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_660 unithd 5520 1806080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_661 unithd 5520 1808800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_662 unithd 5520 1811520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_663 unithd 5520 1814240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_664 unithd 5520 1816960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_665 unithd 5520 1819680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_666 unithd 5520 1822400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_667 unithd 5520 1825120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_668 unithd 5520 1827840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_669 unithd 5520 1830560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_670 unithd 5520 1833280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_671 unithd 5520 1836000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_672 unithd 5520 1838720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_673 unithd 5520 1841440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_674 unithd 5520 1844160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_675 unithd 5520 1846880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_676 unithd 5520 1849600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_677 unithd 5520 1852320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_678 unithd 5520 1855040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_679 unithd 5520 1857760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_680 unithd 5520 1860480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_681 unithd 5520 1863200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_682 unithd 5520 1865920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_683 unithd 5520 1868640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_684 unithd 5520 1871360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_685 unithd 5520 1874080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_686 unithd 5520 1876800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_687 unithd 5520 1879520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_688 unithd 5520 1882240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_689 unithd 5520 1884960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_690 unithd 5520 1887680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_691 unithd 5520 1890400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_692 unithd 5520 1893120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_693 unithd 5520 1895840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_694 unithd 5520 1898560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_695 unithd 5520 1901280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_696 unithd 5520 1904000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_697 unithd 5520 1906720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_698 unithd 5520 1909440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_699 unithd 5520 1912160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_700 unithd 5520 1914880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_701 unithd 5520 1917600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_702 unithd 5520 1920320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_703 unithd 5520 1923040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_704 unithd 5520 1925760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_705 unithd 5520 1928480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_706 unithd 5520 1931200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_707 unithd 5520 1933920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_708 unithd 5520 1936640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_709 unithd 5520 1939360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_710 unithd 5520 1942080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_711 unithd 5520 1944800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_712 unithd 5520 1947520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_713 unithd 5520 1950240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_714 unithd 5520 1952960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_715 unithd 5520 1955680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_716 unithd 5520 1958400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_717 unithd 5520 1961120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_718 unithd 5520 1963840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_719 unithd 5520 1966560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_720 unithd 5520 1969280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_721 unithd 5520 1972000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_722 unithd 5520 1974720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_723 unithd 5520 1977440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_724 unithd 5520 1980160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_725 unithd 5520 1982880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_726 unithd 5520 1985600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_727 unithd 5520 1988320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_728 unithd 5520 1991040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_729 unithd 5520 1993760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_730 unithd 5520 1996480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_731 unithd 5520 1999200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_732 unithd 5520 2001920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_733 unithd 5520 2004640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_734 unithd 5520 2007360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_735 unithd 5520 2010080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_736 unithd 5520 2012800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_737 unithd 5520 2015520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_738 unithd 5520 2018240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_739 unithd 5520 2020960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_740 unithd 5520 2023680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_741 unithd 5520 2026400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_742 unithd 5520 2029120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_743 unithd 5520 2031840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_744 unithd 5520 2034560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_745 unithd 5520 2037280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_746 unithd 5520 2040000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_747 unithd 5520 2042720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_748 unithd 5520 2045440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_749 unithd 5520 2048160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_750 unithd 5520 2050880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_751 unithd 5520 2053600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_752 unithd 5520 2056320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_753 unithd 5520 2059040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_754 unithd 5520 2061760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_755 unithd 5520 2064480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_756 unithd 5520 2067200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_757 unithd 5520 2069920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_758 unithd 5520 2072640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_759 unithd 5520 2075360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_760 unithd 5520 2078080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_761 unithd 5520 2080800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_762 unithd 5520 2083520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_763 unithd 5520 2086240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_764 unithd 5520 2088960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_765 unithd 5520 2091680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_766 unithd 5520 2094400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_767 unithd 5520 2097120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_768 unithd 5520 2099840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_769 unithd 5520 2102560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_770 unithd 5520 2105280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_771 unithd 5520 2108000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_772 unithd 5520 2110720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_773 unithd 5520 2113440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_774 unithd 5520 2116160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_775 unithd 5520 2118880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_776 unithd 5520 2121600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_777 unithd 5520 2124320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_778 unithd 5520 2127040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_779 unithd 5520 2129760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_780 unithd 5520 2132480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_781 unithd 5520 2135200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_782 unithd 5520 2137920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_783 unithd 5520 2140640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_784 unithd 5520 2143360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_785 unithd 5520 2146080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_786 unithd 5520 2148800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_787 unithd 5520 2151520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_788 unithd 5520 2154240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_789 unithd 5520 2156960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_790 unithd 5520 2159680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_791 unithd 5520 2162400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_792 unithd 5520 2165120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_793 unithd 5520 2167840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_794 unithd 5520 2170560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_795 unithd 5520 2173280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_796 unithd 5520 2176000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_797 unithd 5520 2178720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_798 unithd 5520 2181440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_799 unithd 5520 2184160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_800 unithd 5520 2186880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_801 unithd 5520 2189600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_802 unithd 5520 2192320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_803 unithd 5520 2195040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_804 unithd 5520 2197760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_805 unithd 5520 2200480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_806 unithd 5520 2203200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_807 unithd 5520 2205920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_808 unithd 5520 2208640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_809 unithd 5520 2211360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_810 unithd 5520 2214080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_811 unithd 5520 2216800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_812 unithd 5520 2219520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_813 unithd 5520 2222240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_814 unithd 5520 2224960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_815 unithd 5520 2227680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_816 unithd 5520 2230400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_817 unithd 5520 2233120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_818 unithd 5520 2235840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_819 unithd 5520 2238560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_820 unithd 5520 2241280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_821 unithd 5520 2244000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_822 unithd 5520 2246720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_823 unithd 5520 2249440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_824 unithd 5520 2252160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_825 unithd 5520 2254880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_826 unithd 5520 2257600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_827 unithd 5520 2260320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_828 unithd 5520 2263040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_829 unithd 5520 2265760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_830 unithd 5520 2268480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_831 unithd 5520 2271200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_832 unithd 5520 2273920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_833 unithd 5520 2276640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_834 unithd 5520 2279360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_835 unithd 5520 2282080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_836 unithd 5520 2284800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_837 unithd 5520 2287520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_838 unithd 5520 2290240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_839 unithd 5520 2292960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_840 unithd 5520 2295680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_841 unithd 5520 2298400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_842 unithd 5520 2301120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_843 unithd 5520 2303840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_844 unithd 5520 2306560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_845 unithd 5520 2309280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_846 unithd 5520 2312000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_847 unithd 5520 2314720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_848 unithd 5520 2317440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_849 unithd 5520 2320160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_850 unithd 5520 2322880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_851 unithd 5520 2325600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_852 unithd 5520 2328320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_853 unithd 5520 2331040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_854 unithd 5520 2333760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_855 unithd 5520 2336480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_856 unithd 5520 2339200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_857 unithd 5520 2341920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_858 unithd 5520 2344640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_859 unithd 5520 2347360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_860 unithd 5520 2350080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_861 unithd 5520 2352800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_862 unithd 5520 2355520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_863 unithd 5520 2358240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_864 unithd 5520 2360960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_865 unithd 5520 2363680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_866 unithd 5520 2366400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_867 unithd 5520 2369120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_868 unithd 5520 2371840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_869 unithd 5520 2374560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_870 unithd 5520 2377280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_871 unithd 5520 2380000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_872 unithd 5520 2382720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_873 unithd 5520 2385440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_874 unithd 5520 2388160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_875 unithd 5520 2390880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_876 unithd 5520 2393600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_877 unithd 5520 2396320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_878 unithd 5520 2399040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_879 unithd 5520 2401760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_880 unithd 5520 2404480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_881 unithd 5520 2407200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_882 unithd 5520 2409920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_883 unithd 5520 2412640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_884 unithd 5520 2415360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_885 unithd 5520 2418080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_886 unithd 5520 2420800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_887 unithd 5520 2423520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_888 unithd 5520 2426240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_889 unithd 5520 2428960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_890 unithd 5520 2431680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_891 unithd 5520 2434400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_892 unithd 5520 2437120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_893 unithd 5520 2439840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_894 unithd 5520 2442560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_895 unithd 5520 2445280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_896 unithd 5520 2448000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_897 unithd 5520 2450720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_898 unithd 5520 2453440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_899 unithd 5520 2456160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_900 unithd 5520 2458880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_901 unithd 5520 2461600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_902 unithd 5520 2464320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_903 unithd 5520 2467040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_904 unithd 5520 2469760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_905 unithd 5520 2472480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_906 unithd 5520 2475200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_907 unithd 5520 2477920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_908 unithd 5520 2480640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_909 unithd 5520 2483360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_910 unithd 5520 2486080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_911 unithd 5520 2488800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_912 unithd 5520 2491520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_913 unithd 5520 2494240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_914 unithd 5520 2496960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_915 unithd 5520 2499680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_916 unithd 5520 2502400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_917 unithd 5520 2505120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_918 unithd 5520 2507840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_919 unithd 5520 2510560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_920 unithd 5520 2513280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_921 unithd 5520 2516000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_922 unithd 5520 2518720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_923 unithd 5520 2521440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_924 unithd 5520 2524160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_925 unithd 5520 2526880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_926 unithd 5520 2529600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_927 unithd 5520 2532320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_928 unithd 5520 2535040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_929 unithd 5520 2537760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_930 unithd 5520 2540480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_931 unithd 5520 2543200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_932 unithd 5520 2545920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_933 unithd 5520 2548640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_934 unithd 5520 2551360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_935 unithd 5520 2554080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_936 unithd 5520 2556800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_937 unithd 5520 2559520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_938 unithd 5520 2562240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_939 unithd 5520 2564960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_940 unithd 5520 2567680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_941 unithd 5520 2570400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_942 unithd 5520 2573120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_943 unithd 5520 2575840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_944 unithd 5520 2578560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_945 unithd 5520 2581280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_946 unithd 5520 2584000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_947 unithd 5520 2586720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_948 unithd 5520 2589440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_949 unithd 5520 2592160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_950 unithd 5520 2594880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_951 unithd 5520 2597600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_952 unithd 5520 2600320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_953 unithd 5520 2603040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_954 unithd 5520 2605760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_955 unithd 5520 2608480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_956 unithd 5520 2611200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_957 unithd 5520 2613920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_958 unithd 5520 2616640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_959 unithd 5520 2619360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_960 unithd 5520 2622080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_961 unithd 5520 2624800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_962 unithd 5520 2627520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_963 unithd 5520 2630240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_964 unithd 5520 2632960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_965 unithd 5520 2635680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_966 unithd 5520 2638400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_967 unithd 5520 2641120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_968 unithd 5520 2643840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_969 unithd 5520 2646560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_970 unithd 5520 2649280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_971 unithd 5520 2652000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_972 unithd 5520 2654720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_973 unithd 5520 2657440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_974 unithd 5520 2660160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_975 unithd 5520 2662880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_976 unithd 5520 2665600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_977 unithd 5520 2668320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_978 unithd 5520 2671040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_979 unithd 5520 2673760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_980 unithd 5520 2676480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_981 unithd 5520 2679200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_982 unithd 5520 2681920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_983 unithd 5520 2684640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_984 unithd 5520 2687360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_985 unithd 5520 2690080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_986 unithd 5520 2692800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_987 unithd 5520 2695520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_988 unithd 5520 2698240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_989 unithd 5520 2700960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_990 unithd 5520 2703680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_991 unithd 5520 2706400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_992 unithd 5520 2709120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_993 unithd 5520 2711840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_994 unithd 5520 2714560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_995 unithd 5520 2717280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_996 unithd 5520 2720000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_997 unithd 5520 2722720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_998 unithd 5520 2725440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_999 unithd 5520 2728160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1000 unithd 5520 2730880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1001 unithd 5520 2733600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1002 unithd 5520 2736320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1003 unithd 5520 2739040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1004 unithd 5520 2741760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1005 unithd 5520 2744480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1006 unithd 5520 2747200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1007 unithd 5520 2749920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1008 unithd 5520 2752640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1009 unithd 5520 2755360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1010 unithd 5520 2758080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1011 unithd 5520 2760800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1012 unithd 5520 2763520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1013 unithd 5520 2766240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1014 unithd 5520 2768960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1015 unithd 5520 2771680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1016 unithd 5520 2774400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1017 unithd 5520 2777120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1018 unithd 5520 2779840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1019 unithd 5520 2782560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1020 unithd 5520 2785280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1021 unithd 5520 2788000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1022 unithd 5520 2790720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1023 unithd 5520 2793440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1024 unithd 5520 2796160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1025 unithd 5520 2798880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1026 unithd 5520 2801600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1027 unithd 5520 2804320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1028 unithd 5520 2807040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1029 unithd 5520 2809760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1030 unithd 5520 2812480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1031 unithd 5520 2815200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1032 unithd 5520 2817920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1033 unithd 5520 2820640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1034 unithd 5520 2823360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1035 unithd 5520 2826080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1036 unithd 5520 2828800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1037 unithd 5520 2831520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1038 unithd 5520 2834240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1039 unithd 5520 2836960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1040 unithd 5520 2839680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1041 unithd 5520 2842400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1042 unithd 5520 2845120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1043 unithd 5520 2847840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1044 unithd 5520 2850560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1045 unithd 5520 2853280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1046 unithd 5520 2856000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1047 unithd 5520 2858720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1048 unithd 5520 2861440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1049 unithd 5520 2864160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1050 unithd 5520 2866880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1051 unithd 5520 2869600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1052 unithd 5520 2872320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1053 unithd 5520 2875040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1054 unithd 5520 2877760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1055 unithd 5520 2880480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1056 unithd 5520 2883200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1057 unithd 5520 2885920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1058 unithd 5520 2888640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1059 unithd 5520 2891360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1060 unithd 5520 2894080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1061 unithd 5520 2896800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1062 unithd 5520 2899520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1063 unithd 5520 2902240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1064 unithd 5520 2904960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1065 unithd 5520 2907680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1066 unithd 5520 2910400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1067 unithd 5520 2913120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1068 unithd 5520 2915840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1069 unithd 5520 2918560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1070 unithd 5520 2921280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1071 unithd 5520 2924000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1072 unithd 5520 2926720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1073 unithd 5520 2929440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1074 unithd 5520 2932160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1075 unithd 5520 2934880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1076 unithd 5520 2937600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1077 unithd 5520 2940320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1078 unithd 5520 2943040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1079 unithd 5520 2945760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1080 unithd 5520 2948480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1081 unithd 5520 2951200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1082 unithd 5520 2953920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1083 unithd 5520 2956640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1084 unithd 5520 2959360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1085 unithd 5520 2962080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1086 unithd 5520 2964800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1087 unithd 5520 2967520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1088 unithd 5520 2970240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1089 unithd 5520 2972960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1090 unithd 5520 2975680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1091 unithd 5520 2978400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1092 unithd 5520 2981120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1093 unithd 5520 2983840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1094 unithd 5520 2986560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1095 unithd 5520 2989280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1096 unithd 5520 2992000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1097 unithd 5520 2994720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1098 unithd 5520 2997440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1099 unithd 5520 3000160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1100 unithd 5520 3002880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1101 unithd 5520 3005600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1102 unithd 5520 3008320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1103 unithd 5520 3011040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1104 unithd 5520 3013760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1105 unithd 5520 3016480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1106 unithd 5520 3019200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1107 unithd 5520 3021920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1108 unithd 5520 3024640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1109 unithd 5520 3027360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1110 unithd 5520 3030080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1111 unithd 5520 3032800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1112 unithd 5520 3035520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1113 unithd 5520 3038240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1114 unithd 5520 3040960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1115 unithd 5520 3043680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1116 unithd 5520 3046400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1117 unithd 5520 3049120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1118 unithd 5520 3051840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1119 unithd 5520 3054560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1120 unithd 5520 3057280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1121 unithd 5520 3060000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1122 unithd 5520 3062720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1123 unithd 5520 3065440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1124 unithd 5520 3068160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1125 unithd 5520 3070880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1126 unithd 5520 3073600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1127 unithd 5520 3076320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1128 unithd 5520 3079040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1129 unithd 5520 3081760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1130 unithd 5520 3084480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1131 unithd 5520 3087200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1132 unithd 5520 3089920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1133 unithd 5520 3092640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1134 unithd 5520 3095360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1135 unithd 5520 3098080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1136 unithd 5520 3100800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1137 unithd 5520 3103520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1138 unithd 5520 3106240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1139 unithd 5520 3108960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1140 unithd 5520 3111680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1141 unithd 5520 3114400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1142 unithd 5520 3117120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1143 unithd 5520 3119840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1144 unithd 5520 3122560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1145 unithd 5520 3125280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1146 unithd 5520 3128000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1147 unithd 5520 3130720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1148 unithd 5520 3133440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1149 unithd 5520 3136160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1150 unithd 5520 3138880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1151 unithd 5520 3141600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1152 unithd 5520 3144320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1153 unithd 5520 3147040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1154 unithd 5520 3149760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1155 unithd 5520 3152480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1156 unithd 5520 3155200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1157 unithd 5520 3157920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1158 unithd 5520 3160640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1159 unithd 5520 3163360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1160 unithd 5520 3166080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1161 unithd 5520 3168800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1162 unithd 5520 3171520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1163 unithd 5520 3174240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1164 unithd 5520 3176960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1165 unithd 5520 3179680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1166 unithd 5520 3182400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1167 unithd 5520 3185120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1168 unithd 5520 3187840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1169 unithd 5520 3190560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1170 unithd 5520 3193280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1171 unithd 5520 3196000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1172 unithd 5520 3198720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1173 unithd 5520 3201440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1174 unithd 5520 3204160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1175 unithd 5520 3206880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1176 unithd 5520 3209600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1177 unithd 5520 3212320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1178 unithd 5520 3215040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1179 unithd 5520 3217760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1180 unithd 5520 3220480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1181 unithd 5520 3223200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1182 unithd 5520 3225920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1183 unithd 5520 3228640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1184 unithd 5520 3231360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1185 unithd 5520 3234080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1186 unithd 5520 3236800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1187 unithd 5520 3239520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1188 unithd 5520 3242240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1189 unithd 5520 3244960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1190 unithd 5520 3247680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1191 unithd 5520 3250400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1192 unithd 5520 3253120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1193 unithd 5520 3255840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1194 unithd 5520 3258560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1195 unithd 5520 3261280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1196 unithd 5520 3264000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1197 unithd 5520 3266720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1198 unithd 5520 3269440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1199 unithd 5520 3272160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1200 unithd 5520 3274880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1201 unithd 5520 3277600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1202 unithd 5520 3280320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1203 unithd 5520 3283040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1204 unithd 5520 3285760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1205 unithd 5520 3288480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1206 unithd 5520 3291200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1207 unithd 5520 3293920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1208 unithd 5520 3296640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1209 unithd 5520 3299360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1210 unithd 5520 3302080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1211 unithd 5520 3304800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1212 unithd 5520 3307520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1213 unithd 5520 3310240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1214 unithd 5520 3312960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1215 unithd 5520 3315680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1216 unithd 5520 3318400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1217 unithd 5520 3321120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1218 unithd 5520 3323840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1219 unithd 5520 3326560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1220 unithd 5520 3329280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1221 unithd 5520 3332000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1222 unithd 5520 3334720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1223 unithd 5520 3337440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1224 unithd 5520 3340160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1225 unithd 5520 3342880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1226 unithd 5520 3345600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1227 unithd 5520 3348320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1228 unithd 5520 3351040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1229 unithd 5520 3353760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1230 unithd 5520 3356480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1231 unithd 5520 3359200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1232 unithd 5520 3361920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1233 unithd 5520 3364640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1234 unithd 5520 3367360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1235 unithd 5520 3370080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1236 unithd 5520 3372800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1237 unithd 5520 3375520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1238 unithd 5520 3378240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1239 unithd 5520 3380960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1240 unithd 5520 3383680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1241 unithd 5520 3386400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1242 unithd 5520 3389120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1243 unithd 5520 3391840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1244 unithd 5520 3394560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1245 unithd 5520 3397280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1246 unithd 5520 3400000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1247 unithd 5520 3402720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1248 unithd 5520 3405440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1249 unithd 5520 3408160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1250 unithd 5520 3410880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1251 unithd 5520 3413600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1252 unithd 5520 3416320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1253 unithd 5520 3419040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1254 unithd 5520 3421760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1255 unithd 5520 3424480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1256 unithd 5520 3427200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1257 unithd 5520 3429920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1258 unithd 5520 3432640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1259 unithd 5520 3435360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1260 unithd 5520 3438080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1261 unithd 5520 3440800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1262 unithd 5520 3443520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1263 unithd 5520 3446240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1264 unithd 5520 3448960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1265 unithd 5520 3451680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1266 unithd 5520 3454400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1267 unithd 5520 3457120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1268 unithd 5520 3459840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1269 unithd 5520 3462560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1270 unithd 5520 3465280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1271 unithd 5520 3468000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1272 unithd 5520 3470720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1273 unithd 5520 3473440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1274 unithd 5520 3476160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1275 unithd 5520 3478880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1276 unithd 5520 3481600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1277 unithd 5520 3484320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1278 unithd 5520 3487040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1279 unithd 5520 3489760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1280 unithd 5520 3492480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1281 unithd 5520 3495200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1282 unithd 5520 3497920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1283 unithd 5520 3500640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1284 unithd 5520 3503360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1285 unithd 5520 3506080 N DO 6323 BY 1 STEP 460 0 ;
-TRACKS X 230 DO 6348 STEP 460 LAYER li1 ;
-TRACKS Y 170 DO 10353 STEP 340 LAYER li1 ;
-TRACKS X 170 DO 8588 STEP 340 LAYER met1 ;
-TRACKS Y 170 DO 10353 STEP 340 LAYER met1 ;
-TRACKS X 230 DO 6348 STEP 460 LAYER met2 ;
-TRACKS Y 230 DO 7652 STEP 460 LAYER met2 ;
-TRACKS X 340 DO 4294 STEP 680 LAYER met3 ;
-TRACKS Y 340 DO 5176 STEP 680 LAYER met3 ;
-TRACKS X 460 DO 3174 STEP 920 LAYER met4 ;
-TRACKS Y 460 DO 3826 STEP 920 LAYER met4 ;
-TRACKS X 1700 DO 859 STEP 3400 LAYER met5 ;
-TRACKS Y 1700 DO 1035 STEP 3400 LAYER met5 ;
-VIAS 1 ;
-    - via4_3000x3000 + VIARULE M4M5_PR + CUTSIZE 800 800  + LAYERS met4 via4 met5  + CUTSPACING 800 800  + ENCLOSURE 1100 300 1100 310  + ROWCOL 2 1  ;
-END VIAS
-COMPONENTS 2 ;
-    - obs_core_obs obs_core_obs + FIXED ( 2400 2400 ) N ;
-    - mprj user_proj_example ;
-END COMPONENTS
-PINS 644 ;
-    - analog_io[0] + NET analog_io[0] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 29580 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[10] + NET analog_io[10] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 2375580 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[11] + NET analog_io[11] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 2610180 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[12] + NET analog_io[12] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 2844780 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[13] + NET analog_io[13] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 3079380 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[14] + NET analog_io[14] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 3313980 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[15] + NET analog_io[15] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2879370 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - analog_io[16] + NET analog_io[16] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2555070 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - analog_io[17] + NET analog_io[17] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2230770 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - analog_io[18] + NET analog_io[18] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1906010 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - analog_io[19] + NET analog_io[19] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1581710 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - analog_io[1] + NET analog_io[1] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 264180 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[20] + NET analog_io[20] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1257410 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - analog_io[21] + NET analog_io[21] + DIRECTION INOUT + USE SIGNAL + PLACED ( 932650 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - analog_io[22] + NET analog_io[22] + DIRECTION INOUT + USE SIGNAL + PLACED ( 608350 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - analog_io[23] + NET analog_io[23] + DIRECTION INOUT + USE SIGNAL + PLACED ( 284050 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - analog_io[24] + NET analog_io[24] + DIRECTION INOUT + USE SIGNAL + PLACED ( -1200 3483300 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[25] + NET analog_io[25] + DIRECTION INOUT + USE SIGNAL + PLACED ( -1200 3195660 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[26] + NET analog_io[26] + DIRECTION INOUT + USE SIGNAL + PLACED ( -1200 2908700 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[27] + NET analog_io[27] + DIRECTION INOUT + USE SIGNAL + PLACED ( -1200 2621060 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[28] + NET analog_io[28] + DIRECTION INOUT + USE SIGNAL + PLACED ( -1200 2334100 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[29] + NET analog_io[29] + DIRECTION INOUT + USE SIGNAL + PLACED ( -1200 2046460 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[2] + NET analog_io[2] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 498780 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[30] + NET analog_io[30] + DIRECTION INOUT + USE SIGNAL + PLACED ( -1200 1759500 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[3] + NET analog_io[3] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 733380 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[4] + NET analog_io[4] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 967980 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[5] + NET analog_io[5] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 1202580 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[6] + NET analog_io[6] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 1437180 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[7] + NET analog_io[7] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 1671780 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[8] + NET analog_io[8] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 1906380 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - analog_io[9] + NET analog_io[9] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 2140980 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[0] + NET io_in[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 88060 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[10] + NET io_in[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 2434060 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[11] + NET io_in[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 2669340 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[12] + NET io_in[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 2903940 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[13] + NET io_in[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 3138540 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[14] + NET io_in[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 3373140 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[15] + NET io_in[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2798410 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_in[16] + NET io_in[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2474110 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_in[17] + NET io_in[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2149350 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_in[18] + NET io_in[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1825050 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_in[19] + NET io_in[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1500750 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_in[1] + NET io_in[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 322660 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[20] + NET io_in[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1175990 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_in[21] + NET io_in[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 851690 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_in[22] + NET io_in[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 527390 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_in[23] + NET io_in[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 202630 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_in[24] + NET io_in[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 3411220 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[25] + NET io_in[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 3124260 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[26] + NET io_in[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 2836620 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[27] + NET io_in[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 2549660 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[28] + NET io_in[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 2262020 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[29] + NET io_in[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 1975060 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[2] + NET io_in[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 557260 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[30] + NET io_in[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 1687420 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[31] + NET io_in[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 1471860 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[32] + NET io_in[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 1256300 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[33] + NET io_in[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 1040740 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[34] + NET io_in[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 825180 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[35] + NET io_in[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 610300 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[36] + NET io_in[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 394740 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[37] + NET io_in[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( -1200 179180 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[3] + NET io_in[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 791860 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[4] + NET io_in[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 1026460 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[5] + NET io_in[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 1261060 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[6] + NET io_in[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 1495660 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[7] + NET io_in[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 1730260 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[8] + NET io_in[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 1964860 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_in[9] + NET io_in[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2921200 2199460 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[0] + NET io_oeb[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 205020 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[10] + NET io_oeb[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2551700 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[11] + NET io_oeb[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2786300 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[12] + NET io_oeb[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 3020900 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[13] + NET io_oeb[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 3255500 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[14] + NET io_oeb[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 3490100 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[15] + NET io_oeb[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2636030 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_oeb[16] + NET io_oeb[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2311730 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_oeb[17] + NET io_oeb[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1987430 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_oeb[18] + NET io_oeb[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1662670 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_oeb[19] + NET io_oeb[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1338370 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_oeb[1] + NET io_oeb[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 439620 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[20] + NET io_oeb[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1014070 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_oeb[21] + NET io_oeb[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 689310 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_oeb[22] + NET io_oeb[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 365010 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_oeb[23] + NET io_oeb[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 40710 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_oeb[24] + NET io_oeb[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 3267740 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[25] + NET io_oeb[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 2980100 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[26] + NET io_oeb[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 2693140 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[27] + NET io_oeb[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 2405500 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[28] + NET io_oeb[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 2118540 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[29] + NET io_oeb[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1830900 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[2] + NET io_oeb[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 674220 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[30] + NET io_oeb[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1543940 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[31] + NET io_oeb[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1328380 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[32] + NET io_oeb[32] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1112820 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[33] + NET io_oeb[33] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 897260 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[34] + NET io_oeb[34] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 681700 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[35] + NET io_oeb[35] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 466140 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[36] + NET io_oeb[36] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 250580 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[37] + NET io_oeb[37] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 35700 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[3] + NET io_oeb[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 909500 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[4] + NET io_oeb[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1144100 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[5] + NET io_oeb[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1378700 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[6] + NET io_oeb[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1613300 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[7] + NET io_oeb[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1847900 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[8] + NET io_oeb[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2082500 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_oeb[9] + NET io_oeb[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2317100 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[0] + NET io_out[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 146540 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[10] + NET io_out[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2493220 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[11] + NET io_out[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2727820 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[12] + NET io_out[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2962420 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[13] + NET io_out[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 3197020 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[14] + NET io_out[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 3431620 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[15] + NET io_out[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2717450 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_out[16] + NET io_out[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2392690 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_out[17] + NET io_out[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2068390 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_out[18] + NET io_out[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1744090 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_out[19] + NET io_out[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1419330 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_out[1] + NET io_out[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 381140 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[20] + NET io_out[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1095030 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_out[21] + NET io_out[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 770730 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_out[22] + NET io_out[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 445970 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_out[23] + NET io_out[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 121670 3521200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - io_out[24] + NET io_out[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 3339820 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[25] + NET io_out[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 3052180 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[26] + NET io_out[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 2765220 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[27] + NET io_out[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 2477580 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[28] + NET io_out[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 2189940 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[29] + NET io_out[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1902980 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[2] + NET io_out[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 615740 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[30] + NET io_out[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1615340 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[31] + NET io_out[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1400460 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[32] + NET io_out[32] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 1184900 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[33] + NET io_out[33] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 969340 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[34] + NET io_out[34] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 753780 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[35] + NET io_out[35] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 538220 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[36] + NET io_out[36] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 322660 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[37] + NET io_out[37] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( -1200 107100 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[3] + NET io_out[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 850340 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[4] + NET io_out[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1084940 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[5] + NET io_out[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1319540 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[6] + NET io_out[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1554140 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[7] + NET io_out[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 1789420 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[8] + NET io_out[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2024020 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - io_out[9] + NET io_out[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2921200 2258620 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
-    - la_data_in[0] + NET la_data_in[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 633190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[100] + NET la_data_in[100] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2417530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[101] + NET la_data_in[101] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2435010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[102] + NET la_data_in[102] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2452950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[103] + NET la_data_in[103] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2470890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[104] + NET la_data_in[104] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2488830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[105] + NET la_data_in[105] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2506310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[106] + NET la_data_in[106] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2524250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[107] + NET la_data_in[107] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2542190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[108] + NET la_data_in[108] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2560130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[109] + NET la_data_in[109] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2578070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[10] + NET la_data_in[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 811670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[110] + NET la_data_in[110] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2595550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[111] + NET la_data_in[111] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2613490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[112] + NET la_data_in[112] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2631430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[113] + NET la_data_in[113] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2649370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[114] + NET la_data_in[114] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2667310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[115] + NET la_data_in[115] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2684790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[116] + NET la_data_in[116] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2702730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[117] + NET la_data_in[117] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2720670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[118] + NET la_data_in[118] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2738610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[119] + NET la_data_in[119] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2756090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[11] + NET la_data_in[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 829610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[120] + NET la_data_in[120] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2774030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[121] + NET la_data_in[121] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2791970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[122] + NET la_data_in[122] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2809910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[123] + NET la_data_in[123] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2827850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[124] + NET la_data_in[124] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2845330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[125] + NET la_data_in[125] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2863270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[126] + NET la_data_in[126] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2881210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[127] + NET la_data_in[127] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2899150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[12] + NET la_data_in[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 847090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[13] + NET la_data_in[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 865030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[14] + NET la_data_in[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 882970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[15] + NET la_data_in[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 900910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[16] + NET la_data_in[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 918850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[17] + NET la_data_in[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 936330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[18] + NET la_data_in[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 954270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[19] + NET la_data_in[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 972210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[1] + NET la_data_in[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 651130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[20] + NET la_data_in[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 990150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[21] + NET la_data_in[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1007630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[22] + NET la_data_in[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1025570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[23] + NET la_data_in[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1043510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[24] + NET la_data_in[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1061450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[25] + NET la_data_in[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1079390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[26] + NET la_data_in[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1096870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[27] + NET la_data_in[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1114810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[28] + NET la_data_in[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1132750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[29] + NET la_data_in[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1150690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[2] + NET la_data_in[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 669070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[30] + NET la_data_in[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1168630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[31] + NET la_data_in[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1186110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[32] + NET la_data_in[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1204050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[33] + NET la_data_in[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1221990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[34] + NET la_data_in[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1239930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[35] + NET la_data_in[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1257410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[36] + NET la_data_in[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1275350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[37] + NET la_data_in[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1293290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[38] + NET la_data_in[38] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1311230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[39] + NET la_data_in[39] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1329170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[3] + NET la_data_in[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 686550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[40] + NET la_data_in[40] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1346650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[41] + NET la_data_in[41] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1364590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[42] + NET la_data_in[42] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1382530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[43] + NET la_data_in[43] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1400470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[44] + NET la_data_in[44] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1418410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[45] + NET la_data_in[45] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1435890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[46] + NET la_data_in[46] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1453830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[47] + NET la_data_in[47] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1471770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[48] + NET la_data_in[48] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1489710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[49] + NET la_data_in[49] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1507190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[4] + NET la_data_in[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 704490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[50] + NET la_data_in[50] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1525130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[51] + NET la_data_in[51] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1543070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[52] + NET la_data_in[52] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1561010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[53] + NET la_data_in[53] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1578950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[54] + NET la_data_in[54] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1596430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[55] + NET la_data_in[55] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1614370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[56] + NET la_data_in[56] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1632310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[57] + NET la_data_in[57] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1650250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[58] + NET la_data_in[58] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1668190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[59] + NET la_data_in[59] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1685670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[5] + NET la_data_in[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 722430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[60] + NET la_data_in[60] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1703610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[61] + NET la_data_in[61] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1721550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[62] + NET la_data_in[62] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1739490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[63] + NET la_data_in[63] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1756970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[64] + NET la_data_in[64] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1774910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[65] + NET la_data_in[65] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1792850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[66] + NET la_data_in[66] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1810790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[67] + NET la_data_in[67] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1828730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[68] + NET la_data_in[68] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1846210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[69] + NET la_data_in[69] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1864150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[6] + NET la_data_in[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 740370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[70] + NET la_data_in[70] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1882090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[71] + NET la_data_in[71] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1900030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[72] + NET la_data_in[72] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1917970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[73] + NET la_data_in[73] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1935450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[74] + NET la_data_in[74] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1953390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[75] + NET la_data_in[75] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1971330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[76] + NET la_data_in[76] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1989270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[77] + NET la_data_in[77] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2006750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[78] + NET la_data_in[78] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2024690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[79] + NET la_data_in[79] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2042630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[7] + NET la_data_in[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 757850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[80] + NET la_data_in[80] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2060570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[81] + NET la_data_in[81] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2078510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[82] + NET la_data_in[82] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2095990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[83] + NET la_data_in[83] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2113930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[84] + NET la_data_in[84] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2131870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[85] + NET la_data_in[85] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2149810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[86] + NET la_data_in[86] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2167750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[87] + NET la_data_in[87] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2185230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[88] + NET la_data_in[88] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2203170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[89] + NET la_data_in[89] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2221110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[8] + NET la_data_in[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 775790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[90] + NET la_data_in[90] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2239050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[91] + NET la_data_in[91] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2256530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[92] + NET la_data_in[92] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2274470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[93] + NET la_data_in[93] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2292410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[94] + NET la_data_in[94] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2310350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[95] + NET la_data_in[95] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2328290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[96] + NET la_data_in[96] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2345770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[97] + NET la_data_in[97] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2363710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[98] + NET la_data_in[98] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2381650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[99] + NET la_data_in[99] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2399590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_in[9] + NET la_data_in[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 793730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[0] + NET la_data_out[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 639170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[100] + NET la_data_out[100] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2423050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[101] + NET la_data_out[101] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2440990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[102] + NET la_data_out[102] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2458930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[103] + NET la_data_out[103] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2476870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[104] + NET la_data_out[104] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2494810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[105] + NET la_data_out[105] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2512290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[106] + NET la_data_out[106] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2530230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[107] + NET la_data_out[107] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2548170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[108] + NET la_data_out[108] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2566110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[109] + NET la_data_out[109] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2584050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[10] + NET la_data_out[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 817650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[110] + NET la_data_out[110] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2601530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[111] + NET la_data_out[111] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2619470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[112] + NET la_data_out[112] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2637410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[113] + NET la_data_out[113] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2655350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[114] + NET la_data_out[114] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2672830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[115] + NET la_data_out[115] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2690770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[116] + NET la_data_out[116] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2708710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[117] + NET la_data_out[117] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2726650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[118] + NET la_data_out[118] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2744590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[119] + NET la_data_out[119] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2762070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[11] + NET la_data_out[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 835590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[120] + NET la_data_out[120] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2780010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[121] + NET la_data_out[121] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2797950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[122] + NET la_data_out[122] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2815890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[123] + NET la_data_out[123] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2833830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[124] + NET la_data_out[124] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2851310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[125] + NET la_data_out[125] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2869250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[126] + NET la_data_out[126] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2887190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[127] + NET la_data_out[127] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2905130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[12] + NET la_data_out[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 853070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[13] + NET la_data_out[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 871010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[14] + NET la_data_out[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 888950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[15] + NET la_data_out[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 906890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[16] + NET la_data_out[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 924370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[17] + NET la_data_out[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 942310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[18] + NET la_data_out[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 960250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[19] + NET la_data_out[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 978190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[1] + NET la_data_out[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 657110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[20] + NET la_data_out[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 996130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[21] + NET la_data_out[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1013610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[22] + NET la_data_out[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1031550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[23] + NET la_data_out[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1049490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[24] + NET la_data_out[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1067430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[25] + NET la_data_out[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1085370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[26] + NET la_data_out[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1102850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[27] + NET la_data_out[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1120790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[28] + NET la_data_out[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1138730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[29] + NET la_data_out[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1156670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[2] + NET la_data_out[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 674590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[30] + NET la_data_out[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1174150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[31] + NET la_data_out[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1192090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[32] + NET la_data_out[32] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1210030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[33] + NET la_data_out[33] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1227970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[34] + NET la_data_out[34] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1245910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[35] + NET la_data_out[35] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1263390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[36] + NET la_data_out[36] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1281330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[37] + NET la_data_out[37] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1299270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[38] + NET la_data_out[38] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1317210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[39] + NET la_data_out[39] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1335150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[3] + NET la_data_out[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 692530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[40] + NET la_data_out[40] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1352630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[41] + NET la_data_out[41] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1370570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[42] + NET la_data_out[42] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1388510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[43] + NET la_data_out[43] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1406450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[44] + NET la_data_out[44] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1423930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[45] + NET la_data_out[45] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1441870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[46] + NET la_data_out[46] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1459810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[47] + NET la_data_out[47] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1477750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[48] + NET la_data_out[48] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1495690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[49] + NET la_data_out[49] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1513170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[4] + NET la_data_out[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 710470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[50] + NET la_data_out[50] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1531110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[51] + NET la_data_out[51] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1549050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[52] + NET la_data_out[52] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1566990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[53] + NET la_data_out[53] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1584930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[54] + NET la_data_out[54] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1602410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[55] + NET la_data_out[55] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1620350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[56] + NET la_data_out[56] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1638290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[57] + NET la_data_out[57] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1656230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[58] + NET la_data_out[58] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1673710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[59] + NET la_data_out[59] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1691650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[5] + NET la_data_out[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 728410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[60] + NET la_data_out[60] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1709590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[61] + NET la_data_out[61] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1727530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[62] + NET la_data_out[62] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1745470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[63] + NET la_data_out[63] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1762950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[64] + NET la_data_out[64] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1780890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[65] + NET la_data_out[65] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1798830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[66] + NET la_data_out[66] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1816770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[67] + NET la_data_out[67] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1834710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[68] + NET la_data_out[68] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1852190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[69] + NET la_data_out[69] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1870130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[6] + NET la_data_out[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 746350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[70] + NET la_data_out[70] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1888070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[71] + NET la_data_out[71] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1906010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[72] + NET la_data_out[72] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1923490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[73] + NET la_data_out[73] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1941430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[74] + NET la_data_out[74] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1959370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[75] + NET la_data_out[75] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1977310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[76] + NET la_data_out[76] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1995250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[77] + NET la_data_out[77] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2012730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[78] + NET la_data_out[78] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2030670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[79] + NET la_data_out[79] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2048610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[7] + NET la_data_out[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 763830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[80] + NET la_data_out[80] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2066550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[81] + NET la_data_out[81] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2084490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[82] + NET la_data_out[82] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2101970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[83] + NET la_data_out[83] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2119910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[84] + NET la_data_out[84] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2137850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[85] + NET la_data_out[85] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2155790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[86] + NET la_data_out[86] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2173270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[87] + NET la_data_out[87] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2191210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[88] + NET la_data_out[88] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2209150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[89] + NET la_data_out[89] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2227090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[8] + NET la_data_out[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 781770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[90] + NET la_data_out[90] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2245030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[91] + NET la_data_out[91] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2262510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[92] + NET la_data_out[92] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2280450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[93] + NET la_data_out[93] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2298390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[94] + NET la_data_out[94] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2316330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[95] + NET la_data_out[95] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2334270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[96] + NET la_data_out[96] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2351750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[97] + NET la_data_out[97] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2369690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[98] + NET la_data_out[98] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2387630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[99] + NET la_data_out[99] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2405570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_data_out[9] + NET la_data_out[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 799710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[0] + NET la_oen[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 645150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[100] + NET la_oen[100] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2429030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[101] + NET la_oen[101] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2446970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[102] + NET la_oen[102] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2464910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[103] + NET la_oen[103] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2482850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[104] + NET la_oen[104] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2500790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[105] + NET la_oen[105] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2518270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[106] + NET la_oen[106] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2536210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[107] + NET la_oen[107] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2554150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[108] + NET la_oen[108] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2572090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[109] + NET la_oen[109] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2589570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[10] + NET la_oen[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 823630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[110] + NET la_oen[110] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2607510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[111] + NET la_oen[111] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2625450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[112] + NET la_oen[112] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2643390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[113] + NET la_oen[113] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2661330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[114] + NET la_oen[114] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2678810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[115] + NET la_oen[115] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2696750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[116] + NET la_oen[116] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2714690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[117] + NET la_oen[117] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2732630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[118] + NET la_oen[118] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2750570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[119] + NET la_oen[119] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2768050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[11] + NET la_oen[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 841110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[120] + NET la_oen[120] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2785990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[121] + NET la_oen[121] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2803930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[122] + NET la_oen[122] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2821870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[123] + NET la_oen[123] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2839350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[124] + NET la_oen[124] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2857290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[125] + NET la_oen[125] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2875230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[126] + NET la_oen[126] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2893170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[127] + NET la_oen[127] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2911110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[12] + NET la_oen[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 859050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[13] + NET la_oen[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 876990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[14] + NET la_oen[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 894930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[15] + NET la_oen[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 912870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[16] + NET la_oen[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 930350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[17] + NET la_oen[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 948290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[18] + NET la_oen[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 966230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[19] + NET la_oen[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 984170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[1] + NET la_oen[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 663090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[20] + NET la_oen[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1002110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[21] + NET la_oen[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1019590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[22] + NET la_oen[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1037530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[23] + NET la_oen[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1055470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[24] + NET la_oen[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1073410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[25] + NET la_oen[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1090890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[26] + NET la_oen[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1108830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[27] + NET la_oen[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1126770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[28] + NET la_oen[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1144710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[29] + NET la_oen[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1162650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[2] + NET la_oen[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 680570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[30] + NET la_oen[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1180130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[31] + NET la_oen[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1198070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[32] + NET la_oen[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1216010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[33] + NET la_oen[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1233950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[34] + NET la_oen[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1251890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[35] + NET la_oen[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1269370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[36] + NET la_oen[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1287310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[37] + NET la_oen[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1305250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[38] + NET la_oen[38] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1323190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[39] + NET la_oen[39] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1340670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[3] + NET la_oen[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 698510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[40] + NET la_oen[40] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1358610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[41] + NET la_oen[41] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1376550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[42] + NET la_oen[42] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1394490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[43] + NET la_oen[43] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1412430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[44] + NET la_oen[44] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1429910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[45] + NET la_oen[45] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1447850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[46] + NET la_oen[46] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1465790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[47] + NET la_oen[47] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1483730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[48] + NET la_oen[48] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1501670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[49] + NET la_oen[49] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1519150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[4] + NET la_oen[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 716450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[50] + NET la_oen[50] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1537090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[51] + NET la_oen[51] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1555030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[52] + NET la_oen[52] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1572970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[53] + NET la_oen[53] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1590450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[54] + NET la_oen[54] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1608390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[55] + NET la_oen[55] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1626330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[56] + NET la_oen[56] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1644270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[57] + NET la_oen[57] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1662210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[58] + NET la_oen[58] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1679690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[59] + NET la_oen[59] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1697630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[5] + NET la_oen[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 734390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[60] + NET la_oen[60] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1715570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[61] + NET la_oen[61] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1733510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[62] + NET la_oen[62] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1751450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[63] + NET la_oen[63] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1768930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[64] + NET la_oen[64] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1786870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[65] + NET la_oen[65] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1804810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[66] + NET la_oen[66] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1822750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[67] + NET la_oen[67] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1840230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[68] + NET la_oen[68] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1858170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[69] + NET la_oen[69] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1876110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[6] + NET la_oen[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 752330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[70] + NET la_oen[70] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1894050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[71] + NET la_oen[71] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1911990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[72] + NET la_oen[72] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1929470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[73] + NET la_oen[73] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1947410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[74] + NET la_oen[74] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1965350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[75] + NET la_oen[75] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1983290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[76] + NET la_oen[76] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2001230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[77] + NET la_oen[77] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2018710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[78] + NET la_oen[78] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2036650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[79] + NET la_oen[79] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2054590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[7] + NET la_oen[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 769810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[80] + NET la_oen[80] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2072530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[81] + NET la_oen[81] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2090010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[82] + NET la_oen[82] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2107950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[83] + NET la_oen[83] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2125890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[84] + NET la_oen[84] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2143830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[85] + NET la_oen[85] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2161770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[86] + NET la_oen[86] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2179250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[87] + NET la_oen[87] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2197190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[88] + NET la_oen[88] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2215130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[89] + NET la_oen[89] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2233070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[8] + NET la_oen[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 787750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[90] + NET la_oen[90] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2251010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[91] + NET la_oen[91] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2268490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[92] + NET la_oen[92] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2286430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[93] + NET la_oen[93] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2304370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[94] + NET la_oen[94] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2322310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[95] + NET la_oen[95] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2339790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[96] + NET la_oen[96] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2357730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[97] + NET la_oen[97] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2375670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[98] + NET la_oen[98] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2393610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[99] + NET la_oen[99] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2411550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - la_oen[9] + NET la_oen[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 805690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - user_clock2 + NET user_clock2 + DIRECTION INPUT + USE SIGNAL + PLACED ( 2917090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wb_clk_i + NET wb_clk_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 2990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wb_rst_i + NET wb_rst_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 8510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_ack_o + NET wbs_ack_o + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 14490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[0] + NET wbs_adr_i[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 38410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[10] + NET wbs_adr_i[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 240810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[11] + NET wbs_adr_i[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 258290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[12] + NET wbs_adr_i[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 276230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[13] + NET wbs_adr_i[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 294170 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[14] + NET wbs_adr_i[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 312110 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[15] + NET wbs_adr_i[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 330050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[16] + NET wbs_adr_i[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 347530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[17] + NET wbs_adr_i[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 365470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[18] + NET wbs_adr_i[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 383410 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[19] + NET wbs_adr_i[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 401350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[1] + NET wbs_adr_i[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 62330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[20] + NET wbs_adr_i[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 419290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[21] + NET wbs_adr_i[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 436770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[22] + NET wbs_adr_i[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 454710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[23] + NET wbs_adr_i[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 472650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[24] + NET wbs_adr_i[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 490590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[25] + NET wbs_adr_i[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 508070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[26] + NET wbs_adr_i[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 526010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[27] + NET wbs_adr_i[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 543950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[28] + NET wbs_adr_i[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 561890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[29] + NET wbs_adr_i[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 579830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[2] + NET wbs_adr_i[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 86250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[30] + NET wbs_adr_i[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 597310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[31] + NET wbs_adr_i[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 615250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[3] + NET wbs_adr_i[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 109710 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[4] + NET wbs_adr_i[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 133630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[5] + NET wbs_adr_i[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 151570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[6] + NET wbs_adr_i[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 169510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[7] + NET wbs_adr_i[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 186990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[8] + NET wbs_adr_i[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 204930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_adr_i[9] + NET wbs_adr_i[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 222870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_cyc_i + NET wbs_cyc_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 20470 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[0] + NET wbs_dat_i[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 44390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[10] + NET wbs_dat_i[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 246790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[11] + NET wbs_dat_i[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 264270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[12] + NET wbs_dat_i[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 282210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[13] + NET wbs_dat_i[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 300150 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[14] + NET wbs_dat_i[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 318090 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[15] + NET wbs_dat_i[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 336030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[16] + NET wbs_dat_i[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 353510 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[17] + NET wbs_dat_i[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 371450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[18] + NET wbs_dat_i[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 389390 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[19] + NET wbs_dat_i[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 407330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[1] + NET wbs_dat_i[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 68310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[20] + NET wbs_dat_i[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 424810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[21] + NET wbs_dat_i[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 442750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[22] + NET wbs_dat_i[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 460690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[23] + NET wbs_dat_i[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 478630 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[24] + NET wbs_dat_i[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 496570 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[25] + NET wbs_dat_i[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 514050 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[26] + NET wbs_dat_i[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 531990 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[27] + NET wbs_dat_i[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 549930 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[28] + NET wbs_dat_i[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 567870 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[29] + NET wbs_dat_i[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 585810 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[2] + NET wbs_dat_i[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 91770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[30] + NET wbs_dat_i[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 603290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[31] + NET wbs_dat_i[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 621230 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[3] + NET wbs_dat_i[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 115690 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[4] + NET wbs_dat_i[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 139610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[5] + NET wbs_dat_i[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 157550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[6] + NET wbs_dat_i[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 175030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[7] + NET wbs_dat_i[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 192970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[8] + NET wbs_dat_i[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 210910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_i[9] + NET wbs_dat_i[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 228850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[0] + NET wbs_dat_o[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 50370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[10] + NET wbs_dat_o[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 252770 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[11] + NET wbs_dat_o[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 270250 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[12] + NET wbs_dat_o[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 288190 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[13] + NET wbs_dat_o[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 306130 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[14] + NET wbs_dat_o[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 324070 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[15] + NET wbs_dat_o[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 341550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[16] + NET wbs_dat_o[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 359490 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[17] + NET wbs_dat_o[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 377430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[18] + NET wbs_dat_o[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 395370 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[19] + NET wbs_dat_o[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 413310 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[1] + NET wbs_dat_o[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 74290 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[20] + NET wbs_dat_o[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 430790 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[21] + NET wbs_dat_o[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 448730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[22] + NET wbs_dat_o[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 466670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[23] + NET wbs_dat_o[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 484610 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[24] + NET wbs_dat_o[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 502550 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[25] + NET wbs_dat_o[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 520030 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[26] + NET wbs_dat_o[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 537970 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[27] + NET wbs_dat_o[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 555910 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[28] + NET wbs_dat_o[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 573850 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[29] + NET wbs_dat_o[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 591330 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[2] + NET wbs_dat_o[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 97750 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[30] + NET wbs_dat_o[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 609270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[31] + NET wbs_dat_o[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 627210 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[3] + NET wbs_dat_o[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 121670 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[4] + NET wbs_dat_o[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 145590 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[5] + NET wbs_dat_o[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 163530 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[6] + NET wbs_dat_o[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 181010 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[7] + NET wbs_dat_o[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 198950 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[8] + NET wbs_dat_o[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 216890 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_dat_o[9] + NET wbs_dat_o[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 234830 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_sel_i[0] + NET wbs_sel_i[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 56350 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_sel_i[1] + NET wbs_sel_i[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 80270 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_sel_i[2] + NET wbs_sel_i[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 103730 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_sel_i[3] + NET wbs_sel_i[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 127650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_stb_i + NET wbs_stb_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 26450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - wbs_we_i + NET wbs_we_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 32430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - vccd1 + NET vccd1 + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -3120 ) N + LAYER met5 ( -1469790 -1500 ) ( 1469790 1500 ) ;
-    - vssd1 + NET vssd1 + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -7720 ) N + LAYER met5 ( -1474390 -1500 ) ( 1474390 1500 ) ;
-    - vccd2 + NET vccd2 + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -12320 ) N + LAYER met5 ( -1478990 -1500 ) ( 1478990 1500 ) ;
-    - vssd2 + NET vssd2 + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -16920 ) N + LAYER met5 ( -1483590 -1500 ) ( 1483590 1500 ) ;
-    - vdda1 + NET vdda1 + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -21520 ) N + LAYER met5 ( -1488190 -1500 ) ( 1488190 1500 ) ;
-    - vssa1 + NET vssa1 + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -26120 ) N + LAYER met5 ( -1492790 -1500 ) ( 1492790 1500 ) ;
-    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -30720 ) N + LAYER met5 ( -1497390 -1500 ) ( 1497390 1500 ) ;
-    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -35320 ) N + LAYER met5 ( -1501990 -1500 ) ( 1501990 1500 ) ;
-END PINS
-SPECIALNETS 8 ;
-    - vccd1 ( PIN vccd1 ) + USE POWER
-      + ROUTED met4 0 + SHAPE STRIPE ( 2928100 3522800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2885520 3522800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2705520 3522800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2525520 3522800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2345520 3522800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2165520 3522800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1985520 3522800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1805520 3522800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1625520 3522800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1445520 3522800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1265520 3522800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1085520 3522800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 905520 3522800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 725520 3522800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 545520 3522800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 365520 3522800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 185520 3522800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 5520 3522800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -8480 3522800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2928100 3430880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -8480 3430880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2928100 3250880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -8480 3250880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2928100 3070880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -8480 3070880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2928100 2890880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -8480 2890880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2928100 2710880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -8480 2710880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2928100 2530880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -8480 2530880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2928100 2350880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -8480 2350880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2928100 2170880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -8480 2170880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2928100 1990880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -8480 1990880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2928100 1810880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -8480 1810880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2928100 1630880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -8480 1630880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2928100 1450880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -8480 1450880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2928100 1270880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -8480 1270880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2928100 1090880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -8480 1090880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2928100 910880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -8480 910880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2928100 730880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -8480 730880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2928100 550880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -8480 550880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2928100 370880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -8480 370880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2928100 190880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -8480 190880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2928100 10880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -8480 10880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2928100 -3120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2885520 -3120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2705520 -3120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2525520 -3120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2345520 -3120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2165520 -3120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1985520 -3120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1805520 -3120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1625520 -3120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1445520 -3120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1265520 -3120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1085520 -3120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 905520 -3120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 725520 -3120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 545520 -3120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 365520 -3120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 185520 -3120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 5520 -3120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -8480 -3120 ) via4_3000x3000
-      NEW met5 3000 + SHAPE STRIPE ( -9980 3522800 ) ( 2929600 3522800 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3430880 ) ( 2934200 3430880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 3430880 ) ( 2400 3430880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3250880 ) ( 2934200 3250880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 3250880 ) ( 2400 3250880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3070880 ) ( 2934200 3070880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 3070880 ) ( 2400 3070880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2890880 ) ( 2934200 2890880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2890880 ) ( 2400 2890880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2710880 ) ( 2934200 2710880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2710880 ) ( 2400 2710880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2530880 ) ( 2934200 2530880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2530880 ) ( 2400 2530880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2350880 ) ( 2934200 2350880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2350880 ) ( 2400 2350880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2170880 ) ( 2934200 2170880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2170880 ) ( 2400 2170880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1990880 ) ( 2934200 1990880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1990880 ) ( 2400 1990880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1810880 ) ( 2934200 1810880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1810880 ) ( 2400 1810880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1630880 ) ( 2934200 1630880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1630880 ) ( 2400 1630880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1450880 ) ( 2934200 1450880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1450880 ) ( 2400 1450880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1270880 ) ( 2934200 1270880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1270880 ) ( 2400 1270880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1090880 ) ( 2934200 1090880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1090880 ) ( 2400 1090880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 910880 ) ( 2934200 910880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 910880 ) ( 2400 910880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 730880 ) ( 2934200 730880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 730880 ) ( 2400 730880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 550880 ) ( 2934200 550880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 550880 ) ( 2400 550880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 370880 ) ( 2934200 370880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 370880 ) ( 2400 370880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 190880 ) ( 2934200 190880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 190880 ) ( 2400 190880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 10880 ) ( 2934200 10880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 10880 ) ( 2400 10880 )
-      NEW met5 3000 + SHAPE STRIPE ( -9980 -3120 ) ( 2929600 -3120 )
-      NEW met4 3000 + SHAPE STRIPE ( 2885520 3517600 ) ( 2885520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2705520 3517600 ) ( 2705520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2525520 3517600 ) ( 2525520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2345520 3517600 ) ( 2345520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2165520 3517600 ) ( 2165520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1985520 3517600 ) ( 1985520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1805520 3517600 ) ( 1805520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1625520 3517600 ) ( 1625520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1445520 3517600 ) ( 1445520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1265520 3517600 ) ( 1265520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1085520 3517600 ) ( 1085520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 905520 3517600 ) ( 905520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 725520 3517600 ) ( 725520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 545520 3517600 ) ( 545520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 365520 3517600 ) ( 365520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 185520 3517600 ) ( 185520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 5520 3517600 ) ( 5520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2928100 -4620 ) ( 2928100 3524300 )
-      NEW met4 3000 + SHAPE STRIPE ( -8480 -4620 ) ( -8480 3524300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2885520 -9220 ) ( 2885520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2705520 -9220 ) ( 2705520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2525520 -9220 ) ( 2525520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2345520 -9220 ) ( 2345520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2165520 -9220 ) ( 2165520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1985520 -9220 ) ( 1985520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1805520 -9220 ) ( 1805520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1625520 -9220 ) ( 1625520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1445520 -9220 ) ( 1445520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1265520 -9220 ) ( 1265520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1085520 -9220 ) ( 1085520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 905520 -9220 ) ( 905520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 725520 -9220 ) ( 725520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 545520 -9220 ) ( 545520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 365520 -9220 ) ( 365520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 185520 -9220 ) ( 185520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 5520 -9220 ) ( 5520 2400 ) ;
-    - vssd1 ( PIN vssd1 ) + USE GROUND
-      + ROUTED met4 0 + SHAPE STRIPE ( 2932700 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2795520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2615520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2435520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2255520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2075520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1895520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1715520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1535520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1355520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1175520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 995520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 815520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 635520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 455520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 275520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 95520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 3340880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 3340880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 3160880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 3160880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 2980880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 2980880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 2800880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 2800880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 2620880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 2620880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 2440880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 2440880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 2260880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 2260880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 2080880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 2080880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 1900880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 1900880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 1720880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 1720880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 1540880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 1540880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 1360880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 1360880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 1180880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 1180880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 1000880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 1000880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 820880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 820880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 640880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 640880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 460880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 460880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 280880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 280880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 100880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 100880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2795520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2615520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2435520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2255520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2075520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1895520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1715520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1535520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1355520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1175520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 995520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 815520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 635520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 455520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 275520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 95520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 -7720 ) via4_3000x3000
-      NEW met5 3000 + SHAPE STRIPE ( -14580 3527400 ) ( 2934200 3527400 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3340880 ) ( 2934200 3340880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 3340880 ) ( 2400 3340880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3160880 ) ( 2934200 3160880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 3160880 ) ( 2400 3160880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2980880 ) ( 2934200 2980880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2980880 ) ( 2400 2980880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2800880 ) ( 2934200 2800880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2800880 ) ( 2400 2800880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2620880 ) ( 2934200 2620880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2620880 ) ( 2400 2620880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2440880 ) ( 2934200 2440880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2440880 ) ( 2400 2440880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2260880 ) ( 2934200 2260880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2260880 ) ( 2400 2260880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2080880 ) ( 2934200 2080880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2080880 ) ( 2400 2080880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1900880 ) ( 2934200 1900880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1900880 ) ( 2400 1900880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1720880 ) ( 2934200 1720880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1720880 ) ( 2400 1720880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1540880 ) ( 2934200 1540880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1540880 ) ( 2400 1540880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1360880 ) ( 2934200 1360880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1360880 ) ( 2400 1360880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1180880 ) ( 2934200 1180880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1180880 ) ( 2400 1180880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1000880 ) ( 2934200 1000880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1000880 ) ( 2400 1000880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 820880 ) ( 2934200 820880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 820880 ) ( 2400 820880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 640880 ) ( 2934200 640880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 640880 ) ( 2400 640880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 460880 ) ( 2934200 460880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 460880 ) ( 2400 460880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 280880 ) ( 2934200 280880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 280880 ) ( 2400 280880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 100880 ) ( 2934200 100880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 100880 ) ( 2400 100880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 -7720 ) ( 2934200 -7720 )
-      NEW met4 3000 + SHAPE STRIPE ( 2932700 -9220 ) ( 2932700 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2795520 3517600 ) ( 2795520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2615520 3517600 ) ( 2615520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2435520 3517600 ) ( 2435520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2255520 3517600 ) ( 2255520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2075520 3517600 ) ( 2075520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1895520 3517600 ) ( 1895520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1715520 3517600 ) ( 1715520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1535520 3517600 ) ( 1535520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1355520 3517600 ) ( 1355520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1175520 3517600 ) ( 1175520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 995520 3517600 ) ( 995520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 815520 3517600 ) ( 815520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 635520 3517600 ) ( 635520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 455520 3517600 ) ( 455520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 275520 3517600 ) ( 275520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 95520 3517600 ) ( 95520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( -13080 -9220 ) ( -13080 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2795520 -9220 ) ( 2795520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2615520 -9220 ) ( 2615520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2435520 -9220 ) ( 2435520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2255520 -9220 ) ( 2255520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2075520 -9220 ) ( 2075520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1895520 -9220 ) ( 1895520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1715520 -9220 ) ( 1715520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1535520 -9220 ) ( 1535520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1355520 -9220 ) ( 1355520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1175520 -9220 ) ( 1175520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 995520 -9220 ) ( 995520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 815520 -9220 ) ( 815520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 635520 -9220 ) ( 635520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 455520 -9220 ) ( 455520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 275520 -9220 ) ( 275520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 95520 -9220 ) ( 95520 2400 ) ;
-    - vccd2 ( PIN vccd2 ) + USE POWER
-      + ROUTED met4 0 + SHAPE STRIPE ( 2937300 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2903520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2723520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2543520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2363520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2183520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2003520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1823520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1643520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1463520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1283520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1103520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 923520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 743520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 563520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 383520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 203520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 23520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 3448880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 3448880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 3268880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 3268880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 3088880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 3088880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 2908880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 2908880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 2728880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 2728880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 2548880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 2548880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 2368880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 2368880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 2188880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 2188880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 2008880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 2008880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 1828880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 1828880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 1648880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 1648880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 1468880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 1468880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 1288880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 1288880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 1108880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 1108880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 928880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 928880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 748880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 748880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 568880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 568880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 388880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 388880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 208880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 208880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 28880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 28880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2903520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2723520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2543520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2363520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2183520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2003520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1823520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1643520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1463520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1283520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1103520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 923520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 743520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 563520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 383520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 203520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 23520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 -12320 ) via4_3000x3000
-      NEW met5 3000 + SHAPE STRIPE ( -19180 3532000 ) ( 2938800 3532000 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3448880 ) ( 2943400 3448880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 3448880 ) ( 2400 3448880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3268880 ) ( 2943400 3268880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 3268880 ) ( 2400 3268880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3088880 ) ( 2943400 3088880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 3088880 ) ( 2400 3088880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2908880 ) ( 2943400 2908880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2908880 ) ( 2400 2908880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2728880 ) ( 2943400 2728880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2728880 ) ( 2400 2728880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2548880 ) ( 2943400 2548880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2548880 ) ( 2400 2548880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2368880 ) ( 2943400 2368880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2368880 ) ( 2400 2368880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2188880 ) ( 2943400 2188880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2188880 ) ( 2400 2188880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2008880 ) ( 2943400 2008880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2008880 ) ( 2400 2008880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1828880 ) ( 2943400 1828880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1828880 ) ( 2400 1828880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1648880 ) ( 2943400 1648880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1648880 ) ( 2400 1648880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1468880 ) ( 2943400 1468880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1468880 ) ( 2400 1468880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1288880 ) ( 2943400 1288880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1288880 ) ( 2400 1288880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1108880 ) ( 2943400 1108880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1108880 ) ( 2400 1108880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 928880 ) ( 2943400 928880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 928880 ) ( 2400 928880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 748880 ) ( 2943400 748880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 748880 ) ( 2400 748880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 568880 ) ( 2943400 568880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 568880 ) ( 2400 568880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 388880 ) ( 2943400 388880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 388880 ) ( 2400 388880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 208880 ) ( 2943400 208880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 208880 ) ( 2400 208880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 28880 ) ( 2943400 28880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 28880 ) ( 2400 28880 )
-      NEW met5 3000 + SHAPE STRIPE ( -19180 -12320 ) ( 2938800 -12320 )
-      NEW met4 3000 + SHAPE STRIPE ( 2903520 3517600 ) ( 2903520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2723520 3517600 ) ( 2723520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2543520 3517600 ) ( 2543520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2363520 3517600 ) ( 2363520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2183520 3517600 ) ( 2183520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2003520 3517600 ) ( 2003520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1823520 3517600 ) ( 1823520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1643520 3517600 ) ( 1643520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1463520 3517600 ) ( 1463520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1283520 3517600 ) ( 1283520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1103520 3517600 ) ( 1103520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 923520 3517600 ) ( 923520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 743520 3517600 ) ( 743520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 563520 3517600 ) ( 563520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 383520 3517600 ) ( 383520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 203520 3517600 ) ( 203520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 23520 3517600 ) ( 23520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2937300 -13820 ) ( 2937300 3533500 )
-      NEW met4 3000 + SHAPE STRIPE ( -17680 -13820 ) ( -17680 3533500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2903520 -18420 ) ( 2903520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2723520 -18420 ) ( 2723520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2543520 -18420 ) ( 2543520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2363520 -18420 ) ( 2363520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2183520 -18420 ) ( 2183520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2003520 -18420 ) ( 2003520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1823520 -18420 ) ( 1823520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1643520 -18420 ) ( 1643520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1463520 -18420 ) ( 1463520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1283520 -18420 ) ( 1283520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1103520 -18420 ) ( 1103520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 923520 -18420 ) ( 923520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 743520 -18420 ) ( 743520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 563520 -18420 ) ( 563520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 383520 -18420 ) ( 383520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 203520 -18420 ) ( 203520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 23520 -18420 ) ( 23520 2400 ) ;
-    - vssd2 ( PIN vssd2 ) + USE GROUND
-      + ROUTED met4 0 + SHAPE STRIPE ( 2941900 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2813520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2633520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2453520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2273520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2093520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1913520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1733520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1553520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1373520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1193520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1013520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 833520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 653520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 473520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 293520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 113520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 3358880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 3358880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 3178880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 3178880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 2998880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 2998880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 2818880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 2818880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 2638880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 2638880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 2458880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 2458880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 2278880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 2278880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 2098880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 2098880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 1918880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 1918880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 1738880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 1738880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 1558880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 1558880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 1378880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 1378880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 1198880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 1198880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 1018880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 1018880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 838880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 838880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 658880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 658880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 478880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 478880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 298880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 298880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 118880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 118880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2813520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2633520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2453520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2273520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2093520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1913520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1733520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1553520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1373520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1193520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1013520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 833520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 653520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 473520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 293520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 113520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 -16920 ) via4_3000x3000
-      NEW met5 3000 + SHAPE STRIPE ( -23780 3536600 ) ( 2943400 3536600 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3358880 ) ( 2943400 3358880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 3358880 ) ( 2400 3358880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3178880 ) ( 2943400 3178880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 3178880 ) ( 2400 3178880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2998880 ) ( 2943400 2998880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2998880 ) ( 2400 2998880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2818880 ) ( 2943400 2818880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2818880 ) ( 2400 2818880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2638880 ) ( 2943400 2638880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2638880 ) ( 2400 2638880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2458880 ) ( 2943400 2458880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2458880 ) ( 2400 2458880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2278880 ) ( 2943400 2278880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2278880 ) ( 2400 2278880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2098880 ) ( 2943400 2098880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2098880 ) ( 2400 2098880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1918880 ) ( 2943400 1918880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1918880 ) ( 2400 1918880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1738880 ) ( 2943400 1738880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1738880 ) ( 2400 1738880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1558880 ) ( 2943400 1558880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1558880 ) ( 2400 1558880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1378880 ) ( 2943400 1378880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1378880 ) ( 2400 1378880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1198880 ) ( 2943400 1198880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1198880 ) ( 2400 1198880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1018880 ) ( 2943400 1018880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1018880 ) ( 2400 1018880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 838880 ) ( 2943400 838880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 838880 ) ( 2400 838880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 658880 ) ( 2943400 658880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 658880 ) ( 2400 658880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 478880 ) ( 2943400 478880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 478880 ) ( 2400 478880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 298880 ) ( 2943400 298880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 298880 ) ( 2400 298880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 118880 ) ( 2943400 118880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 118880 ) ( 2400 118880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 -16920 ) ( 2943400 -16920 )
-      NEW met4 3000 + SHAPE STRIPE ( 2941900 -18420 ) ( 2941900 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2813520 3517600 ) ( 2813520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2633520 3517600 ) ( 2633520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2453520 3517600 ) ( 2453520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2273520 3517600 ) ( 2273520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2093520 3517600 ) ( 2093520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1913520 3517600 ) ( 1913520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1733520 3517600 ) ( 1733520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1553520 3517600 ) ( 1553520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1373520 3517600 ) ( 1373520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1193520 3517600 ) ( 1193520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1013520 3517600 ) ( 1013520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 833520 3517600 ) ( 833520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 653520 3517600 ) ( 653520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 473520 3517600 ) ( 473520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 293520 3517600 ) ( 293520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 113520 3517600 ) ( 113520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( -22280 -18420 ) ( -22280 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2813520 -18420 ) ( 2813520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2633520 -18420 ) ( 2633520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2453520 -18420 ) ( 2453520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2273520 -18420 ) ( 2273520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2093520 -18420 ) ( 2093520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1913520 -18420 ) ( 1913520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1733520 -18420 ) ( 1733520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1553520 -18420 ) ( 1553520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1373520 -18420 ) ( 1373520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1193520 -18420 ) ( 1193520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1013520 -18420 ) ( 1013520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 833520 -18420 ) ( 833520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 653520 -18420 ) ( 653520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 473520 -18420 ) ( 473520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 293520 -18420 ) ( 293520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 113520 -18420 ) ( 113520 2400 ) ;
-    - vdda1 ( PIN vdda1 ) + USE POWER
-      + ROUTED met4 0 + SHAPE STRIPE ( 2946500 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2741520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2561520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2381520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2201520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2021520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1841520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1661520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1481520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1301520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1121520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 941520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 761520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 581520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 401520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 221520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 41520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 3466880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 3466880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 3286880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 3286880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 3106880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 3106880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 2926880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 2926880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 2746880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 2746880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 2566880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 2566880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 2386880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 2386880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 2206880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 2206880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 2026880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 2026880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 1846880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 1846880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 1666880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 1666880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 1486880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 1486880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 1306880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 1306880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 1126880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 1126880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 946880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 946880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 766880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 766880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 586880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 586880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 406880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 406880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 226880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 226880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 46880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 46880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2741520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2561520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2381520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2201520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2021520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1841520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1661520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1481520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1301520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1121520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 941520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 761520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 581520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 401520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 221520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 41520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 -21520 ) via4_3000x3000
-      NEW met5 3000 + SHAPE STRIPE ( -28380 3541200 ) ( 2948000 3541200 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3466880 ) ( 2952600 3466880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 3466880 ) ( 2400 3466880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3286880 ) ( 2952600 3286880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 3286880 ) ( 2400 3286880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3106880 ) ( 2952600 3106880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 3106880 ) ( 2400 3106880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2926880 ) ( 2952600 2926880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2926880 ) ( 2400 2926880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2746880 ) ( 2952600 2746880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2746880 ) ( 2400 2746880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2566880 ) ( 2952600 2566880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2566880 ) ( 2400 2566880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2386880 ) ( 2952600 2386880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2386880 ) ( 2400 2386880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2206880 ) ( 2952600 2206880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2206880 ) ( 2400 2206880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2026880 ) ( 2952600 2026880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2026880 ) ( 2400 2026880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1846880 ) ( 2952600 1846880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1846880 ) ( 2400 1846880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1666880 ) ( 2952600 1666880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1666880 ) ( 2400 1666880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1486880 ) ( 2952600 1486880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1486880 ) ( 2400 1486880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1306880 ) ( 2952600 1306880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1306880 ) ( 2400 1306880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1126880 ) ( 2952600 1126880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1126880 ) ( 2400 1126880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 946880 ) ( 2952600 946880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 946880 ) ( 2400 946880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 766880 ) ( 2952600 766880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 766880 ) ( 2400 766880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 586880 ) ( 2952600 586880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 586880 ) ( 2400 586880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 406880 ) ( 2952600 406880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 406880 ) ( 2400 406880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 226880 ) ( 2952600 226880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 226880 ) ( 2400 226880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 46880 ) ( 2952600 46880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 46880 ) ( 2400 46880 )
-      NEW met5 3000 + SHAPE STRIPE ( -28380 -21520 ) ( 2948000 -21520 )
-      NEW met4 3000 + SHAPE STRIPE ( 2741520 3517600 ) ( 2741520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2561520 3517600 ) ( 2561520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2381520 3517600 ) ( 2381520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2201520 3517600 ) ( 2201520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2021520 3517600 ) ( 2021520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1841520 3517600 ) ( 1841520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1661520 3517600 ) ( 1661520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1481520 3517600 ) ( 1481520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1301520 3517600 ) ( 1301520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1121520 3517600 ) ( 1121520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 941520 3517600 ) ( 941520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 761520 3517600 ) ( 761520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 581520 3517600 ) ( 581520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 401520 3517600 ) ( 401520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 221520 3517600 ) ( 221520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 41520 3517600 ) ( 41520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2946500 -23020 ) ( 2946500 3542700 )
-      NEW met4 3000 + SHAPE STRIPE ( -26880 -23020 ) ( -26880 3542700 )
-      NEW met4 3000 + SHAPE STRIPE ( 2741520 -27620 ) ( 2741520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2561520 -27620 ) ( 2561520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2381520 -27620 ) ( 2381520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2201520 -27620 ) ( 2201520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2021520 -27620 ) ( 2021520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1841520 -27620 ) ( 1841520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1661520 -27620 ) ( 1661520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1481520 -27620 ) ( 1481520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1301520 -27620 ) ( 1301520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1121520 -27620 ) ( 1121520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 941520 -27620 ) ( 941520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 761520 -27620 ) ( 761520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 581520 -27620 ) ( 581520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 401520 -27620 ) ( 401520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 221520 -27620 ) ( 221520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 41520 -27620 ) ( 41520 2400 ) ;
-    - vssa1 ( PIN vssa1 ) + USE GROUND
-      + ROUTED met4 0 + SHAPE STRIPE ( 2951100 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2831520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2651520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2471520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2291520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2111520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1931520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1751520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1571520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1391520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1211520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1031520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 851520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 671520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 491520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 311520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 131520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 3376880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 3376880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 3196880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 3196880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 3016880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 3016880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 2836880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 2836880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 2656880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 2656880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 2476880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 2476880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 2296880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 2296880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 2116880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 2116880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 1936880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 1936880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 1756880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 1756880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 1576880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 1576880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 1396880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 1396880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 1216880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 1216880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 1036880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 1036880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 856880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 856880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 676880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 676880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 496880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 496880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 316880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 316880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 136880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 136880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2831520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2651520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2471520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2291520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2111520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1931520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1751520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1571520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1391520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1211520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1031520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 851520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 671520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 491520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 311520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 131520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 -26120 ) via4_3000x3000
-      NEW met5 3000 + SHAPE STRIPE ( -32980 3545800 ) ( 2952600 3545800 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3376880 ) ( 2952600 3376880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 3376880 ) ( 2400 3376880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3196880 ) ( 2952600 3196880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 3196880 ) ( 2400 3196880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3016880 ) ( 2952600 3016880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 3016880 ) ( 2400 3016880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2836880 ) ( 2952600 2836880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2836880 ) ( 2400 2836880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2656880 ) ( 2952600 2656880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2656880 ) ( 2400 2656880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2476880 ) ( 2952600 2476880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2476880 ) ( 2400 2476880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2296880 ) ( 2952600 2296880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2296880 ) ( 2400 2296880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2116880 ) ( 2952600 2116880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2116880 ) ( 2400 2116880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1936880 ) ( 2952600 1936880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1936880 ) ( 2400 1936880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1756880 ) ( 2952600 1756880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1756880 ) ( 2400 1756880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1576880 ) ( 2952600 1576880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1576880 ) ( 2400 1576880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1396880 ) ( 2952600 1396880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1396880 ) ( 2400 1396880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1216880 ) ( 2952600 1216880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1216880 ) ( 2400 1216880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1036880 ) ( 2952600 1036880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1036880 ) ( 2400 1036880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 856880 ) ( 2952600 856880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 856880 ) ( 2400 856880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 676880 ) ( 2952600 676880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 676880 ) ( 2400 676880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 496880 ) ( 2952600 496880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 496880 ) ( 2400 496880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 316880 ) ( 2952600 316880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 316880 ) ( 2400 316880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 136880 ) ( 2952600 136880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 136880 ) ( 2400 136880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 -26120 ) ( 2952600 -26120 )
-      NEW met4 3000 + SHAPE STRIPE ( 2951100 -27620 ) ( 2951100 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2831520 3517600 ) ( 2831520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2651520 3517600 ) ( 2651520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2471520 3517600 ) ( 2471520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2291520 3517600 ) ( 2291520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2111520 3517600 ) ( 2111520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1931520 3517600 ) ( 1931520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1751520 3517600 ) ( 1751520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1571520 3517600 ) ( 1571520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1391520 3517600 ) ( 1391520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1211520 3517600 ) ( 1211520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1031520 3517600 ) ( 1031520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 851520 3517600 ) ( 851520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 671520 3517600 ) ( 671520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 491520 3517600 ) ( 491520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 311520 3517600 ) ( 311520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 131520 3517600 ) ( 131520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( -31480 -27620 ) ( -31480 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2831520 -27620 ) ( 2831520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2651520 -27620 ) ( 2651520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2471520 -27620 ) ( 2471520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2291520 -27620 ) ( 2291520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2111520 -27620 ) ( 2111520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1931520 -27620 ) ( 1931520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1751520 -27620 ) ( 1751520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1571520 -27620 ) ( 1571520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1391520 -27620 ) ( 1391520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1211520 -27620 ) ( 1211520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1031520 -27620 ) ( 1031520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 851520 -27620 ) ( 851520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 671520 -27620 ) ( 671520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 491520 -27620 ) ( 491520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 311520 -27620 ) ( 311520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 131520 -27620 ) ( 131520 2400 ) ;
-    - vdda2 ( PIN vdda2 ) + USE POWER
-      + ROUTED met4 0 + SHAPE STRIPE ( 2955700 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2759520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2579520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2399520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2219520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2039520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1859520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1679520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1499520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1319520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1139520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 959520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 779520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 599520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 419520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 239520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 59520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 3484880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 3484880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 3304880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 3304880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 3124880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 3124880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 2944880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 2944880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 2764880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 2764880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 2584880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 2584880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 2404880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 2404880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 2224880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 2224880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 2044880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 2044880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 1864880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 1864880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 1684880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 1684880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 1504880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 1504880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 1324880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 1324880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 1144880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 1144880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 964880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 964880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 784880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 784880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 604880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 604880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 424880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 424880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 244880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 244880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 64880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 64880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2759520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2579520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2399520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2219520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2039520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1859520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1679520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1499520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1319520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1139520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 959520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 779520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 599520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 419520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 239520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 59520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 -30720 ) via4_3000x3000
-      NEW met5 3000 + SHAPE STRIPE ( -37580 3550400 ) ( 2957200 3550400 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3484880 ) ( 2961800 3484880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 3484880 ) ( 2400 3484880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3304880 ) ( 2961800 3304880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 3304880 ) ( 2400 3304880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3124880 ) ( 2961800 3124880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 3124880 ) ( 2400 3124880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2944880 ) ( 2961800 2944880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2944880 ) ( 2400 2944880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2764880 ) ( 2961800 2764880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2764880 ) ( 2400 2764880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2584880 ) ( 2961800 2584880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2584880 ) ( 2400 2584880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2404880 ) ( 2961800 2404880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2404880 ) ( 2400 2404880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2224880 ) ( 2961800 2224880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2224880 ) ( 2400 2224880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2044880 ) ( 2961800 2044880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2044880 ) ( 2400 2044880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1864880 ) ( 2961800 1864880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1864880 ) ( 2400 1864880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1684880 ) ( 2961800 1684880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1684880 ) ( 2400 1684880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1504880 ) ( 2961800 1504880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1504880 ) ( 2400 1504880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1324880 ) ( 2961800 1324880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1324880 ) ( 2400 1324880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1144880 ) ( 2961800 1144880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1144880 ) ( 2400 1144880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 964880 ) ( 2961800 964880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 964880 ) ( 2400 964880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 784880 ) ( 2961800 784880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 784880 ) ( 2400 784880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 604880 ) ( 2961800 604880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 604880 ) ( 2400 604880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 424880 ) ( 2961800 424880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 424880 ) ( 2400 424880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 244880 ) ( 2961800 244880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 244880 ) ( 2400 244880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 64880 ) ( 2961800 64880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 64880 ) ( 2400 64880 )
-      NEW met5 3000 + SHAPE STRIPE ( -37580 -30720 ) ( 2957200 -30720 )
-      NEW met4 3000 + SHAPE STRIPE ( 2759520 3517600 ) ( 2759520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2579520 3517600 ) ( 2579520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2399520 3517600 ) ( 2399520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2219520 3517600 ) ( 2219520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2039520 3517600 ) ( 2039520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1859520 3517600 ) ( 1859520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1679520 3517600 ) ( 1679520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1499520 3517600 ) ( 1499520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1319520 3517600 ) ( 1319520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1139520 3517600 ) ( 1139520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 959520 3517600 ) ( 959520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 779520 3517600 ) ( 779520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 599520 3517600 ) ( 599520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 419520 3517600 ) ( 419520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 239520 3517600 ) ( 239520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 59520 3517600 ) ( 59520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2955700 -32220 ) ( 2955700 3551900 )
-      NEW met4 3000 + SHAPE STRIPE ( -36080 -32220 ) ( -36080 3551900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2759520 -36820 ) ( 2759520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2579520 -36820 ) ( 2579520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2399520 -36820 ) ( 2399520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2219520 -36820 ) ( 2219520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2039520 -36820 ) ( 2039520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1859520 -36820 ) ( 1859520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1679520 -36820 ) ( 1679520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1499520 -36820 ) ( 1499520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1319520 -36820 ) ( 1319520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1139520 -36820 ) ( 1139520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 959520 -36820 ) ( 959520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 779520 -36820 ) ( 779520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 599520 -36820 ) ( 599520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 419520 -36820 ) ( 419520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 239520 -36820 ) ( 239520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 59520 -36820 ) ( 59520 2400 ) ;
-    - vssa2 ( PIN vssa2 ) + USE GROUND
-      + ROUTED met4 0 + SHAPE STRIPE ( 2960300 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2849520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2669520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2489520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2309520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2129520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1949520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1769520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1589520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1409520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1229520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1049520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 869520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 689520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 509520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 329520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 149520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 3394880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 3394880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 3214880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 3214880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 3034880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 3034880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 2854880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 2854880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 2674880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 2674880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 2494880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 2494880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 2314880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 2314880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 2134880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 2134880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 1954880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 1954880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 1774880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 1774880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 1594880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 1594880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 1414880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 1414880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 1234880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 1234880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 1054880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 1054880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 874880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 874880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 694880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 694880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 514880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 514880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 334880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 334880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 154880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 154880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2849520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2669520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2489520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2309520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2129520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1949520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1769520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1589520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1409520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1229520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1049520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 869520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 689520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 509520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 329520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 149520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 -35320 ) via4_3000x3000
-      NEW met5 3000 + SHAPE STRIPE ( -42180 3555000 ) ( 2961800 3555000 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3394880 ) ( 2961800 3394880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 3394880 ) ( 2400 3394880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3214880 ) ( 2961800 3214880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 3214880 ) ( 2400 3214880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3034880 ) ( 2961800 3034880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 3034880 ) ( 2400 3034880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2854880 ) ( 2961800 2854880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2854880 ) ( 2400 2854880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2674880 ) ( 2961800 2674880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2674880 ) ( 2400 2674880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2494880 ) ( 2961800 2494880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2494880 ) ( 2400 2494880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2314880 ) ( 2961800 2314880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2314880 ) ( 2400 2314880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2134880 ) ( 2961800 2134880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2134880 ) ( 2400 2134880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1954880 ) ( 2961800 1954880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1954880 ) ( 2400 1954880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1774880 ) ( 2961800 1774880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1774880 ) ( 2400 1774880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1594880 ) ( 2961800 1594880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1594880 ) ( 2400 1594880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1414880 ) ( 2961800 1414880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1414880 ) ( 2400 1414880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1234880 ) ( 2961800 1234880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1234880 ) ( 2400 1234880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1054880 ) ( 2961800 1054880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1054880 ) ( 2400 1054880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 874880 ) ( 2961800 874880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 874880 ) ( 2400 874880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 694880 ) ( 2961800 694880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 694880 ) ( 2400 694880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 514880 ) ( 2961800 514880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 514880 ) ( 2400 514880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 334880 ) ( 2961800 334880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 334880 ) ( 2400 334880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 154880 ) ( 2961800 154880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 154880 ) ( 2400 154880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 -35320 ) ( 2961800 -35320 )
-      NEW met4 3000 + SHAPE STRIPE ( 2960300 -36820 ) ( 2960300 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2849520 3517600 ) ( 2849520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2669520 3517600 ) ( 2669520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2489520 3517600 ) ( 2489520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2309520 3517600 ) ( 2309520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2129520 3517600 ) ( 2129520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1949520 3517600 ) ( 1949520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1769520 3517600 ) ( 1769520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1589520 3517600 ) ( 1589520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1409520 3517600 ) ( 1409520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1229520 3517600 ) ( 1229520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1049520 3517600 ) ( 1049520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 869520 3517600 ) ( 869520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 689520 3517600 ) ( 689520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 509520 3517600 ) ( 509520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 329520 3517600 ) ( 329520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 149520 3517600 ) ( 149520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( -40680 -36820 ) ( -40680 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2849520 -36820 ) ( 2849520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2669520 -36820 ) ( 2669520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2489520 -36820 ) ( 2489520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2309520 -36820 ) ( 2309520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2129520 -36820 ) ( 2129520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1949520 -36820 ) ( 1949520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1769520 -36820 ) ( 1769520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1589520 -36820 ) ( 1589520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1409520 -36820 ) ( 1409520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1229520 -36820 ) ( 1229520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1049520 -36820 ) ( 1049520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 869520 -36820 ) ( 869520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 689520 -36820 ) ( 689520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 509520 -36820 ) ( 509520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 329520 -36820 ) ( 329520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 149520 -36820 ) ( 149520 2400 ) ;
-END SPECIALNETS
-END DESIGN
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/verilog2def_openroad.def b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/verilog2def_openroad.def
deleted file mode 100644
index 2b64434..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/floorplan/verilog2def_openroad.def
+++ /dev/null
@@ -1,2584 +0,0 @@
-VERSION 5.8 ;
-DIVIDERCHAR "/" ;
-BUSBITCHARS "[]" ;
-DESIGN user_project_wrapper ;
-UNITS DISTANCE MICRONS 1000 ;
-DIEAREA ( 0 0 ) ( 2920000 3520000 ) ;
-ROW ROW_0 unithd 5520 10880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1 unithd 5520 13600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_2 unithd 5520 16320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_3 unithd 5520 19040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_4 unithd 5520 21760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_5 unithd 5520 24480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_6 unithd 5520 27200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_7 unithd 5520 29920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_8 unithd 5520 32640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_9 unithd 5520 35360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_10 unithd 5520 38080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_11 unithd 5520 40800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_12 unithd 5520 43520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_13 unithd 5520 46240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_14 unithd 5520 48960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_15 unithd 5520 51680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_16 unithd 5520 54400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_17 unithd 5520 57120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_18 unithd 5520 59840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_19 unithd 5520 62560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_20 unithd 5520 65280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_21 unithd 5520 68000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_22 unithd 5520 70720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_23 unithd 5520 73440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_24 unithd 5520 76160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_25 unithd 5520 78880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_26 unithd 5520 81600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_27 unithd 5520 84320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_28 unithd 5520 87040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_29 unithd 5520 89760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_30 unithd 5520 92480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_31 unithd 5520 95200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_32 unithd 5520 97920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_33 unithd 5520 100640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_34 unithd 5520 103360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_35 unithd 5520 106080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_36 unithd 5520 108800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_37 unithd 5520 111520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_38 unithd 5520 114240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_39 unithd 5520 116960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_40 unithd 5520 119680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_41 unithd 5520 122400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_42 unithd 5520 125120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_43 unithd 5520 127840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_44 unithd 5520 130560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_45 unithd 5520 133280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_46 unithd 5520 136000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_47 unithd 5520 138720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_48 unithd 5520 141440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_49 unithd 5520 144160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_50 unithd 5520 146880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_51 unithd 5520 149600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_52 unithd 5520 152320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_53 unithd 5520 155040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_54 unithd 5520 157760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_55 unithd 5520 160480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_56 unithd 5520 163200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_57 unithd 5520 165920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_58 unithd 5520 168640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_59 unithd 5520 171360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_60 unithd 5520 174080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_61 unithd 5520 176800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_62 unithd 5520 179520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_63 unithd 5520 182240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_64 unithd 5520 184960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_65 unithd 5520 187680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_66 unithd 5520 190400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_67 unithd 5520 193120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_68 unithd 5520 195840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_69 unithd 5520 198560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_70 unithd 5520 201280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_71 unithd 5520 204000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_72 unithd 5520 206720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_73 unithd 5520 209440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_74 unithd 5520 212160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_75 unithd 5520 214880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_76 unithd 5520 217600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_77 unithd 5520 220320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_78 unithd 5520 223040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_79 unithd 5520 225760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_80 unithd 5520 228480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_81 unithd 5520 231200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_82 unithd 5520 233920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_83 unithd 5520 236640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_84 unithd 5520 239360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_85 unithd 5520 242080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_86 unithd 5520 244800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_87 unithd 5520 247520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_88 unithd 5520 250240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_89 unithd 5520 252960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_90 unithd 5520 255680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_91 unithd 5520 258400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_92 unithd 5520 261120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_93 unithd 5520 263840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_94 unithd 5520 266560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_95 unithd 5520 269280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_96 unithd 5520 272000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_97 unithd 5520 274720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_98 unithd 5520 277440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_99 unithd 5520 280160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_100 unithd 5520 282880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_101 unithd 5520 285600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_102 unithd 5520 288320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_103 unithd 5520 291040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_104 unithd 5520 293760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_105 unithd 5520 296480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_106 unithd 5520 299200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_107 unithd 5520 301920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_108 unithd 5520 304640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_109 unithd 5520 307360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_110 unithd 5520 310080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_111 unithd 5520 312800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_112 unithd 5520 315520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_113 unithd 5520 318240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_114 unithd 5520 320960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_115 unithd 5520 323680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_116 unithd 5520 326400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_117 unithd 5520 329120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_118 unithd 5520 331840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_119 unithd 5520 334560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_120 unithd 5520 337280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_121 unithd 5520 340000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_122 unithd 5520 342720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_123 unithd 5520 345440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_124 unithd 5520 348160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_125 unithd 5520 350880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_126 unithd 5520 353600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_127 unithd 5520 356320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_128 unithd 5520 359040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_129 unithd 5520 361760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_130 unithd 5520 364480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_131 unithd 5520 367200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_132 unithd 5520 369920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_133 unithd 5520 372640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_134 unithd 5520 375360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_135 unithd 5520 378080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_136 unithd 5520 380800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_137 unithd 5520 383520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_138 unithd 5520 386240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_139 unithd 5520 388960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_140 unithd 5520 391680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_141 unithd 5520 394400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_142 unithd 5520 397120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_143 unithd 5520 399840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_144 unithd 5520 402560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_145 unithd 5520 405280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_146 unithd 5520 408000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_147 unithd 5520 410720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_148 unithd 5520 413440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_149 unithd 5520 416160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_150 unithd 5520 418880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_151 unithd 5520 421600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_152 unithd 5520 424320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_153 unithd 5520 427040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_154 unithd 5520 429760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_155 unithd 5520 432480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_156 unithd 5520 435200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_157 unithd 5520 437920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_158 unithd 5520 440640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_159 unithd 5520 443360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_160 unithd 5520 446080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_161 unithd 5520 448800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_162 unithd 5520 451520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_163 unithd 5520 454240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_164 unithd 5520 456960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_165 unithd 5520 459680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_166 unithd 5520 462400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_167 unithd 5520 465120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_168 unithd 5520 467840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_169 unithd 5520 470560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_170 unithd 5520 473280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_171 unithd 5520 476000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_172 unithd 5520 478720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_173 unithd 5520 481440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_174 unithd 5520 484160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_175 unithd 5520 486880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_176 unithd 5520 489600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_177 unithd 5520 492320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_178 unithd 5520 495040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_179 unithd 5520 497760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_180 unithd 5520 500480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_181 unithd 5520 503200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_182 unithd 5520 505920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_183 unithd 5520 508640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_184 unithd 5520 511360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_185 unithd 5520 514080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_186 unithd 5520 516800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_187 unithd 5520 519520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_188 unithd 5520 522240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_189 unithd 5520 524960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_190 unithd 5520 527680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_191 unithd 5520 530400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_192 unithd 5520 533120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_193 unithd 5520 535840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_194 unithd 5520 538560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_195 unithd 5520 541280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_196 unithd 5520 544000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_197 unithd 5520 546720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_198 unithd 5520 549440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_199 unithd 5520 552160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_200 unithd 5520 554880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_201 unithd 5520 557600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_202 unithd 5520 560320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_203 unithd 5520 563040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_204 unithd 5520 565760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_205 unithd 5520 568480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_206 unithd 5520 571200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_207 unithd 5520 573920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_208 unithd 5520 576640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_209 unithd 5520 579360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_210 unithd 5520 582080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_211 unithd 5520 584800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_212 unithd 5520 587520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_213 unithd 5520 590240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_214 unithd 5520 592960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_215 unithd 5520 595680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_216 unithd 5520 598400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_217 unithd 5520 601120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_218 unithd 5520 603840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_219 unithd 5520 606560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_220 unithd 5520 609280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_221 unithd 5520 612000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_222 unithd 5520 614720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_223 unithd 5520 617440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_224 unithd 5520 620160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_225 unithd 5520 622880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_226 unithd 5520 625600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_227 unithd 5520 628320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_228 unithd 5520 631040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_229 unithd 5520 633760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_230 unithd 5520 636480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_231 unithd 5520 639200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_232 unithd 5520 641920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_233 unithd 5520 644640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_234 unithd 5520 647360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_235 unithd 5520 650080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_236 unithd 5520 652800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_237 unithd 5520 655520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_238 unithd 5520 658240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_239 unithd 5520 660960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_240 unithd 5520 663680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_241 unithd 5520 666400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_242 unithd 5520 669120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_243 unithd 5520 671840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_244 unithd 5520 674560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_245 unithd 5520 677280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_246 unithd 5520 680000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_247 unithd 5520 682720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_248 unithd 5520 685440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_249 unithd 5520 688160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_250 unithd 5520 690880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_251 unithd 5520 693600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_252 unithd 5520 696320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_253 unithd 5520 699040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_254 unithd 5520 701760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_255 unithd 5520 704480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_256 unithd 5520 707200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_257 unithd 5520 709920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_258 unithd 5520 712640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_259 unithd 5520 715360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_260 unithd 5520 718080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_261 unithd 5520 720800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_262 unithd 5520 723520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_263 unithd 5520 726240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_264 unithd 5520 728960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_265 unithd 5520 731680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_266 unithd 5520 734400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_267 unithd 5520 737120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_268 unithd 5520 739840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_269 unithd 5520 742560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_270 unithd 5520 745280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_271 unithd 5520 748000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_272 unithd 5520 750720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_273 unithd 5520 753440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_274 unithd 5520 756160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_275 unithd 5520 758880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_276 unithd 5520 761600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_277 unithd 5520 764320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_278 unithd 5520 767040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_279 unithd 5520 769760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_280 unithd 5520 772480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_281 unithd 5520 775200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_282 unithd 5520 777920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_283 unithd 5520 780640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_284 unithd 5520 783360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_285 unithd 5520 786080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_286 unithd 5520 788800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_287 unithd 5520 791520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_288 unithd 5520 794240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_289 unithd 5520 796960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_290 unithd 5520 799680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_291 unithd 5520 802400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_292 unithd 5520 805120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_293 unithd 5520 807840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_294 unithd 5520 810560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_295 unithd 5520 813280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_296 unithd 5520 816000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_297 unithd 5520 818720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_298 unithd 5520 821440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_299 unithd 5520 824160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_300 unithd 5520 826880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_301 unithd 5520 829600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_302 unithd 5520 832320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_303 unithd 5520 835040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_304 unithd 5520 837760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_305 unithd 5520 840480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_306 unithd 5520 843200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_307 unithd 5520 845920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_308 unithd 5520 848640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_309 unithd 5520 851360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_310 unithd 5520 854080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_311 unithd 5520 856800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_312 unithd 5520 859520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_313 unithd 5520 862240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_314 unithd 5520 864960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_315 unithd 5520 867680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_316 unithd 5520 870400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_317 unithd 5520 873120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_318 unithd 5520 875840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_319 unithd 5520 878560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_320 unithd 5520 881280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_321 unithd 5520 884000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_322 unithd 5520 886720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_323 unithd 5520 889440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_324 unithd 5520 892160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_325 unithd 5520 894880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_326 unithd 5520 897600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_327 unithd 5520 900320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_328 unithd 5520 903040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_329 unithd 5520 905760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_330 unithd 5520 908480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_331 unithd 5520 911200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_332 unithd 5520 913920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_333 unithd 5520 916640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_334 unithd 5520 919360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_335 unithd 5520 922080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_336 unithd 5520 924800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_337 unithd 5520 927520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_338 unithd 5520 930240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_339 unithd 5520 932960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_340 unithd 5520 935680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_341 unithd 5520 938400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_342 unithd 5520 941120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_343 unithd 5520 943840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_344 unithd 5520 946560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_345 unithd 5520 949280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_346 unithd 5520 952000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_347 unithd 5520 954720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_348 unithd 5520 957440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_349 unithd 5520 960160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_350 unithd 5520 962880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_351 unithd 5520 965600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_352 unithd 5520 968320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_353 unithd 5520 971040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_354 unithd 5520 973760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_355 unithd 5520 976480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_356 unithd 5520 979200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_357 unithd 5520 981920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_358 unithd 5520 984640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_359 unithd 5520 987360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_360 unithd 5520 990080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_361 unithd 5520 992800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_362 unithd 5520 995520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_363 unithd 5520 998240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_364 unithd 5520 1000960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_365 unithd 5520 1003680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_366 unithd 5520 1006400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_367 unithd 5520 1009120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_368 unithd 5520 1011840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_369 unithd 5520 1014560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_370 unithd 5520 1017280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_371 unithd 5520 1020000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_372 unithd 5520 1022720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_373 unithd 5520 1025440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_374 unithd 5520 1028160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_375 unithd 5520 1030880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_376 unithd 5520 1033600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_377 unithd 5520 1036320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_378 unithd 5520 1039040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_379 unithd 5520 1041760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_380 unithd 5520 1044480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_381 unithd 5520 1047200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_382 unithd 5520 1049920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_383 unithd 5520 1052640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_384 unithd 5520 1055360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_385 unithd 5520 1058080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_386 unithd 5520 1060800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_387 unithd 5520 1063520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_388 unithd 5520 1066240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_389 unithd 5520 1068960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_390 unithd 5520 1071680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_391 unithd 5520 1074400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_392 unithd 5520 1077120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_393 unithd 5520 1079840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_394 unithd 5520 1082560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_395 unithd 5520 1085280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_396 unithd 5520 1088000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_397 unithd 5520 1090720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_398 unithd 5520 1093440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_399 unithd 5520 1096160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_400 unithd 5520 1098880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_401 unithd 5520 1101600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_402 unithd 5520 1104320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_403 unithd 5520 1107040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_404 unithd 5520 1109760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_405 unithd 5520 1112480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_406 unithd 5520 1115200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_407 unithd 5520 1117920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_408 unithd 5520 1120640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_409 unithd 5520 1123360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_410 unithd 5520 1126080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_411 unithd 5520 1128800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_412 unithd 5520 1131520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_413 unithd 5520 1134240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_414 unithd 5520 1136960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_415 unithd 5520 1139680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_416 unithd 5520 1142400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_417 unithd 5520 1145120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_418 unithd 5520 1147840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_419 unithd 5520 1150560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_420 unithd 5520 1153280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_421 unithd 5520 1156000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_422 unithd 5520 1158720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_423 unithd 5520 1161440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_424 unithd 5520 1164160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_425 unithd 5520 1166880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_426 unithd 5520 1169600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_427 unithd 5520 1172320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_428 unithd 5520 1175040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_429 unithd 5520 1177760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_430 unithd 5520 1180480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_431 unithd 5520 1183200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_432 unithd 5520 1185920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_433 unithd 5520 1188640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_434 unithd 5520 1191360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_435 unithd 5520 1194080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_436 unithd 5520 1196800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_437 unithd 5520 1199520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_438 unithd 5520 1202240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_439 unithd 5520 1204960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_440 unithd 5520 1207680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_441 unithd 5520 1210400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_442 unithd 5520 1213120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_443 unithd 5520 1215840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_444 unithd 5520 1218560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_445 unithd 5520 1221280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_446 unithd 5520 1224000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_447 unithd 5520 1226720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_448 unithd 5520 1229440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_449 unithd 5520 1232160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_450 unithd 5520 1234880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_451 unithd 5520 1237600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_452 unithd 5520 1240320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_453 unithd 5520 1243040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_454 unithd 5520 1245760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_455 unithd 5520 1248480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_456 unithd 5520 1251200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_457 unithd 5520 1253920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_458 unithd 5520 1256640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_459 unithd 5520 1259360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_460 unithd 5520 1262080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_461 unithd 5520 1264800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_462 unithd 5520 1267520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_463 unithd 5520 1270240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_464 unithd 5520 1272960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_465 unithd 5520 1275680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_466 unithd 5520 1278400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_467 unithd 5520 1281120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_468 unithd 5520 1283840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_469 unithd 5520 1286560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_470 unithd 5520 1289280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_471 unithd 5520 1292000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_472 unithd 5520 1294720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_473 unithd 5520 1297440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_474 unithd 5520 1300160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_475 unithd 5520 1302880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_476 unithd 5520 1305600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_477 unithd 5520 1308320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_478 unithd 5520 1311040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_479 unithd 5520 1313760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_480 unithd 5520 1316480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_481 unithd 5520 1319200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_482 unithd 5520 1321920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_483 unithd 5520 1324640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_484 unithd 5520 1327360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_485 unithd 5520 1330080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_486 unithd 5520 1332800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_487 unithd 5520 1335520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_488 unithd 5520 1338240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_489 unithd 5520 1340960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_490 unithd 5520 1343680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_491 unithd 5520 1346400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_492 unithd 5520 1349120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_493 unithd 5520 1351840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_494 unithd 5520 1354560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_495 unithd 5520 1357280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_496 unithd 5520 1360000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_497 unithd 5520 1362720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_498 unithd 5520 1365440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_499 unithd 5520 1368160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_500 unithd 5520 1370880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_501 unithd 5520 1373600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_502 unithd 5520 1376320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_503 unithd 5520 1379040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_504 unithd 5520 1381760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_505 unithd 5520 1384480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_506 unithd 5520 1387200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_507 unithd 5520 1389920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_508 unithd 5520 1392640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_509 unithd 5520 1395360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_510 unithd 5520 1398080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_511 unithd 5520 1400800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_512 unithd 5520 1403520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_513 unithd 5520 1406240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_514 unithd 5520 1408960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_515 unithd 5520 1411680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_516 unithd 5520 1414400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_517 unithd 5520 1417120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_518 unithd 5520 1419840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_519 unithd 5520 1422560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_520 unithd 5520 1425280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_521 unithd 5520 1428000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_522 unithd 5520 1430720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_523 unithd 5520 1433440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_524 unithd 5520 1436160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_525 unithd 5520 1438880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_526 unithd 5520 1441600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_527 unithd 5520 1444320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_528 unithd 5520 1447040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_529 unithd 5520 1449760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_530 unithd 5520 1452480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_531 unithd 5520 1455200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_532 unithd 5520 1457920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_533 unithd 5520 1460640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_534 unithd 5520 1463360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_535 unithd 5520 1466080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_536 unithd 5520 1468800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_537 unithd 5520 1471520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_538 unithd 5520 1474240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_539 unithd 5520 1476960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_540 unithd 5520 1479680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_541 unithd 5520 1482400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_542 unithd 5520 1485120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_543 unithd 5520 1487840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_544 unithd 5520 1490560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_545 unithd 5520 1493280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_546 unithd 5520 1496000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_547 unithd 5520 1498720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_548 unithd 5520 1501440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_549 unithd 5520 1504160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_550 unithd 5520 1506880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_551 unithd 5520 1509600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_552 unithd 5520 1512320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_553 unithd 5520 1515040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_554 unithd 5520 1517760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_555 unithd 5520 1520480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_556 unithd 5520 1523200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_557 unithd 5520 1525920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_558 unithd 5520 1528640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_559 unithd 5520 1531360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_560 unithd 5520 1534080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_561 unithd 5520 1536800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_562 unithd 5520 1539520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_563 unithd 5520 1542240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_564 unithd 5520 1544960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_565 unithd 5520 1547680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_566 unithd 5520 1550400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_567 unithd 5520 1553120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_568 unithd 5520 1555840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_569 unithd 5520 1558560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_570 unithd 5520 1561280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_571 unithd 5520 1564000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_572 unithd 5520 1566720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_573 unithd 5520 1569440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_574 unithd 5520 1572160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_575 unithd 5520 1574880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_576 unithd 5520 1577600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_577 unithd 5520 1580320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_578 unithd 5520 1583040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_579 unithd 5520 1585760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_580 unithd 5520 1588480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_581 unithd 5520 1591200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_582 unithd 5520 1593920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_583 unithd 5520 1596640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_584 unithd 5520 1599360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_585 unithd 5520 1602080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_586 unithd 5520 1604800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_587 unithd 5520 1607520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_588 unithd 5520 1610240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_589 unithd 5520 1612960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_590 unithd 5520 1615680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_591 unithd 5520 1618400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_592 unithd 5520 1621120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_593 unithd 5520 1623840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_594 unithd 5520 1626560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_595 unithd 5520 1629280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_596 unithd 5520 1632000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_597 unithd 5520 1634720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_598 unithd 5520 1637440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_599 unithd 5520 1640160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_600 unithd 5520 1642880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_601 unithd 5520 1645600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_602 unithd 5520 1648320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_603 unithd 5520 1651040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_604 unithd 5520 1653760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_605 unithd 5520 1656480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_606 unithd 5520 1659200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_607 unithd 5520 1661920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_608 unithd 5520 1664640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_609 unithd 5520 1667360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_610 unithd 5520 1670080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_611 unithd 5520 1672800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_612 unithd 5520 1675520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_613 unithd 5520 1678240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_614 unithd 5520 1680960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_615 unithd 5520 1683680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_616 unithd 5520 1686400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_617 unithd 5520 1689120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_618 unithd 5520 1691840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_619 unithd 5520 1694560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_620 unithd 5520 1697280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_621 unithd 5520 1700000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_622 unithd 5520 1702720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_623 unithd 5520 1705440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_624 unithd 5520 1708160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_625 unithd 5520 1710880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_626 unithd 5520 1713600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_627 unithd 5520 1716320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_628 unithd 5520 1719040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_629 unithd 5520 1721760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_630 unithd 5520 1724480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_631 unithd 5520 1727200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_632 unithd 5520 1729920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_633 unithd 5520 1732640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_634 unithd 5520 1735360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_635 unithd 5520 1738080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_636 unithd 5520 1740800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_637 unithd 5520 1743520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_638 unithd 5520 1746240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_639 unithd 5520 1748960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_640 unithd 5520 1751680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_641 unithd 5520 1754400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_642 unithd 5520 1757120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_643 unithd 5520 1759840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_644 unithd 5520 1762560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_645 unithd 5520 1765280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_646 unithd 5520 1768000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_647 unithd 5520 1770720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_648 unithd 5520 1773440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_649 unithd 5520 1776160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_650 unithd 5520 1778880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_651 unithd 5520 1781600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_652 unithd 5520 1784320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_653 unithd 5520 1787040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_654 unithd 5520 1789760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_655 unithd 5520 1792480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_656 unithd 5520 1795200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_657 unithd 5520 1797920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_658 unithd 5520 1800640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_659 unithd 5520 1803360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_660 unithd 5520 1806080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_661 unithd 5520 1808800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_662 unithd 5520 1811520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_663 unithd 5520 1814240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_664 unithd 5520 1816960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_665 unithd 5520 1819680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_666 unithd 5520 1822400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_667 unithd 5520 1825120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_668 unithd 5520 1827840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_669 unithd 5520 1830560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_670 unithd 5520 1833280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_671 unithd 5520 1836000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_672 unithd 5520 1838720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_673 unithd 5520 1841440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_674 unithd 5520 1844160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_675 unithd 5520 1846880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_676 unithd 5520 1849600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_677 unithd 5520 1852320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_678 unithd 5520 1855040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_679 unithd 5520 1857760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_680 unithd 5520 1860480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_681 unithd 5520 1863200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_682 unithd 5520 1865920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_683 unithd 5520 1868640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_684 unithd 5520 1871360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_685 unithd 5520 1874080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_686 unithd 5520 1876800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_687 unithd 5520 1879520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_688 unithd 5520 1882240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_689 unithd 5520 1884960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_690 unithd 5520 1887680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_691 unithd 5520 1890400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_692 unithd 5520 1893120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_693 unithd 5520 1895840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_694 unithd 5520 1898560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_695 unithd 5520 1901280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_696 unithd 5520 1904000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_697 unithd 5520 1906720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_698 unithd 5520 1909440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_699 unithd 5520 1912160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_700 unithd 5520 1914880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_701 unithd 5520 1917600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_702 unithd 5520 1920320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_703 unithd 5520 1923040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_704 unithd 5520 1925760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_705 unithd 5520 1928480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_706 unithd 5520 1931200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_707 unithd 5520 1933920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_708 unithd 5520 1936640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_709 unithd 5520 1939360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_710 unithd 5520 1942080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_711 unithd 5520 1944800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_712 unithd 5520 1947520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_713 unithd 5520 1950240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_714 unithd 5520 1952960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_715 unithd 5520 1955680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_716 unithd 5520 1958400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_717 unithd 5520 1961120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_718 unithd 5520 1963840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_719 unithd 5520 1966560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_720 unithd 5520 1969280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_721 unithd 5520 1972000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_722 unithd 5520 1974720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_723 unithd 5520 1977440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_724 unithd 5520 1980160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_725 unithd 5520 1982880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_726 unithd 5520 1985600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_727 unithd 5520 1988320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_728 unithd 5520 1991040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_729 unithd 5520 1993760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_730 unithd 5520 1996480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_731 unithd 5520 1999200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_732 unithd 5520 2001920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_733 unithd 5520 2004640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_734 unithd 5520 2007360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_735 unithd 5520 2010080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_736 unithd 5520 2012800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_737 unithd 5520 2015520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_738 unithd 5520 2018240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_739 unithd 5520 2020960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_740 unithd 5520 2023680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_741 unithd 5520 2026400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_742 unithd 5520 2029120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_743 unithd 5520 2031840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_744 unithd 5520 2034560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_745 unithd 5520 2037280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_746 unithd 5520 2040000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_747 unithd 5520 2042720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_748 unithd 5520 2045440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_749 unithd 5520 2048160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_750 unithd 5520 2050880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_751 unithd 5520 2053600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_752 unithd 5520 2056320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_753 unithd 5520 2059040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_754 unithd 5520 2061760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_755 unithd 5520 2064480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_756 unithd 5520 2067200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_757 unithd 5520 2069920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_758 unithd 5520 2072640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_759 unithd 5520 2075360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_760 unithd 5520 2078080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_761 unithd 5520 2080800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_762 unithd 5520 2083520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_763 unithd 5520 2086240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_764 unithd 5520 2088960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_765 unithd 5520 2091680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_766 unithd 5520 2094400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_767 unithd 5520 2097120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_768 unithd 5520 2099840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_769 unithd 5520 2102560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_770 unithd 5520 2105280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_771 unithd 5520 2108000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_772 unithd 5520 2110720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_773 unithd 5520 2113440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_774 unithd 5520 2116160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_775 unithd 5520 2118880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_776 unithd 5520 2121600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_777 unithd 5520 2124320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_778 unithd 5520 2127040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_779 unithd 5520 2129760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_780 unithd 5520 2132480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_781 unithd 5520 2135200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_782 unithd 5520 2137920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_783 unithd 5520 2140640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_784 unithd 5520 2143360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_785 unithd 5520 2146080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_786 unithd 5520 2148800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_787 unithd 5520 2151520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_788 unithd 5520 2154240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_789 unithd 5520 2156960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_790 unithd 5520 2159680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_791 unithd 5520 2162400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_792 unithd 5520 2165120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_793 unithd 5520 2167840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_794 unithd 5520 2170560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_795 unithd 5520 2173280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_796 unithd 5520 2176000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_797 unithd 5520 2178720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_798 unithd 5520 2181440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_799 unithd 5520 2184160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_800 unithd 5520 2186880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_801 unithd 5520 2189600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_802 unithd 5520 2192320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_803 unithd 5520 2195040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_804 unithd 5520 2197760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_805 unithd 5520 2200480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_806 unithd 5520 2203200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_807 unithd 5520 2205920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_808 unithd 5520 2208640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_809 unithd 5520 2211360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_810 unithd 5520 2214080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_811 unithd 5520 2216800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_812 unithd 5520 2219520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_813 unithd 5520 2222240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_814 unithd 5520 2224960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_815 unithd 5520 2227680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_816 unithd 5520 2230400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_817 unithd 5520 2233120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_818 unithd 5520 2235840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_819 unithd 5520 2238560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_820 unithd 5520 2241280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_821 unithd 5520 2244000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_822 unithd 5520 2246720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_823 unithd 5520 2249440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_824 unithd 5520 2252160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_825 unithd 5520 2254880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_826 unithd 5520 2257600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_827 unithd 5520 2260320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_828 unithd 5520 2263040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_829 unithd 5520 2265760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_830 unithd 5520 2268480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_831 unithd 5520 2271200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_832 unithd 5520 2273920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_833 unithd 5520 2276640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_834 unithd 5520 2279360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_835 unithd 5520 2282080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_836 unithd 5520 2284800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_837 unithd 5520 2287520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_838 unithd 5520 2290240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_839 unithd 5520 2292960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_840 unithd 5520 2295680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_841 unithd 5520 2298400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_842 unithd 5520 2301120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_843 unithd 5520 2303840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_844 unithd 5520 2306560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_845 unithd 5520 2309280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_846 unithd 5520 2312000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_847 unithd 5520 2314720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_848 unithd 5520 2317440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_849 unithd 5520 2320160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_850 unithd 5520 2322880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_851 unithd 5520 2325600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_852 unithd 5520 2328320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_853 unithd 5520 2331040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_854 unithd 5520 2333760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_855 unithd 5520 2336480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_856 unithd 5520 2339200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_857 unithd 5520 2341920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_858 unithd 5520 2344640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_859 unithd 5520 2347360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_860 unithd 5520 2350080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_861 unithd 5520 2352800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_862 unithd 5520 2355520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_863 unithd 5520 2358240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_864 unithd 5520 2360960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_865 unithd 5520 2363680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_866 unithd 5520 2366400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_867 unithd 5520 2369120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_868 unithd 5520 2371840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_869 unithd 5520 2374560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_870 unithd 5520 2377280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_871 unithd 5520 2380000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_872 unithd 5520 2382720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_873 unithd 5520 2385440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_874 unithd 5520 2388160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_875 unithd 5520 2390880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_876 unithd 5520 2393600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_877 unithd 5520 2396320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_878 unithd 5520 2399040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_879 unithd 5520 2401760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_880 unithd 5520 2404480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_881 unithd 5520 2407200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_882 unithd 5520 2409920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_883 unithd 5520 2412640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_884 unithd 5520 2415360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_885 unithd 5520 2418080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_886 unithd 5520 2420800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_887 unithd 5520 2423520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_888 unithd 5520 2426240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_889 unithd 5520 2428960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_890 unithd 5520 2431680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_891 unithd 5520 2434400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_892 unithd 5520 2437120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_893 unithd 5520 2439840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_894 unithd 5520 2442560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_895 unithd 5520 2445280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_896 unithd 5520 2448000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_897 unithd 5520 2450720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_898 unithd 5520 2453440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_899 unithd 5520 2456160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_900 unithd 5520 2458880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_901 unithd 5520 2461600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_902 unithd 5520 2464320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_903 unithd 5520 2467040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_904 unithd 5520 2469760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_905 unithd 5520 2472480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_906 unithd 5520 2475200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_907 unithd 5520 2477920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_908 unithd 5520 2480640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_909 unithd 5520 2483360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_910 unithd 5520 2486080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_911 unithd 5520 2488800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_912 unithd 5520 2491520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_913 unithd 5520 2494240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_914 unithd 5520 2496960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_915 unithd 5520 2499680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_916 unithd 5520 2502400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_917 unithd 5520 2505120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_918 unithd 5520 2507840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_919 unithd 5520 2510560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_920 unithd 5520 2513280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_921 unithd 5520 2516000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_922 unithd 5520 2518720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_923 unithd 5520 2521440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_924 unithd 5520 2524160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_925 unithd 5520 2526880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_926 unithd 5520 2529600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_927 unithd 5520 2532320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_928 unithd 5520 2535040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_929 unithd 5520 2537760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_930 unithd 5520 2540480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_931 unithd 5520 2543200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_932 unithd 5520 2545920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_933 unithd 5520 2548640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_934 unithd 5520 2551360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_935 unithd 5520 2554080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_936 unithd 5520 2556800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_937 unithd 5520 2559520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_938 unithd 5520 2562240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_939 unithd 5520 2564960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_940 unithd 5520 2567680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_941 unithd 5520 2570400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_942 unithd 5520 2573120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_943 unithd 5520 2575840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_944 unithd 5520 2578560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_945 unithd 5520 2581280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_946 unithd 5520 2584000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_947 unithd 5520 2586720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_948 unithd 5520 2589440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_949 unithd 5520 2592160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_950 unithd 5520 2594880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_951 unithd 5520 2597600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_952 unithd 5520 2600320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_953 unithd 5520 2603040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_954 unithd 5520 2605760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_955 unithd 5520 2608480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_956 unithd 5520 2611200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_957 unithd 5520 2613920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_958 unithd 5520 2616640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_959 unithd 5520 2619360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_960 unithd 5520 2622080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_961 unithd 5520 2624800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_962 unithd 5520 2627520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_963 unithd 5520 2630240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_964 unithd 5520 2632960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_965 unithd 5520 2635680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_966 unithd 5520 2638400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_967 unithd 5520 2641120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_968 unithd 5520 2643840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_969 unithd 5520 2646560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_970 unithd 5520 2649280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_971 unithd 5520 2652000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_972 unithd 5520 2654720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_973 unithd 5520 2657440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_974 unithd 5520 2660160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_975 unithd 5520 2662880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_976 unithd 5520 2665600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_977 unithd 5520 2668320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_978 unithd 5520 2671040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_979 unithd 5520 2673760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_980 unithd 5520 2676480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_981 unithd 5520 2679200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_982 unithd 5520 2681920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_983 unithd 5520 2684640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_984 unithd 5520 2687360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_985 unithd 5520 2690080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_986 unithd 5520 2692800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_987 unithd 5520 2695520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_988 unithd 5520 2698240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_989 unithd 5520 2700960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_990 unithd 5520 2703680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_991 unithd 5520 2706400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_992 unithd 5520 2709120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_993 unithd 5520 2711840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_994 unithd 5520 2714560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_995 unithd 5520 2717280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_996 unithd 5520 2720000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_997 unithd 5520 2722720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_998 unithd 5520 2725440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_999 unithd 5520 2728160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1000 unithd 5520 2730880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1001 unithd 5520 2733600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1002 unithd 5520 2736320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1003 unithd 5520 2739040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1004 unithd 5520 2741760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1005 unithd 5520 2744480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1006 unithd 5520 2747200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1007 unithd 5520 2749920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1008 unithd 5520 2752640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1009 unithd 5520 2755360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1010 unithd 5520 2758080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1011 unithd 5520 2760800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1012 unithd 5520 2763520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1013 unithd 5520 2766240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1014 unithd 5520 2768960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1015 unithd 5520 2771680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1016 unithd 5520 2774400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1017 unithd 5520 2777120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1018 unithd 5520 2779840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1019 unithd 5520 2782560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1020 unithd 5520 2785280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1021 unithd 5520 2788000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1022 unithd 5520 2790720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1023 unithd 5520 2793440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1024 unithd 5520 2796160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1025 unithd 5520 2798880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1026 unithd 5520 2801600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1027 unithd 5520 2804320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1028 unithd 5520 2807040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1029 unithd 5520 2809760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1030 unithd 5520 2812480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1031 unithd 5520 2815200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1032 unithd 5520 2817920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1033 unithd 5520 2820640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1034 unithd 5520 2823360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1035 unithd 5520 2826080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1036 unithd 5520 2828800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1037 unithd 5520 2831520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1038 unithd 5520 2834240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1039 unithd 5520 2836960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1040 unithd 5520 2839680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1041 unithd 5520 2842400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1042 unithd 5520 2845120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1043 unithd 5520 2847840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1044 unithd 5520 2850560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1045 unithd 5520 2853280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1046 unithd 5520 2856000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1047 unithd 5520 2858720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1048 unithd 5520 2861440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1049 unithd 5520 2864160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1050 unithd 5520 2866880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1051 unithd 5520 2869600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1052 unithd 5520 2872320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1053 unithd 5520 2875040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1054 unithd 5520 2877760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1055 unithd 5520 2880480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1056 unithd 5520 2883200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1057 unithd 5520 2885920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1058 unithd 5520 2888640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1059 unithd 5520 2891360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1060 unithd 5520 2894080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1061 unithd 5520 2896800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1062 unithd 5520 2899520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1063 unithd 5520 2902240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1064 unithd 5520 2904960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1065 unithd 5520 2907680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1066 unithd 5520 2910400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1067 unithd 5520 2913120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1068 unithd 5520 2915840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1069 unithd 5520 2918560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1070 unithd 5520 2921280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1071 unithd 5520 2924000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1072 unithd 5520 2926720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1073 unithd 5520 2929440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1074 unithd 5520 2932160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1075 unithd 5520 2934880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1076 unithd 5520 2937600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1077 unithd 5520 2940320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1078 unithd 5520 2943040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1079 unithd 5520 2945760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1080 unithd 5520 2948480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1081 unithd 5520 2951200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1082 unithd 5520 2953920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1083 unithd 5520 2956640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1084 unithd 5520 2959360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1085 unithd 5520 2962080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1086 unithd 5520 2964800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1087 unithd 5520 2967520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1088 unithd 5520 2970240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1089 unithd 5520 2972960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1090 unithd 5520 2975680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1091 unithd 5520 2978400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1092 unithd 5520 2981120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1093 unithd 5520 2983840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1094 unithd 5520 2986560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1095 unithd 5520 2989280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1096 unithd 5520 2992000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1097 unithd 5520 2994720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1098 unithd 5520 2997440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1099 unithd 5520 3000160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1100 unithd 5520 3002880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1101 unithd 5520 3005600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1102 unithd 5520 3008320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1103 unithd 5520 3011040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1104 unithd 5520 3013760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1105 unithd 5520 3016480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1106 unithd 5520 3019200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1107 unithd 5520 3021920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1108 unithd 5520 3024640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1109 unithd 5520 3027360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1110 unithd 5520 3030080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1111 unithd 5520 3032800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1112 unithd 5520 3035520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1113 unithd 5520 3038240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1114 unithd 5520 3040960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1115 unithd 5520 3043680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1116 unithd 5520 3046400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1117 unithd 5520 3049120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1118 unithd 5520 3051840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1119 unithd 5520 3054560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1120 unithd 5520 3057280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1121 unithd 5520 3060000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1122 unithd 5520 3062720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1123 unithd 5520 3065440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1124 unithd 5520 3068160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1125 unithd 5520 3070880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1126 unithd 5520 3073600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1127 unithd 5520 3076320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1128 unithd 5520 3079040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1129 unithd 5520 3081760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1130 unithd 5520 3084480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1131 unithd 5520 3087200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1132 unithd 5520 3089920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1133 unithd 5520 3092640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1134 unithd 5520 3095360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1135 unithd 5520 3098080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1136 unithd 5520 3100800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1137 unithd 5520 3103520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1138 unithd 5520 3106240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1139 unithd 5520 3108960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1140 unithd 5520 3111680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1141 unithd 5520 3114400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1142 unithd 5520 3117120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1143 unithd 5520 3119840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1144 unithd 5520 3122560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1145 unithd 5520 3125280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1146 unithd 5520 3128000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1147 unithd 5520 3130720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1148 unithd 5520 3133440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1149 unithd 5520 3136160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1150 unithd 5520 3138880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1151 unithd 5520 3141600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1152 unithd 5520 3144320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1153 unithd 5520 3147040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1154 unithd 5520 3149760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1155 unithd 5520 3152480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1156 unithd 5520 3155200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1157 unithd 5520 3157920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1158 unithd 5520 3160640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1159 unithd 5520 3163360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1160 unithd 5520 3166080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1161 unithd 5520 3168800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1162 unithd 5520 3171520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1163 unithd 5520 3174240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1164 unithd 5520 3176960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1165 unithd 5520 3179680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1166 unithd 5520 3182400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1167 unithd 5520 3185120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1168 unithd 5520 3187840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1169 unithd 5520 3190560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1170 unithd 5520 3193280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1171 unithd 5520 3196000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1172 unithd 5520 3198720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1173 unithd 5520 3201440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1174 unithd 5520 3204160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1175 unithd 5520 3206880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1176 unithd 5520 3209600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1177 unithd 5520 3212320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1178 unithd 5520 3215040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1179 unithd 5520 3217760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1180 unithd 5520 3220480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1181 unithd 5520 3223200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1182 unithd 5520 3225920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1183 unithd 5520 3228640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1184 unithd 5520 3231360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1185 unithd 5520 3234080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1186 unithd 5520 3236800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1187 unithd 5520 3239520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1188 unithd 5520 3242240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1189 unithd 5520 3244960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1190 unithd 5520 3247680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1191 unithd 5520 3250400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1192 unithd 5520 3253120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1193 unithd 5520 3255840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1194 unithd 5520 3258560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1195 unithd 5520 3261280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1196 unithd 5520 3264000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1197 unithd 5520 3266720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1198 unithd 5520 3269440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1199 unithd 5520 3272160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1200 unithd 5520 3274880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1201 unithd 5520 3277600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1202 unithd 5520 3280320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1203 unithd 5520 3283040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1204 unithd 5520 3285760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1205 unithd 5520 3288480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1206 unithd 5520 3291200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1207 unithd 5520 3293920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1208 unithd 5520 3296640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1209 unithd 5520 3299360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1210 unithd 5520 3302080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1211 unithd 5520 3304800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1212 unithd 5520 3307520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1213 unithd 5520 3310240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1214 unithd 5520 3312960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1215 unithd 5520 3315680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1216 unithd 5520 3318400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1217 unithd 5520 3321120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1218 unithd 5520 3323840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1219 unithd 5520 3326560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1220 unithd 5520 3329280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1221 unithd 5520 3332000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1222 unithd 5520 3334720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1223 unithd 5520 3337440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1224 unithd 5520 3340160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1225 unithd 5520 3342880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1226 unithd 5520 3345600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1227 unithd 5520 3348320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1228 unithd 5520 3351040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1229 unithd 5520 3353760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1230 unithd 5520 3356480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1231 unithd 5520 3359200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1232 unithd 5520 3361920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1233 unithd 5520 3364640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1234 unithd 5520 3367360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1235 unithd 5520 3370080 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1236 unithd 5520 3372800 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1237 unithd 5520 3375520 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1238 unithd 5520 3378240 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1239 unithd 5520 3380960 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1240 unithd 5520 3383680 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1241 unithd 5520 3386400 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1242 unithd 5520 3389120 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1243 unithd 5520 3391840 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1244 unithd 5520 3394560 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1245 unithd 5520 3397280 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1246 unithd 5520 3400000 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1247 unithd 5520 3402720 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1248 unithd 5520 3405440 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1249 unithd 5520 3408160 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1250 unithd 5520 3410880 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1251 unithd 5520 3413600 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1252 unithd 5520 3416320 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1253 unithd 5520 3419040 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1254 unithd 5520 3421760 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1255 unithd 5520 3424480 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1256 unithd 5520 3427200 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1257 unithd 5520 3429920 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1258 unithd 5520 3432640 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1259 unithd 5520 3435360 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1260 unithd 5520 3438080 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1261 unithd 5520 3440800 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1262 unithd 5520 3443520 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1263 unithd 5520 3446240 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1264 unithd 5520 3448960 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1265 unithd 5520 3451680 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1266 unithd 5520 3454400 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1267 unithd 5520 3457120 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1268 unithd 5520 3459840 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1269 unithd 5520 3462560 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1270 unithd 5520 3465280 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1271 unithd 5520 3468000 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1272 unithd 5520 3470720 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1273 unithd 5520 3473440 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1274 unithd 5520 3476160 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1275 unithd 5520 3478880 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1276 unithd 5520 3481600 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1277 unithd 5520 3484320 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1278 unithd 5520 3487040 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1279 unithd 5520 3489760 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1280 unithd 5520 3492480 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1281 unithd 5520 3495200 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1282 unithd 5520 3497920 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1283 unithd 5520 3500640 N DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1284 unithd 5520 3503360 FS DO 6323 BY 1 STEP 460 0 ;
-ROW ROW_1285 unithd 5520 3506080 N DO 6323 BY 1 STEP 460 0 ;
-TRACKS X 230 DO 6348 STEP 460 LAYER li1 ;
-TRACKS Y 170 DO 10353 STEP 340 LAYER li1 ;
-TRACKS X 170 DO 8588 STEP 340 LAYER met1 ;
-TRACKS Y 170 DO 10353 STEP 340 LAYER met1 ;
-TRACKS X 230 DO 6348 STEP 460 LAYER met2 ;
-TRACKS Y 230 DO 7652 STEP 460 LAYER met2 ;
-TRACKS X 340 DO 4294 STEP 680 LAYER met3 ;
-TRACKS Y 340 DO 5176 STEP 680 LAYER met3 ;
-TRACKS X 460 DO 3174 STEP 920 LAYER met4 ;
-TRACKS Y 460 DO 3826 STEP 920 LAYER met4 ;
-TRACKS X 1700 DO 859 STEP 3400 LAYER met5 ;
-TRACKS Y 1700 DO 1035 STEP 3400 LAYER met5 ;
-COMPONENTS 1 ;
-    - mprj user_proj_example ;
-END COMPONENTS
-PINS 636 ;
-    - analog_io[0] + NET analog_io[0] + DIRECTION INOUT + USE SIGNAL ;
-    - analog_io[10] + NET analog_io[10] + DIRECTION INOUT + USE SIGNAL ;
-    - analog_io[11] + NET analog_io[11] + DIRECTION INOUT + USE SIGNAL ;
-    - analog_io[12] + NET analog_io[12] + DIRECTION INOUT + USE SIGNAL ;
-    - analog_io[13] + NET analog_io[13] + DIRECTION INOUT + USE SIGNAL ;
-    - analog_io[14] + NET analog_io[14] + DIRECTION INOUT + USE SIGNAL ;
-    - analog_io[15] + NET analog_io[15] + DIRECTION INOUT + USE SIGNAL ;
-    - analog_io[16] + NET analog_io[16] + DIRECTION INOUT + USE SIGNAL ;
-    - analog_io[17] + NET analog_io[17] + DIRECTION INOUT + USE SIGNAL ;
-    - analog_io[18] + NET analog_io[18] + DIRECTION INOUT + USE SIGNAL ;
-    - analog_io[19] + NET analog_io[19] + DIRECTION INOUT + USE SIGNAL ;
-    - analog_io[1] + NET analog_io[1] + DIRECTION INOUT + USE SIGNAL ;
-    - analog_io[20] + NET analog_io[20] + DIRECTION INOUT + USE SIGNAL ;
-    - analog_io[21] + NET analog_io[21] + DIRECTION INOUT + USE SIGNAL ;
-    - analog_io[22] + NET analog_io[22] + DIRECTION INOUT + USE SIGNAL ;
-    - analog_io[23] + NET analog_io[23] + DIRECTION INOUT + USE SIGNAL ;
-    - analog_io[24] + NET analog_io[24] + DIRECTION INOUT + USE SIGNAL ;
-    - analog_io[25] + NET analog_io[25] + DIRECTION INOUT + USE SIGNAL ;
-    - analog_io[26] + NET analog_io[26] + DIRECTION INOUT + USE SIGNAL ;
-    - analog_io[27] + NET analog_io[27] + DIRECTION INOUT + USE SIGNAL ;
-    - analog_io[28] + NET analog_io[28] + DIRECTION INOUT + USE SIGNAL ;
-    - analog_io[29] + NET analog_io[29] + DIRECTION INOUT + USE SIGNAL ;
-    - analog_io[2] + NET analog_io[2] + DIRECTION INOUT + USE SIGNAL ;
-    - analog_io[30] + NET analog_io[30] + DIRECTION INOUT + USE SIGNAL ;
-    - analog_io[3] + NET analog_io[3] + DIRECTION INOUT + USE SIGNAL ;
-    - analog_io[4] + NET analog_io[4] + DIRECTION INOUT + USE SIGNAL ;
-    - analog_io[5] + NET analog_io[5] + DIRECTION INOUT + USE SIGNAL ;
-    - analog_io[6] + NET analog_io[6] + DIRECTION INOUT + USE SIGNAL ;
-    - analog_io[7] + NET analog_io[7] + DIRECTION INOUT + USE SIGNAL ;
-    - analog_io[8] + NET analog_io[8] + DIRECTION INOUT + USE SIGNAL ;
-    - analog_io[9] + NET analog_io[9] + DIRECTION INOUT + USE SIGNAL ;
-    - io_in[0] + NET io_in[0] + DIRECTION INPUT + USE SIGNAL ;
-    - io_in[10] + NET io_in[10] + DIRECTION INPUT + USE SIGNAL ;
-    - io_in[11] + NET io_in[11] + DIRECTION INPUT + USE SIGNAL ;
-    - io_in[12] + NET io_in[12] + DIRECTION INPUT + USE SIGNAL ;
-    - io_in[13] + NET io_in[13] + DIRECTION INPUT + USE SIGNAL ;
-    - io_in[14] + NET io_in[14] + DIRECTION INPUT + USE SIGNAL ;
-    - io_in[15] + NET io_in[15] + DIRECTION INPUT + USE SIGNAL ;
-    - io_in[16] + NET io_in[16] + DIRECTION INPUT + USE SIGNAL ;
-    - io_in[17] + NET io_in[17] + DIRECTION INPUT + USE SIGNAL ;
-    - io_in[18] + NET io_in[18] + DIRECTION INPUT + USE SIGNAL ;
-    - io_in[19] + NET io_in[19] + DIRECTION INPUT + USE SIGNAL ;
-    - io_in[1] + NET io_in[1] + DIRECTION INPUT + USE SIGNAL ;
-    - io_in[20] + NET io_in[20] + DIRECTION INPUT + USE SIGNAL ;
-    - io_in[21] + NET io_in[21] + DIRECTION INPUT + USE SIGNAL ;
-    - io_in[22] + NET io_in[22] + DIRECTION INPUT + USE SIGNAL ;
-    - io_in[23] + NET io_in[23] + DIRECTION INPUT + USE SIGNAL ;
-    - io_in[24] + NET io_in[24] + DIRECTION INPUT + USE SIGNAL ;
-    - io_in[25] + NET io_in[25] + DIRECTION INPUT + USE SIGNAL ;
-    - io_in[26] + NET io_in[26] + DIRECTION INPUT + USE SIGNAL ;
-    - io_in[27] + NET io_in[27] + DIRECTION INPUT + USE SIGNAL ;
-    - io_in[28] + NET io_in[28] + DIRECTION INPUT + USE SIGNAL ;
-    - io_in[29] + NET io_in[29] + DIRECTION INPUT + USE SIGNAL ;
-    - io_in[2] + NET io_in[2] + DIRECTION INPUT + USE SIGNAL ;
-    - io_in[30] + NET io_in[30] + DIRECTION INPUT + USE SIGNAL ;
-    - io_in[31] + NET io_in[31] + DIRECTION INPUT + USE SIGNAL ;
-    - io_in[32] + NET io_in[32] + DIRECTION INPUT + USE SIGNAL ;
-    - io_in[33] + NET io_in[33] + DIRECTION INPUT + USE SIGNAL ;
-    - io_in[34] + NET io_in[34] + DIRECTION INPUT + USE SIGNAL ;
-    - io_in[35] + NET io_in[35] + DIRECTION INPUT + USE SIGNAL ;
-    - io_in[36] + NET io_in[36] + DIRECTION INPUT + USE SIGNAL ;
-    - io_in[37] + NET io_in[37] + DIRECTION INPUT + USE SIGNAL ;
-    - io_in[3] + NET io_in[3] + DIRECTION INPUT + USE SIGNAL ;
-    - io_in[4] + NET io_in[4] + DIRECTION INPUT + USE SIGNAL ;
-    - io_in[5] + NET io_in[5] + DIRECTION INPUT + USE SIGNAL ;
-    - io_in[6] + NET io_in[6] + DIRECTION INPUT + USE SIGNAL ;
-    - io_in[7] + NET io_in[7] + DIRECTION INPUT + USE SIGNAL ;
-    - io_in[8] + NET io_in[8] + DIRECTION INPUT + USE SIGNAL ;
-    - io_in[9] + NET io_in[9] + DIRECTION INPUT + USE SIGNAL ;
-    - io_oeb[0] + NET io_oeb[0] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_oeb[10] + NET io_oeb[10] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_oeb[11] + NET io_oeb[11] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_oeb[12] + NET io_oeb[12] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_oeb[13] + NET io_oeb[13] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_oeb[14] + NET io_oeb[14] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_oeb[15] + NET io_oeb[15] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_oeb[16] + NET io_oeb[16] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_oeb[17] + NET io_oeb[17] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_oeb[18] + NET io_oeb[18] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_oeb[19] + NET io_oeb[19] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_oeb[1] + NET io_oeb[1] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_oeb[20] + NET io_oeb[20] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_oeb[21] + NET io_oeb[21] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_oeb[22] + NET io_oeb[22] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_oeb[23] + NET io_oeb[23] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_oeb[24] + NET io_oeb[24] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_oeb[25] + NET io_oeb[25] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_oeb[26] + NET io_oeb[26] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_oeb[27] + NET io_oeb[27] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_oeb[28] + NET io_oeb[28] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_oeb[29] + NET io_oeb[29] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_oeb[2] + NET io_oeb[2] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_oeb[30] + NET io_oeb[30] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_oeb[31] + NET io_oeb[31] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_oeb[32] + NET io_oeb[32] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_oeb[33] + NET io_oeb[33] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_oeb[34] + NET io_oeb[34] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_oeb[35] + NET io_oeb[35] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_oeb[36] + NET io_oeb[36] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_oeb[37] + NET io_oeb[37] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_oeb[3] + NET io_oeb[3] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_oeb[4] + NET io_oeb[4] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_oeb[5] + NET io_oeb[5] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_oeb[6] + NET io_oeb[6] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_oeb[7] + NET io_oeb[7] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_oeb[8] + NET io_oeb[8] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_oeb[9] + NET io_oeb[9] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_out[0] + NET io_out[0] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_out[10] + NET io_out[10] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_out[11] + NET io_out[11] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_out[12] + NET io_out[12] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_out[13] + NET io_out[13] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_out[14] + NET io_out[14] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_out[15] + NET io_out[15] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_out[16] + NET io_out[16] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_out[17] + NET io_out[17] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_out[18] + NET io_out[18] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_out[19] + NET io_out[19] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_out[1] + NET io_out[1] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_out[20] + NET io_out[20] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_out[21] + NET io_out[21] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_out[22] + NET io_out[22] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_out[23] + NET io_out[23] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_out[24] + NET io_out[24] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_out[25] + NET io_out[25] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_out[26] + NET io_out[26] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_out[27] + NET io_out[27] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_out[28] + NET io_out[28] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_out[29] + NET io_out[29] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_out[2] + NET io_out[2] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_out[30] + NET io_out[30] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_out[31] + NET io_out[31] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_out[32] + NET io_out[32] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_out[33] + NET io_out[33] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_out[34] + NET io_out[34] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_out[35] + NET io_out[35] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_out[36] + NET io_out[36] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_out[37] + NET io_out[37] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_out[3] + NET io_out[3] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_out[4] + NET io_out[4] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_out[5] + NET io_out[5] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_out[6] + NET io_out[6] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_out[7] + NET io_out[7] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_out[8] + NET io_out[8] + DIRECTION OUTPUT + USE SIGNAL ;
-    - io_out[9] + NET io_out[9] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_in[0] + NET la_data_in[0] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[100] + NET la_data_in[100] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[101] + NET la_data_in[101] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[102] + NET la_data_in[102] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[103] + NET la_data_in[103] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[104] + NET la_data_in[104] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[105] + NET la_data_in[105] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[106] + NET la_data_in[106] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[107] + NET la_data_in[107] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[108] + NET la_data_in[108] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[109] + NET la_data_in[109] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[10] + NET la_data_in[10] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[110] + NET la_data_in[110] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[111] + NET la_data_in[111] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[112] + NET la_data_in[112] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[113] + NET la_data_in[113] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[114] + NET la_data_in[114] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[115] + NET la_data_in[115] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[116] + NET la_data_in[116] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[117] + NET la_data_in[117] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[118] + NET la_data_in[118] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[119] + NET la_data_in[119] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[11] + NET la_data_in[11] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[120] + NET la_data_in[120] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[121] + NET la_data_in[121] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[122] + NET la_data_in[122] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[123] + NET la_data_in[123] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[124] + NET la_data_in[124] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[125] + NET la_data_in[125] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[126] + NET la_data_in[126] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[127] + NET la_data_in[127] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[12] + NET la_data_in[12] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[13] + NET la_data_in[13] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[14] + NET la_data_in[14] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[15] + NET la_data_in[15] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[16] + NET la_data_in[16] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[17] + NET la_data_in[17] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[18] + NET la_data_in[18] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[19] + NET la_data_in[19] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[1] + NET la_data_in[1] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[20] + NET la_data_in[20] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[21] + NET la_data_in[21] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[22] + NET la_data_in[22] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[23] + NET la_data_in[23] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[24] + NET la_data_in[24] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[25] + NET la_data_in[25] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[26] + NET la_data_in[26] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[27] + NET la_data_in[27] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[28] + NET la_data_in[28] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[29] + NET la_data_in[29] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[2] + NET la_data_in[2] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[30] + NET la_data_in[30] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[31] + NET la_data_in[31] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[32] + NET la_data_in[32] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[33] + NET la_data_in[33] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[34] + NET la_data_in[34] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[35] + NET la_data_in[35] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[36] + NET la_data_in[36] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[37] + NET la_data_in[37] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[38] + NET la_data_in[38] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[39] + NET la_data_in[39] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[3] + NET la_data_in[3] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[40] + NET la_data_in[40] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[41] + NET la_data_in[41] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[42] + NET la_data_in[42] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[43] + NET la_data_in[43] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[44] + NET la_data_in[44] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[45] + NET la_data_in[45] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[46] + NET la_data_in[46] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[47] + NET la_data_in[47] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[48] + NET la_data_in[48] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[49] + NET la_data_in[49] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[4] + NET la_data_in[4] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[50] + NET la_data_in[50] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[51] + NET la_data_in[51] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[52] + NET la_data_in[52] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[53] + NET la_data_in[53] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[54] + NET la_data_in[54] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[55] + NET la_data_in[55] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[56] + NET la_data_in[56] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[57] + NET la_data_in[57] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[58] + NET la_data_in[58] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[59] + NET la_data_in[59] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[5] + NET la_data_in[5] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[60] + NET la_data_in[60] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[61] + NET la_data_in[61] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[62] + NET la_data_in[62] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[63] + NET la_data_in[63] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[64] + NET la_data_in[64] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[65] + NET la_data_in[65] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[66] + NET la_data_in[66] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[67] + NET la_data_in[67] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[68] + NET la_data_in[68] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[69] + NET la_data_in[69] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[6] + NET la_data_in[6] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[70] + NET la_data_in[70] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[71] + NET la_data_in[71] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[72] + NET la_data_in[72] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[73] + NET la_data_in[73] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[74] + NET la_data_in[74] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[75] + NET la_data_in[75] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[76] + NET la_data_in[76] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[77] + NET la_data_in[77] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[78] + NET la_data_in[78] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[79] + NET la_data_in[79] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[7] + NET la_data_in[7] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[80] + NET la_data_in[80] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[81] + NET la_data_in[81] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[82] + NET la_data_in[82] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[83] + NET la_data_in[83] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[84] + NET la_data_in[84] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[85] + NET la_data_in[85] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[86] + NET la_data_in[86] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[87] + NET la_data_in[87] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[88] + NET la_data_in[88] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[89] + NET la_data_in[89] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[8] + NET la_data_in[8] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[90] + NET la_data_in[90] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[91] + NET la_data_in[91] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[92] + NET la_data_in[92] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[93] + NET la_data_in[93] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[94] + NET la_data_in[94] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[95] + NET la_data_in[95] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[96] + NET la_data_in[96] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[97] + NET la_data_in[97] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[98] + NET la_data_in[98] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[99] + NET la_data_in[99] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_in[9] + NET la_data_in[9] + DIRECTION INPUT + USE SIGNAL ;
-    - la_data_out[0] + NET la_data_out[0] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[100] + NET la_data_out[100] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[101] + NET la_data_out[101] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[102] + NET la_data_out[102] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[103] + NET la_data_out[103] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[104] + NET la_data_out[104] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[105] + NET la_data_out[105] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[106] + NET la_data_out[106] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[107] + NET la_data_out[107] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[108] + NET la_data_out[108] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[109] + NET la_data_out[109] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[10] + NET la_data_out[10] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[110] + NET la_data_out[110] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[111] + NET la_data_out[111] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[112] + NET la_data_out[112] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[113] + NET la_data_out[113] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[114] + NET la_data_out[114] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[115] + NET la_data_out[115] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[116] + NET la_data_out[116] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[117] + NET la_data_out[117] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[118] + NET la_data_out[118] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[119] + NET la_data_out[119] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[11] + NET la_data_out[11] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[120] + NET la_data_out[120] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[121] + NET la_data_out[121] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[122] + NET la_data_out[122] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[123] + NET la_data_out[123] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[124] + NET la_data_out[124] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[125] + NET la_data_out[125] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[126] + NET la_data_out[126] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[127] + NET la_data_out[127] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[12] + NET la_data_out[12] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[13] + NET la_data_out[13] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[14] + NET la_data_out[14] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[15] + NET la_data_out[15] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[16] + NET la_data_out[16] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[17] + NET la_data_out[17] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[18] + NET la_data_out[18] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[19] + NET la_data_out[19] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[1] + NET la_data_out[1] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[20] + NET la_data_out[20] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[21] + NET la_data_out[21] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[22] + NET la_data_out[22] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[23] + NET la_data_out[23] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[24] + NET la_data_out[24] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[25] + NET la_data_out[25] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[26] + NET la_data_out[26] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[27] + NET la_data_out[27] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[28] + NET la_data_out[28] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[29] + NET la_data_out[29] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[2] + NET la_data_out[2] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[30] + NET la_data_out[30] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[31] + NET la_data_out[31] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[32] + NET la_data_out[32] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[33] + NET la_data_out[33] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[34] + NET la_data_out[34] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[35] + NET la_data_out[35] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[36] + NET la_data_out[36] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[37] + NET la_data_out[37] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[38] + NET la_data_out[38] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[39] + NET la_data_out[39] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[3] + NET la_data_out[3] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[40] + NET la_data_out[40] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[41] + NET la_data_out[41] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[42] + NET la_data_out[42] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[43] + NET la_data_out[43] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[44] + NET la_data_out[44] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[45] + NET la_data_out[45] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[46] + NET la_data_out[46] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[47] + NET la_data_out[47] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[48] + NET la_data_out[48] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[49] + NET la_data_out[49] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[4] + NET la_data_out[4] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[50] + NET la_data_out[50] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[51] + NET la_data_out[51] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[52] + NET la_data_out[52] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[53] + NET la_data_out[53] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[54] + NET la_data_out[54] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[55] + NET la_data_out[55] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[56] + NET la_data_out[56] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[57] + NET la_data_out[57] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[58] + NET la_data_out[58] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[59] + NET la_data_out[59] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[5] + NET la_data_out[5] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[60] + NET la_data_out[60] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[61] + NET la_data_out[61] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[62] + NET la_data_out[62] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[63] + NET la_data_out[63] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[64] + NET la_data_out[64] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[65] + NET la_data_out[65] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[66] + NET la_data_out[66] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[67] + NET la_data_out[67] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[68] + NET la_data_out[68] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[69] + NET la_data_out[69] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[6] + NET la_data_out[6] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[70] + NET la_data_out[70] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[71] + NET la_data_out[71] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[72] + NET la_data_out[72] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[73] + NET la_data_out[73] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[74] + NET la_data_out[74] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[75] + NET la_data_out[75] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[76] + NET la_data_out[76] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[77] + NET la_data_out[77] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[78] + NET la_data_out[78] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[79] + NET la_data_out[79] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[7] + NET la_data_out[7] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[80] + NET la_data_out[80] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[81] + NET la_data_out[81] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[82] + NET la_data_out[82] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[83] + NET la_data_out[83] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[84] + NET la_data_out[84] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[85] + NET la_data_out[85] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[86] + NET la_data_out[86] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[87] + NET la_data_out[87] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[88] + NET la_data_out[88] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[89] + NET la_data_out[89] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[8] + NET la_data_out[8] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[90] + NET la_data_out[90] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[91] + NET la_data_out[91] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[92] + NET la_data_out[92] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[93] + NET la_data_out[93] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[94] + NET la_data_out[94] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[95] + NET la_data_out[95] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[96] + NET la_data_out[96] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[97] + NET la_data_out[97] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[98] + NET la_data_out[98] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[99] + NET la_data_out[99] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_data_out[9] + NET la_data_out[9] + DIRECTION OUTPUT + USE SIGNAL ;
-    - la_oen[0] + NET la_oen[0] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[100] + NET la_oen[100] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[101] + NET la_oen[101] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[102] + NET la_oen[102] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[103] + NET la_oen[103] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[104] + NET la_oen[104] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[105] + NET la_oen[105] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[106] + NET la_oen[106] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[107] + NET la_oen[107] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[108] + NET la_oen[108] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[109] + NET la_oen[109] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[10] + NET la_oen[10] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[110] + NET la_oen[110] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[111] + NET la_oen[111] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[112] + NET la_oen[112] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[113] + NET la_oen[113] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[114] + NET la_oen[114] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[115] + NET la_oen[115] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[116] + NET la_oen[116] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[117] + NET la_oen[117] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[118] + NET la_oen[118] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[119] + NET la_oen[119] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[11] + NET la_oen[11] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[120] + NET la_oen[120] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[121] + NET la_oen[121] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[122] + NET la_oen[122] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[123] + NET la_oen[123] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[124] + NET la_oen[124] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[125] + NET la_oen[125] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[126] + NET la_oen[126] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[127] + NET la_oen[127] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[12] + NET la_oen[12] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[13] + NET la_oen[13] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[14] + NET la_oen[14] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[15] + NET la_oen[15] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[16] + NET la_oen[16] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[17] + NET la_oen[17] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[18] + NET la_oen[18] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[19] + NET la_oen[19] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[1] + NET la_oen[1] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[20] + NET la_oen[20] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[21] + NET la_oen[21] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[22] + NET la_oen[22] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[23] + NET la_oen[23] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[24] + NET la_oen[24] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[25] + NET la_oen[25] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[26] + NET la_oen[26] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[27] + NET la_oen[27] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[28] + NET la_oen[28] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[29] + NET la_oen[29] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[2] + NET la_oen[2] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[30] + NET la_oen[30] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[31] + NET la_oen[31] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[32] + NET la_oen[32] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[33] + NET la_oen[33] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[34] + NET la_oen[34] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[35] + NET la_oen[35] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[36] + NET la_oen[36] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[37] + NET la_oen[37] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[38] + NET la_oen[38] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[39] + NET la_oen[39] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[3] + NET la_oen[3] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[40] + NET la_oen[40] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[41] + NET la_oen[41] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[42] + NET la_oen[42] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[43] + NET la_oen[43] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[44] + NET la_oen[44] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[45] + NET la_oen[45] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[46] + NET la_oen[46] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[47] + NET la_oen[47] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[48] + NET la_oen[48] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[49] + NET la_oen[49] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[4] + NET la_oen[4] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[50] + NET la_oen[50] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[51] + NET la_oen[51] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[52] + NET la_oen[52] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[53] + NET la_oen[53] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[54] + NET la_oen[54] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[55] + NET la_oen[55] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[56] + NET la_oen[56] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[57] + NET la_oen[57] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[58] + NET la_oen[58] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[59] + NET la_oen[59] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[5] + NET la_oen[5] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[60] + NET la_oen[60] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[61] + NET la_oen[61] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[62] + NET la_oen[62] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[63] + NET la_oen[63] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[64] + NET la_oen[64] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[65] + NET la_oen[65] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[66] + NET la_oen[66] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[67] + NET la_oen[67] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[68] + NET la_oen[68] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[69] + NET la_oen[69] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[6] + NET la_oen[6] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[70] + NET la_oen[70] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[71] + NET la_oen[71] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[72] + NET la_oen[72] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[73] + NET la_oen[73] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[74] + NET la_oen[74] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[75] + NET la_oen[75] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[76] + NET la_oen[76] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[77] + NET la_oen[77] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[78] + NET la_oen[78] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[79] + NET la_oen[79] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[7] + NET la_oen[7] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[80] + NET la_oen[80] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[81] + NET la_oen[81] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[82] + NET la_oen[82] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[83] + NET la_oen[83] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[84] + NET la_oen[84] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[85] + NET la_oen[85] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[86] + NET la_oen[86] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[87] + NET la_oen[87] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[88] + NET la_oen[88] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[89] + NET la_oen[89] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[8] + NET la_oen[8] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[90] + NET la_oen[90] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[91] + NET la_oen[91] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[92] + NET la_oen[92] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[93] + NET la_oen[93] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[94] + NET la_oen[94] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[95] + NET la_oen[95] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[96] + NET la_oen[96] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[97] + NET la_oen[97] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[98] + NET la_oen[98] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[99] + NET la_oen[99] + DIRECTION INPUT + USE SIGNAL ;
-    - la_oen[9] + NET la_oen[9] + DIRECTION INPUT + USE SIGNAL ;
-    - user_clock2 + NET user_clock2 + DIRECTION INPUT + USE SIGNAL ;
-    - wb_clk_i + NET wb_clk_i + DIRECTION INPUT + USE SIGNAL ;
-    - wb_rst_i + NET wb_rst_i + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_ack_o + NET wbs_ack_o + DIRECTION OUTPUT + USE SIGNAL ;
-    - wbs_adr_i[0] + NET wbs_adr_i[0] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_adr_i[10] + NET wbs_adr_i[10] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_adr_i[11] + NET wbs_adr_i[11] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_adr_i[12] + NET wbs_adr_i[12] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_adr_i[13] + NET wbs_adr_i[13] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_adr_i[14] + NET wbs_adr_i[14] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_adr_i[15] + NET wbs_adr_i[15] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_adr_i[16] + NET wbs_adr_i[16] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_adr_i[17] + NET wbs_adr_i[17] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_adr_i[18] + NET wbs_adr_i[18] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_adr_i[19] + NET wbs_adr_i[19] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_adr_i[1] + NET wbs_adr_i[1] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_adr_i[20] + NET wbs_adr_i[20] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_adr_i[21] + NET wbs_adr_i[21] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_adr_i[22] + NET wbs_adr_i[22] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_adr_i[23] + NET wbs_adr_i[23] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_adr_i[24] + NET wbs_adr_i[24] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_adr_i[25] + NET wbs_adr_i[25] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_adr_i[26] + NET wbs_adr_i[26] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_adr_i[27] + NET wbs_adr_i[27] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_adr_i[28] + NET wbs_adr_i[28] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_adr_i[29] + NET wbs_adr_i[29] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_adr_i[2] + NET wbs_adr_i[2] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_adr_i[30] + NET wbs_adr_i[30] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_adr_i[31] + NET wbs_adr_i[31] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_adr_i[3] + NET wbs_adr_i[3] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_adr_i[4] + NET wbs_adr_i[4] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_adr_i[5] + NET wbs_adr_i[5] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_adr_i[6] + NET wbs_adr_i[6] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_adr_i[7] + NET wbs_adr_i[7] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_adr_i[8] + NET wbs_adr_i[8] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_adr_i[9] + NET wbs_adr_i[9] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_cyc_i + NET wbs_cyc_i + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_dat_i[0] + NET wbs_dat_i[0] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_dat_i[10] + NET wbs_dat_i[10] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_dat_i[11] + NET wbs_dat_i[11] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_dat_i[12] + NET wbs_dat_i[12] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_dat_i[13] + NET wbs_dat_i[13] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_dat_i[14] + NET wbs_dat_i[14] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_dat_i[15] + NET wbs_dat_i[15] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_dat_i[16] + NET wbs_dat_i[16] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_dat_i[17] + NET wbs_dat_i[17] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_dat_i[18] + NET wbs_dat_i[18] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_dat_i[19] + NET wbs_dat_i[19] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_dat_i[1] + NET wbs_dat_i[1] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_dat_i[20] + NET wbs_dat_i[20] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_dat_i[21] + NET wbs_dat_i[21] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_dat_i[22] + NET wbs_dat_i[22] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_dat_i[23] + NET wbs_dat_i[23] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_dat_i[24] + NET wbs_dat_i[24] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_dat_i[25] + NET wbs_dat_i[25] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_dat_i[26] + NET wbs_dat_i[26] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_dat_i[27] + NET wbs_dat_i[27] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_dat_i[28] + NET wbs_dat_i[28] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_dat_i[29] + NET wbs_dat_i[29] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_dat_i[2] + NET wbs_dat_i[2] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_dat_i[30] + NET wbs_dat_i[30] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_dat_i[31] + NET wbs_dat_i[31] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_dat_i[3] + NET wbs_dat_i[3] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_dat_i[4] + NET wbs_dat_i[4] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_dat_i[5] + NET wbs_dat_i[5] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_dat_i[6] + NET wbs_dat_i[6] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_dat_i[7] + NET wbs_dat_i[7] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_dat_i[8] + NET wbs_dat_i[8] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_dat_i[9] + NET wbs_dat_i[9] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_dat_o[0] + NET wbs_dat_o[0] + DIRECTION OUTPUT + USE SIGNAL ;
-    - wbs_dat_o[10] + NET wbs_dat_o[10] + DIRECTION OUTPUT + USE SIGNAL ;
-    - wbs_dat_o[11] + NET wbs_dat_o[11] + DIRECTION OUTPUT + USE SIGNAL ;
-    - wbs_dat_o[12] + NET wbs_dat_o[12] + DIRECTION OUTPUT + USE SIGNAL ;
-    - wbs_dat_o[13] + NET wbs_dat_o[13] + DIRECTION OUTPUT + USE SIGNAL ;
-    - wbs_dat_o[14] + NET wbs_dat_o[14] + DIRECTION OUTPUT + USE SIGNAL ;
-    - wbs_dat_o[15] + NET wbs_dat_o[15] + DIRECTION OUTPUT + USE SIGNAL ;
-    - wbs_dat_o[16] + NET wbs_dat_o[16] + DIRECTION OUTPUT + USE SIGNAL ;
-    - wbs_dat_o[17] + NET wbs_dat_o[17] + DIRECTION OUTPUT + USE SIGNAL ;
-    - wbs_dat_o[18] + NET wbs_dat_o[18] + DIRECTION OUTPUT + USE SIGNAL ;
-    - wbs_dat_o[19] + NET wbs_dat_o[19] + DIRECTION OUTPUT + USE SIGNAL ;
-    - wbs_dat_o[1] + NET wbs_dat_o[1] + DIRECTION OUTPUT + USE SIGNAL ;
-    - wbs_dat_o[20] + NET wbs_dat_o[20] + DIRECTION OUTPUT + USE SIGNAL ;
-    - wbs_dat_o[21] + NET wbs_dat_o[21] + DIRECTION OUTPUT + USE SIGNAL ;
-    - wbs_dat_o[22] + NET wbs_dat_o[22] + DIRECTION OUTPUT + USE SIGNAL ;
-    - wbs_dat_o[23] + NET wbs_dat_o[23] + DIRECTION OUTPUT + USE SIGNAL ;
-    - wbs_dat_o[24] + NET wbs_dat_o[24] + DIRECTION OUTPUT + USE SIGNAL ;
-    - wbs_dat_o[25] + NET wbs_dat_o[25] + DIRECTION OUTPUT + USE SIGNAL ;
-    - wbs_dat_o[26] + NET wbs_dat_o[26] + DIRECTION OUTPUT + USE SIGNAL ;
-    - wbs_dat_o[27] + NET wbs_dat_o[27] + DIRECTION OUTPUT + USE SIGNAL ;
-    - wbs_dat_o[28] + NET wbs_dat_o[28] + DIRECTION OUTPUT + USE SIGNAL ;
-    - wbs_dat_o[29] + NET wbs_dat_o[29] + DIRECTION OUTPUT + USE SIGNAL ;
-    - wbs_dat_o[2] + NET wbs_dat_o[2] + DIRECTION OUTPUT + USE SIGNAL ;
-    - wbs_dat_o[30] + NET wbs_dat_o[30] + DIRECTION OUTPUT + USE SIGNAL ;
-    - wbs_dat_o[31] + NET wbs_dat_o[31] + DIRECTION OUTPUT + USE SIGNAL ;
-    - wbs_dat_o[3] + NET wbs_dat_o[3] + DIRECTION OUTPUT + USE SIGNAL ;
-    - wbs_dat_o[4] + NET wbs_dat_o[4] + DIRECTION OUTPUT + USE SIGNAL ;
-    - wbs_dat_o[5] + NET wbs_dat_o[5] + DIRECTION OUTPUT + USE SIGNAL ;
-    - wbs_dat_o[6] + NET wbs_dat_o[6] + DIRECTION OUTPUT + USE SIGNAL ;
-    - wbs_dat_o[7] + NET wbs_dat_o[7] + DIRECTION OUTPUT + USE SIGNAL ;
-    - wbs_dat_o[8] + NET wbs_dat_o[8] + DIRECTION OUTPUT + USE SIGNAL ;
-    - wbs_dat_o[9] + NET wbs_dat_o[9] + DIRECTION OUTPUT + USE SIGNAL ;
-    - wbs_sel_i[0] + NET wbs_sel_i[0] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_sel_i[1] + NET wbs_sel_i[1] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_sel_i[2] + NET wbs_sel_i[2] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_sel_i[3] + NET wbs_sel_i[3] + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_stb_i + NET wbs_stb_i + DIRECTION INPUT + USE SIGNAL ;
-    - wbs_we_i + NET wbs_we_i + DIRECTION INPUT + USE SIGNAL ;
-END PINS
-NETS 636 ;
-    - analog_io[0] ( PIN analog_io[0] ) + USE SIGNAL ;
-    - analog_io[10] ( PIN analog_io[10] ) + USE SIGNAL ;
-    - analog_io[11] ( PIN analog_io[11] ) + USE SIGNAL ;
-    - analog_io[12] ( PIN analog_io[12] ) + USE SIGNAL ;
-    - analog_io[13] ( PIN analog_io[13] ) + USE SIGNAL ;
-    - analog_io[14] ( PIN analog_io[14] ) + USE SIGNAL ;
-    - analog_io[15] ( PIN analog_io[15] ) + USE SIGNAL ;
-    - analog_io[16] ( PIN analog_io[16] ) + USE SIGNAL ;
-    - analog_io[17] ( PIN analog_io[17] ) + USE SIGNAL ;
-    - analog_io[18] ( PIN analog_io[18] ) + USE SIGNAL ;
-    - analog_io[19] ( PIN analog_io[19] ) + USE SIGNAL ;
-    - analog_io[1] ( PIN analog_io[1] ) + USE SIGNAL ;
-    - analog_io[20] ( PIN analog_io[20] ) + USE SIGNAL ;
-    - analog_io[21] ( PIN analog_io[21] ) + USE SIGNAL ;
-    - analog_io[22] ( PIN analog_io[22] ) + USE SIGNAL ;
-    - analog_io[23] ( PIN analog_io[23] ) + USE SIGNAL ;
-    - analog_io[24] ( PIN analog_io[24] ) + USE SIGNAL ;
-    - analog_io[25] ( PIN analog_io[25] ) + USE SIGNAL ;
-    - analog_io[26] ( PIN analog_io[26] ) + USE SIGNAL ;
-    - analog_io[27] ( PIN analog_io[27] ) + USE SIGNAL ;
-    - analog_io[28] ( PIN analog_io[28] ) + USE SIGNAL ;
-    - analog_io[29] ( PIN analog_io[29] ) + USE SIGNAL ;
-    - analog_io[2] ( PIN analog_io[2] ) + USE SIGNAL ;
-    - analog_io[30] ( PIN analog_io[30] ) + USE SIGNAL ;
-    - analog_io[3] ( PIN analog_io[3] ) + USE SIGNAL ;
-    - analog_io[4] ( PIN analog_io[4] ) + USE SIGNAL ;
-    - analog_io[5] ( PIN analog_io[5] ) + USE SIGNAL ;
-    - analog_io[6] ( PIN analog_io[6] ) + USE SIGNAL ;
-    - analog_io[7] ( PIN analog_io[7] ) + USE SIGNAL ;
-    - analog_io[8] ( PIN analog_io[8] ) + USE SIGNAL ;
-    - analog_io[9] ( PIN analog_io[9] ) + USE SIGNAL ;
-    - io_in[0] ( PIN io_in[0] ) ( mprj io_in[0] ) + USE SIGNAL ;
-    - io_in[10] ( PIN io_in[10] ) ( mprj io_in[10] ) + USE SIGNAL ;
-    - io_in[11] ( PIN io_in[11] ) ( mprj io_in[11] ) + USE SIGNAL ;
-    - io_in[12] ( PIN io_in[12] ) ( mprj io_in[12] ) + USE SIGNAL ;
-    - io_in[13] ( PIN io_in[13] ) ( mprj io_in[13] ) + USE SIGNAL ;
-    - io_in[14] ( PIN io_in[14] ) ( mprj io_in[14] ) + USE SIGNAL ;
-    - io_in[15] ( PIN io_in[15] ) ( mprj io_in[15] ) + USE SIGNAL ;
-    - io_in[16] ( PIN io_in[16] ) ( mprj io_in[16] ) + USE SIGNAL ;
-    - io_in[17] ( PIN io_in[17] ) ( mprj io_in[17] ) + USE SIGNAL ;
-    - io_in[18] ( PIN io_in[18] ) ( mprj io_in[18] ) + USE SIGNAL ;
-    - io_in[19] ( PIN io_in[19] ) ( mprj io_in[19] ) + USE SIGNAL ;
-    - io_in[1] ( PIN io_in[1] ) ( mprj io_in[1] ) + USE SIGNAL ;
-    - io_in[20] ( PIN io_in[20] ) ( mprj io_in[20] ) + USE SIGNAL ;
-    - io_in[21] ( PIN io_in[21] ) ( mprj io_in[21] ) + USE SIGNAL ;
-    - io_in[22] ( PIN io_in[22] ) ( mprj io_in[22] ) + USE SIGNAL ;
-    - io_in[23] ( PIN io_in[23] ) ( mprj io_in[23] ) + USE SIGNAL ;
-    - io_in[24] ( PIN io_in[24] ) ( mprj io_in[24] ) + USE SIGNAL ;
-    - io_in[25] ( PIN io_in[25] ) ( mprj io_in[25] ) + USE SIGNAL ;
-    - io_in[26] ( PIN io_in[26] ) ( mprj io_in[26] ) + USE SIGNAL ;
-    - io_in[27] ( PIN io_in[27] ) ( mprj io_in[27] ) + USE SIGNAL ;
-    - io_in[28] ( PIN io_in[28] ) ( mprj io_in[28] ) + USE SIGNAL ;
-    - io_in[29] ( PIN io_in[29] ) ( mprj io_in[29] ) + USE SIGNAL ;
-    - io_in[2] ( PIN io_in[2] ) ( mprj io_in[2] ) + USE SIGNAL ;
-    - io_in[30] ( PIN io_in[30] ) ( mprj io_in[30] ) + USE SIGNAL ;
-    - io_in[31] ( PIN io_in[31] ) ( mprj io_in[31] ) + USE SIGNAL ;
-    - io_in[32] ( PIN io_in[32] ) ( mprj io_in[32] ) + USE SIGNAL ;
-    - io_in[33] ( PIN io_in[33] ) ( mprj io_in[33] ) + USE SIGNAL ;
-    - io_in[34] ( PIN io_in[34] ) ( mprj io_in[34] ) + USE SIGNAL ;
-    - io_in[35] ( PIN io_in[35] ) ( mprj io_in[35] ) + USE SIGNAL ;
-    - io_in[36] ( PIN io_in[36] ) ( mprj io_in[36] ) + USE SIGNAL ;
-    - io_in[37] ( PIN io_in[37] ) ( mprj io_in[37] ) + USE SIGNAL ;
-    - io_in[3] ( PIN io_in[3] ) ( mprj io_in[3] ) + USE SIGNAL ;
-    - io_in[4] ( PIN io_in[4] ) ( mprj io_in[4] ) + USE SIGNAL ;
-    - io_in[5] ( PIN io_in[5] ) ( mprj io_in[5] ) + USE SIGNAL ;
-    - io_in[6] ( PIN io_in[6] ) ( mprj io_in[6] ) + USE SIGNAL ;
-    - io_in[7] ( PIN io_in[7] ) ( mprj io_in[7] ) + USE SIGNAL ;
-    - io_in[8] ( PIN io_in[8] ) ( mprj io_in[8] ) + USE SIGNAL ;
-    - io_in[9] ( PIN io_in[9] ) ( mprj io_in[9] ) + USE SIGNAL ;
-    - io_oeb[0] ( PIN io_oeb[0] ) ( mprj io_oeb[0] ) + USE SIGNAL ;
-    - io_oeb[10] ( PIN io_oeb[10] ) ( mprj io_oeb[10] ) + USE SIGNAL ;
-    - io_oeb[11] ( PIN io_oeb[11] ) ( mprj io_oeb[11] ) + USE SIGNAL ;
-    - io_oeb[12] ( PIN io_oeb[12] ) ( mprj io_oeb[12] ) + USE SIGNAL ;
-    - io_oeb[13] ( PIN io_oeb[13] ) ( mprj io_oeb[13] ) + USE SIGNAL ;
-    - io_oeb[14] ( PIN io_oeb[14] ) ( mprj io_oeb[14] ) + USE SIGNAL ;
-    - io_oeb[15] ( PIN io_oeb[15] ) ( mprj io_oeb[15] ) + USE SIGNAL ;
-    - io_oeb[16] ( PIN io_oeb[16] ) ( mprj io_oeb[16] ) + USE SIGNAL ;
-    - io_oeb[17] ( PIN io_oeb[17] ) ( mprj io_oeb[17] ) + USE SIGNAL ;
-    - io_oeb[18] ( PIN io_oeb[18] ) ( mprj io_oeb[18] ) + USE SIGNAL ;
-    - io_oeb[19] ( PIN io_oeb[19] ) ( mprj io_oeb[19] ) + USE SIGNAL ;
-    - io_oeb[1] ( PIN io_oeb[1] ) ( mprj io_oeb[1] ) + USE SIGNAL ;
-    - io_oeb[20] ( PIN io_oeb[20] ) ( mprj io_oeb[20] ) + USE SIGNAL ;
-    - io_oeb[21] ( PIN io_oeb[21] ) ( mprj io_oeb[21] ) + USE SIGNAL ;
-    - io_oeb[22] ( PIN io_oeb[22] ) ( mprj io_oeb[22] ) + USE SIGNAL ;
-    - io_oeb[23] ( PIN io_oeb[23] ) ( mprj io_oeb[23] ) + USE SIGNAL ;
-    - io_oeb[24] ( PIN io_oeb[24] ) ( mprj io_oeb[24] ) + USE SIGNAL ;
-    - io_oeb[25] ( PIN io_oeb[25] ) ( mprj io_oeb[25] ) + USE SIGNAL ;
-    - io_oeb[26] ( PIN io_oeb[26] ) ( mprj io_oeb[26] ) + USE SIGNAL ;
-    - io_oeb[27] ( PIN io_oeb[27] ) ( mprj io_oeb[27] ) + USE SIGNAL ;
-    - io_oeb[28] ( PIN io_oeb[28] ) ( mprj io_oeb[28] ) + USE SIGNAL ;
-    - io_oeb[29] ( PIN io_oeb[29] ) ( mprj io_oeb[29] ) + USE SIGNAL ;
-    - io_oeb[2] ( PIN io_oeb[2] ) ( mprj io_oeb[2] ) + USE SIGNAL ;
-    - io_oeb[30] ( PIN io_oeb[30] ) ( mprj io_oeb[30] ) + USE SIGNAL ;
-    - io_oeb[31] ( PIN io_oeb[31] ) ( mprj io_oeb[31] ) + USE SIGNAL ;
-    - io_oeb[32] ( PIN io_oeb[32] ) ( mprj io_oeb[32] ) + USE SIGNAL ;
-    - io_oeb[33] ( PIN io_oeb[33] ) ( mprj io_oeb[33] ) + USE SIGNAL ;
-    - io_oeb[34] ( PIN io_oeb[34] ) ( mprj io_oeb[34] ) + USE SIGNAL ;
-    - io_oeb[35] ( PIN io_oeb[35] ) ( mprj io_oeb[35] ) + USE SIGNAL ;
-    - io_oeb[36] ( PIN io_oeb[36] ) ( mprj io_oeb[36] ) + USE SIGNAL ;
-    - io_oeb[37] ( PIN io_oeb[37] ) ( mprj io_oeb[37] ) + USE SIGNAL ;
-    - io_oeb[3] ( PIN io_oeb[3] ) ( mprj io_oeb[3] ) + USE SIGNAL ;
-    - io_oeb[4] ( PIN io_oeb[4] ) ( mprj io_oeb[4] ) + USE SIGNAL ;
-    - io_oeb[5] ( PIN io_oeb[5] ) ( mprj io_oeb[5] ) + USE SIGNAL ;
-    - io_oeb[6] ( PIN io_oeb[6] ) ( mprj io_oeb[6] ) + USE SIGNAL ;
-    - io_oeb[7] ( PIN io_oeb[7] ) ( mprj io_oeb[7] ) + USE SIGNAL ;
-    - io_oeb[8] ( PIN io_oeb[8] ) ( mprj io_oeb[8] ) + USE SIGNAL ;
-    - io_oeb[9] ( PIN io_oeb[9] ) ( mprj io_oeb[9] ) + USE SIGNAL ;
-    - io_out[0] ( PIN io_out[0] ) ( mprj io_out[0] ) + USE SIGNAL ;
-    - io_out[10] ( PIN io_out[10] ) ( mprj io_out[10] ) + USE SIGNAL ;
-    - io_out[11] ( PIN io_out[11] ) ( mprj io_out[11] ) + USE SIGNAL ;
-    - io_out[12] ( PIN io_out[12] ) ( mprj io_out[12] ) + USE SIGNAL ;
-    - io_out[13] ( PIN io_out[13] ) ( mprj io_out[13] ) + USE SIGNAL ;
-    - io_out[14] ( PIN io_out[14] ) ( mprj io_out[14] ) + USE SIGNAL ;
-    - io_out[15] ( PIN io_out[15] ) ( mprj io_out[15] ) + USE SIGNAL ;
-    - io_out[16] ( PIN io_out[16] ) ( mprj io_out[16] ) + USE SIGNAL ;
-    - io_out[17] ( PIN io_out[17] ) ( mprj io_out[17] ) + USE SIGNAL ;
-    - io_out[18] ( PIN io_out[18] ) ( mprj io_out[18] ) + USE SIGNAL ;
-    - io_out[19] ( PIN io_out[19] ) ( mprj io_out[19] ) + USE SIGNAL ;
-    - io_out[1] ( PIN io_out[1] ) ( mprj io_out[1] ) + USE SIGNAL ;
-    - io_out[20] ( PIN io_out[20] ) ( mprj io_out[20] ) + USE SIGNAL ;
-    - io_out[21] ( PIN io_out[21] ) ( mprj io_out[21] ) + USE SIGNAL ;
-    - io_out[22] ( PIN io_out[22] ) ( mprj io_out[22] ) + USE SIGNAL ;
-    - io_out[23] ( PIN io_out[23] ) ( mprj io_out[23] ) + USE SIGNAL ;
-    - io_out[24] ( PIN io_out[24] ) ( mprj io_out[24] ) + USE SIGNAL ;
-    - io_out[25] ( PIN io_out[25] ) ( mprj io_out[25] ) + USE SIGNAL ;
-    - io_out[26] ( PIN io_out[26] ) ( mprj io_out[26] ) + USE SIGNAL ;
-    - io_out[27] ( PIN io_out[27] ) ( mprj io_out[27] ) + USE SIGNAL ;
-    - io_out[28] ( PIN io_out[28] ) ( mprj io_out[28] ) + USE SIGNAL ;
-    - io_out[29] ( PIN io_out[29] ) ( mprj io_out[29] ) + USE SIGNAL ;
-    - io_out[2] ( PIN io_out[2] ) ( mprj io_out[2] ) + USE SIGNAL ;
-    - io_out[30] ( PIN io_out[30] ) ( mprj io_out[30] ) + USE SIGNAL ;
-    - io_out[31] ( PIN io_out[31] ) ( mprj io_out[31] ) + USE SIGNAL ;
-    - io_out[32] ( PIN io_out[32] ) ( mprj io_out[32] ) + USE SIGNAL ;
-    - io_out[33] ( PIN io_out[33] ) ( mprj io_out[33] ) + USE SIGNAL ;
-    - io_out[34] ( PIN io_out[34] ) ( mprj io_out[34] ) + USE SIGNAL ;
-    - io_out[35] ( PIN io_out[35] ) ( mprj io_out[35] ) + USE SIGNAL ;
-    - io_out[36] ( PIN io_out[36] ) ( mprj io_out[36] ) + USE SIGNAL ;
-    - io_out[37] ( PIN io_out[37] ) ( mprj io_out[37] ) + USE SIGNAL ;
-    - io_out[3] ( PIN io_out[3] ) ( mprj io_out[3] ) + USE SIGNAL ;
-    - io_out[4] ( PIN io_out[4] ) ( mprj io_out[4] ) + USE SIGNAL ;
-    - io_out[5] ( PIN io_out[5] ) ( mprj io_out[5] ) + USE SIGNAL ;
-    - io_out[6] ( PIN io_out[6] ) ( mprj io_out[6] ) + USE SIGNAL ;
-    - io_out[7] ( PIN io_out[7] ) ( mprj io_out[7] ) + USE SIGNAL ;
-    - io_out[8] ( PIN io_out[8] ) ( mprj io_out[8] ) + USE SIGNAL ;
-    - io_out[9] ( PIN io_out[9] ) ( mprj io_out[9] ) + USE SIGNAL ;
-    - la_data_in[0] ( PIN la_data_in[0] ) ( mprj la_data_in[0] ) + USE SIGNAL ;
-    - la_data_in[100] ( PIN la_data_in[100] ) ( mprj la_data_in[100] ) + USE SIGNAL ;
-    - la_data_in[101] ( PIN la_data_in[101] ) ( mprj la_data_in[101] ) + USE SIGNAL ;
-    - la_data_in[102] ( PIN la_data_in[102] ) ( mprj la_data_in[102] ) + USE SIGNAL ;
-    - la_data_in[103] ( PIN la_data_in[103] ) ( mprj la_data_in[103] ) + USE SIGNAL ;
-    - la_data_in[104] ( PIN la_data_in[104] ) ( mprj la_data_in[104] ) + USE SIGNAL ;
-    - la_data_in[105] ( PIN la_data_in[105] ) ( mprj la_data_in[105] ) + USE SIGNAL ;
-    - la_data_in[106] ( PIN la_data_in[106] ) ( mprj la_data_in[106] ) + USE SIGNAL ;
-    - la_data_in[107] ( PIN la_data_in[107] ) ( mprj la_data_in[107] ) + USE SIGNAL ;
-    - la_data_in[108] ( PIN la_data_in[108] ) ( mprj la_data_in[108] ) + USE SIGNAL ;
-    - la_data_in[109] ( PIN la_data_in[109] ) ( mprj la_data_in[109] ) + USE SIGNAL ;
-    - la_data_in[10] ( PIN la_data_in[10] ) ( mprj la_data_in[10] ) + USE SIGNAL ;
-    - la_data_in[110] ( PIN la_data_in[110] ) ( mprj la_data_in[110] ) + USE SIGNAL ;
-    - la_data_in[111] ( PIN la_data_in[111] ) ( mprj la_data_in[111] ) + USE SIGNAL ;
-    - la_data_in[112] ( PIN la_data_in[112] ) ( mprj la_data_in[112] ) + USE SIGNAL ;
-    - la_data_in[113] ( PIN la_data_in[113] ) ( mprj la_data_in[113] ) + USE SIGNAL ;
-    - la_data_in[114] ( PIN la_data_in[114] ) ( mprj la_data_in[114] ) + USE SIGNAL ;
-    - la_data_in[115] ( PIN la_data_in[115] ) ( mprj la_data_in[115] ) + USE SIGNAL ;
-    - la_data_in[116] ( PIN la_data_in[116] ) ( mprj la_data_in[116] ) + USE SIGNAL ;
-    - la_data_in[117] ( PIN la_data_in[117] ) ( mprj la_data_in[117] ) + USE SIGNAL ;
-    - la_data_in[118] ( PIN la_data_in[118] ) ( mprj la_data_in[118] ) + USE SIGNAL ;
-    - la_data_in[119] ( PIN la_data_in[119] ) ( mprj la_data_in[119] ) + USE SIGNAL ;
-    - la_data_in[11] ( PIN la_data_in[11] ) ( mprj la_data_in[11] ) + USE SIGNAL ;
-    - la_data_in[120] ( PIN la_data_in[120] ) ( mprj la_data_in[120] ) + USE SIGNAL ;
-    - la_data_in[121] ( PIN la_data_in[121] ) ( mprj la_data_in[121] ) + USE SIGNAL ;
-    - la_data_in[122] ( PIN la_data_in[122] ) ( mprj la_data_in[122] ) + USE SIGNAL ;
-    - la_data_in[123] ( PIN la_data_in[123] ) ( mprj la_data_in[123] ) + USE SIGNAL ;
-    - la_data_in[124] ( PIN la_data_in[124] ) ( mprj la_data_in[124] ) + USE SIGNAL ;
-    - la_data_in[125] ( PIN la_data_in[125] ) ( mprj la_data_in[125] ) + USE SIGNAL ;
-    - la_data_in[126] ( PIN la_data_in[126] ) ( mprj la_data_in[126] ) + USE SIGNAL ;
-    - la_data_in[127] ( PIN la_data_in[127] ) ( mprj la_data_in[127] ) + USE SIGNAL ;
-    - la_data_in[12] ( PIN la_data_in[12] ) ( mprj la_data_in[12] ) + USE SIGNAL ;
-    - la_data_in[13] ( PIN la_data_in[13] ) ( mprj la_data_in[13] ) + USE SIGNAL ;
-    - la_data_in[14] ( PIN la_data_in[14] ) ( mprj la_data_in[14] ) + USE SIGNAL ;
-    - la_data_in[15] ( PIN la_data_in[15] ) ( mprj la_data_in[15] ) + USE SIGNAL ;
-    - la_data_in[16] ( PIN la_data_in[16] ) ( mprj la_data_in[16] ) + USE SIGNAL ;
-    - la_data_in[17] ( PIN la_data_in[17] ) ( mprj la_data_in[17] ) + USE SIGNAL ;
-    - la_data_in[18] ( PIN la_data_in[18] ) ( mprj la_data_in[18] ) + USE SIGNAL ;
-    - la_data_in[19] ( PIN la_data_in[19] ) ( mprj la_data_in[19] ) + USE SIGNAL ;
-    - la_data_in[1] ( PIN la_data_in[1] ) ( mprj la_data_in[1] ) + USE SIGNAL ;
-    - la_data_in[20] ( PIN la_data_in[20] ) ( mprj la_data_in[20] ) + USE SIGNAL ;
-    - la_data_in[21] ( PIN la_data_in[21] ) ( mprj la_data_in[21] ) + USE SIGNAL ;
-    - la_data_in[22] ( PIN la_data_in[22] ) ( mprj la_data_in[22] ) + USE SIGNAL ;
-    - la_data_in[23] ( PIN la_data_in[23] ) ( mprj la_data_in[23] ) + USE SIGNAL ;
-    - la_data_in[24] ( PIN la_data_in[24] ) ( mprj la_data_in[24] ) + USE SIGNAL ;
-    - la_data_in[25] ( PIN la_data_in[25] ) ( mprj la_data_in[25] ) + USE SIGNAL ;
-    - la_data_in[26] ( PIN la_data_in[26] ) ( mprj la_data_in[26] ) + USE SIGNAL ;
-    - la_data_in[27] ( PIN la_data_in[27] ) ( mprj la_data_in[27] ) + USE SIGNAL ;
-    - la_data_in[28] ( PIN la_data_in[28] ) ( mprj la_data_in[28] ) + USE SIGNAL ;
-    - la_data_in[29] ( PIN la_data_in[29] ) ( mprj la_data_in[29] ) + USE SIGNAL ;
-    - la_data_in[2] ( PIN la_data_in[2] ) ( mprj la_data_in[2] ) + USE SIGNAL ;
-    - la_data_in[30] ( PIN la_data_in[30] ) ( mprj la_data_in[30] ) + USE SIGNAL ;
-    - la_data_in[31] ( PIN la_data_in[31] ) ( mprj la_data_in[31] ) + USE SIGNAL ;
-    - la_data_in[32] ( PIN la_data_in[32] ) ( mprj la_data_in[32] ) + USE SIGNAL ;
-    - la_data_in[33] ( PIN la_data_in[33] ) ( mprj la_data_in[33] ) + USE SIGNAL ;
-    - la_data_in[34] ( PIN la_data_in[34] ) ( mprj la_data_in[34] ) + USE SIGNAL ;
-    - la_data_in[35] ( PIN la_data_in[35] ) ( mprj la_data_in[35] ) + USE SIGNAL ;
-    - la_data_in[36] ( PIN la_data_in[36] ) ( mprj la_data_in[36] ) + USE SIGNAL ;
-    - la_data_in[37] ( PIN la_data_in[37] ) ( mprj la_data_in[37] ) + USE SIGNAL ;
-    - la_data_in[38] ( PIN la_data_in[38] ) ( mprj la_data_in[38] ) + USE SIGNAL ;
-    - la_data_in[39] ( PIN la_data_in[39] ) ( mprj la_data_in[39] ) + USE SIGNAL ;
-    - la_data_in[3] ( PIN la_data_in[3] ) ( mprj la_data_in[3] ) + USE SIGNAL ;
-    - la_data_in[40] ( PIN la_data_in[40] ) ( mprj la_data_in[40] ) + USE SIGNAL ;
-    - la_data_in[41] ( PIN la_data_in[41] ) ( mprj la_data_in[41] ) + USE SIGNAL ;
-    - la_data_in[42] ( PIN la_data_in[42] ) ( mprj la_data_in[42] ) + USE SIGNAL ;
-    - la_data_in[43] ( PIN la_data_in[43] ) ( mprj la_data_in[43] ) + USE SIGNAL ;
-    - la_data_in[44] ( PIN la_data_in[44] ) ( mprj la_data_in[44] ) + USE SIGNAL ;
-    - la_data_in[45] ( PIN la_data_in[45] ) ( mprj la_data_in[45] ) + USE SIGNAL ;
-    - la_data_in[46] ( PIN la_data_in[46] ) ( mprj la_data_in[46] ) + USE SIGNAL ;
-    - la_data_in[47] ( PIN la_data_in[47] ) ( mprj la_data_in[47] ) + USE SIGNAL ;
-    - la_data_in[48] ( PIN la_data_in[48] ) ( mprj la_data_in[48] ) + USE SIGNAL ;
-    - la_data_in[49] ( PIN la_data_in[49] ) ( mprj la_data_in[49] ) + USE SIGNAL ;
-    - la_data_in[4] ( PIN la_data_in[4] ) ( mprj la_data_in[4] ) + USE SIGNAL ;
-    - la_data_in[50] ( PIN la_data_in[50] ) ( mprj la_data_in[50] ) + USE SIGNAL ;
-    - la_data_in[51] ( PIN la_data_in[51] ) ( mprj la_data_in[51] ) + USE SIGNAL ;
-    - la_data_in[52] ( PIN la_data_in[52] ) ( mprj la_data_in[52] ) + USE SIGNAL ;
-    - la_data_in[53] ( PIN la_data_in[53] ) ( mprj la_data_in[53] ) + USE SIGNAL ;
-    - la_data_in[54] ( PIN la_data_in[54] ) ( mprj la_data_in[54] ) + USE SIGNAL ;
-    - la_data_in[55] ( PIN la_data_in[55] ) ( mprj la_data_in[55] ) + USE SIGNAL ;
-    - la_data_in[56] ( PIN la_data_in[56] ) ( mprj la_data_in[56] ) + USE SIGNAL ;
-    - la_data_in[57] ( PIN la_data_in[57] ) ( mprj la_data_in[57] ) + USE SIGNAL ;
-    - la_data_in[58] ( PIN la_data_in[58] ) ( mprj la_data_in[58] ) + USE SIGNAL ;
-    - la_data_in[59] ( PIN la_data_in[59] ) ( mprj la_data_in[59] ) + USE SIGNAL ;
-    - la_data_in[5] ( PIN la_data_in[5] ) ( mprj la_data_in[5] ) + USE SIGNAL ;
-    - la_data_in[60] ( PIN la_data_in[60] ) ( mprj la_data_in[60] ) + USE SIGNAL ;
-    - la_data_in[61] ( PIN la_data_in[61] ) ( mprj la_data_in[61] ) + USE SIGNAL ;
-    - la_data_in[62] ( PIN la_data_in[62] ) ( mprj la_data_in[62] ) + USE SIGNAL ;
-    - la_data_in[63] ( PIN la_data_in[63] ) ( mprj la_data_in[63] ) + USE SIGNAL ;
-    - la_data_in[64] ( PIN la_data_in[64] ) ( mprj la_data_in[64] ) + USE SIGNAL ;
-    - la_data_in[65] ( PIN la_data_in[65] ) ( mprj la_data_in[65] ) + USE SIGNAL ;
-    - la_data_in[66] ( PIN la_data_in[66] ) ( mprj la_data_in[66] ) + USE SIGNAL ;
-    - la_data_in[67] ( PIN la_data_in[67] ) ( mprj la_data_in[67] ) + USE SIGNAL ;
-    - la_data_in[68] ( PIN la_data_in[68] ) ( mprj la_data_in[68] ) + USE SIGNAL ;
-    - la_data_in[69] ( PIN la_data_in[69] ) ( mprj la_data_in[69] ) + USE SIGNAL ;
-    - la_data_in[6] ( PIN la_data_in[6] ) ( mprj la_data_in[6] ) + USE SIGNAL ;
-    - la_data_in[70] ( PIN la_data_in[70] ) ( mprj la_data_in[70] ) + USE SIGNAL ;
-    - la_data_in[71] ( PIN la_data_in[71] ) ( mprj la_data_in[71] ) + USE SIGNAL ;
-    - la_data_in[72] ( PIN la_data_in[72] ) ( mprj la_data_in[72] ) + USE SIGNAL ;
-    - la_data_in[73] ( PIN la_data_in[73] ) ( mprj la_data_in[73] ) + USE SIGNAL ;
-    - la_data_in[74] ( PIN la_data_in[74] ) ( mprj la_data_in[74] ) + USE SIGNAL ;
-    - la_data_in[75] ( PIN la_data_in[75] ) ( mprj la_data_in[75] ) + USE SIGNAL ;
-    - la_data_in[76] ( PIN la_data_in[76] ) ( mprj la_data_in[76] ) + USE SIGNAL ;
-    - la_data_in[77] ( PIN la_data_in[77] ) ( mprj la_data_in[77] ) + USE SIGNAL ;
-    - la_data_in[78] ( PIN la_data_in[78] ) ( mprj la_data_in[78] ) + USE SIGNAL ;
-    - la_data_in[79] ( PIN la_data_in[79] ) ( mprj la_data_in[79] ) + USE SIGNAL ;
-    - la_data_in[7] ( PIN la_data_in[7] ) ( mprj la_data_in[7] ) + USE SIGNAL ;
-    - la_data_in[80] ( PIN la_data_in[80] ) ( mprj la_data_in[80] ) + USE SIGNAL ;
-    - la_data_in[81] ( PIN la_data_in[81] ) ( mprj la_data_in[81] ) + USE SIGNAL ;
-    - la_data_in[82] ( PIN la_data_in[82] ) ( mprj la_data_in[82] ) + USE SIGNAL ;
-    - la_data_in[83] ( PIN la_data_in[83] ) ( mprj la_data_in[83] ) + USE SIGNAL ;
-    - la_data_in[84] ( PIN la_data_in[84] ) ( mprj la_data_in[84] ) + USE SIGNAL ;
-    - la_data_in[85] ( PIN la_data_in[85] ) ( mprj la_data_in[85] ) + USE SIGNAL ;
-    - la_data_in[86] ( PIN la_data_in[86] ) ( mprj la_data_in[86] ) + USE SIGNAL ;
-    - la_data_in[87] ( PIN la_data_in[87] ) ( mprj la_data_in[87] ) + USE SIGNAL ;
-    - la_data_in[88] ( PIN la_data_in[88] ) ( mprj la_data_in[88] ) + USE SIGNAL ;
-    - la_data_in[89] ( PIN la_data_in[89] ) ( mprj la_data_in[89] ) + USE SIGNAL ;
-    - la_data_in[8] ( PIN la_data_in[8] ) ( mprj la_data_in[8] ) + USE SIGNAL ;
-    - la_data_in[90] ( PIN la_data_in[90] ) ( mprj la_data_in[90] ) + USE SIGNAL ;
-    - la_data_in[91] ( PIN la_data_in[91] ) ( mprj la_data_in[91] ) + USE SIGNAL ;
-    - la_data_in[92] ( PIN la_data_in[92] ) ( mprj la_data_in[92] ) + USE SIGNAL ;
-    - la_data_in[93] ( PIN la_data_in[93] ) ( mprj la_data_in[93] ) + USE SIGNAL ;
-    - la_data_in[94] ( PIN la_data_in[94] ) ( mprj la_data_in[94] ) + USE SIGNAL ;
-    - la_data_in[95] ( PIN la_data_in[95] ) ( mprj la_data_in[95] ) + USE SIGNAL ;
-    - la_data_in[96] ( PIN la_data_in[96] ) ( mprj la_data_in[96] ) + USE SIGNAL ;
-    - la_data_in[97] ( PIN la_data_in[97] ) ( mprj la_data_in[97] ) + USE SIGNAL ;
-    - la_data_in[98] ( PIN la_data_in[98] ) ( mprj la_data_in[98] ) + USE SIGNAL ;
-    - la_data_in[99] ( PIN la_data_in[99] ) ( mprj la_data_in[99] ) + USE SIGNAL ;
-    - la_data_in[9] ( PIN la_data_in[9] ) ( mprj la_data_in[9] ) + USE SIGNAL ;
-    - la_data_out[0] ( PIN la_data_out[0] ) ( mprj la_data_out[0] ) + USE SIGNAL ;
-    - la_data_out[100] ( PIN la_data_out[100] ) ( mprj la_data_out[100] ) + USE SIGNAL ;
-    - la_data_out[101] ( PIN la_data_out[101] ) ( mprj la_data_out[101] ) + USE SIGNAL ;
-    - la_data_out[102] ( PIN la_data_out[102] ) ( mprj la_data_out[102] ) + USE SIGNAL ;
-    - la_data_out[103] ( PIN la_data_out[103] ) ( mprj la_data_out[103] ) + USE SIGNAL ;
-    - la_data_out[104] ( PIN la_data_out[104] ) ( mprj la_data_out[104] ) + USE SIGNAL ;
-    - la_data_out[105] ( PIN la_data_out[105] ) ( mprj la_data_out[105] ) + USE SIGNAL ;
-    - la_data_out[106] ( PIN la_data_out[106] ) ( mprj la_data_out[106] ) + USE SIGNAL ;
-    - la_data_out[107] ( PIN la_data_out[107] ) ( mprj la_data_out[107] ) + USE SIGNAL ;
-    - la_data_out[108] ( PIN la_data_out[108] ) ( mprj la_data_out[108] ) + USE SIGNAL ;
-    - la_data_out[109] ( PIN la_data_out[109] ) ( mprj la_data_out[109] ) + USE SIGNAL ;
-    - la_data_out[10] ( PIN la_data_out[10] ) ( mprj la_data_out[10] ) + USE SIGNAL ;
-    - la_data_out[110] ( PIN la_data_out[110] ) ( mprj la_data_out[110] ) + USE SIGNAL ;
-    - la_data_out[111] ( PIN la_data_out[111] ) ( mprj la_data_out[111] ) + USE SIGNAL ;
-    - la_data_out[112] ( PIN la_data_out[112] ) ( mprj la_data_out[112] ) + USE SIGNAL ;
-    - la_data_out[113] ( PIN la_data_out[113] ) ( mprj la_data_out[113] ) + USE SIGNAL ;
-    - la_data_out[114] ( PIN la_data_out[114] ) ( mprj la_data_out[114] ) + USE SIGNAL ;
-    - la_data_out[115] ( PIN la_data_out[115] ) ( mprj la_data_out[115] ) + USE SIGNAL ;
-    - la_data_out[116] ( PIN la_data_out[116] ) ( mprj la_data_out[116] ) + USE SIGNAL ;
-    - la_data_out[117] ( PIN la_data_out[117] ) ( mprj la_data_out[117] ) + USE SIGNAL ;
-    - la_data_out[118] ( PIN la_data_out[118] ) ( mprj la_data_out[118] ) + USE SIGNAL ;
-    - la_data_out[119] ( PIN la_data_out[119] ) ( mprj la_data_out[119] ) + USE SIGNAL ;
-    - la_data_out[11] ( PIN la_data_out[11] ) ( mprj la_data_out[11] ) + USE SIGNAL ;
-    - la_data_out[120] ( PIN la_data_out[120] ) ( mprj la_data_out[120] ) + USE SIGNAL ;
-    - la_data_out[121] ( PIN la_data_out[121] ) ( mprj la_data_out[121] ) + USE SIGNAL ;
-    - la_data_out[122] ( PIN la_data_out[122] ) ( mprj la_data_out[122] ) + USE SIGNAL ;
-    - la_data_out[123] ( PIN la_data_out[123] ) ( mprj la_data_out[123] ) + USE SIGNAL ;
-    - la_data_out[124] ( PIN la_data_out[124] ) ( mprj la_data_out[124] ) + USE SIGNAL ;
-    - la_data_out[125] ( PIN la_data_out[125] ) ( mprj la_data_out[125] ) + USE SIGNAL ;
-    - la_data_out[126] ( PIN la_data_out[126] ) ( mprj la_data_out[126] ) + USE SIGNAL ;
-    - la_data_out[127] ( PIN la_data_out[127] ) ( mprj la_data_out[127] ) + USE SIGNAL ;
-    - la_data_out[12] ( PIN la_data_out[12] ) ( mprj la_data_out[12] ) + USE SIGNAL ;
-    - la_data_out[13] ( PIN la_data_out[13] ) ( mprj la_data_out[13] ) + USE SIGNAL ;
-    - la_data_out[14] ( PIN la_data_out[14] ) ( mprj la_data_out[14] ) + USE SIGNAL ;
-    - la_data_out[15] ( PIN la_data_out[15] ) ( mprj la_data_out[15] ) + USE SIGNAL ;
-    - la_data_out[16] ( PIN la_data_out[16] ) ( mprj la_data_out[16] ) + USE SIGNAL ;
-    - la_data_out[17] ( PIN la_data_out[17] ) ( mprj la_data_out[17] ) + USE SIGNAL ;
-    - la_data_out[18] ( PIN la_data_out[18] ) ( mprj la_data_out[18] ) + USE SIGNAL ;
-    - la_data_out[19] ( PIN la_data_out[19] ) ( mprj la_data_out[19] ) + USE SIGNAL ;
-    - la_data_out[1] ( PIN la_data_out[1] ) ( mprj la_data_out[1] ) + USE SIGNAL ;
-    - la_data_out[20] ( PIN la_data_out[20] ) ( mprj la_data_out[20] ) + USE SIGNAL ;
-    - la_data_out[21] ( PIN la_data_out[21] ) ( mprj la_data_out[21] ) + USE SIGNAL ;
-    - la_data_out[22] ( PIN la_data_out[22] ) ( mprj la_data_out[22] ) + USE SIGNAL ;
-    - la_data_out[23] ( PIN la_data_out[23] ) ( mprj la_data_out[23] ) + USE SIGNAL ;
-    - la_data_out[24] ( PIN la_data_out[24] ) ( mprj la_data_out[24] ) + USE SIGNAL ;
-    - la_data_out[25] ( PIN la_data_out[25] ) ( mprj la_data_out[25] ) + USE SIGNAL ;
-    - la_data_out[26] ( PIN la_data_out[26] ) ( mprj la_data_out[26] ) + USE SIGNAL ;
-    - la_data_out[27] ( PIN la_data_out[27] ) ( mprj la_data_out[27] ) + USE SIGNAL ;
-    - la_data_out[28] ( PIN la_data_out[28] ) ( mprj la_data_out[28] ) + USE SIGNAL ;
-    - la_data_out[29] ( PIN la_data_out[29] ) ( mprj la_data_out[29] ) + USE SIGNAL ;
-    - la_data_out[2] ( PIN la_data_out[2] ) ( mprj la_data_out[2] ) + USE SIGNAL ;
-    - la_data_out[30] ( PIN la_data_out[30] ) ( mprj la_data_out[30] ) + USE SIGNAL ;
-    - la_data_out[31] ( PIN la_data_out[31] ) ( mprj la_data_out[31] ) + USE SIGNAL ;
-    - la_data_out[32] ( PIN la_data_out[32] ) ( mprj la_data_out[32] ) + USE SIGNAL ;
-    - la_data_out[33] ( PIN la_data_out[33] ) ( mprj la_data_out[33] ) + USE SIGNAL ;
-    - la_data_out[34] ( PIN la_data_out[34] ) ( mprj la_data_out[34] ) + USE SIGNAL ;
-    - la_data_out[35] ( PIN la_data_out[35] ) ( mprj la_data_out[35] ) + USE SIGNAL ;
-    - la_data_out[36] ( PIN la_data_out[36] ) ( mprj la_data_out[36] ) + USE SIGNAL ;
-    - la_data_out[37] ( PIN la_data_out[37] ) ( mprj la_data_out[37] ) + USE SIGNAL ;
-    - la_data_out[38] ( PIN la_data_out[38] ) ( mprj la_data_out[38] ) + USE SIGNAL ;
-    - la_data_out[39] ( PIN la_data_out[39] ) ( mprj la_data_out[39] ) + USE SIGNAL ;
-    - la_data_out[3] ( PIN la_data_out[3] ) ( mprj la_data_out[3] ) + USE SIGNAL ;
-    - la_data_out[40] ( PIN la_data_out[40] ) ( mprj la_data_out[40] ) + USE SIGNAL ;
-    - la_data_out[41] ( PIN la_data_out[41] ) ( mprj la_data_out[41] ) + USE SIGNAL ;
-    - la_data_out[42] ( PIN la_data_out[42] ) ( mprj la_data_out[42] ) + USE SIGNAL ;
-    - la_data_out[43] ( PIN la_data_out[43] ) ( mprj la_data_out[43] ) + USE SIGNAL ;
-    - la_data_out[44] ( PIN la_data_out[44] ) ( mprj la_data_out[44] ) + USE SIGNAL ;
-    - la_data_out[45] ( PIN la_data_out[45] ) ( mprj la_data_out[45] ) + USE SIGNAL ;
-    - la_data_out[46] ( PIN la_data_out[46] ) ( mprj la_data_out[46] ) + USE SIGNAL ;
-    - la_data_out[47] ( PIN la_data_out[47] ) ( mprj la_data_out[47] ) + USE SIGNAL ;
-    - la_data_out[48] ( PIN la_data_out[48] ) ( mprj la_data_out[48] ) + USE SIGNAL ;
-    - la_data_out[49] ( PIN la_data_out[49] ) ( mprj la_data_out[49] ) + USE SIGNAL ;
-    - la_data_out[4] ( PIN la_data_out[4] ) ( mprj la_data_out[4] ) + USE SIGNAL ;
-    - la_data_out[50] ( PIN la_data_out[50] ) ( mprj la_data_out[50] ) + USE SIGNAL ;
-    - la_data_out[51] ( PIN la_data_out[51] ) ( mprj la_data_out[51] ) + USE SIGNAL ;
-    - la_data_out[52] ( PIN la_data_out[52] ) ( mprj la_data_out[52] ) + USE SIGNAL ;
-    - la_data_out[53] ( PIN la_data_out[53] ) ( mprj la_data_out[53] ) + USE SIGNAL ;
-    - la_data_out[54] ( PIN la_data_out[54] ) ( mprj la_data_out[54] ) + USE SIGNAL ;
-    - la_data_out[55] ( PIN la_data_out[55] ) ( mprj la_data_out[55] ) + USE SIGNAL ;
-    - la_data_out[56] ( PIN la_data_out[56] ) ( mprj la_data_out[56] ) + USE SIGNAL ;
-    - la_data_out[57] ( PIN la_data_out[57] ) ( mprj la_data_out[57] ) + USE SIGNAL ;
-    - la_data_out[58] ( PIN la_data_out[58] ) ( mprj la_data_out[58] ) + USE SIGNAL ;
-    - la_data_out[59] ( PIN la_data_out[59] ) ( mprj la_data_out[59] ) + USE SIGNAL ;
-    - la_data_out[5] ( PIN la_data_out[5] ) ( mprj la_data_out[5] ) + USE SIGNAL ;
-    - la_data_out[60] ( PIN la_data_out[60] ) ( mprj la_data_out[60] ) + USE SIGNAL ;
-    - la_data_out[61] ( PIN la_data_out[61] ) ( mprj la_data_out[61] ) + USE SIGNAL ;
-    - la_data_out[62] ( PIN la_data_out[62] ) ( mprj la_data_out[62] ) + USE SIGNAL ;
-    - la_data_out[63] ( PIN la_data_out[63] ) ( mprj la_data_out[63] ) + USE SIGNAL ;
-    - la_data_out[64] ( PIN la_data_out[64] ) ( mprj la_data_out[64] ) + USE SIGNAL ;
-    - la_data_out[65] ( PIN la_data_out[65] ) ( mprj la_data_out[65] ) + USE SIGNAL ;
-    - la_data_out[66] ( PIN la_data_out[66] ) ( mprj la_data_out[66] ) + USE SIGNAL ;
-    - la_data_out[67] ( PIN la_data_out[67] ) ( mprj la_data_out[67] ) + USE SIGNAL ;
-    - la_data_out[68] ( PIN la_data_out[68] ) ( mprj la_data_out[68] ) + USE SIGNAL ;
-    - la_data_out[69] ( PIN la_data_out[69] ) ( mprj la_data_out[69] ) + USE SIGNAL ;
-    - la_data_out[6] ( PIN la_data_out[6] ) ( mprj la_data_out[6] ) + USE SIGNAL ;
-    - la_data_out[70] ( PIN la_data_out[70] ) ( mprj la_data_out[70] ) + USE SIGNAL ;
-    - la_data_out[71] ( PIN la_data_out[71] ) ( mprj la_data_out[71] ) + USE SIGNAL ;
-    - la_data_out[72] ( PIN la_data_out[72] ) ( mprj la_data_out[72] ) + USE SIGNAL ;
-    - la_data_out[73] ( PIN la_data_out[73] ) ( mprj la_data_out[73] ) + USE SIGNAL ;
-    - la_data_out[74] ( PIN la_data_out[74] ) ( mprj la_data_out[74] ) + USE SIGNAL ;
-    - la_data_out[75] ( PIN la_data_out[75] ) ( mprj la_data_out[75] ) + USE SIGNAL ;
-    - la_data_out[76] ( PIN la_data_out[76] ) ( mprj la_data_out[76] ) + USE SIGNAL ;
-    - la_data_out[77] ( PIN la_data_out[77] ) ( mprj la_data_out[77] ) + USE SIGNAL ;
-    - la_data_out[78] ( PIN la_data_out[78] ) ( mprj la_data_out[78] ) + USE SIGNAL ;
-    - la_data_out[79] ( PIN la_data_out[79] ) ( mprj la_data_out[79] ) + USE SIGNAL ;
-    - la_data_out[7] ( PIN la_data_out[7] ) ( mprj la_data_out[7] ) + USE SIGNAL ;
-    - la_data_out[80] ( PIN la_data_out[80] ) ( mprj la_data_out[80] ) + USE SIGNAL ;
-    - la_data_out[81] ( PIN la_data_out[81] ) ( mprj la_data_out[81] ) + USE SIGNAL ;
-    - la_data_out[82] ( PIN la_data_out[82] ) ( mprj la_data_out[82] ) + USE SIGNAL ;
-    - la_data_out[83] ( PIN la_data_out[83] ) ( mprj la_data_out[83] ) + USE SIGNAL ;
-    - la_data_out[84] ( PIN la_data_out[84] ) ( mprj la_data_out[84] ) + USE SIGNAL ;
-    - la_data_out[85] ( PIN la_data_out[85] ) ( mprj la_data_out[85] ) + USE SIGNAL ;
-    - la_data_out[86] ( PIN la_data_out[86] ) ( mprj la_data_out[86] ) + USE SIGNAL ;
-    - la_data_out[87] ( PIN la_data_out[87] ) ( mprj la_data_out[87] ) + USE SIGNAL ;
-    - la_data_out[88] ( PIN la_data_out[88] ) ( mprj la_data_out[88] ) + USE SIGNAL ;
-    - la_data_out[89] ( PIN la_data_out[89] ) ( mprj la_data_out[89] ) + USE SIGNAL ;
-    - la_data_out[8] ( PIN la_data_out[8] ) ( mprj la_data_out[8] ) + USE SIGNAL ;
-    - la_data_out[90] ( PIN la_data_out[90] ) ( mprj la_data_out[90] ) + USE SIGNAL ;
-    - la_data_out[91] ( PIN la_data_out[91] ) ( mprj la_data_out[91] ) + USE SIGNAL ;
-    - la_data_out[92] ( PIN la_data_out[92] ) ( mprj la_data_out[92] ) + USE SIGNAL ;
-    - la_data_out[93] ( PIN la_data_out[93] ) ( mprj la_data_out[93] ) + USE SIGNAL ;
-    - la_data_out[94] ( PIN la_data_out[94] ) ( mprj la_data_out[94] ) + USE SIGNAL ;
-    - la_data_out[95] ( PIN la_data_out[95] ) ( mprj la_data_out[95] ) + USE SIGNAL ;
-    - la_data_out[96] ( PIN la_data_out[96] ) ( mprj la_data_out[96] ) + USE SIGNAL ;
-    - la_data_out[97] ( PIN la_data_out[97] ) ( mprj la_data_out[97] ) + USE SIGNAL ;
-    - la_data_out[98] ( PIN la_data_out[98] ) ( mprj la_data_out[98] ) + USE SIGNAL ;
-    - la_data_out[99] ( PIN la_data_out[99] ) ( mprj la_data_out[99] ) + USE SIGNAL ;
-    - la_data_out[9] ( PIN la_data_out[9] ) ( mprj la_data_out[9] ) + USE SIGNAL ;
-    - la_oen[0] ( PIN la_oen[0] ) ( mprj la_oen[0] ) + USE SIGNAL ;
-    - la_oen[100] ( PIN la_oen[100] ) ( mprj la_oen[100] ) + USE SIGNAL ;
-    - la_oen[101] ( PIN la_oen[101] ) ( mprj la_oen[101] ) + USE SIGNAL ;
-    - la_oen[102] ( PIN la_oen[102] ) ( mprj la_oen[102] ) + USE SIGNAL ;
-    - la_oen[103] ( PIN la_oen[103] ) ( mprj la_oen[103] ) + USE SIGNAL ;
-    - la_oen[104] ( PIN la_oen[104] ) ( mprj la_oen[104] ) + USE SIGNAL ;
-    - la_oen[105] ( PIN la_oen[105] ) ( mprj la_oen[105] ) + USE SIGNAL ;
-    - la_oen[106] ( PIN la_oen[106] ) ( mprj la_oen[106] ) + USE SIGNAL ;
-    - la_oen[107] ( PIN la_oen[107] ) ( mprj la_oen[107] ) + USE SIGNAL ;
-    - la_oen[108] ( PIN la_oen[108] ) ( mprj la_oen[108] ) + USE SIGNAL ;
-    - la_oen[109] ( PIN la_oen[109] ) ( mprj la_oen[109] ) + USE SIGNAL ;
-    - la_oen[10] ( PIN la_oen[10] ) ( mprj la_oen[10] ) + USE SIGNAL ;
-    - la_oen[110] ( PIN la_oen[110] ) ( mprj la_oen[110] ) + USE SIGNAL ;
-    - la_oen[111] ( PIN la_oen[111] ) ( mprj la_oen[111] ) + USE SIGNAL ;
-    - la_oen[112] ( PIN la_oen[112] ) ( mprj la_oen[112] ) + USE SIGNAL ;
-    - la_oen[113] ( PIN la_oen[113] ) ( mprj la_oen[113] ) + USE SIGNAL ;
-    - la_oen[114] ( PIN la_oen[114] ) ( mprj la_oen[114] ) + USE SIGNAL ;
-    - la_oen[115] ( PIN la_oen[115] ) ( mprj la_oen[115] ) + USE SIGNAL ;
-    - la_oen[116] ( PIN la_oen[116] ) ( mprj la_oen[116] ) + USE SIGNAL ;
-    - la_oen[117] ( PIN la_oen[117] ) ( mprj la_oen[117] ) + USE SIGNAL ;
-    - la_oen[118] ( PIN la_oen[118] ) ( mprj la_oen[118] ) + USE SIGNAL ;
-    - la_oen[119] ( PIN la_oen[119] ) ( mprj la_oen[119] ) + USE SIGNAL ;
-    - la_oen[11] ( PIN la_oen[11] ) ( mprj la_oen[11] ) + USE SIGNAL ;
-    - la_oen[120] ( PIN la_oen[120] ) ( mprj la_oen[120] ) + USE SIGNAL ;
-    - la_oen[121] ( PIN la_oen[121] ) ( mprj la_oen[121] ) + USE SIGNAL ;
-    - la_oen[122] ( PIN la_oen[122] ) ( mprj la_oen[122] ) + USE SIGNAL ;
-    - la_oen[123] ( PIN la_oen[123] ) ( mprj la_oen[123] ) + USE SIGNAL ;
-    - la_oen[124] ( PIN la_oen[124] ) ( mprj la_oen[124] ) + USE SIGNAL ;
-    - la_oen[125] ( PIN la_oen[125] ) ( mprj la_oen[125] ) + USE SIGNAL ;
-    - la_oen[126] ( PIN la_oen[126] ) ( mprj la_oen[126] ) + USE SIGNAL ;
-    - la_oen[127] ( PIN la_oen[127] ) ( mprj la_oen[127] ) + USE SIGNAL ;
-    - la_oen[12] ( PIN la_oen[12] ) ( mprj la_oen[12] ) + USE SIGNAL ;
-    - la_oen[13] ( PIN la_oen[13] ) ( mprj la_oen[13] ) + USE SIGNAL ;
-    - la_oen[14] ( PIN la_oen[14] ) ( mprj la_oen[14] ) + USE SIGNAL ;
-    - la_oen[15] ( PIN la_oen[15] ) ( mprj la_oen[15] ) + USE SIGNAL ;
-    - la_oen[16] ( PIN la_oen[16] ) ( mprj la_oen[16] ) + USE SIGNAL ;
-    - la_oen[17] ( PIN la_oen[17] ) ( mprj la_oen[17] ) + USE SIGNAL ;
-    - la_oen[18] ( PIN la_oen[18] ) ( mprj la_oen[18] ) + USE SIGNAL ;
-    - la_oen[19] ( PIN la_oen[19] ) ( mprj la_oen[19] ) + USE SIGNAL ;
-    - la_oen[1] ( PIN la_oen[1] ) ( mprj la_oen[1] ) + USE SIGNAL ;
-    - la_oen[20] ( PIN la_oen[20] ) ( mprj la_oen[20] ) + USE SIGNAL ;
-    - la_oen[21] ( PIN la_oen[21] ) ( mprj la_oen[21] ) + USE SIGNAL ;
-    - la_oen[22] ( PIN la_oen[22] ) ( mprj la_oen[22] ) + USE SIGNAL ;
-    - la_oen[23] ( PIN la_oen[23] ) ( mprj la_oen[23] ) + USE SIGNAL ;
-    - la_oen[24] ( PIN la_oen[24] ) ( mprj la_oen[24] ) + USE SIGNAL ;
-    - la_oen[25] ( PIN la_oen[25] ) ( mprj la_oen[25] ) + USE SIGNAL ;
-    - la_oen[26] ( PIN la_oen[26] ) ( mprj la_oen[26] ) + USE SIGNAL ;
-    - la_oen[27] ( PIN la_oen[27] ) ( mprj la_oen[27] ) + USE SIGNAL ;
-    - la_oen[28] ( PIN la_oen[28] ) ( mprj la_oen[28] ) + USE SIGNAL ;
-    - la_oen[29] ( PIN la_oen[29] ) ( mprj la_oen[29] ) + USE SIGNAL ;
-    - la_oen[2] ( PIN la_oen[2] ) ( mprj la_oen[2] ) + USE SIGNAL ;
-    - la_oen[30] ( PIN la_oen[30] ) ( mprj la_oen[30] ) + USE SIGNAL ;
-    - la_oen[31] ( PIN la_oen[31] ) ( mprj la_oen[31] ) + USE SIGNAL ;
-    - la_oen[32] ( PIN la_oen[32] ) ( mprj la_oen[32] ) + USE SIGNAL ;
-    - la_oen[33] ( PIN la_oen[33] ) ( mprj la_oen[33] ) + USE SIGNAL ;
-    - la_oen[34] ( PIN la_oen[34] ) ( mprj la_oen[34] ) + USE SIGNAL ;
-    - la_oen[35] ( PIN la_oen[35] ) ( mprj la_oen[35] ) + USE SIGNAL ;
-    - la_oen[36] ( PIN la_oen[36] ) ( mprj la_oen[36] ) + USE SIGNAL ;
-    - la_oen[37] ( PIN la_oen[37] ) ( mprj la_oen[37] ) + USE SIGNAL ;
-    - la_oen[38] ( PIN la_oen[38] ) ( mprj la_oen[38] ) + USE SIGNAL ;
-    - la_oen[39] ( PIN la_oen[39] ) ( mprj la_oen[39] ) + USE SIGNAL ;
-    - la_oen[3] ( PIN la_oen[3] ) ( mprj la_oen[3] ) + USE SIGNAL ;
-    - la_oen[40] ( PIN la_oen[40] ) ( mprj la_oen[40] ) + USE SIGNAL ;
-    - la_oen[41] ( PIN la_oen[41] ) ( mprj la_oen[41] ) + USE SIGNAL ;
-    - la_oen[42] ( PIN la_oen[42] ) ( mprj la_oen[42] ) + USE SIGNAL ;
-    - la_oen[43] ( PIN la_oen[43] ) ( mprj la_oen[43] ) + USE SIGNAL ;
-    - la_oen[44] ( PIN la_oen[44] ) ( mprj la_oen[44] ) + USE SIGNAL ;
-    - la_oen[45] ( PIN la_oen[45] ) ( mprj la_oen[45] ) + USE SIGNAL ;
-    - la_oen[46] ( PIN la_oen[46] ) ( mprj la_oen[46] ) + USE SIGNAL ;
-    - la_oen[47] ( PIN la_oen[47] ) ( mprj la_oen[47] ) + USE SIGNAL ;
-    - la_oen[48] ( PIN la_oen[48] ) ( mprj la_oen[48] ) + USE SIGNAL ;
-    - la_oen[49] ( PIN la_oen[49] ) ( mprj la_oen[49] ) + USE SIGNAL ;
-    - la_oen[4] ( PIN la_oen[4] ) ( mprj la_oen[4] ) + USE SIGNAL ;
-    - la_oen[50] ( PIN la_oen[50] ) ( mprj la_oen[50] ) + USE SIGNAL ;
-    - la_oen[51] ( PIN la_oen[51] ) ( mprj la_oen[51] ) + USE SIGNAL ;
-    - la_oen[52] ( PIN la_oen[52] ) ( mprj la_oen[52] ) + USE SIGNAL ;
-    - la_oen[53] ( PIN la_oen[53] ) ( mprj la_oen[53] ) + USE SIGNAL ;
-    - la_oen[54] ( PIN la_oen[54] ) ( mprj la_oen[54] ) + USE SIGNAL ;
-    - la_oen[55] ( PIN la_oen[55] ) ( mprj la_oen[55] ) + USE SIGNAL ;
-    - la_oen[56] ( PIN la_oen[56] ) ( mprj la_oen[56] ) + USE SIGNAL ;
-    - la_oen[57] ( PIN la_oen[57] ) ( mprj la_oen[57] ) + USE SIGNAL ;
-    - la_oen[58] ( PIN la_oen[58] ) ( mprj la_oen[58] ) + USE SIGNAL ;
-    - la_oen[59] ( PIN la_oen[59] ) ( mprj la_oen[59] ) + USE SIGNAL ;
-    - la_oen[5] ( PIN la_oen[5] ) ( mprj la_oen[5] ) + USE SIGNAL ;
-    - la_oen[60] ( PIN la_oen[60] ) ( mprj la_oen[60] ) + USE SIGNAL ;
-    - la_oen[61] ( PIN la_oen[61] ) ( mprj la_oen[61] ) + USE SIGNAL ;
-    - la_oen[62] ( PIN la_oen[62] ) ( mprj la_oen[62] ) + USE SIGNAL ;
-    - la_oen[63] ( PIN la_oen[63] ) ( mprj la_oen[63] ) + USE SIGNAL ;
-    - la_oen[64] ( PIN la_oen[64] ) ( mprj la_oen[64] ) + USE SIGNAL ;
-    - la_oen[65] ( PIN la_oen[65] ) ( mprj la_oen[65] ) + USE SIGNAL ;
-    - la_oen[66] ( PIN la_oen[66] ) ( mprj la_oen[66] ) + USE SIGNAL ;
-    - la_oen[67] ( PIN la_oen[67] ) ( mprj la_oen[67] ) + USE SIGNAL ;
-    - la_oen[68] ( PIN la_oen[68] ) ( mprj la_oen[68] ) + USE SIGNAL ;
-    - la_oen[69] ( PIN la_oen[69] ) ( mprj la_oen[69] ) + USE SIGNAL ;
-    - la_oen[6] ( PIN la_oen[6] ) ( mprj la_oen[6] ) + USE SIGNAL ;
-    - la_oen[70] ( PIN la_oen[70] ) ( mprj la_oen[70] ) + USE SIGNAL ;
-    - la_oen[71] ( PIN la_oen[71] ) ( mprj la_oen[71] ) + USE SIGNAL ;
-    - la_oen[72] ( PIN la_oen[72] ) ( mprj la_oen[72] ) + USE SIGNAL ;
-    - la_oen[73] ( PIN la_oen[73] ) ( mprj la_oen[73] ) + USE SIGNAL ;
-    - la_oen[74] ( PIN la_oen[74] ) ( mprj la_oen[74] ) + USE SIGNAL ;
-    - la_oen[75] ( PIN la_oen[75] ) ( mprj la_oen[75] ) + USE SIGNAL ;
-    - la_oen[76] ( PIN la_oen[76] ) ( mprj la_oen[76] ) + USE SIGNAL ;
-    - la_oen[77] ( PIN la_oen[77] ) ( mprj la_oen[77] ) + USE SIGNAL ;
-    - la_oen[78] ( PIN la_oen[78] ) ( mprj la_oen[78] ) + USE SIGNAL ;
-    - la_oen[79] ( PIN la_oen[79] ) ( mprj la_oen[79] ) + USE SIGNAL ;
-    - la_oen[7] ( PIN la_oen[7] ) ( mprj la_oen[7] ) + USE SIGNAL ;
-    - la_oen[80] ( PIN la_oen[80] ) ( mprj la_oen[80] ) + USE SIGNAL ;
-    - la_oen[81] ( PIN la_oen[81] ) ( mprj la_oen[81] ) + USE SIGNAL ;
-    - la_oen[82] ( PIN la_oen[82] ) ( mprj la_oen[82] ) + USE SIGNAL ;
-    - la_oen[83] ( PIN la_oen[83] ) ( mprj la_oen[83] ) + USE SIGNAL ;
-    - la_oen[84] ( PIN la_oen[84] ) ( mprj la_oen[84] ) + USE SIGNAL ;
-    - la_oen[85] ( PIN la_oen[85] ) ( mprj la_oen[85] ) + USE SIGNAL ;
-    - la_oen[86] ( PIN la_oen[86] ) ( mprj la_oen[86] ) + USE SIGNAL ;
-    - la_oen[87] ( PIN la_oen[87] ) ( mprj la_oen[87] ) + USE SIGNAL ;
-    - la_oen[88] ( PIN la_oen[88] ) ( mprj la_oen[88] ) + USE SIGNAL ;
-    - la_oen[89] ( PIN la_oen[89] ) ( mprj la_oen[89] ) + USE SIGNAL ;
-    - la_oen[8] ( PIN la_oen[8] ) ( mprj la_oen[8] ) + USE SIGNAL ;
-    - la_oen[90] ( PIN la_oen[90] ) ( mprj la_oen[90] ) + USE SIGNAL ;
-    - la_oen[91] ( PIN la_oen[91] ) ( mprj la_oen[91] ) + USE SIGNAL ;
-    - la_oen[92] ( PIN la_oen[92] ) ( mprj la_oen[92] ) + USE SIGNAL ;
-    - la_oen[93] ( PIN la_oen[93] ) ( mprj la_oen[93] ) + USE SIGNAL ;
-    - la_oen[94] ( PIN la_oen[94] ) ( mprj la_oen[94] ) + USE SIGNAL ;
-    - la_oen[95] ( PIN la_oen[95] ) ( mprj la_oen[95] ) + USE SIGNAL ;
-    - la_oen[96] ( PIN la_oen[96] ) ( mprj la_oen[96] ) + USE SIGNAL ;
-    - la_oen[97] ( PIN la_oen[97] ) ( mprj la_oen[97] ) + USE SIGNAL ;
-    - la_oen[98] ( PIN la_oen[98] ) ( mprj la_oen[98] ) + USE SIGNAL ;
-    - la_oen[99] ( PIN la_oen[99] ) ( mprj la_oen[99] ) + USE SIGNAL ;
-    - la_oen[9] ( PIN la_oen[9] ) ( mprj la_oen[9] ) + USE SIGNAL ;
-    - user_clock2 ( PIN user_clock2 ) + USE SIGNAL ;
-    - wb_clk_i ( PIN wb_clk_i ) ( mprj wb_clk_i ) + USE SIGNAL ;
-    - wb_rst_i ( PIN wb_rst_i ) ( mprj wb_rst_i ) + USE SIGNAL ;
-    - wbs_ack_o ( PIN wbs_ack_o ) ( mprj wbs_ack_o ) + USE SIGNAL ;
-    - wbs_adr_i[0] ( PIN wbs_adr_i[0] ) ( mprj wbs_adr_i[0] ) + USE SIGNAL ;
-    - wbs_adr_i[10] ( PIN wbs_adr_i[10] ) ( mprj wbs_adr_i[10] ) + USE SIGNAL ;
-    - wbs_adr_i[11] ( PIN wbs_adr_i[11] ) ( mprj wbs_adr_i[11] ) + USE SIGNAL ;
-    - wbs_adr_i[12] ( PIN wbs_adr_i[12] ) ( mprj wbs_adr_i[12] ) + USE SIGNAL ;
-    - wbs_adr_i[13] ( PIN wbs_adr_i[13] ) ( mprj wbs_adr_i[13] ) + USE SIGNAL ;
-    - wbs_adr_i[14] ( PIN wbs_adr_i[14] ) ( mprj wbs_adr_i[14] ) + USE SIGNAL ;
-    - wbs_adr_i[15] ( PIN wbs_adr_i[15] ) ( mprj wbs_adr_i[15] ) + USE SIGNAL ;
-    - wbs_adr_i[16] ( PIN wbs_adr_i[16] ) ( mprj wbs_adr_i[16] ) + USE SIGNAL ;
-    - wbs_adr_i[17] ( PIN wbs_adr_i[17] ) ( mprj wbs_adr_i[17] ) + USE SIGNAL ;
-    - wbs_adr_i[18] ( PIN wbs_adr_i[18] ) ( mprj wbs_adr_i[18] ) + USE SIGNAL ;
-    - wbs_adr_i[19] ( PIN wbs_adr_i[19] ) ( mprj wbs_adr_i[19] ) + USE SIGNAL ;
-    - wbs_adr_i[1] ( PIN wbs_adr_i[1] ) ( mprj wbs_adr_i[1] ) + USE SIGNAL ;
-    - wbs_adr_i[20] ( PIN wbs_adr_i[20] ) ( mprj wbs_adr_i[20] ) + USE SIGNAL ;
-    - wbs_adr_i[21] ( PIN wbs_adr_i[21] ) ( mprj wbs_adr_i[21] ) + USE SIGNAL ;
-    - wbs_adr_i[22] ( PIN wbs_adr_i[22] ) ( mprj wbs_adr_i[22] ) + USE SIGNAL ;
-    - wbs_adr_i[23] ( PIN wbs_adr_i[23] ) ( mprj wbs_adr_i[23] ) + USE SIGNAL ;
-    - wbs_adr_i[24] ( PIN wbs_adr_i[24] ) ( mprj wbs_adr_i[24] ) + USE SIGNAL ;
-    - wbs_adr_i[25] ( PIN wbs_adr_i[25] ) ( mprj wbs_adr_i[25] ) + USE SIGNAL ;
-    - wbs_adr_i[26] ( PIN wbs_adr_i[26] ) ( mprj wbs_adr_i[26] ) + USE SIGNAL ;
-    - wbs_adr_i[27] ( PIN wbs_adr_i[27] ) ( mprj wbs_adr_i[27] ) + USE SIGNAL ;
-    - wbs_adr_i[28] ( PIN wbs_adr_i[28] ) ( mprj wbs_adr_i[28] ) + USE SIGNAL ;
-    - wbs_adr_i[29] ( PIN wbs_adr_i[29] ) ( mprj wbs_adr_i[29] ) + USE SIGNAL ;
-    - wbs_adr_i[2] ( PIN wbs_adr_i[2] ) ( mprj wbs_adr_i[2] ) + USE SIGNAL ;
-    - wbs_adr_i[30] ( PIN wbs_adr_i[30] ) ( mprj wbs_adr_i[30] ) + USE SIGNAL ;
-    - wbs_adr_i[31] ( PIN wbs_adr_i[31] ) ( mprj wbs_adr_i[31] ) + USE SIGNAL ;
-    - wbs_adr_i[3] ( PIN wbs_adr_i[3] ) ( mprj wbs_adr_i[3] ) + USE SIGNAL ;
-    - wbs_adr_i[4] ( PIN wbs_adr_i[4] ) ( mprj wbs_adr_i[4] ) + USE SIGNAL ;
-    - wbs_adr_i[5] ( PIN wbs_adr_i[5] ) ( mprj wbs_adr_i[5] ) + USE SIGNAL ;
-    - wbs_adr_i[6] ( PIN wbs_adr_i[6] ) ( mprj wbs_adr_i[6] ) + USE SIGNAL ;
-    - wbs_adr_i[7] ( PIN wbs_adr_i[7] ) ( mprj wbs_adr_i[7] ) + USE SIGNAL ;
-    - wbs_adr_i[8] ( PIN wbs_adr_i[8] ) ( mprj wbs_adr_i[8] ) + USE SIGNAL ;
-    - wbs_adr_i[9] ( PIN wbs_adr_i[9] ) ( mprj wbs_adr_i[9] ) + USE SIGNAL ;
-    - wbs_cyc_i ( PIN wbs_cyc_i ) ( mprj wbs_cyc_i ) + USE SIGNAL ;
-    - wbs_dat_i[0] ( PIN wbs_dat_i[0] ) ( mprj wbs_dat_i[0] ) + USE SIGNAL ;
-    - wbs_dat_i[10] ( PIN wbs_dat_i[10] ) ( mprj wbs_dat_i[10] ) + USE SIGNAL ;
-    - wbs_dat_i[11] ( PIN wbs_dat_i[11] ) ( mprj wbs_dat_i[11] ) + USE SIGNAL ;
-    - wbs_dat_i[12] ( PIN wbs_dat_i[12] ) ( mprj wbs_dat_i[12] ) + USE SIGNAL ;
-    - wbs_dat_i[13] ( PIN wbs_dat_i[13] ) ( mprj wbs_dat_i[13] ) + USE SIGNAL ;
-    - wbs_dat_i[14] ( PIN wbs_dat_i[14] ) ( mprj wbs_dat_i[14] ) + USE SIGNAL ;
-    - wbs_dat_i[15] ( PIN wbs_dat_i[15] ) ( mprj wbs_dat_i[15] ) + USE SIGNAL ;
-    - wbs_dat_i[16] ( PIN wbs_dat_i[16] ) ( mprj wbs_dat_i[16] ) + USE SIGNAL ;
-    - wbs_dat_i[17] ( PIN wbs_dat_i[17] ) ( mprj wbs_dat_i[17] ) + USE SIGNAL ;
-    - wbs_dat_i[18] ( PIN wbs_dat_i[18] ) ( mprj wbs_dat_i[18] ) + USE SIGNAL ;
-    - wbs_dat_i[19] ( PIN wbs_dat_i[19] ) ( mprj wbs_dat_i[19] ) + USE SIGNAL ;
-    - wbs_dat_i[1] ( PIN wbs_dat_i[1] ) ( mprj wbs_dat_i[1] ) + USE SIGNAL ;
-    - wbs_dat_i[20] ( PIN wbs_dat_i[20] ) ( mprj wbs_dat_i[20] ) + USE SIGNAL ;
-    - wbs_dat_i[21] ( PIN wbs_dat_i[21] ) ( mprj wbs_dat_i[21] ) + USE SIGNAL ;
-    - wbs_dat_i[22] ( PIN wbs_dat_i[22] ) ( mprj wbs_dat_i[22] ) + USE SIGNAL ;
-    - wbs_dat_i[23] ( PIN wbs_dat_i[23] ) ( mprj wbs_dat_i[23] ) + USE SIGNAL ;
-    - wbs_dat_i[24] ( PIN wbs_dat_i[24] ) ( mprj wbs_dat_i[24] ) + USE SIGNAL ;
-    - wbs_dat_i[25] ( PIN wbs_dat_i[25] ) ( mprj wbs_dat_i[25] ) + USE SIGNAL ;
-    - wbs_dat_i[26] ( PIN wbs_dat_i[26] ) ( mprj wbs_dat_i[26] ) + USE SIGNAL ;
-    - wbs_dat_i[27] ( PIN wbs_dat_i[27] ) ( mprj wbs_dat_i[27] ) + USE SIGNAL ;
-    - wbs_dat_i[28] ( PIN wbs_dat_i[28] ) ( mprj wbs_dat_i[28] ) + USE SIGNAL ;
-    - wbs_dat_i[29] ( PIN wbs_dat_i[29] ) ( mprj wbs_dat_i[29] ) + USE SIGNAL ;
-    - wbs_dat_i[2] ( PIN wbs_dat_i[2] ) ( mprj wbs_dat_i[2] ) + USE SIGNAL ;
-    - wbs_dat_i[30] ( PIN wbs_dat_i[30] ) ( mprj wbs_dat_i[30] ) + USE SIGNAL ;
-    - wbs_dat_i[31] ( PIN wbs_dat_i[31] ) ( mprj wbs_dat_i[31] ) + USE SIGNAL ;
-    - wbs_dat_i[3] ( PIN wbs_dat_i[3] ) ( mprj wbs_dat_i[3] ) + USE SIGNAL ;
-    - wbs_dat_i[4] ( PIN wbs_dat_i[4] ) ( mprj wbs_dat_i[4] ) + USE SIGNAL ;
-    - wbs_dat_i[5] ( PIN wbs_dat_i[5] ) ( mprj wbs_dat_i[5] ) + USE SIGNAL ;
-    - wbs_dat_i[6] ( PIN wbs_dat_i[6] ) ( mprj wbs_dat_i[6] ) + USE SIGNAL ;
-    - wbs_dat_i[7] ( PIN wbs_dat_i[7] ) ( mprj wbs_dat_i[7] ) + USE SIGNAL ;
-    - wbs_dat_i[8] ( PIN wbs_dat_i[8] ) ( mprj wbs_dat_i[8] ) + USE SIGNAL ;
-    - wbs_dat_i[9] ( PIN wbs_dat_i[9] ) ( mprj wbs_dat_i[9] ) + USE SIGNAL ;
-    - wbs_dat_o[0] ( PIN wbs_dat_o[0] ) ( mprj wbs_dat_o[0] ) + USE SIGNAL ;
-    - wbs_dat_o[10] ( PIN wbs_dat_o[10] ) ( mprj wbs_dat_o[10] ) + USE SIGNAL ;
-    - wbs_dat_o[11] ( PIN wbs_dat_o[11] ) ( mprj wbs_dat_o[11] ) + USE SIGNAL ;
-    - wbs_dat_o[12] ( PIN wbs_dat_o[12] ) ( mprj wbs_dat_o[12] ) + USE SIGNAL ;
-    - wbs_dat_o[13] ( PIN wbs_dat_o[13] ) ( mprj wbs_dat_o[13] ) + USE SIGNAL ;
-    - wbs_dat_o[14] ( PIN wbs_dat_o[14] ) ( mprj wbs_dat_o[14] ) + USE SIGNAL ;
-    - wbs_dat_o[15] ( PIN wbs_dat_o[15] ) ( mprj wbs_dat_o[15] ) + USE SIGNAL ;
-    - wbs_dat_o[16] ( PIN wbs_dat_o[16] ) ( mprj wbs_dat_o[16] ) + USE SIGNAL ;
-    - wbs_dat_o[17] ( PIN wbs_dat_o[17] ) ( mprj wbs_dat_o[17] ) + USE SIGNAL ;
-    - wbs_dat_o[18] ( PIN wbs_dat_o[18] ) ( mprj wbs_dat_o[18] ) + USE SIGNAL ;
-    - wbs_dat_o[19] ( PIN wbs_dat_o[19] ) ( mprj wbs_dat_o[19] ) + USE SIGNAL ;
-    - wbs_dat_o[1] ( PIN wbs_dat_o[1] ) ( mprj wbs_dat_o[1] ) + USE SIGNAL ;
-    - wbs_dat_o[20] ( PIN wbs_dat_o[20] ) ( mprj wbs_dat_o[20] ) + USE SIGNAL ;
-    - wbs_dat_o[21] ( PIN wbs_dat_o[21] ) ( mprj wbs_dat_o[21] ) + USE SIGNAL ;
-    - wbs_dat_o[22] ( PIN wbs_dat_o[22] ) ( mprj wbs_dat_o[22] ) + USE SIGNAL ;
-    - wbs_dat_o[23] ( PIN wbs_dat_o[23] ) ( mprj wbs_dat_o[23] ) + USE SIGNAL ;
-    - wbs_dat_o[24] ( PIN wbs_dat_o[24] ) ( mprj wbs_dat_o[24] ) + USE SIGNAL ;
-    - wbs_dat_o[25] ( PIN wbs_dat_o[25] ) ( mprj wbs_dat_o[25] ) + USE SIGNAL ;
-    - wbs_dat_o[26] ( PIN wbs_dat_o[26] ) ( mprj wbs_dat_o[26] ) + USE SIGNAL ;
-    - wbs_dat_o[27] ( PIN wbs_dat_o[27] ) ( mprj wbs_dat_o[27] ) + USE SIGNAL ;
-    - wbs_dat_o[28] ( PIN wbs_dat_o[28] ) ( mprj wbs_dat_o[28] ) + USE SIGNAL ;
-    - wbs_dat_o[29] ( PIN wbs_dat_o[29] ) ( mprj wbs_dat_o[29] ) + USE SIGNAL ;
-    - wbs_dat_o[2] ( PIN wbs_dat_o[2] ) ( mprj wbs_dat_o[2] ) + USE SIGNAL ;
-    - wbs_dat_o[30] ( PIN wbs_dat_o[30] ) ( mprj wbs_dat_o[30] ) + USE SIGNAL ;
-    - wbs_dat_o[31] ( PIN wbs_dat_o[31] ) ( mprj wbs_dat_o[31] ) + USE SIGNAL ;
-    - wbs_dat_o[3] ( PIN wbs_dat_o[3] ) ( mprj wbs_dat_o[3] ) + USE SIGNAL ;
-    - wbs_dat_o[4] ( PIN wbs_dat_o[4] ) ( mprj wbs_dat_o[4] ) + USE SIGNAL ;
-    - wbs_dat_o[5] ( PIN wbs_dat_o[5] ) ( mprj wbs_dat_o[5] ) + USE SIGNAL ;
-    - wbs_dat_o[6] ( PIN wbs_dat_o[6] ) ( mprj wbs_dat_o[6] ) + USE SIGNAL ;
-    - wbs_dat_o[7] ( PIN wbs_dat_o[7] ) ( mprj wbs_dat_o[7] ) + USE SIGNAL ;
-    - wbs_dat_o[8] ( PIN wbs_dat_o[8] ) ( mprj wbs_dat_o[8] ) + USE SIGNAL ;
-    - wbs_dat_o[9] ( PIN wbs_dat_o[9] ) ( mprj wbs_dat_o[9] ) + USE SIGNAL ;
-    - wbs_sel_i[0] ( PIN wbs_sel_i[0] ) ( mprj wbs_sel_i[0] ) + USE SIGNAL ;
-    - wbs_sel_i[1] ( PIN wbs_sel_i[1] ) ( mprj wbs_sel_i[1] ) + USE SIGNAL ;
-    - wbs_sel_i[2] ( PIN wbs_sel_i[2] ) ( mprj wbs_sel_i[2] ) + USE SIGNAL ;
-    - wbs_sel_i[3] ( PIN wbs_sel_i[3] ) ( mprj wbs_sel_i[3] ) + USE SIGNAL ;
-    - wbs_stb_i ( PIN wbs_stb_i ) ( mprj wbs_stb_i ) + USE SIGNAL ;
-    - wbs_we_i ( PIN wbs_we_i ) ( mprj wbs_we_i ) + USE SIGNAL ;
-END NETS
-END DESIGN
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/lvs/merged_unpadded.lef b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/lvs/merged_unpadded.lef
deleted file mode 120000
index c48782e..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/lvs/merged_unpadded.lef
+++ /dev/null
@@ -1 +0,0 @@
-../../tmp/merged_unpadded.lef
\ No newline at end of file
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/magic/merged_unpadded.lef b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/magic/merged_unpadded.lef
deleted file mode 120000
index c48782e..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/magic/merged_unpadded.lef
+++ /dev/null
@@ -1 +0,0 @@
-../../tmp/merged_unpadded.lef
\ No newline at end of file
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/merged.lef b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/merged.lef
deleted file mode 100644
index d9042a4..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/merged.lef
+++ /dev/null
@@ -1,72968 +0,0 @@
-# Copyright 2020 The SkyWater PDK Authors
-#
-# Licensed under the Apache License, Version 2.0 (the "License");
-# you may not use this file except in compliance with the License.
-# You may obtain a copy of the License at
-#
-#     https://www.apache.org/licenses/LICENSE-2.0
-#
-# Unless required by applicable law or agreed to in writing, software
-# distributed under the License is distributed on an "AS IS" BASIS,
-# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-# See the License for the specific language governing permissions and
-# limitations under the License.
-#
-# SPDX-License-Identifier: Apache-2.0
-
-VERSION 5.7 ;
-
-BUSBITCHARS "[]" ;
-DIVIDERCHAR "/" ;
-
-UNITS
-  TIME NANOSECONDS 1 ;
-  CAPACITANCE PICOFARADS 1 ;
-  RESISTANCE OHMS 1 ;
-  DATABASE MICRONS 1000 ;
-END UNITS
-
-MANUFACTURINGGRID 0.005 ;
-
-PROPERTYDEFINITIONS
-  LAYER LEF58_TYPE STRING ;
-END PROPERTYDEFINITIONS
-
-# High density, single height
-SITE unithd
-  SYMMETRY Y ;
-  CLASS CORE ;
-  SIZE 0.46 BY 2.72 ;
-END unithd
-
-# High density, double height
-SITE unithddbl
-  SYMMETRY Y ;
-  CLASS CORE ;
-  SIZE 0.46 BY 5.44 ;
-END unithddbl
-
-LAYER nwell
-  TYPE MASTERSLICE ;
-  PROPERTY LEF58_TYPE "TYPE NWELL ;" ;
-END nwell
-
-LAYER pwell
-  TYPE MASTERSLICE ;
-  PROPERTY LEF58_TYPE "TYPE PWELL ;" ;
-END pwell
-
-LAYER li1
-  TYPE ROUTING ;
-  DIRECTION VERTICAL ;
-
-  PITCH 0.46 0.34 ;
-  OFFSET 0.23 0.17 ;
-
-  WIDTH 0.17 ;          # LI 1
-  # SPACING  0.17 ;     # LI 2
-  SPACINGTABLE
-     PARALLELRUNLENGTH 0
-     WIDTH 0 0.17 ;
-  AREA 0.0561 ;         # LI 6
-  THICKNESS 0.1 ;
-  EDGECAPACITANCE 40.697E-6 ;
-  CAPACITANCE CPERSQDIST 36.9866E-6 ;
-  RESISTANCE RPERSQ 12.2 ;
-
-  ANTENNAMODEL OXIDE1 ;
-  ANTENNADIFFSIDEAREARATIO PWL ( ( 0 75 ) ( 0.0125 75 ) ( 0.0225 85.125 ) ( 22.5 10200 ) ) ;
-END li1
-
-LAYER mcon
-  TYPE CUT ;
-
-  WIDTH 0.17 ;                # Mcon 1
-  SPACING 0.19 ;              # Mcon 2
-  ENCLOSURE BELOW 0 0 ;       # Mcon 4
-  ENCLOSURE ABOVE 0.03 0.06 ; # Met1 4 / Met1 5
-
-  ANTENNADIFFAREARATIO PWL ( ( 0 3 ) ( 0.0125 3 ) ( 0.0225 3.405 ) ( 22.5 408 ) ) ;
-  DCCURRENTDENSITY AVERAGE 0.36 ; # mA per via Iavg_max at Tj = 90oC
-
-END mcon
-
-LAYER met1
-  TYPE ROUTING ;
-  DIRECTION HORIZONTAL ;
-
-  PITCH 0.34 ;
-  OFFSET 0.17 ;
-
-  WIDTH 0.14 ;                     # Met1 1
-  # SPACING 0.14 ;                 # Met1 2
-  # SPACING 0.28 RANGE 3.001 100 ; # Met1 3b
-  SPACINGTABLE
-     PARALLELRUNLENGTH 0
-     WIDTH 0 0.14
-     WIDTH 3 0.28 ;
-  AREA 0.083 ;                     # Met1 6
-  THICKNESS 0.35 ;
-
-  ANTENNAMODEL OXIDE1 ;
-  ANTENNADIFFSIDEAREARATIO PWL ( ( 0 400 ) ( 0.0125 400 ) ( 0.0225 2609 ) ( 22.5 11600 ) ) ;
-
-  EDGECAPACITANCE 40.567E-6 ;
-  CAPACITANCE CPERSQDIST 25.7784E-6 ;
-  DCCURRENTDENSITY AVERAGE 2.8 ; # mA/um Iavg_max at Tj = 90oC
-  ACCURRENTDENSITY RMS 6.1 ; # mA/um Irms_max at Tj = 90oC
-  MAXIMUMDENSITY 70 ;
-  DENSITYCHECKWINDOW 700 700 ;
-  DENSITYCHECKSTEP 70 ;
-
-  RESISTANCE RPERSQ 0.125 ;
-END met1
-
-LAYER via
-  TYPE CUT ;
-  WIDTH 0.15 ;                  # Via 1a
-  SPACING 0.17 ;                # Via 2
-  ENCLOSURE BELOW 0.055 0.085 ; # Via 4a / Via 5a
-  ENCLOSURE ABOVE 0.055 0.085 ; # Met2 4 / Met2 5
-
-  ANTENNADIFFAREARATIO PWL ( ( 0 6 ) ( 0.0125 6 ) ( 0.0225 6.81 ) ( 22.5 816 ) ) ;
-  DCCURRENTDENSITY AVERAGE 0.29 ; # mA per via Iavg_max at Tj = 90oC
-END via
-
-LAYER met2
-  TYPE ROUTING ;
-  DIRECTION VERTICAL ;
-
-  PITCH 0.46 ;
-  OFFSET 0.23 ;
-
-  WIDTH 0.14 ;                        # Met2 1
-  # SPACING  0.14 ;                   # Met2 2
-  # SPACING  0.28 RANGE 3.001 100 ;   # Met2 3b
-  SPACINGTABLE
-     PARALLELRUNLENGTH 0
-     WIDTH 0 0.14
-     WIDTH 3 0.28 ;
-  AREA 0.0676 ;                       # Met2 6
-  THICKNESS 0.35 ;
-
-  EDGECAPACITANCE 37.759E-6 ;
-  CAPACITANCE CPERSQDIST 16.9423E-6 ;
-  RESISTANCE RPERSQ 0.125 ;
-  DCCURRENTDENSITY AVERAGE 2.8 ; # mA/um Iavg_max at Tj = 90oC
-  ACCURRENTDENSITY RMS 6.1 ; # mA/um Irms_max at Tj = 90oC
-
-  ANTENNAMODEL OXIDE1 ;
-  ANTENNADIFFSIDEAREARATIO PWL ( ( 0 400 ) ( 0.0125 400 ) ( 0.0225 2609 ) ( 22.5 11600 ) ) ;
-
-  MAXIMUMDENSITY 70 ;
-  DENSITYCHECKWINDOW 700 700 ;
-  DENSITYCHECKSTEP 70 ;
-END met2
-
-# ******** Layer via2, type routing, number 44 **************
-LAYER via2
-  TYPE CUT ;
-  WIDTH 0.2 ;                   # Via2 1
-  SPACING 0.2 ;                 # Via2 2
-  ENCLOSURE BELOW 0.04 0.085 ;  # Via2 4
-  ENCLOSURE ABOVE 0.065 0.065 ; # Met3 4
-  ANTENNADIFFAREARATIO PWL ( ( 0 6 ) ( 0.0125 6 ) ( 0.0225 6.81 ) ( 22.5 816 ) ) ;
-  DCCURRENTDENSITY AVERAGE 0.48 ; # mA per via Iavg_max at Tj = 90oC
-END via2
-
-LAYER met3
-  TYPE ROUTING ;
-  DIRECTION HORIZONTAL ;
-
-  PITCH 0.68 ;
-  OFFSET 0.34 ;
-
-  WIDTH 0.3 ;              # Met3 1
-  # SPACING 0.3 ;          # Met3 2
-  SPACINGTABLE
-     PARALLELRUNLENGTH 0
-     WIDTH 0 0.3
-     WIDTH 3 0.4 ;
-  AREA 0.24 ;              # Met3 6
-  THICKNESS 0.8 ;
-
-  EDGECAPACITANCE 40.989E-6 ;
-  CAPACITANCE CPERSQDIST 12.3729E-6 ;
-  RESISTANCE RPERSQ 0.047 ;
-  DCCURRENTDENSITY AVERAGE 6.8 ; # mA/um Iavg_max at Tj = 90oC
-  ACCURRENTDENSITY RMS 14.9 ; # mA/um Irms_max at Tj = 90oC
-
-  ANTENNAMODEL OXIDE1 ;
-  ANTENNADIFFSIDEAREARATIO PWL ( ( 0 400 ) ( 0.0125 400 ) ( 0.0225 2609 ) ( 22.5 11600 ) ) ;
-
-  MAXIMUMDENSITY 70 ;
-  DENSITYCHECKWINDOW 700 700 ;
-  DENSITYCHECKSTEP 70 ;
-END met3
-
-LAYER via3
-  TYPE CUT ;
-  WIDTH 0.2 ;                   # Via3 1
-  SPACING 0.2 ;                 # Via3 2
-  ENCLOSURE BELOW 0.06 0.09 ;   # Via3 4 / Via3 5
-  ENCLOSURE ABOVE 0.065 0.065 ; # Met4 3
-  ANTENNADIFFAREARATIO PWL ( ( 0 6 ) ( 0.0125 6 ) ( 0.0225 6.81 ) ( 22.5 816 ) ) ;
-  DCCURRENTDENSITY AVERAGE 0.48 ; # mA per via Iavg_max at Tj = 90oC
-END via3
-
-LAYER met4
-  TYPE ROUTING ;
-  DIRECTION VERTICAL ;
-
-  PITCH 0.92 ;
-  OFFSET 0.46 ;
-
-  WIDTH 0.3 ;             # Met4 1
-  # SPACING  0.3 ;             # Met4 2
-  SPACINGTABLE
-     PARALLELRUNLENGTH 0
-     WIDTH 0 0.3
-     WIDTH 3 0.4 ;
-  AREA 0.24 ;            # Met4 4a
-
-  THICKNESS 0.8 ;
-
-  EDGECAPACITANCE 36.676E-6 ;
-  CAPACITANCE CPERSQDIST 8.41537E-6 ;
-  RESISTANCE RPERSQ 0.047 ;
-  DCCURRENTDENSITY AVERAGE 6.8 ; # mA/um Iavg_max at Tj = 90oC
-  ACCURRENTDENSITY RMS 14.9 ; # mA/um Irms_max at Tj = 90oC
-
-  ANTENNAMODEL OXIDE1 ;
-  ANTENNADIFFSIDEAREARATIO PWL ( ( 0 400 ) ( 0.0125 400 ) ( 0.0225 2609 ) ( 22.5 11600 ) ) ;
-
-  MAXIMUMDENSITY 70 ;
-  DENSITYCHECKWINDOW 700 700 ;
-  DENSITYCHECKSTEP 70 ;
-END met4
-
-LAYER via4
-  TYPE CUT ;
-
-  WIDTH 0.8 ;                 # Via4 1
-  SPACING 0.8 ;               # Via4 2
-  ENCLOSURE BELOW 0.19 0.19 ; # Via4 4
-  ENCLOSURE ABOVE 0.31 0.31 ; # Met5 3
-  ANTENNADIFFAREARATIO PWL ( ( 0 6 ) ( 0.0125 6 ) ( 0.0225 6.81 ) ( 22.5 816 ) ) ;
-  DCCURRENTDENSITY AVERAGE 2.49 ; # mA per via Iavg_max at Tj = 90oC
-END via4
-
-LAYER met5
-  TYPE ROUTING ;
-  DIRECTION HORIZONTAL ;
-
-  PITCH 3.4 ;
-  OFFSET 1.7 ;
-
-  WIDTH 1.6 ;            # Met5 1
-  #SPACING  1.6 ;        # Met5 2
-  SPACINGTABLE
-     PARALLELRUNLENGTH 0
-     WIDTH 0 1.6 ;
-  AREA 4 ;               # Met5 4
-
-  THICKNESS 1.2 ;
-
-  EDGECAPACITANCE 38.851E-6 ;
-  CAPACITANCE CPERSQDIST 6.32063E-6 ;
-  RESISTANCE RPERSQ 0.0285 ;
-  DCCURRENTDENSITY AVERAGE 10.17 ; # mA/um Iavg_max at Tj = 90oC
-  ACCURRENTDENSITY RMS 22.34 ; # mA/um Irms_max at Tj = 90oC
-
-  ANTENNAMODEL OXIDE1 ;
-  ANTENNADIFFSIDEAREARATIO PWL ( ( 0 400 ) ( 0.0125 400 ) ( 0.0225 2609 ) ( 22.5 11600 ) ) ;
-END met5
-
-
-### Routing via cells section   ###
-# Plus via rule, metals are along the prefered direction
-VIA L1M1_PR DEFAULT
-  LAYER mcon ;
-  RECT -0.085 -0.085 0.085 0.085 ;
-  LAYER li1 ;
-  RECT -0.085 -0.085 0.085 0.085 ;
-  LAYER met1 ;
-  RECT -0.145 -0.115 0.145 0.115 ;
-END L1M1_PR
-
-VIARULE L1M1_PR GENERATE
-  LAYER li1 ;
-  ENCLOSURE 0 0 ;
-  LAYER met1 ;
-  ENCLOSURE 0.06 0.03 ;
-  LAYER mcon ;
-  RECT -0.085 -0.085 0.085 0.085 ;
-  SPACING 0.36 BY 0.36 ;
-END L1M1_PR
-
-# Plus via rule, metals are along the non prefered direction
-VIA L1M1_PR_R DEFAULT
-  LAYER mcon ;
-  RECT -0.085 -0.085 0.085 0.085 ;
-  LAYER li1 ;
-  RECT -0.085 -0.085 0.085 0.085 ;
-  LAYER met1 ;
-  RECT -0.115 -0.145 0.115 0.145 ;
-END L1M1_PR_R
-
-VIARULE L1M1_PR_R GENERATE
-  LAYER li1 ;
-  ENCLOSURE 0 0 ;
-  LAYER met1 ;
-  ENCLOSURE 0.03 0.06 ;
-  LAYER mcon ;
-  RECT -0.085 -0.085 0.085 0.085 ;
-  SPACING 0.36 BY 0.36 ;
-END L1M1_PR_R
-
-# Minus via rule, lower layer metal is along prefered direction
-VIA L1M1_PR_M DEFAULT
-  LAYER mcon ;
-  RECT -0.085 -0.085 0.085 0.085 ;
-  LAYER li1 ;
-  RECT -0.085 -0.085 0.085 0.085 ;
-  LAYER met1 ;
-  RECT -0.115 -0.145 0.115 0.145 ;
-END L1M1_PR_M
-
-VIARULE L1M1_PR_M GENERATE
-  LAYER li1 ;
-  ENCLOSURE 0 0 ;
-  LAYER met1 ;
-  ENCLOSURE 0.03 0.06 ;
-  LAYER mcon ;
-  RECT -0.085 -0.085 0.085 0.085 ;
-  SPACING 0.36 BY 0.36 ;
-END L1M1_PR_M
-
-# Minus via rule, upper layer metal is along prefered direction
-VIA L1M1_PR_MR DEFAULT
-  LAYER mcon ;
-  RECT -0.085 -0.085 0.085 0.085 ;
-  LAYER li1 ;
-  RECT -0.085 -0.085 0.085 0.085 ;
-  LAYER met1 ;
-  RECT -0.145 -0.115 0.145 0.115 ;
-END L1M1_PR_MR
-
-VIARULE L1M1_PR_MR GENERATE
-  LAYER li1 ;
-  ENCLOSURE 0 0 ;
-  LAYER met1 ;
-  ENCLOSURE 0.06 0.03 ;
-  LAYER mcon ;
-  RECT -0.085 -0.085 0.085 0.085 ;
-  SPACING 0.36 BY 0.36 ;
-END L1M1_PR_MR
-
-# Centered via rule, we really do not want to use it
-VIA L1M1_PR_C DEFAULT
-  LAYER mcon ;
-  RECT -0.085 -0.085 0.085 0.085 ;
-  LAYER li1 ;
-  RECT -0.085 -0.085 0.085 0.085 ;
-  LAYER met1 ;
-  RECT -0.145 -0.145 0.145 0.145 ;
-END L1M1_PR_C
-
-VIARULE L1M1_PR_C GENERATE
-  LAYER li1 ;
-  ENCLOSURE 0 0 ;
-  LAYER met1 ;
-  ENCLOSURE 0.06 0.06 ;
-  LAYER mcon ;
-  RECT -0.085 -0.085 0.085 0.085 ;
-  SPACING 0.36 BY 0.36 ;
-END L1M1_PR_C
-
-# Plus via rule, metals are along the prefered direction
-VIA M1M2_PR DEFAULT
-  LAYER via ;
-  RECT -0.075 -0.075 0.075 0.075 ;
-  LAYER met1 ;
-  RECT -0.16 -0.13 0.16 0.13 ;
-  LAYER met2 ;
-  RECT -0.13 -0.16 0.13 0.16 ;
-END M1M2_PR
-
-VIARULE M1M2_PR GENERATE
-  LAYER met1 ;
-  ENCLOSURE 0.085 0.055 ;
-  LAYER met2 ;
-  ENCLOSURE 0.055 0.085 ;
-  LAYER via ;
-  RECT -0.075 -0.075 0.075 0.075 ;
-  SPACING 0.32 BY 0.32 ;
-END M1M2_PR
-
-# Plus via rule, metals are along the non prefered direction
-VIA M1M2_PR_R DEFAULT
-  LAYER via ;
-  RECT -0.075 -0.075 0.075 0.075 ;
-  LAYER met1 ;
-  RECT -0.13 -0.16 0.13 0.16 ;
-  LAYER met2 ;
-  RECT -0.16 -0.13 0.16 0.13 ;
-END M1M2_PR_R
-
-VIARULE M1M2_PR_R GENERATE
-  LAYER met1 ;
-  ENCLOSURE 0.055 0.085 ;
-  LAYER met2 ;
-  ENCLOSURE 0.085 0.055 ;
-  LAYER via ;
-  RECT -0.075 -0.075 0.075 0.075 ;
-  SPACING 0.32 BY 0.32 ;
-END M1M2_PR_R
-
-# Minus via rule, lower layer metal is along prefered direction
-VIA M1M2_PR_M DEFAULT
-  LAYER via ;
-  RECT -0.075 -0.075 0.075 0.075 ;
-  LAYER met1 ;
-  RECT -0.16 -0.13 0.16 0.13 ;
-  LAYER met2 ;
-  RECT -0.16 -0.13 0.16 0.13 ;
-END M1M2_PR_M
-
-VIARULE M1M2_PR_M GENERATE
-  LAYER met1 ;
-  ENCLOSURE 0.085 0.055 ;
-  LAYER met2 ;
-  ENCLOSURE 0.085 0.055 ;
-  LAYER via ;
-  RECT -0.075 -0.075 0.075 0.075 ;
-  SPACING 0.32 BY 0.32 ;
-END M1M2_PR_M
-
-# Minus via rule, upper layer metal is along prefered direction
-VIA M1M2_PR_MR DEFAULT
-  LAYER via ;
-  RECT -0.075 -0.075 0.075 0.075 ;
-  LAYER met1 ;
-  RECT -0.13 -0.16 0.13 0.16 ;
-  LAYER met2 ;
-  RECT -0.13 -0.16 0.13 0.16 ;
-END M1M2_PR_MR
-
-VIARULE M1M2_PR_MR GENERATE
-  LAYER met1 ;
-  ENCLOSURE 0.055 0.085 ;
-  LAYER met2 ;
-  ENCLOSURE 0.055 0.085 ;
-  LAYER via ;
-  RECT -0.075 -0.075 0.075 0.075 ;
-  SPACING 0.32 BY 0.32 ;
-END M1M2_PR_MR
-
-# Centered via rule, we really do not want to use it
-VIA M1M2_PR_C DEFAULT
-  LAYER via ;
-  RECT -0.075 -0.075 0.075 0.075 ;
-  LAYER met1 ;
-  RECT -0.16 -0.16 0.16 0.16 ;
-  LAYER met2 ;
-  RECT -0.16 -0.16 0.16 0.16 ;
-END M1M2_PR_C
-
-VIARULE M1M2_PR_C GENERATE
-  LAYER met1 ;
-  ENCLOSURE 0.085 0.085 ;
-  LAYER met2 ;
-  ENCLOSURE 0.085 0.085 ;
-  LAYER via ;
-  RECT -0.075 -0.075 0.075 0.075 ;
-  SPACING 0.32 BY 0.32 ;
-END M1M2_PR_C
-
-# Plus via rule, metals are along the prefered direction
-VIA M2M3_PR DEFAULT
-  LAYER via2 ;
-  RECT -0.1 -0.1 0.1 0.1 ;
-  LAYER met2 ;
-  RECT -0.14 -0.185 0.14 0.185 ;
-  LAYER met3 ;
-  RECT -0.165 -0.165 0.165 0.165 ;
-END M2M3_PR
-
-VIARULE M2M3_PR GENERATE
-  LAYER met2 ;
-  ENCLOSURE 0.04 0.085 ;
-  LAYER met3 ;
-  ENCLOSURE 0.065 0.065 ;
-  LAYER via2 ;
-  RECT -0.1 -0.1 0.1 0.1 ;
-  SPACING 0.4 BY 0.4 ;
-END M2M3_PR
-
-# Plus via rule, metals are along the non prefered direction
-VIA M2M3_PR_R DEFAULT
-  LAYER via2 ;
-  RECT -0.1 -0.1 0.1 0.1 ;
-  LAYER met2 ;
-  RECT -0.185 -0.14 0.185 0.14 ;
-  LAYER met3 ;
-  RECT -0.165 -0.165 0.165 0.165 ;
-END M2M3_PR_R
-
-VIARULE M2M3_PR_R GENERATE
-  LAYER met2 ;
-  ENCLOSURE 0.085 0.04 ;
-  LAYER met3 ;
-  ENCLOSURE 0.065 0.065 ;
-  LAYER via2 ;
-  RECT -0.1 -0.1 0.1 0.1 ;
-  SPACING 0.4 BY 0.4 ;
-END M2M3_PR_R
-
-# Minus via rule, lower layer metal is along prefered direction
-VIA M2M3_PR_M DEFAULT
-  LAYER via2 ;
-  RECT -0.1 -0.1 0.1 0.1 ;
-  LAYER met2 ;
-  RECT -0.14 -0.185 0.14 0.185 ;
-  LAYER met3 ;
-  RECT -0.165 -0.165 0.165 0.165 ;
-END M2M3_PR_M
-
-VIARULE M2M3_PR_M GENERATE
-  LAYER met2 ;
-  ENCLOSURE 0.04 0.085 ;
-  LAYER met3 ;
-  ENCLOSURE 0.065 0.065 ;
-  LAYER via2 ;
-  RECT -0.1 -0.1 0.1 0.1 ;
-  SPACING 0.4 BY 0.4 ;
-END M2M3_PR_M
-
-# Minus via rule, upper layer metal is along prefered direction
-VIA M2M3_PR_MR DEFAULT
-  LAYER via2 ;
-  RECT -0.1 -0.1 0.1 0.1 ;
-  LAYER met2 ;
-  RECT -0.185 -0.14 0.185 0.14 ;
-  LAYER met3 ;
-  RECT -0.165 -0.165 0.165 0.165 ;
-END M2M3_PR_MR
-
-VIARULE M2M3_PR_MR GENERATE
-  LAYER met2 ;
-  ENCLOSURE 0.085 0.04 ;
-  LAYER met3 ;
-  ENCLOSURE 0.065 0.065 ;
-  LAYER via2 ;
-  RECT -0.1 -0.1 0.1 0.1 ;
-  SPACING 0.4 BY 0.4 ;
-END M2M3_PR_MR
-
-# Centered via rule, we really do not want to use it
-VIA M2M3_PR_C DEFAULT
-  LAYER via2 ;
-  RECT -0.1 -0.1 0.1 0.1 ;
-  LAYER met2 ;
-  RECT -0.185 -0.185 0.185 0.185 ;
-  LAYER met3 ;
-  RECT -0.165 -0.165 0.165 0.165 ;
-END M2M3_PR_C
-
-VIARULE M2M3_PR_C GENERATE
-  LAYER met2 ;
-  ENCLOSURE 0.085 0.085 ;
-  LAYER met3 ;
-  ENCLOSURE 0.065 0.065 ;
-  LAYER via2 ;
-  RECT -0.1 -0.1 0.1 0.1 ;
-  SPACING 0.4 BY 0.4 ;
-END M2M3_PR_C
-
-# Plus via rule, metals are along the prefered direction
-VIA M3M4_PR DEFAULT
-  LAYER via3 ;
-  RECT -0.1 -0.1 0.1 0.1 ;
-  LAYER met3 ;
-  RECT -0.19 -0.16 0.19 0.16 ;
-  LAYER met4 ;
-  RECT -0.165 -0.165 0.165 0.165 ;
-END M3M4_PR
-
-VIARULE M3M4_PR GENERATE
-  LAYER met3 ;
-  ENCLOSURE 0.09 0.06 ;
-  LAYER met4 ;
-  ENCLOSURE 0.065 0.065 ;
-  LAYER via3 ;
-  RECT -0.1 -0.1 0.1 0.1 ;
-  SPACING 0.4 BY 0.4 ;
-END M3M4_PR
-
-# Plus via rule, metals are along the non prefered direction
-VIA M3M4_PR_R DEFAULT
-  LAYER via3 ;
-  RECT -0.1 -0.1 0.1 0.1 ;
-  LAYER met3 ;
-  RECT -0.16 -0.19 0.16 0.19 ;
-  LAYER met4 ;
-  RECT -0.165 -0.165 0.165 0.165 ;
-END M3M4_PR_R
-
-VIARULE M3M4_PR_R GENERATE
-  LAYER met3 ;
-  ENCLOSURE 0.06 0.09 ;
-  LAYER met4 ;
-  ENCLOSURE 0.065 0.065 ;
-  LAYER via3 ;
-  RECT -0.1 -0.1 0.1 0.1 ;
-  SPACING 0.4 BY 0.4 ;
-END M3M4_PR_R
-
-# Minus via rule, lower layer metal is along prefered direction
-VIA M3M4_PR_M DEFAULT
-  LAYER via3 ;
-  RECT -0.1 -0.1 0.1 0.1 ;
-  LAYER met3 ;
-  RECT -0.19 -0.16 0.19 0.16 ;
-  LAYER met4 ;
-  RECT -0.165 -0.165 0.165 0.165 ;
-END M3M4_PR_M
-
-VIARULE M3M4_PR_M GENERATE
-  LAYER met3 ;
-  ENCLOSURE 0.09 0.06 ;
-  LAYER met4 ;
-  ENCLOSURE 0.065 0.065 ;
-  LAYER via3 ;
-  RECT -0.1 -0.1 0.1 0.1 ;
-  SPACING 0.4 BY 0.4 ;
-END M3M4_PR_M
-
-# Minus via rule, upper layer metal is along prefered direction
-VIA M3M4_PR_MR DEFAULT
-  LAYER via3 ;
-  RECT -0.1 -0.1 0.1 0.1 ;
-  LAYER met3 ;
-  RECT -0.16 -0.19 0.16 0.19 ;
-  LAYER met4 ;
-  RECT -0.165 -0.165 0.165 0.165 ;
-END M3M4_PR_MR
-
-VIARULE M3M4_PR_MR GENERATE
-  LAYER met3 ;
-  ENCLOSURE 0.06 0.09 ;
-  LAYER met4 ;
-  ENCLOSURE 0.065 0.065 ;
-  LAYER via3 ;
-  RECT -0.1 -0.1 0.1 0.1 ;
-  SPACING 0.4 BY 0.4 ;
-END M3M4_PR_MR
-
-# Centered via rule, we really do not want to use it
-VIA M3M4_PR_C DEFAULT
-  LAYER via3 ;
-  RECT -0.1 -0.1 0.1 0.1 ;
-  LAYER met3 ;
-  RECT -0.19 -0.19 0.19 0.19 ;
-  LAYER met4 ;
-  RECT -0.165 -0.165 0.165 0.165 ;
-END M3M4_PR_C
-
-VIARULE M3M4_PR_C GENERATE
-  LAYER met3 ;
-  ENCLOSURE 0.09 0.09 ;
-  LAYER met4 ;
-  ENCLOSURE 0.065 0.065 ;
-  LAYER via3 ;
-  RECT -0.1 -0.1 0.1 0.1 ;
-  SPACING 0.4 BY 0.4 ;
-END M3M4_PR_C
-
-# Plus via rule, metals are along the prefered direction
-VIA M4M5_PR DEFAULT
-  LAYER via4 ;
-  RECT -0.4 -0.4 0.4 0.4 ;
-  LAYER met4 ;
-  RECT -0.59 -0.59 0.59 0.59 ;
-  LAYER met5 ;
-  RECT -0.71 -0.71 0.71 0.71 ;
-END M4M5_PR
-
-VIARULE M4M5_PR GENERATE
-  LAYER met4 ;
-  ENCLOSURE 0.19 0.19 ;
-  LAYER met5 ;
-  ENCLOSURE 0.31 0.31 ;
-  LAYER via4 ;
-  RECT -0.4 -0.4 0.4 0.4 ;
-  SPACING 1.6 BY 1.6 ;
-END M4M5_PR
-
-# Plus via rule, metals are along the non prefered direction
-VIA M4M5_PR_R DEFAULT
-  LAYER via4 ;
-  RECT -0.4 -0.4 0.4 0.4 ;
-  LAYER met4 ;
-  RECT -0.59 -0.59 0.59 0.59 ;
-  LAYER met5 ;
-  RECT -0.71 -0.71 0.71 0.71 ;
-END M4M5_PR_R
-
-VIARULE M4M5_PR_R GENERATE
-  LAYER met4 ;
-  ENCLOSURE 0.19 0.19 ;
-  LAYER met5 ;
-  ENCLOSURE 0.31 0.31 ;
-  LAYER via4 ;
-  RECT -0.4 -0.4 0.4 0.4 ;
-  SPACING 1.6 BY 1.6 ;
-END M4M5_PR_R
-
-# Minus via rule, lower layer metal is along prefered direction
-VIA M4M5_PR_M DEFAULT
-  LAYER via4 ;
-  RECT -0.4 -0.4 0.4 0.4 ;
-  LAYER met4 ;
-  RECT -0.59 -0.59 0.59 0.59 ;
-  LAYER met5 ;
-  RECT -0.71 -0.71 0.71 0.71 ;
-END M4M5_PR_M
-
-VIARULE M4M5_PR_M GENERATE
-  LAYER met4 ;
-  ENCLOSURE 0.19 0.19 ;
-  LAYER met5 ;
-  ENCLOSURE 0.31 0.31 ;
-  LAYER via4 ;
-  RECT -0.4 -0.4 0.4 0.4 ;
-  SPACING 1.6 BY 1.6 ;
-END M4M5_PR_M
-
-# Minus via rule, upper layer metal is along prefered direction
-VIA M4M5_PR_MR DEFAULT
-  LAYER via4 ;
-  RECT -0.4 -0.4 0.4 0.4 ;
-  LAYER met4 ;
-  RECT -0.59 -0.59 0.59 0.59 ;
-  LAYER met5 ;
-  RECT -0.71 -0.71 0.71 0.71 ;
-END M4M5_PR_MR
-
-VIARULE M4M5_PR_MR GENERATE
-  LAYER met4 ;
-  ENCLOSURE 0.19 0.19 ;
-  LAYER met5 ;
-  ENCLOSURE 0.31 0.31 ;
-  LAYER via4 ;
-  RECT -0.4 -0.4 0.4 0.4 ;
-  SPACING 1.6 BY 1.6 ;
-END M4M5_PR_MR
-
-# Centered via rule, we really do not want to use it
-VIA M4M5_PR_C DEFAULT
-  LAYER via4 ;
-  RECT -0.4 -0.4 0.4 0.4 ;
-  LAYER met4 ;
-  RECT -0.59 -0.59 0.59 0.59 ;
-  LAYER met5 ;
-  RECT -0.71 -0.71 0.71 0.71 ;
-END M4M5_PR_C
-
-VIARULE M4M5_PR_C GENERATE
-  LAYER met4 ;
-  ENCLOSURE 0.19 0.19 ;
-  LAYER met5 ;
-  ENCLOSURE 0.31 0.31 ;
-  LAYER via4 ;
-  RECT -0.4 -0.4 0.4 0.4 ;
-  SPACING 1.6 BY 1.6 ;
-END M4M5_PR_C
-###  end of single via cells   ###
-
-
-MACRO sky130_fd_sc_hd__bufbuf_8
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__bufbuf_8 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.900000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.110000 1.075000 0.440000 1.275000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  1.782000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.230000 0.260000 3.560000 0.735000 ;
-        RECT 3.230000 0.735000 6.815000 0.905000 ;
-        RECT 3.230000 1.445000 6.815000 1.615000 ;
-        RECT 3.230000 1.615000 3.560000 2.465000 ;
-        RECT 4.070000 0.260000 4.400000 0.735000 ;
-        RECT 4.070000 1.615000 4.400000 2.465000 ;
-        RECT 4.910000 0.260000 5.240000 0.735000 ;
-        RECT 4.910000 1.615000 5.240000 2.465000 ;
-        RECT 5.750000 0.260000 6.080000 0.735000 ;
-        RECT 5.750000 1.615000 6.080000 2.465000 ;
-        RECT 6.435000 0.905000 6.815000 1.445000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 6.900000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.090000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.900000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.900000 0.085000 ;
-      RECT 0.000000  2.635000 6.900000 2.805000 ;
-      RECT 0.095000  0.260000 0.425000 0.735000 ;
-      RECT 0.095000  0.735000 0.780000 0.905000 ;
-      RECT 0.095000  1.445000 0.780000 1.615000 ;
-      RECT 0.095000  1.615000 0.425000 2.160000 ;
-      RECT 0.595000  0.085000 0.765000 0.565000 ;
-      RECT 0.595000  1.785000 0.765000 2.635000 ;
-      RECT 0.610000  0.905000 0.780000 0.995000 ;
-      RECT 0.610000  0.995000 1.040000 1.325000 ;
-      RECT 0.610000  1.325000 0.780000 1.445000 ;
-      RECT 1.000000  0.260000 1.380000 0.825000 ;
-      RECT 1.000000  1.545000 1.380000 2.465000 ;
-      RECT 1.210000  0.825000 1.380000 1.075000 ;
-      RECT 1.210000  1.075000 2.720000 1.275000 ;
-      RECT 1.210000  1.275000 1.380000 1.545000 ;
-      RECT 1.550000  0.260000 1.880000 0.735000 ;
-      RECT 1.550000  0.735000 3.060000 0.905000 ;
-      RECT 1.550000  1.445000 3.060000 1.615000 ;
-      RECT 1.550000  1.615000 1.880000 2.465000 ;
-      RECT 2.050000  0.085000 2.220000 0.565000 ;
-      RECT 2.050000  1.785000 2.220000 2.635000 ;
-      RECT 2.390000  0.260000 2.720000 0.735000 ;
-      RECT 2.390000  1.615000 2.720000 2.465000 ;
-      RECT 2.890000  0.085000 3.060000 0.565000 ;
-      RECT 2.890000  0.905000 3.060000 1.075000 ;
-      RECT 2.890000  1.075000 5.360000 1.275000 ;
-      RECT 2.890000  1.275000 3.060000 1.445000 ;
-      RECT 2.890000  1.785000 3.060000 2.635000 ;
-      RECT 3.730000  0.085000 3.900000 0.565000 ;
-      RECT 3.730000  1.835000 3.900000 2.635000 ;
-      RECT 4.570000  0.085000 4.740000 0.565000 ;
-      RECT 4.570000  1.835000 4.740000 2.635000 ;
-      RECT 5.410000  0.085000 5.580000 0.565000 ;
-      RECT 5.410000  1.835000 5.580000 2.635000 ;
-      RECT 6.250000  0.085000 6.420000 0.565000 ;
-      RECT 6.250000  1.835000 6.420000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-  END
-END sky130_fd_sc_hd__bufbuf_8
-MACRO sky130_fd_sc_hd__bufbuf_16
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__bufbuf_16 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  11.96000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.110000 1.075000 0.440000 1.275000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  3.564000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT  5.235000 0.255000  5.485000 0.260000 ;
-        RECT  5.235000 0.260000  5.565000 0.735000 ;
-        RECT  5.235000 0.735000 11.875000 0.905000 ;
-        RECT  5.235000 1.445000 11.875000 1.615000 ;
-        RECT  5.235000 1.615000  5.565000 2.465000 ;
-        RECT  6.075000 0.260000  6.405000 0.735000 ;
-        RECT  6.075000 1.615000  6.405000 2.465000 ;
-        RECT  6.155000 0.255000  6.325000 0.260000 ;
-        RECT  6.915000 0.260000  7.245000 0.735000 ;
-        RECT  6.915000 1.615000  7.245000 2.465000 ;
-        RECT  6.995000 0.255000  7.165000 0.260000 ;
-        RECT  7.755000 0.260000  8.085000 0.735000 ;
-        RECT  7.755000 1.615000  8.085000 2.465000 ;
-        RECT  8.595000 0.260000  8.925000 0.735000 ;
-        RECT  8.595000 1.615000  8.925000 2.465000 ;
-        RECT  9.435000 0.260000  9.765000 0.735000 ;
-        RECT  9.435000 1.615000  9.765000 2.465000 ;
-        RECT 10.275000 0.260000 10.605000 0.735000 ;
-        RECT 10.275000 1.615000 10.605000 2.465000 ;
-        RECT 11.115000 0.260000 11.445000 0.735000 ;
-        RECT 11.115000 1.615000 11.445000 2.465000 ;
-        RECT 11.620000 0.905000 11.875000 1.445000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 11.960000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 12.150000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 11.960000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 11.960000 0.085000 ;
-      RECT  0.000000  2.635000 11.960000 2.805000 ;
-      RECT  0.175000  0.085000  0.345000 0.905000 ;
-      RECT  0.175000  1.445000  0.345000 2.635000 ;
-      RECT  0.515000  0.260000  0.845000 0.905000 ;
-      RECT  0.515000  1.445000  0.845000 2.465000 ;
-      RECT  0.610000  0.905000  0.845000 1.075000 ;
-      RECT  0.610000  1.075000  2.205000 1.275000 ;
-      RECT  0.610000  1.275000  0.845000 1.445000 ;
-      RECT  1.035000  0.260000  1.365000 0.735000 ;
-      RECT  1.035000  0.735000  2.545000 0.905000 ;
-      RECT  1.035000  1.445000  2.545000 1.615000 ;
-      RECT  1.035000  1.615000  1.365000 2.465000 ;
-      RECT  1.535000  0.085000  1.705000 0.565000 ;
-      RECT  1.535000  1.785000  1.705000 2.635000 ;
-      RECT  1.875000  0.260000  2.205000 0.735000 ;
-      RECT  1.875000  1.615000  2.205000 2.465000 ;
-      RECT  2.375000  0.085000  2.545000 0.565000 ;
-      RECT  2.375000  0.905000  2.545000 1.075000 ;
-      RECT  2.375000  1.075000  4.685000 1.275000 ;
-      RECT  2.375000  1.275000  2.545000 1.445000 ;
-      RECT  2.375000  1.785000  2.545000 2.635000 ;
-      RECT  2.715000  0.260000  3.045000 0.735000 ;
-      RECT  2.715000  0.735000  5.065000 0.905000 ;
-      RECT  2.715000  1.445000  5.065000 1.615000 ;
-      RECT  2.715000  1.615000  3.045000 2.465000 ;
-      RECT  3.215000  0.085000  3.385000 0.565000 ;
-      RECT  3.215000  1.835000  3.385000 2.635000 ;
-      RECT  3.555000  0.260000  3.885000 0.735000 ;
-      RECT  3.555000  1.615000  3.885000 2.465000 ;
-      RECT  4.055000  0.085000  4.225000 0.565000 ;
-      RECT  4.055000  1.835000  4.225000 2.635000 ;
-      RECT  4.395000  0.260000  4.725000 0.735000 ;
-      RECT  4.395000  1.615000  4.725000 2.465000 ;
-      RECT  4.890000  0.905000  5.065000 1.075000 ;
-      RECT  4.890000  1.075000 11.450000 1.275000 ;
-      RECT  4.890000  1.275000  5.065000 1.445000 ;
-      RECT  4.895000  0.085000  5.065000 0.565000 ;
-      RECT  4.895000  1.835000  5.065000 2.635000 ;
-      RECT  5.735000  0.085000  5.905000 0.565000 ;
-      RECT  5.735000  1.835000  5.905000 2.635000 ;
-      RECT  6.575000  0.085000  6.745000 0.565000 ;
-      RECT  6.575000  1.835000  6.745000 2.635000 ;
-      RECT  7.415000  0.085000  7.585000 0.565000 ;
-      RECT  7.415000  1.835000  7.585000 2.635000 ;
-      RECT  8.255000  0.085000  8.425000 0.565000 ;
-      RECT  8.255000  1.835000  8.425000 2.635000 ;
-      RECT  9.095000  0.085000  9.265000 0.565000 ;
-      RECT  9.095000  1.835000  9.265000 2.635000 ;
-      RECT  9.935000  0.085000 10.105000 0.565000 ;
-      RECT  9.935000  1.835000 10.105000 2.635000 ;
-      RECT 10.775000  0.085000 10.945000 0.565000 ;
-      RECT 10.775000  1.835000 10.945000 2.635000 ;
-      RECT 11.615000  0.085000 11.785000 0.565000 ;
-      RECT 11.615000  1.835000 11.785000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-  END
-END sky130_fd_sc_hd__bufbuf_16
-MACRO sky130_fd_sc_hd__o311ai_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o311ai_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.980000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.055000 1.105000 1.315000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.275000 1.055000 2.155000 1.315000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.325000 1.055000 3.075000 1.315000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.365000 1.055000 4.385000 1.315000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.085000 1.055000 5.895000 1.315000 ;
-    END
-  END C1
-  PIN Y
-    ANTENNADIFFAREA  1.551000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.415000 1.485000 5.895000 1.725000 ;
-        RECT 2.415000 1.725000 2.665000 2.125000 ;
-        RECT 3.335000 1.725000 3.505000 2.465000 ;
-        RECT 4.515000 1.725000 4.825000 2.465000 ;
-        RECT 4.555000 0.655000 5.895000 0.885000 ;
-        RECT 4.555000 0.885000 4.915000 1.485000 ;
-        RECT 5.495000 1.725000 5.895000 2.465000 ;
-        RECT 5.515000 0.255000 5.895000 0.655000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.980000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.170000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.980000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.980000 0.085000 ;
-      RECT 0.000000  2.635000 5.980000 2.805000 ;
-      RECT 0.085000  0.255000 0.485000 0.655000 ;
-      RECT 0.085000  0.655000 4.385000 0.885000 ;
-      RECT 0.085000  1.485000 2.225000 1.725000 ;
-      RECT 0.085000  1.725000 0.465000 2.465000 ;
-      RECT 0.635000  1.895000 0.965000 2.635000 ;
-      RECT 0.655000  0.085000 0.985000 0.485000 ;
-      RECT 1.135000  1.725000 1.305000 2.465000 ;
-      RECT 1.155000  0.255000 1.325000 0.655000 ;
-      RECT 1.475000  1.895000 1.805000 2.295000 ;
-      RECT 1.475000  2.295000 3.165000 2.465000 ;
-      RECT 1.495000  0.085000 1.825000 0.485000 ;
-      RECT 1.975000  1.725000 2.225000 2.125000 ;
-      RECT 1.995000  0.255000 2.165000 0.655000 ;
-      RECT 2.335000  0.085000 3.105000 0.485000 ;
-      RECT 2.835000  1.895000 3.165000 2.295000 ;
-      RECT 3.275000  0.255000 3.445000 0.655000 ;
-      RECT 3.615000  0.255000 5.345000 0.485000 ;
-      RECT 3.675000  1.895000 4.345000 2.635000 ;
-      RECT 4.995000  1.895000 5.325000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o311ai_2
-MACRO sky130_fd_sc_hd__o311ai_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o311ai_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.660000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.055000 1.775000 1.315000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.945000 1.055000 3.615000 1.315000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.805000 1.055000 5.885000 1.315000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.055000 1.055000 7.695000 1.315000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.865000 1.055000 9.090000 1.315000 ;
-    END
-  END C1
-  PIN Y
-    ANTENNADIFFAREA  2.241000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.055000 1.485000 9.575000 1.725000 ;
-        RECT 4.055000 1.725000 4.305000 2.115000 ;
-        RECT 4.975000 1.725000 5.145000 2.115000 ;
-        RECT 5.815000 1.725000 6.005000 2.465000 ;
-        RECT 6.675000 1.725000 6.845000 2.465000 ;
-        RECT 7.515000 1.725000 7.685000 2.465000 ;
-        RECT 7.895000 0.655000 9.575000 0.885000 ;
-        RECT 8.355000 1.725000 8.525000 2.465000 ;
-        RECT 9.195000 1.725000 9.575000 2.465000 ;
-        RECT 9.260000 0.885000 9.575000 1.485000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.660000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.125000 -0.085000 0.295000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.850000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.660000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.660000 0.085000 ;
-      RECT 0.000000  2.635000 9.660000 2.805000 ;
-      RECT 0.085000  0.085000 0.505000 0.885000 ;
-      RECT 0.085000  1.485000 3.865000 1.725000 ;
-      RECT 0.085000  1.725000 0.405000 2.465000 ;
-      RECT 0.595000  1.895000 0.925000 2.635000 ;
-      RECT 0.675000  0.255000 0.845000 0.655000 ;
-      RECT 0.675000  0.655000 7.385000 0.885000 ;
-      RECT 1.015000  0.085000 1.345000 0.485000 ;
-      RECT 1.095000  1.725000 1.265000 2.465000 ;
-      RECT 1.435000  1.895000 1.765000 2.635000 ;
-      RECT 1.515000  0.255000 1.685000 0.655000 ;
-      RECT 1.855000  0.085000 2.185000 0.485000 ;
-      RECT 1.935000  1.725000 2.105000 2.465000 ;
-      RECT 2.275000  1.895000 2.605000 2.295000 ;
-      RECT 2.275000  2.295000 5.645000 2.465000 ;
-      RECT 2.355000  0.255000 2.525000 0.655000 ;
-      RECT 2.695000  0.085000 3.025000 0.485000 ;
-      RECT 2.775000  1.725000 2.945000 2.115000 ;
-      RECT 3.115000  1.895000 3.445000 2.295000 ;
-      RECT 3.195000  0.255000 3.365000 0.655000 ;
-      RECT 3.535000  0.085000 3.885000 0.485000 ;
-      RECT 3.615000  1.725000 3.865000 2.115000 ;
-      RECT 4.055000  0.255000 4.225000 0.655000 ;
-      RECT 4.395000  0.085000 4.725000 0.485000 ;
-      RECT 4.475000  1.895000 4.805000 2.295000 ;
-      RECT 4.895000  0.255000 5.065000 0.655000 ;
-      RECT 5.235000  0.085000 5.585000 0.485000 ;
-      RECT 5.315000  1.895000 5.645000 2.295000 ;
-      RECT 5.755000  0.255000 9.575000 0.485000 ;
-      RECT 6.175000  1.895000 6.505000 2.635000 ;
-      RECT 7.015000  1.895000 7.345000 2.635000 ;
-      RECT 7.555000  0.485000 7.725000 0.885000 ;
-      RECT 7.855000  1.895000 8.185000 2.635000 ;
-      RECT 8.695000  1.895000 9.025000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o311ai_4
-MACRO sky130_fd_sc_hd__o311ai_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o311ai_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.780000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.950000 0.995000 1.260000 2.465000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.430000 0.995000 1.780000 1.325000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.985000 0.320000 2.200000 1.325000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.830000 0.995000 3.135000 1.325000 ;
-    END
-  END C1
-  PIN Y
-    ANTENNADIFFAREA  0.942000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.430000 1.495000 3.135000 1.665000 ;
-        RECT 1.430000 1.665000 1.980000 2.465000 ;
-        RECT 2.445000 0.255000 3.135000 0.825000 ;
-        RECT 2.445000 0.825000 2.660000 1.495000 ;
-        RECT 2.650000 1.665000 3.135000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.085000  0.085000 0.570000 0.825000 ;
-      RECT 0.085000  1.495000 0.780000 2.635000 ;
-      RECT 0.740000  0.255000 0.910000 0.655000 ;
-      RECT 0.740000  0.655000 1.750000 0.825000 ;
-      RECT 1.080000  0.085000 1.410000 0.485000 ;
-      RECT 1.580000  0.255000 1.750000 0.655000 ;
-      RECT 2.150000  1.835000 2.480000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o311ai_1
-MACRO sky130_fd_sc_hd__o311ai_0
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o311ai_0 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.765000 0.570000 0.995000 ;
-        RECT 0.085000 0.995000 0.780000 1.625000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.950000 0.995000 1.260000 2.465000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.430000 0.995000 1.780000 1.325000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.985000 0.260000 2.200000 1.325000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.830000 0.765000 3.135000 1.325000 ;
-    END
-  END C1
-  PIN Y
-    ANTENNADIFFAREA  0.604000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.430000 1.495000 3.135000 1.665000 ;
-        RECT 1.430000 1.665000 1.980000 2.465000 ;
-        RECT 2.445000 0.255000 3.135000 0.595000 ;
-        RECT 2.445000 0.595000 2.660000 1.495000 ;
-        RECT 2.650000 1.665000 3.135000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.085000  0.085000 0.570000 0.595000 ;
-      RECT 0.085000  1.795000 0.780000 2.635000 ;
-      RECT 0.740000  0.255000 0.910000 0.655000 ;
-      RECT 0.740000  0.655000 1.750000 0.825000 ;
-      RECT 1.080000  0.085000 1.410000 0.485000 ;
-      RECT 1.580000  0.255000 1.750000 0.655000 ;
-      RECT 2.150000  1.835000 2.480000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o311ai_0
-MACRO sky130_fd_sc_hd__dfsbp_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dfsbp_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  11.04000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.222000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.770000 1.005000 2.180000 1.625000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 10.150000 1.495000 10.915000 1.665000 ;
-        RECT 10.150000 1.665000 10.480000 2.465000 ;
-        RECT 10.230000 0.255000 10.480000 0.720000 ;
-        RECT 10.230000 0.720000 10.915000 0.825000 ;
-        RECT 10.345000 0.825000 10.915000 0.845000 ;
-        RECT 10.360000 0.845000 10.915000 1.495000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 8.370000 0.255000 8.700000 2.465000 ;
-    END
-  END Q_N
-  PIN SET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.610000 0.735000 4.020000 1.065000 ;
-      LAYER mcon ;
-        RECT 3.825000 0.765000 3.995000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 6.660000 0.735000 7.320000 1.005000 ;
-        RECT 6.660000 1.005000 6.990000 1.065000 ;
-      LAYER mcon ;
-        RECT 7.045000 0.765000 7.215000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 3.765000 0.735000 4.055000 0.780000 ;
-        RECT 3.765000 0.780000 7.275000 0.920000 ;
-        RECT 3.765000 0.920000 4.055000 0.965000 ;
-        RECT 6.985000 0.735000 7.275000 0.780000 ;
-        RECT 6.985000 0.920000 7.275000 0.965000 ;
-    END
-  END SET_B
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.975000 0.440000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 11.040000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 11.230000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 11.040000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 11.040000 0.085000 ;
-      RECT  0.000000  2.635000 11.040000 2.805000 ;
-      RECT  0.175000  0.345000  0.345000 0.635000 ;
-      RECT  0.175000  0.635000  0.840000 0.805000 ;
-      RECT  0.175000  1.795000  0.840000 1.965000 ;
-      RECT  0.175000  1.965000  0.345000 2.465000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.515000  2.135000  0.845000 2.635000 ;
-      RECT  0.610000  0.805000  0.840000 1.795000 ;
-      RECT  1.015000  0.345000  1.240000 2.465000 ;
-      RECT  1.430000  0.635000  2.125000 0.825000 ;
-      RECT  1.430000  0.825000  1.600000 1.795000 ;
-      RECT  1.430000  1.795000  2.125000 1.965000 ;
-      RECT  1.455000  0.085000  1.785000 0.465000 ;
-      RECT  1.455000  2.135000  1.785000 2.635000 ;
-      RECT  1.955000  0.305000  2.125000 0.635000 ;
-      RECT  1.955000  1.965000  2.125000 2.465000 ;
-      RECT  2.350000  0.705000  2.570000 1.575000 ;
-      RECT  2.350000  1.575000  2.850000 1.955000 ;
-      RECT  2.360000  2.250000  3.190000 2.420000 ;
-      RECT  2.425000  0.265000  3.440000 0.465000 ;
-      RECT  2.750000  0.645000  3.100000 1.015000 ;
-      RECT  3.020000  1.195000  3.440000 1.235000 ;
-      RECT  3.020000  1.235000  4.370000 1.405000 ;
-      RECT  3.020000  1.405000  3.190000 2.250000 ;
-      RECT  3.270000  0.465000  3.440000 1.195000 ;
-      RECT  3.360000  1.575000  3.610000 1.835000 ;
-      RECT  3.360000  1.835000  4.710000 2.085000 ;
-      RECT  3.430000  2.255000  3.810000 2.635000 ;
-      RECT  3.610000  0.085000  4.020000 0.525000 ;
-      RECT  3.990000  2.085000  4.160000 2.375000 ;
-      RECT  4.120000  1.405000  4.370000 1.565000 ;
-      RECT  4.310000  0.295000  4.560000 0.725000 ;
-      RECT  4.310000  0.725000  4.710000 1.065000 ;
-      RECT  4.330000  2.255000  4.660000 2.635000 ;
-      RECT  4.540000  1.065000  4.710000 1.835000 ;
-      RECT  4.740000  0.085000  5.080000 0.545000 ;
-      RECT  4.900000  0.725000  6.150000 0.895000 ;
-      RECT  4.900000  0.895000  5.070000 1.655000 ;
-      RECT  4.900000  1.655000  5.400000 1.965000 ;
-      RECT  5.110000  2.165000  5.760000 2.415000 ;
-      RECT  5.240000  1.065000  5.420000 1.475000 ;
-      RECT  5.590000  1.235000  7.470000 1.405000 ;
-      RECT  5.590000  1.405000  5.760000 1.915000 ;
-      RECT  5.590000  1.915000  6.780000 2.085000 ;
-      RECT  5.590000  2.085000  5.760000 2.165000 ;
-      RECT  5.640000  0.305000  6.490000 0.475000 ;
-      RECT  5.820000  0.895000  6.150000 1.015000 ;
-      RECT  5.930000  1.575000  7.830000 1.745000 ;
-      RECT  5.930000  2.255000  6.340000 2.635000 ;
-      RECT  6.320000  0.475000  6.490000 1.235000 ;
-      RECT  6.540000  2.085000  6.780000 2.375000 ;
-      RECT  6.670000  0.085000  7.330000 0.565000 ;
-      RECT  7.010000  1.945000  7.340000 2.635000 ;
-      RECT  7.140000  1.175000  7.470000 1.235000 ;
-      RECT  7.510000  0.350000  7.830000 0.680000 ;
-      RECT  7.510000  1.745000  7.830000 1.765000 ;
-      RECT  7.510000  1.765000  7.680000 2.375000 ;
-      RECT  7.640000  0.680000  7.830000 1.575000 ;
-      RECT  8.020000  0.085000  8.200000 0.905000 ;
-      RECT  8.020000  1.480000  8.200000 2.635000 ;
-      RECT  8.870000  0.085000  9.120000 0.905000 ;
-      RECT  8.870000  1.480000  9.120000 2.635000 ;
-      RECT  9.310000  0.255000  9.560000 0.995000 ;
-      RECT  9.310000  0.995000 10.190000 1.325000 ;
-      RECT  9.310000  1.325000  9.640000 2.465000 ;
-      RECT  9.730000  0.085000 10.060000 0.825000 ;
-      RECT  9.810000  1.495000  9.980000 2.635000 ;
-      RECT 10.650000  0.085000 10.915000 0.550000 ;
-      RECT 10.650000  1.835000 10.915000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.645000  1.785000  0.815000 1.955000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  0.765000  1.235000 0.935000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  1.785000  2.615000 1.955000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  0.765000  3.075000 0.935000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  1.785000  5.375000 1.955000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.245000  1.105000  5.415000 1.275000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.585000 1.755000 0.875000 1.800000 ;
-      RECT 0.585000 1.800000 5.435000 1.940000 ;
-      RECT 0.585000 1.940000 0.875000 1.985000 ;
-      RECT 1.005000 0.735000 1.295000 0.780000 ;
-      RECT 1.005000 0.780000 3.135000 0.920000 ;
-      RECT 1.005000 0.920000 1.295000 0.965000 ;
-      RECT 2.385000 1.755000 2.675000 1.800000 ;
-      RECT 2.385000 1.940000 2.675000 1.985000 ;
-      RECT 2.845000 0.735000 3.135000 0.780000 ;
-      RECT 2.845000 0.920000 3.135000 0.965000 ;
-      RECT 2.920000 0.965000 3.135000 1.120000 ;
-      RECT 2.920000 1.120000 5.475000 1.260000 ;
-      RECT 5.145000 1.755000 5.435000 1.800000 ;
-      RECT 5.145000 1.940000 5.435000 1.985000 ;
-      RECT 5.185000 1.075000 5.475000 1.120000 ;
-      RECT 5.185000 1.260000 5.475000 1.305000 ;
-  END
-END sky130_fd_sc_hd__dfsbp_2
-MACRO sky130_fd_sc_hd__dfsbp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dfsbp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  10.58000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.222000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.770000 1.005000 2.180000 1.625000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 9.865000 0.255000 10.125000 0.825000 ;
-        RECT 9.865000 1.445000 10.125000 2.465000 ;
-        RECT 9.910000 0.825000 10.125000 1.445000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 8.370000 0.255000 8.700000 2.465000 ;
-    END
-  END Q_N
-  PIN SET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.610000 0.735000 4.020000 1.065000 ;
-      LAYER mcon ;
-        RECT 3.825000 0.765000 3.995000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 6.660000 0.735000 7.320000 1.005000 ;
-        RECT 6.660000 1.005000 6.990000 1.065000 ;
-      LAYER mcon ;
-        RECT 7.045000 0.765000 7.215000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 3.765000 0.735000 4.055000 0.780000 ;
-        RECT 3.765000 0.780000 7.275000 0.920000 ;
-        RECT 3.765000 0.920000 4.055000 0.965000 ;
-        RECT 6.985000 0.735000 7.275000 0.780000 ;
-        RECT 6.985000 0.920000 7.275000 0.965000 ;
-    END
-  END SET_B
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.975000 0.440000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 10.580000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 10.770000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 10.580000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 10.580000 0.085000 ;
-      RECT 0.000000  2.635000 10.580000 2.805000 ;
-      RECT 0.175000  0.345000  0.345000 0.635000 ;
-      RECT 0.175000  0.635000  0.840000 0.805000 ;
-      RECT 0.175000  1.795000  0.840000 1.965000 ;
-      RECT 0.175000  1.965000  0.345000 2.465000 ;
-      RECT 0.515000  0.085000  0.845000 0.465000 ;
-      RECT 0.515000  2.135000  0.845000 2.635000 ;
-      RECT 0.610000  0.805000  0.840000 1.795000 ;
-      RECT 1.015000  0.345000  1.240000 2.465000 ;
-      RECT 1.430000  0.635000  2.125000 0.825000 ;
-      RECT 1.430000  0.825000  1.600000 1.795000 ;
-      RECT 1.430000  1.795000  2.125000 1.965000 ;
-      RECT 1.455000  0.085000  1.785000 0.465000 ;
-      RECT 1.455000  2.135000  1.785000 2.635000 ;
-      RECT 1.955000  0.305000  2.125000 0.635000 ;
-      RECT 1.955000  1.965000  2.125000 2.465000 ;
-      RECT 2.350000  0.705000  2.570000 1.575000 ;
-      RECT 2.350000  1.575000  2.850000 1.955000 ;
-      RECT 2.360000  2.250000  3.190000 2.420000 ;
-      RECT 2.425000  0.265000  3.440000 0.465000 ;
-      RECT 2.750000  0.645000  3.100000 1.015000 ;
-      RECT 3.020000  1.195000  3.440000 1.235000 ;
-      RECT 3.020000  1.235000  4.370000 1.405000 ;
-      RECT 3.020000  1.405000  3.190000 2.250000 ;
-      RECT 3.270000  0.465000  3.440000 1.195000 ;
-      RECT 3.360000  1.575000  3.610000 1.835000 ;
-      RECT 3.360000  1.835000  4.710000 2.085000 ;
-      RECT 3.430000  2.255000  3.810000 2.635000 ;
-      RECT 3.610000  0.085000  4.020000 0.525000 ;
-      RECT 3.990000  2.085000  4.160000 2.375000 ;
-      RECT 4.120000  1.405000  4.370000 1.565000 ;
-      RECT 4.310000  0.295000  4.560000 0.725000 ;
-      RECT 4.310000  0.725000  4.710000 1.065000 ;
-      RECT 4.330000  2.255000  4.660000 2.635000 ;
-      RECT 4.540000  1.065000  4.710000 1.835000 ;
-      RECT 4.740000  0.085000  5.080000 0.545000 ;
-      RECT 4.900000  0.725000  6.150000 0.895000 ;
-      RECT 4.900000  0.895000  5.070000 1.655000 ;
-      RECT 4.900000  1.655000  5.400000 1.965000 ;
-      RECT 5.110000  2.165000  5.760000 2.415000 ;
-      RECT 5.240000  1.065000  5.420000 1.475000 ;
-      RECT 5.590000  1.235000  7.470000 1.405000 ;
-      RECT 5.590000  1.405000  5.760000 1.915000 ;
-      RECT 5.590000  1.915000  6.780000 2.085000 ;
-      RECT 5.590000  2.085000  5.760000 2.165000 ;
-      RECT 5.640000  0.305000  6.490000 0.475000 ;
-      RECT 5.820000  0.895000  6.150000 1.015000 ;
-      RECT 5.930000  1.575000  7.830000 1.745000 ;
-      RECT 5.930000  2.255000  6.340000 2.635000 ;
-      RECT 6.320000  0.475000  6.490000 1.235000 ;
-      RECT 6.540000  2.085000  6.780000 2.375000 ;
-      RECT 6.670000  0.085000  7.330000 0.565000 ;
-      RECT 7.010000  1.945000  7.340000 2.635000 ;
-      RECT 7.140000  1.175000  7.470000 1.235000 ;
-      RECT 7.510000  0.350000  7.830000 0.680000 ;
-      RECT 7.510000  1.745000  7.830000 1.765000 ;
-      RECT 7.510000  1.765000  7.680000 2.375000 ;
-      RECT 7.640000  0.680000  7.830000 1.575000 ;
-      RECT 8.020000  0.085000  8.200000 0.905000 ;
-      RECT 8.020000  1.480000  8.200000 2.635000 ;
-      RECT 8.890000  0.255000  9.220000 0.995000 ;
-      RECT 8.890000  0.995000  9.740000 1.325000 ;
-      RECT 8.890000  1.325000  9.220000 2.465000 ;
-      RECT 9.445000  0.085000  9.615000 0.585000 ;
-      RECT 9.445000  1.825000  9.615000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.645000  1.785000  0.815000 1.955000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  0.765000  1.235000 0.935000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  1.785000  2.615000 1.955000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  0.765000  3.075000 0.935000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  1.785000  5.375000 1.955000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.245000  1.105000  5.415000 1.275000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.585000 1.755000 0.875000 1.800000 ;
-      RECT 0.585000 1.800000 5.435000 1.940000 ;
-      RECT 0.585000 1.940000 0.875000 1.985000 ;
-      RECT 1.005000 0.735000 1.295000 0.780000 ;
-      RECT 1.005000 0.780000 3.135000 0.920000 ;
-      RECT 1.005000 0.920000 1.295000 0.965000 ;
-      RECT 2.385000 1.755000 2.675000 1.800000 ;
-      RECT 2.385000 1.940000 2.675000 1.985000 ;
-      RECT 2.845000 0.735000 3.135000 0.780000 ;
-      RECT 2.845000 0.920000 3.135000 0.965000 ;
-      RECT 2.920000 0.965000 3.135000 1.120000 ;
-      RECT 2.920000 1.120000 5.475000 1.260000 ;
-      RECT 5.145000 1.755000 5.435000 1.800000 ;
-      RECT 5.145000 1.940000 5.435000 1.985000 ;
-      RECT 5.185000 1.075000 5.475000 1.120000 ;
-      RECT 5.185000 1.260000 5.475000 1.305000 ;
-  END
-END sky130_fd_sc_hd__dfsbp_1
-MACRO sky130_fd_sc_hd__dfrtp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dfrtp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.200000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.355000 1.665000 1.680000 2.450000 ;
-        RECT 1.415000 0.615000 1.875000 1.665000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 8.855000 0.265000 9.110000 0.795000 ;
-        RECT 8.855000 1.445000 9.110000 2.325000 ;
-        RECT 8.900000 0.795000 9.110000 1.445000 ;
-    END
-  END Q
-  PIN RESET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.805000 0.765000 4.595000 1.015000 ;
-      LAYER mcon ;
-        RECT 4.165000 0.765000 4.335000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 7.105000 1.035000 7.645000 1.405000 ;
-        RECT 7.405000 0.635000 7.645000 1.035000 ;
-      LAYER mcon ;
-        RECT 7.105000 1.080000 7.275000 1.250000 ;
-        RECT 7.405000 0.765000 7.575000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 3.745000 0.735000 4.395000 0.780000 ;
-        RECT 3.745000 0.780000 7.635000 0.920000 ;
-        RECT 3.745000 0.920000 4.395000 0.965000 ;
-        RECT 7.045000 0.920000 7.635000 0.965000 ;
-        RECT 7.045000 0.965000 7.335000 1.280000 ;
-        RECT 7.345000 0.735000 7.635000 0.780000 ;
-    END
-  END RESET_B
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.975000 0.440000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.200000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.390000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.200000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.200000 0.085000 ;
-      RECT 0.000000  2.635000 9.200000 2.805000 ;
-      RECT 0.090000  0.345000 0.345000 0.635000 ;
-      RECT 0.090000  0.635000 0.840000 0.805000 ;
-      RECT 0.090000  1.795000 0.840000 1.965000 ;
-      RECT 0.090000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.840000 1.795000 ;
-      RECT 1.015000  0.345000 1.185000 2.465000 ;
-      RECT 1.545000  0.085000 1.875000 0.445000 ;
-      RECT 1.850000  2.175000 2.100000 2.635000 ;
-      RECT 2.045000  0.305000 2.540000 0.475000 ;
-      RECT 2.045000  0.475000 2.215000 1.835000 ;
-      RECT 2.045000  1.835000 2.440000 2.005000 ;
-      RECT 2.270000  2.005000 2.440000 2.135000 ;
-      RECT 2.270000  2.135000 2.520000 2.465000 ;
-      RECT 2.385000  0.765000 2.735000 1.385000 ;
-      RECT 2.610000  1.575000 3.075000 1.965000 ;
-      RECT 2.735000  2.135000 3.415000 2.465000 ;
-      RECT 2.745000  0.305000 3.600000 0.475000 ;
-      RECT 2.905000  0.765000 3.260000 0.985000 ;
-      RECT 2.905000  0.985000 3.075000 1.575000 ;
-      RECT 3.245000  1.185000 4.935000 1.355000 ;
-      RECT 3.245000  1.355000 3.415000 2.135000 ;
-      RECT 3.430000  0.475000 3.600000 1.185000 ;
-      RECT 3.585000  1.865000 4.660000 2.035000 ;
-      RECT 3.585000  2.035000 3.755000 2.375000 ;
-      RECT 3.775000  1.525000 5.275000 1.695000 ;
-      RECT 3.990000  2.205000 4.320000 2.635000 ;
-      RECT 4.475000  0.085000 4.805000 0.545000 ;
-      RECT 4.490000  2.035000 4.660000 2.375000 ;
-      RECT 4.765000  1.005000 4.935000 1.185000 ;
-      RECT 4.955000  2.175000 5.325000 2.635000 ;
-      RECT 5.015000  0.275000 5.365000 0.445000 ;
-      RECT 5.015000  0.445000 5.275000 0.835000 ;
-      RECT 5.105000  0.835000 5.275000 1.525000 ;
-      RECT 5.105000  1.695000 5.275000 1.835000 ;
-      RECT 5.105000  1.835000 5.665000 2.005000 ;
-      RECT 5.465000  0.705000 5.675000 1.495000 ;
-      RECT 5.465000  1.495000 6.140000 1.655000 ;
-      RECT 5.465000  1.655000 6.430000 1.665000 ;
-      RECT 5.495000  2.005000 5.665000 2.465000 ;
-      RECT 5.585000  0.255000 6.535000 0.535000 ;
-      RECT 5.845000  0.705000 6.195000 1.325000 ;
-      RECT 5.900000  2.125000 6.770000 2.465000 ;
-      RECT 5.970000  1.665000 6.430000 1.955000 ;
-      RECT 6.365000  0.535000 6.535000 1.315000 ;
-      RECT 6.365000  1.315000 6.770000 1.485000 ;
-      RECT 6.600000  1.485000 6.770000 1.575000 ;
-      RECT 6.600000  1.575000 7.820000 1.745000 ;
-      RECT 6.600000  1.745000 6.770000 2.125000 ;
-      RECT 6.705000  0.085000 6.895000 0.525000 ;
-      RECT 6.705000  0.695000 7.235000 0.865000 ;
-      RECT 6.705000  0.865000 6.925000 1.145000 ;
-      RECT 6.940000  2.175000 7.190000 2.635000 ;
-      RECT 7.065000  0.295000 8.135000 0.465000 ;
-      RECT 7.065000  0.465000 7.235000 0.695000 ;
-      RECT 7.360000  1.915000 8.160000 2.085000 ;
-      RECT 7.360000  2.085000 7.530000 2.375000 ;
-      RECT 7.710000  2.255000 8.040000 2.635000 ;
-      RECT 7.815000  0.465000 8.135000 0.820000 ;
-      RECT 7.815000  0.820000 8.140000 0.995000 ;
-      RECT 7.815000  0.995000 8.730000 1.295000 ;
-      RECT 7.990000  1.295000 8.730000 1.325000 ;
-      RECT 7.990000  1.325000 8.160000 1.915000 ;
-      RECT 8.380000  0.085000 8.685000 0.545000 ;
-      RECT 8.380000  1.495000 8.685000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.610000  1.105000 0.780000 1.275000 ;
-      RECT 1.015000  1.785000 1.185000 1.955000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  1.105000 2.615000 1.275000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  1.785000 3.075000 1.955000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.025000  1.105000 6.195000 1.275000 ;
-      RECT 6.025000  1.785000 6.195000 1.955000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.075000 0.840000 1.120000 ;
-      RECT 0.550000 1.120000 6.255000 1.260000 ;
-      RECT 0.550000 1.260000 0.840000 1.305000 ;
-      RECT 0.955000 1.755000 1.245000 1.800000 ;
-      RECT 0.955000 1.800000 6.255000 1.940000 ;
-      RECT 0.955000 1.940000 1.245000 1.985000 ;
-      RECT 2.385000 1.075000 2.675000 1.120000 ;
-      RECT 2.385000 1.260000 2.675000 1.305000 ;
-      RECT 2.845000 1.755000 3.135000 1.800000 ;
-      RECT 2.845000 1.940000 3.135000 1.985000 ;
-      RECT 5.965000 1.075000 6.255000 1.120000 ;
-      RECT 5.965000 1.260000 6.255000 1.305000 ;
-      RECT 5.965000 1.755000 6.255000 1.800000 ;
-      RECT 5.965000 1.940000 6.255000 1.985000 ;
-  END
-END sky130_fd_sc_hd__dfrtp_1
-MACRO sky130_fd_sc_hd__dfrtp_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dfrtp_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  10.58000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.355000 1.665000 1.680000 2.450000 ;
-        RECT 1.415000 0.615000 1.875000 1.665000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT  8.675000 0.255000  9.005000 0.735000 ;
-        RECT  8.675000 0.735000 10.440000 0.905000 ;
-        RECT  8.715000 1.455000 10.440000 1.625000 ;
-        RECT  8.715000 1.625000  9.005000 2.465000 ;
-        RECT  9.515000 0.255000  9.845000 0.735000 ;
-        RECT  9.555000 1.625000  9.805000 2.465000 ;
-        RECT 10.030000 0.905000 10.440000 1.455000 ;
-    END
-  END Q
-  PIN RESET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.805000 0.765000 4.595000 1.015000 ;
-      LAYER mcon ;
-        RECT 4.165000 0.765000 4.335000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 7.105000 1.035000 7.645000 1.405000 ;
-        RECT 7.405000 0.635000 7.645000 1.035000 ;
-      LAYER mcon ;
-        RECT 7.105000 1.080000 7.275000 1.250000 ;
-        RECT 7.405000 0.765000 7.575000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 3.745000 0.735000 4.395000 0.780000 ;
-        RECT 3.745000 0.780000 7.635000 0.920000 ;
-        RECT 3.745000 0.920000 4.395000 0.965000 ;
-        RECT 7.045000 0.920000 7.635000 0.965000 ;
-        RECT 7.045000 0.965000 7.335000 1.280000 ;
-        RECT 7.345000 0.735000 7.635000 0.780000 ;
-    END
-  END RESET_B
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.975000 0.440000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 10.580000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 10.770000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 10.580000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 10.580000 0.085000 ;
-      RECT  0.000000  2.635000 10.580000 2.805000 ;
-      RECT  0.090000  0.345000  0.345000 0.635000 ;
-      RECT  0.090000  0.635000  0.840000 0.805000 ;
-      RECT  0.090000  1.795000  0.840000 1.965000 ;
-      RECT  0.090000  1.965000  0.345000 2.465000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.515000  2.135000  0.845000 2.635000 ;
-      RECT  0.610000  0.805000  0.840000 1.795000 ;
-      RECT  1.015000  0.345000  1.185000 2.465000 ;
-      RECT  1.545000  0.085000  1.875000 0.445000 ;
-      RECT  1.850000  2.175000  2.100000 2.635000 ;
-      RECT  2.045000  0.305000  2.540000 0.475000 ;
-      RECT  2.045000  0.475000  2.215000 1.835000 ;
-      RECT  2.045000  1.835000  2.440000 2.005000 ;
-      RECT  2.270000  2.005000  2.440000 2.135000 ;
-      RECT  2.270000  2.135000  2.520000 2.465000 ;
-      RECT  2.385000  0.765000  2.735000 1.385000 ;
-      RECT  2.610000  1.575000  3.075000 1.965000 ;
-      RECT  2.735000  2.135000  3.415000 2.465000 ;
-      RECT  2.745000  0.305000  3.600000 0.475000 ;
-      RECT  2.905000  0.765000  3.260000 0.985000 ;
-      RECT  2.905000  0.985000  3.075000 1.575000 ;
-      RECT  3.245000  1.185000  4.935000 1.355000 ;
-      RECT  3.245000  1.355000  3.415000 2.135000 ;
-      RECT  3.430000  0.475000  3.600000 1.185000 ;
-      RECT  3.585000  1.865000  4.660000 2.035000 ;
-      RECT  3.585000  2.035000  3.755000 2.375000 ;
-      RECT  3.775000  1.525000  5.275000 1.695000 ;
-      RECT  3.990000  2.205000  4.320000 2.635000 ;
-      RECT  4.475000  0.085000  4.805000 0.545000 ;
-      RECT  4.490000  2.035000  4.660000 2.375000 ;
-      RECT  4.765000  1.005000  4.935000 1.185000 ;
-      RECT  4.955000  2.175000  5.325000 2.635000 ;
-      RECT  5.015000  0.275000  5.365000 0.445000 ;
-      RECT  5.015000  0.445000  5.275000 0.835000 ;
-      RECT  5.105000  0.835000  5.275000 1.525000 ;
-      RECT  5.105000  1.695000  5.275000 1.835000 ;
-      RECT  5.105000  1.835000  5.665000 2.005000 ;
-      RECT  5.465000  0.705000  5.675000 1.495000 ;
-      RECT  5.465000  1.495000  6.140000 1.655000 ;
-      RECT  5.465000  1.655000  6.430000 1.665000 ;
-      RECT  5.495000  2.005000  5.665000 2.465000 ;
-      RECT  5.585000  0.255000  6.535000 0.535000 ;
-      RECT  5.845000  0.705000  6.195000 1.325000 ;
-      RECT  5.900000  2.125000  6.770000 2.465000 ;
-      RECT  5.970000  1.665000  6.430000 1.955000 ;
-      RECT  6.365000  0.535000  6.535000 1.315000 ;
-      RECT  6.365000  1.315000  6.770000 1.485000 ;
-      RECT  6.600000  1.485000  6.770000 1.575000 ;
-      RECT  6.600000  1.575000  7.820000 1.745000 ;
-      RECT  6.600000  1.745000  6.770000 2.125000 ;
-      RECT  6.705000  0.085000  6.895000 0.525000 ;
-      RECT  6.705000  0.695000  7.235000 0.865000 ;
-      RECT  6.705000  0.865000  6.925000 1.145000 ;
-      RECT  6.940000  2.175000  7.190000 2.635000 ;
-      RECT  7.065000  0.295000  8.135000 0.465000 ;
-      RECT  7.065000  0.465000  7.235000 0.695000 ;
-      RECT  7.360000  1.915000  8.160000 2.085000 ;
-      RECT  7.360000  2.085000  7.530000 2.375000 ;
-      RECT  7.710000  2.255000  8.040000 2.635000 ;
-      RECT  7.815000  0.465000  8.135000 0.820000 ;
-      RECT  7.815000  0.820000  8.140000 1.075000 ;
-      RECT  7.815000  1.075000  9.845000 1.285000 ;
-      RECT  7.815000  1.285000  8.160000 1.295000 ;
-      RECT  7.990000  1.295000  8.160000 1.915000 ;
-      RECT  8.335000  0.085000  8.505000 0.895000 ;
-      RECT  8.335000  1.575000  8.505000 2.635000 ;
-      RECT  9.175000  0.085000  9.345000 0.555000 ;
-      RECT  9.175000  1.795000  9.345000 2.635000 ;
-      RECT 10.015000  0.085000 10.185000 0.555000 ;
-      RECT 10.015000  1.795000 10.185000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.610000  1.105000  0.780000 1.275000 ;
-      RECT  1.015000  1.785000  1.185000 1.955000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  1.105000  2.615000 1.275000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  1.785000  3.075000 1.955000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.025000  1.105000  6.195000 1.275000 ;
-      RECT  6.025000  1.785000  6.195000 1.955000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.075000 0.840000 1.120000 ;
-      RECT 0.550000 1.120000 6.255000 1.260000 ;
-      RECT 0.550000 1.260000 0.840000 1.305000 ;
-      RECT 0.955000 1.755000 1.245000 1.800000 ;
-      RECT 0.955000 1.800000 6.255000 1.940000 ;
-      RECT 0.955000 1.940000 1.245000 1.985000 ;
-      RECT 2.385000 1.075000 2.675000 1.120000 ;
-      RECT 2.385000 1.260000 2.675000 1.305000 ;
-      RECT 2.845000 1.755000 3.135000 1.800000 ;
-      RECT 2.845000 1.940000 3.135000 1.985000 ;
-      RECT 5.965000 1.075000 6.255000 1.120000 ;
-      RECT 5.965000 1.260000 6.255000 1.305000 ;
-      RECT 5.965000 1.755000 6.255000 1.800000 ;
-      RECT 5.965000 1.940000 6.255000 1.985000 ;
-  END
-END sky130_fd_sc_hd__dfrtp_4
-MACRO sky130_fd_sc_hd__dfrtp_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dfrtp_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.660000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.355000 1.665000 1.680000 2.450000 ;
-        RECT 1.415000 0.615000 1.875000 1.665000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 8.855000 0.265000 9.105000 0.795000 ;
-        RECT 8.855000 1.445000 9.105000 2.325000 ;
-        RECT 8.900000 0.795000 9.105000 1.445000 ;
-    END
-  END Q
-  PIN RESET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.805000 0.765000 4.595000 1.015000 ;
-      LAYER mcon ;
-        RECT 4.165000 0.765000 4.335000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 7.105000 1.035000 7.645000 1.405000 ;
-        RECT 7.405000 0.635000 7.645000 1.035000 ;
-      LAYER mcon ;
-        RECT 7.105000 1.080000 7.275000 1.250000 ;
-        RECT 7.405000 0.765000 7.575000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 3.745000 0.735000 4.395000 0.780000 ;
-        RECT 3.745000 0.780000 7.635000 0.920000 ;
-        RECT 3.745000 0.920000 4.395000 0.965000 ;
-        RECT 7.045000 0.920000 7.635000 0.965000 ;
-        RECT 7.045000 0.965000 7.335000 1.280000 ;
-        RECT 7.345000 0.735000 7.635000 0.780000 ;
-    END
-  END RESET_B
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.975000 0.440000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.660000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.850000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.660000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.660000 0.085000 ;
-      RECT 0.000000  2.635000 9.660000 2.805000 ;
-      RECT 0.090000  0.345000 0.345000 0.635000 ;
-      RECT 0.090000  0.635000 0.840000 0.805000 ;
-      RECT 0.090000  1.795000 0.840000 1.965000 ;
-      RECT 0.090000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.840000 1.795000 ;
-      RECT 1.015000  0.345000 1.185000 2.465000 ;
-      RECT 1.545000  0.085000 1.875000 0.445000 ;
-      RECT 1.850000  2.175000 2.100000 2.635000 ;
-      RECT 2.045000  0.305000 2.540000 0.475000 ;
-      RECT 2.045000  0.475000 2.215000 1.835000 ;
-      RECT 2.045000  1.835000 2.440000 2.005000 ;
-      RECT 2.270000  2.005000 2.440000 2.135000 ;
-      RECT 2.270000  2.135000 2.520000 2.465000 ;
-      RECT 2.385000  0.765000 2.735000 1.385000 ;
-      RECT 2.610000  1.575000 3.075000 1.965000 ;
-      RECT 2.735000  2.135000 3.415000 2.465000 ;
-      RECT 2.745000  0.305000 3.600000 0.475000 ;
-      RECT 2.905000  0.765000 3.260000 0.985000 ;
-      RECT 2.905000  0.985000 3.075000 1.575000 ;
-      RECT 3.245000  1.185000 4.935000 1.355000 ;
-      RECT 3.245000  1.355000 3.415000 2.135000 ;
-      RECT 3.430000  0.475000 3.600000 1.185000 ;
-      RECT 3.585000  1.865000 4.660000 2.035000 ;
-      RECT 3.585000  2.035000 3.755000 2.375000 ;
-      RECT 3.775000  1.525000 5.275000 1.695000 ;
-      RECT 3.990000  2.205000 4.320000 2.635000 ;
-      RECT 4.475000  0.085000 4.805000 0.545000 ;
-      RECT 4.490000  2.035000 4.660000 2.375000 ;
-      RECT 4.765000  1.005000 4.935000 1.185000 ;
-      RECT 4.955000  2.175000 5.325000 2.635000 ;
-      RECT 5.015000  0.275000 5.365000 0.445000 ;
-      RECT 5.015000  0.445000 5.275000 0.835000 ;
-      RECT 5.105000  0.835000 5.275000 1.525000 ;
-      RECT 5.105000  1.695000 5.275000 1.835000 ;
-      RECT 5.105000  1.835000 5.665000 2.005000 ;
-      RECT 5.465000  0.705000 5.675000 1.495000 ;
-      RECT 5.465000  1.495000 6.140000 1.655000 ;
-      RECT 5.465000  1.655000 6.430000 1.665000 ;
-      RECT 5.495000  2.005000 5.665000 2.465000 ;
-      RECT 5.585000  0.255000 6.535000 0.535000 ;
-      RECT 5.845000  0.705000 6.195000 1.325000 ;
-      RECT 5.900000  2.125000 6.770000 2.465000 ;
-      RECT 5.970000  1.665000 6.430000 1.955000 ;
-      RECT 6.365000  0.535000 6.535000 1.315000 ;
-      RECT 6.365000  1.315000 6.770000 1.485000 ;
-      RECT 6.600000  1.485000 6.770000 1.575000 ;
-      RECT 6.600000  1.575000 7.820000 1.745000 ;
-      RECT 6.600000  1.745000 6.770000 2.125000 ;
-      RECT 6.705000  0.085000 6.895000 0.525000 ;
-      RECT 6.705000  0.695000 7.235000 0.865000 ;
-      RECT 6.705000  0.865000 6.925000 1.145000 ;
-      RECT 6.940000  2.175000 7.190000 2.635000 ;
-      RECT 7.065000  0.295000 8.135000 0.465000 ;
-      RECT 7.065000  0.465000 7.235000 0.695000 ;
-      RECT 7.360000  1.915000 8.160000 2.085000 ;
-      RECT 7.360000  2.085000 7.530000 2.375000 ;
-      RECT 7.710000  2.255000 8.040000 2.635000 ;
-      RECT 7.815000  0.465000 8.135000 0.820000 ;
-      RECT 7.815000  0.820000 8.140000 0.995000 ;
-      RECT 7.815000  0.995000 8.730000 1.295000 ;
-      RECT 7.990000  1.295000 8.730000 1.325000 ;
-      RECT 7.990000  1.325000 8.160000 1.915000 ;
-      RECT 8.380000  0.085000 8.685000 0.545000 ;
-      RECT 8.380000  1.495000 8.685000 2.635000 ;
-      RECT 9.275000  0.085000 9.525000 0.840000 ;
-      RECT 9.275000  1.495000 9.525000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.610000  1.105000 0.780000 1.275000 ;
-      RECT 1.015000  1.785000 1.185000 1.955000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  1.105000 2.615000 1.275000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  1.785000 3.075000 1.955000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.025000  1.105000 6.195000 1.275000 ;
-      RECT 6.025000  1.785000 6.195000 1.955000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.075000 0.840000 1.120000 ;
-      RECT 0.550000 1.120000 6.255000 1.260000 ;
-      RECT 0.550000 1.260000 0.840000 1.305000 ;
-      RECT 0.955000 1.755000 1.245000 1.800000 ;
-      RECT 0.955000 1.800000 6.255000 1.940000 ;
-      RECT 0.955000 1.940000 1.245000 1.985000 ;
-      RECT 2.385000 1.075000 2.675000 1.120000 ;
-      RECT 2.385000 1.260000 2.675000 1.305000 ;
-      RECT 2.845000 1.755000 3.135000 1.800000 ;
-      RECT 2.845000 1.940000 3.135000 1.985000 ;
-      RECT 5.965000 1.075000 6.255000 1.120000 ;
-      RECT 5.965000 1.260000 6.255000 1.305000 ;
-      RECT 5.965000 1.755000 6.255000 1.800000 ;
-      RECT 5.965000 1.940000 6.255000 1.985000 ;
-  END
-END sky130_fd_sc_hd__dfrtp_2
-MACRO sky130_fd_sc_hd__sedfxtp_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sedfxtp_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  13.80000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.695000 0.765000 1.915000 1.720000 ;
-    END
-  END D
-  PIN DE
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.110000 0.765000 2.565000 1.185000 ;
-        RECT 2.110000 1.185000 2.325000 1.370000 ;
-    END
-  END DE
-  PIN Q
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 12.755000 0.305000 13.085000 2.420000 ;
-    END
-  END Q
-  PIN SCD
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.760000 1.105000 6.215000 1.665000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.025000 1.105000 5.250000 1.615000 ;
-    END
-  END SCE
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 0.975000 0.445000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 13.800000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000  4.885000 1.435000 ;
-        RECT -0.190000 1.435000 13.990000 2.910000 ;
-        RECT  7.200000 1.305000 13.990000 1.435000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 13.800000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 13.800000 0.085000 ;
-      RECT  0.000000  2.635000 13.800000 2.805000 ;
-      RECT  0.175000  0.345000  0.345000 0.635000 ;
-      RECT  0.175000  0.635000  0.845000 0.805000 ;
-      RECT  0.175000  1.795000  0.845000 1.965000 ;
-      RECT  0.175000  1.965000  0.345000 2.465000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.515000  2.135000  0.845000 2.635000 ;
-      RECT  0.615000  0.805000  0.845000 1.795000 ;
-      RECT  1.015000  0.345000  1.185000 2.465000 ;
-      RECT  1.355000  0.255000  1.785000 0.515000 ;
-      RECT  1.355000  0.515000  1.525000 1.890000 ;
-      RECT  1.355000  1.890000  1.785000 2.465000 ;
-      RECT  2.235000  0.085000  2.565000 0.515000 ;
-      RECT  2.235000  1.890000  2.565000 2.635000 ;
-      RECT  2.495000  1.355000  3.085000 1.720000 ;
-      RECT  2.755000  1.720000  3.085000 2.425000 ;
-      RECT  2.780000  0.255000  3.005000 0.845000 ;
-      RECT  2.780000  0.845000  3.635000 1.175000 ;
-      RECT  2.780000  1.175000  3.085000 1.355000 ;
-      RECT  3.185000  0.085000  3.515000 0.610000 ;
-      RECT  3.265000  1.825000  3.460000 2.635000 ;
-      RECT  3.805000  0.685000  3.975000 1.320000 ;
-      RECT  3.805000  1.320000  4.175000 1.650000 ;
-      RECT  4.125000  1.820000  4.515000 2.020000 ;
-      RECT  4.125000  2.020000  4.455000 2.465000 ;
-      RECT  4.145000  0.255000  4.415000 0.980000 ;
-      RECT  4.145000  0.980000  4.515000 1.150000 ;
-      RECT  4.345000  1.150000  4.515000 1.820000 ;
-      RECT  4.595000  0.255000  4.795000 0.645000 ;
-      RECT  4.595000  0.645000  4.855000 0.825000 ;
-      RECT  4.635000  2.210000  4.965000 2.465000 ;
-      RECT  4.685000  0.825000  4.855000 1.785000 ;
-      RECT  4.685000  1.785000  4.965000 2.210000 ;
-      RECT  4.965000  0.255000  5.590000 0.515000 ;
-      RECT  5.155000  1.835000  6.585000 2.005000 ;
-      RECT  5.155000  2.005000  5.495000 2.465000 ;
-      RECT  5.260000  0.515000  5.590000 0.935000 ;
-      RECT  5.420000  0.935000  5.590000 1.835000 ;
-      RECT  5.665000  2.175000  6.010000 2.635000 ;
-      RECT  5.760000  0.085000  6.010000 0.905000 ;
-      RECT  6.385000  1.355000  6.585000 1.835000 ;
-      RECT  6.515000  0.255000  7.135000 0.565000 ;
-      RECT  6.515000  0.565000  6.925000 1.185000 ;
-      RECT  6.675000  2.150000  7.005000 2.465000 ;
-      RECT  6.755000  1.185000  6.925000 1.865000 ;
-      RECT  6.755000  1.865000  7.005000 2.150000 ;
-      RECT  7.095000  1.125000  7.280000 1.720000 ;
-      RECT  7.115000  0.735000  7.620000 0.955000 ;
-      RECT  7.215000  2.175000  8.255000 2.375000 ;
-      RECT  7.305000  0.255000  7.980000 0.565000 ;
-      RECT  7.450000  0.955000  7.620000 1.655000 ;
-      RECT  7.450000  1.655000  7.915000 2.005000 ;
-      RECT  7.810000  0.565000  7.980000 1.315000 ;
-      RECT  7.810000  1.315000  8.660000 1.485000 ;
-      RECT  8.085000  1.485000  8.660000 1.575000 ;
-      RECT  8.085000  1.575000  8.255000 2.175000 ;
-      RECT  8.170000  0.765000  9.235000 1.045000 ;
-      RECT  8.170000  1.045000  9.745000 1.065000 ;
-      RECT  8.170000  1.065000  8.370000 1.095000 ;
-      RECT  8.245000  0.085000  8.640000 0.560000 ;
-      RECT  8.425000  1.835000  8.660000 2.635000 ;
-      RECT  8.490000  1.245000  8.660000 1.315000 ;
-      RECT  8.830000  0.255000  9.235000 0.765000 ;
-      RECT  8.830000  1.065000  9.745000 1.375000 ;
-      RECT  8.830000  1.375000  9.160000 2.465000 ;
-      RECT  9.370000  2.105000  9.660000 2.635000 ;
-      RECT  9.465000  0.085000  9.740000 0.615000 ;
-      RECT 10.090000  1.245000 10.280000 1.965000 ;
-      RECT 10.225000  2.165000 11.110000 2.355000 ;
-      RECT 10.305000  0.705000 10.770000 1.035000 ;
-      RECT 10.325000  0.330000 11.110000 0.535000 ;
-      RECT 10.450000  1.035000 10.770000 1.995000 ;
-      RECT 10.940000  0.535000 11.110000 0.995000 ;
-      RECT 10.940000  0.995000 11.810000 1.325000 ;
-      RECT 10.940000  1.325000 11.110000 2.165000 ;
-      RECT 11.280000  1.530000 12.180000 1.905000 ;
-      RECT 11.280000  2.135000 11.540000 2.635000 ;
-      RECT 11.350000  0.085000 11.665000 0.615000 ;
-      RECT 11.840000  1.905000 12.180000 2.465000 ;
-      RECT 11.850000  0.300000 12.180000 0.825000 ;
-      RECT 11.990000  0.825000 12.180000 1.530000 ;
-      RECT 12.350000  0.085000 12.585000 0.900000 ;
-      RECT 12.350000  1.465000 12.585000 2.635000 ;
-      RECT 13.255000  0.085000 13.515000 0.900000 ;
-      RECT 13.255000  1.465000 13.515000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.635000  1.785000  0.805000 1.955000 ;
-      RECT  1.015000  1.445000  1.185000 1.615000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.355000  0.425000  1.525000 0.595000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.805000  0.765000  3.975000 0.935000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.185000  0.425000  4.355000 0.595000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.615000  0.425000  4.785000 0.595000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.530000  0.425000  6.700000 0.595000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.100000  1.445000  7.270000 1.615000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.510000  1.785000  7.680000 1.955000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.100000  1.785000 10.270000 1.955000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.520000  1.445000 10.690000 1.615000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-      RECT 12.000000  0.765000 12.170000 0.935000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-      RECT 12.105000  2.635000 12.275000 2.805000 ;
-      RECT 12.565000 -0.085000 12.735000 0.085000 ;
-      RECT 12.565000  2.635000 12.735000 2.805000 ;
-      RECT 13.025000 -0.085000 13.195000 0.085000 ;
-      RECT 13.025000  2.635000 13.195000 2.805000 ;
-      RECT 13.485000 -0.085000 13.655000 0.085000 ;
-      RECT 13.485000  2.635000 13.655000 2.805000 ;
-    LAYER met1 ;
-      RECT  0.575000 1.755000  0.865000 1.800000 ;
-      RECT  0.575000 1.800000 10.330000 1.940000 ;
-      RECT  0.575000 1.940000  0.865000 1.985000 ;
-      RECT  0.955000 1.415000  1.245000 1.460000 ;
-      RECT  0.955000 1.460000 10.750000 1.600000 ;
-      RECT  0.955000 1.600000  1.245000 1.645000 ;
-      RECT  1.295000 0.395000  4.415000 0.580000 ;
-      RECT  1.295000 0.580000  1.585000 0.625000 ;
-      RECT  3.745000 0.735000  4.035000 0.780000 ;
-      RECT  3.745000 0.780000 12.230000 0.920000 ;
-      RECT  3.745000 0.920000  4.035000 0.965000 ;
-      RECT  4.125000 0.580000  4.415000 0.625000 ;
-      RECT  4.555000 0.395000  6.760000 0.580000 ;
-      RECT  4.555000 0.580000  4.845000 0.625000 ;
-      RECT  6.470000 0.580000  6.760000 0.625000 ;
-      RECT  7.040000 1.415000  7.330000 1.460000 ;
-      RECT  7.040000 1.600000  7.330000 1.645000 ;
-      RECT  7.450000 1.755000  7.740000 1.800000 ;
-      RECT  7.450000 1.940000  7.740000 1.985000 ;
-      RECT 10.040000 1.755000 10.330000 1.800000 ;
-      RECT 10.040000 1.940000 10.330000 1.985000 ;
-      RECT 10.460000 1.415000 10.750000 1.460000 ;
-      RECT 10.460000 1.600000 10.750000 1.645000 ;
-      RECT 11.940000 0.735000 12.230000 0.780000 ;
-      RECT 11.940000 0.920000 12.230000 0.965000 ;
-  END
-END sky130_fd_sc_hd__sedfxtp_2
-MACRO sky130_fd_sc_hd__sedfxtp_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sedfxtp_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  14.72000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.695000 0.765000 1.915000 1.720000 ;
-    END
-  END D
-  PIN DE
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.110000 0.765000 2.565000 1.185000 ;
-        RECT 2.110000 1.185000 2.325000 1.370000 ;
-    END
-  END DE
-  PIN Q
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 12.755000 0.305000 13.085000 1.070000 ;
-        RECT 12.755000 1.070000 13.925000 1.295000 ;
-        RECT 12.755000 1.295000 13.085000 2.420000 ;
-        RECT 13.595000 0.305000 13.925000 1.070000 ;
-        RECT 13.595000 1.295000 13.925000 2.420000 ;
-    END
-  END Q
-  PIN SCD
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.760000 1.105000 6.215000 1.665000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.025000 1.105000 5.250000 1.615000 ;
-    END
-  END SCE
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 0.975000 0.445000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 14.720000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000  4.885000 1.435000 ;
-        RECT -0.190000 1.435000 14.910000 2.910000 ;
-        RECT  7.200000 1.305000 14.910000 1.435000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 14.720000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 14.720000 0.085000 ;
-      RECT  0.000000  2.635000 14.720000 2.805000 ;
-      RECT  0.175000  0.345000  0.345000 0.635000 ;
-      RECT  0.175000  0.635000  0.845000 0.805000 ;
-      RECT  0.175000  1.795000  0.845000 1.965000 ;
-      RECT  0.175000  1.965000  0.345000 2.465000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.515000  2.135000  0.845000 2.635000 ;
-      RECT  0.615000  0.805000  0.845000 1.795000 ;
-      RECT  1.015000  0.345000  1.185000 2.465000 ;
-      RECT  1.355000  0.255000  1.785000 0.515000 ;
-      RECT  1.355000  0.515000  1.525000 1.890000 ;
-      RECT  1.355000  1.890000  1.785000 2.465000 ;
-      RECT  2.235000  0.085000  2.565000 0.515000 ;
-      RECT  2.235000  1.890000  2.565000 2.635000 ;
-      RECT  2.495000  1.355000  3.085000 1.720000 ;
-      RECT  2.755000  1.720000  3.085000 2.425000 ;
-      RECT  2.780000  0.255000  3.005000 0.845000 ;
-      RECT  2.780000  0.845000  3.635000 1.175000 ;
-      RECT  2.780000  1.175000  3.085000 1.355000 ;
-      RECT  3.185000  0.085000  3.515000 0.610000 ;
-      RECT  3.265000  1.825000  3.460000 2.635000 ;
-      RECT  3.805000  0.685000  3.975000 1.320000 ;
-      RECT  3.805000  1.320000  4.175000 1.650000 ;
-      RECT  4.125000  1.820000  4.515000 2.020000 ;
-      RECT  4.125000  2.020000  4.455000 2.465000 ;
-      RECT  4.145000  0.255000  4.415000 0.980000 ;
-      RECT  4.145000  0.980000  4.515000 1.150000 ;
-      RECT  4.345000  1.150000  4.515000 1.820000 ;
-      RECT  4.595000  0.255000  4.795000 0.645000 ;
-      RECT  4.595000  0.645000  4.855000 0.825000 ;
-      RECT  4.635000  2.210000  4.965000 2.465000 ;
-      RECT  4.685000  0.825000  4.855000 1.785000 ;
-      RECT  4.685000  1.785000  4.965000 2.210000 ;
-      RECT  4.965000  0.255000  5.590000 0.515000 ;
-      RECT  5.155000  1.835000  6.585000 2.005000 ;
-      RECT  5.155000  2.005000  5.495000 2.465000 ;
-      RECT  5.260000  0.515000  5.590000 0.935000 ;
-      RECT  5.420000  0.935000  5.590000 1.835000 ;
-      RECT  5.665000  2.175000  6.010000 2.635000 ;
-      RECT  5.760000  0.085000  6.010000 0.905000 ;
-      RECT  6.385000  1.355000  6.585000 1.835000 ;
-      RECT  6.515000  0.255000  7.135000 0.565000 ;
-      RECT  6.515000  0.565000  6.925000 1.185000 ;
-      RECT  6.675000  2.150000  7.005000 2.465000 ;
-      RECT  6.755000  1.185000  6.925000 1.865000 ;
-      RECT  6.755000  1.865000  7.005000 2.150000 ;
-      RECT  7.095000  1.125000  7.280000 1.720000 ;
-      RECT  7.115000  0.735000  7.620000 0.955000 ;
-      RECT  7.215000  2.175000  8.255000 2.375000 ;
-      RECT  7.305000  0.255000  7.980000 0.565000 ;
-      RECT  7.450000  0.955000  7.620000 1.655000 ;
-      RECT  7.450000  1.655000  7.915000 2.005000 ;
-      RECT  7.810000  0.565000  7.980000 1.315000 ;
-      RECT  7.810000  1.315000  8.660000 1.485000 ;
-      RECT  8.085000  1.485000  8.660000 1.575000 ;
-      RECT  8.085000  1.575000  8.255000 2.175000 ;
-      RECT  8.170000  0.765000  9.235000 1.045000 ;
-      RECT  8.170000  1.045000  9.745000 1.065000 ;
-      RECT  8.170000  1.065000  8.370000 1.095000 ;
-      RECT  8.245000  0.085000  8.640000 0.560000 ;
-      RECT  8.425000  1.835000  8.660000 2.635000 ;
-      RECT  8.490000  1.245000  8.660000 1.315000 ;
-      RECT  8.830000  0.255000  9.235000 0.765000 ;
-      RECT  8.830000  1.065000  9.745000 1.375000 ;
-      RECT  8.830000  1.375000  9.160000 2.465000 ;
-      RECT  9.370000  2.105000  9.660000 2.635000 ;
-      RECT  9.465000  0.085000  9.740000 0.615000 ;
-      RECT 10.090000  1.245000 10.280000 1.965000 ;
-      RECT 10.225000  2.165000 11.110000 2.355000 ;
-      RECT 10.305000  0.705000 10.770000 1.035000 ;
-      RECT 10.325000  0.330000 11.110000 0.535000 ;
-      RECT 10.450000  1.035000 10.770000 1.995000 ;
-      RECT 10.940000  0.535000 11.110000 0.995000 ;
-      RECT 10.940000  0.995000 11.810000 1.325000 ;
-      RECT 10.940000  1.325000 11.110000 2.165000 ;
-      RECT 11.280000  1.530000 12.180000 1.905000 ;
-      RECT 11.280000  2.135000 11.540000 2.635000 ;
-      RECT 11.350000  0.085000 11.665000 0.615000 ;
-      RECT 11.840000  1.905000 12.180000 2.465000 ;
-      RECT 11.850000  0.300000 12.180000 0.825000 ;
-      RECT 11.990000  0.825000 12.180000 1.530000 ;
-      RECT 12.350000  0.085000 12.585000 0.900000 ;
-      RECT 12.350000  1.465000 12.585000 2.635000 ;
-      RECT 13.255000  0.085000 13.425000 0.900000 ;
-      RECT 13.255000  1.465000 13.425000 2.635000 ;
-      RECT 14.095000  0.085000 14.355000 1.280000 ;
-      RECT 14.095000  1.465000 14.355000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.635000  1.785000  0.805000 1.955000 ;
-      RECT  1.015000  1.445000  1.185000 1.615000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.355000  0.425000  1.525000 0.595000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.805000  0.765000  3.975000 0.935000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.185000  0.425000  4.355000 0.595000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.615000  0.425000  4.785000 0.595000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.530000  0.425000  6.700000 0.595000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.100000  1.445000  7.270000 1.615000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.510000  1.785000  7.680000 1.955000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.100000  1.785000 10.270000 1.955000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.520000  1.445000 10.690000 1.615000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-      RECT 12.000000  0.765000 12.170000 0.935000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-      RECT 12.105000  2.635000 12.275000 2.805000 ;
-      RECT 12.565000 -0.085000 12.735000 0.085000 ;
-      RECT 12.565000  2.635000 12.735000 2.805000 ;
-      RECT 13.025000 -0.085000 13.195000 0.085000 ;
-      RECT 13.025000  2.635000 13.195000 2.805000 ;
-      RECT 13.485000 -0.085000 13.655000 0.085000 ;
-      RECT 13.485000  2.635000 13.655000 2.805000 ;
-      RECT 13.945000 -0.085000 14.115000 0.085000 ;
-      RECT 13.945000  2.635000 14.115000 2.805000 ;
-      RECT 14.405000 -0.085000 14.575000 0.085000 ;
-      RECT 14.405000  2.635000 14.575000 2.805000 ;
-    LAYER met1 ;
-      RECT  0.575000 1.755000  0.865000 1.800000 ;
-      RECT  0.575000 1.800000 10.330000 1.940000 ;
-      RECT  0.575000 1.940000  0.865000 1.985000 ;
-      RECT  0.955000 1.415000  1.245000 1.460000 ;
-      RECT  0.955000 1.460000 10.750000 1.600000 ;
-      RECT  0.955000 1.600000  1.245000 1.645000 ;
-      RECT  1.295000 0.395000  4.415000 0.580000 ;
-      RECT  1.295000 0.580000  1.585000 0.625000 ;
-      RECT  3.745000 0.735000  4.035000 0.780000 ;
-      RECT  3.745000 0.780000 12.230000 0.920000 ;
-      RECT  3.745000 0.920000  4.035000 0.965000 ;
-      RECT  4.125000 0.580000  4.415000 0.625000 ;
-      RECT  4.555000 0.395000  6.760000 0.580000 ;
-      RECT  4.555000 0.580000  4.845000 0.625000 ;
-      RECT  6.470000 0.580000  6.760000 0.625000 ;
-      RECT  7.040000 1.415000  7.330000 1.460000 ;
-      RECT  7.040000 1.600000  7.330000 1.645000 ;
-      RECT  7.450000 1.755000  7.740000 1.800000 ;
-      RECT  7.450000 1.940000  7.740000 1.985000 ;
-      RECT 10.040000 1.755000 10.330000 1.800000 ;
-      RECT 10.040000 1.940000 10.330000 1.985000 ;
-      RECT 10.460000 1.415000 10.750000 1.460000 ;
-      RECT 10.460000 1.600000 10.750000 1.645000 ;
-      RECT 11.940000 0.735000 12.230000 0.780000 ;
-      RECT 11.940000 0.920000 12.230000 0.965000 ;
-  END
-END sky130_fd_sc_hd__sedfxtp_4
-MACRO sky130_fd_sc_hd__sedfxtp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sedfxtp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  13.34000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.695000 0.765000 1.915000 1.720000 ;
-    END
-  END D
-  PIN DE
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.110000 0.765000 2.565000 1.185000 ;
-        RECT 2.110000 1.185000 2.325000 1.370000 ;
-    END
-  END DE
-  PIN Q
-    ANTENNADIFFAREA  0.462000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 12.765000 0.305000 13.095000 2.420000 ;
-    END
-  END Q
-  PIN SCD
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.760000 1.105000 6.215000 1.665000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.025000 1.105000 5.250000 1.615000 ;
-    END
-  END SCE
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 0.975000 0.445000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 13.340000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000  4.885000 1.435000 ;
-        RECT -0.190000 1.435000 13.530000 2.910000 ;
-        RECT  7.200000 1.305000 13.530000 1.435000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 13.340000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 13.340000 0.085000 ;
-      RECT  0.000000  2.635000 13.340000 2.805000 ;
-      RECT  0.175000  0.345000  0.345000 0.635000 ;
-      RECT  0.175000  0.635000  0.845000 0.805000 ;
-      RECT  0.175000  1.795000  0.845000 1.965000 ;
-      RECT  0.175000  1.965000  0.345000 2.465000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.515000  2.135000  0.845000 2.635000 ;
-      RECT  0.615000  0.805000  0.845000 1.795000 ;
-      RECT  1.015000  0.345000  1.185000 2.465000 ;
-      RECT  1.355000  0.255000  1.785000 0.515000 ;
-      RECT  1.355000  0.515000  1.525000 1.890000 ;
-      RECT  1.355000  1.890000  1.785000 2.465000 ;
-      RECT  2.235000  0.085000  2.565000 0.515000 ;
-      RECT  2.235000  1.890000  2.565000 2.635000 ;
-      RECT  2.495000  1.355000  3.085000 1.720000 ;
-      RECT  2.755000  1.720000  3.085000 2.425000 ;
-      RECT  2.780000  0.255000  3.005000 0.845000 ;
-      RECT  2.780000  0.845000  3.635000 1.175000 ;
-      RECT  2.780000  1.175000  3.085000 1.355000 ;
-      RECT  3.185000  0.085000  3.515000 0.610000 ;
-      RECT  3.265000  1.825000  3.460000 2.635000 ;
-      RECT  3.805000  0.685000  3.975000 1.320000 ;
-      RECT  3.805000  1.320000  4.175000 1.650000 ;
-      RECT  4.125000  1.820000  4.515000 2.020000 ;
-      RECT  4.125000  2.020000  4.455000 2.465000 ;
-      RECT  4.145000  0.255000  4.415000 0.980000 ;
-      RECT  4.145000  0.980000  4.515000 1.150000 ;
-      RECT  4.345000  1.150000  4.515000 1.820000 ;
-      RECT  4.595000  0.255000  4.795000 0.645000 ;
-      RECT  4.595000  0.645000  4.855000 0.825000 ;
-      RECT  4.635000  2.210000  4.965000 2.465000 ;
-      RECT  4.685000  0.825000  4.855000 1.785000 ;
-      RECT  4.685000  1.785000  4.965000 2.210000 ;
-      RECT  4.965000  0.255000  5.590000 0.515000 ;
-      RECT  5.155000  1.835000  6.585000 2.005000 ;
-      RECT  5.155000  2.005000  5.495000 2.465000 ;
-      RECT  5.260000  0.515000  5.590000 0.935000 ;
-      RECT  5.420000  0.935000  5.590000 1.835000 ;
-      RECT  5.665000  2.175000  6.010000 2.635000 ;
-      RECT  5.760000  0.085000  6.010000 0.905000 ;
-      RECT  6.385000  1.355000  6.585000 1.835000 ;
-      RECT  6.515000  0.255000  7.135000 0.565000 ;
-      RECT  6.515000  0.565000  6.925000 1.185000 ;
-      RECT  6.675000  2.150000  7.005000 2.465000 ;
-      RECT  6.755000  1.185000  6.925000 1.865000 ;
-      RECT  6.755000  1.865000  7.005000 2.150000 ;
-      RECT  7.095000  1.125000  7.280000 1.720000 ;
-      RECT  7.115000  0.735000  7.620000 0.955000 ;
-      RECT  7.215000  2.175000  8.255000 2.375000 ;
-      RECT  7.305000  0.255000  7.980000 0.565000 ;
-      RECT  7.450000  0.955000  7.620000 1.655000 ;
-      RECT  7.450000  1.655000  7.915000 2.005000 ;
-      RECT  7.810000  0.565000  7.980000 1.315000 ;
-      RECT  7.810000  1.315000  8.660000 1.485000 ;
-      RECT  8.085000  1.485000  8.660000 1.575000 ;
-      RECT  8.085000  1.575000  8.255000 2.175000 ;
-      RECT  8.170000  0.765000  9.235000 1.045000 ;
-      RECT  8.170000  1.045000  9.745000 1.065000 ;
-      RECT  8.170000  1.065000  8.370000 1.095000 ;
-      RECT  8.245000  0.085000  8.640000 0.560000 ;
-      RECT  8.425000  1.835000  8.660000 2.635000 ;
-      RECT  8.490000  1.245000  8.660000 1.315000 ;
-      RECT  8.830000  0.255000  9.235000 0.765000 ;
-      RECT  8.830000  1.065000  9.745000 1.375000 ;
-      RECT  8.830000  1.375000  9.160000 2.465000 ;
-      RECT  9.370000  2.105000  9.660000 2.635000 ;
-      RECT  9.465000  0.085000  9.740000 0.615000 ;
-      RECT 10.090000  1.245000 10.280000 1.965000 ;
-      RECT 10.225000  2.165000 11.110000 2.355000 ;
-      RECT 10.305000  0.705000 10.770000 1.035000 ;
-      RECT 10.325000  0.330000 11.110000 0.535000 ;
-      RECT 10.450000  1.035000 10.770000 1.995000 ;
-      RECT 10.940000  0.535000 11.110000 0.995000 ;
-      RECT 10.940000  0.995000 11.810000 1.325000 ;
-      RECT 10.940000  1.325000 11.110000 2.165000 ;
-      RECT 11.280000  1.530000 12.180000 1.905000 ;
-      RECT 11.280000  2.135000 11.540000 2.635000 ;
-      RECT 11.350000  0.085000 11.665000 0.615000 ;
-      RECT 11.840000  1.905000 12.180000 2.465000 ;
-      RECT 11.850000  0.300000 12.180000 0.825000 ;
-      RECT 11.990000  0.825000 12.180000 1.530000 ;
-      RECT 12.350000  0.085000 12.595000 0.900000 ;
-      RECT 12.350000  1.465000 12.595000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.635000  1.785000  0.805000 1.955000 ;
-      RECT  1.015000  1.445000  1.185000 1.615000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.355000  0.425000  1.525000 0.595000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.805000  0.765000  3.975000 0.935000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.185000  0.425000  4.355000 0.595000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.615000  0.425000  4.785000 0.595000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.530000  0.425000  6.700000 0.595000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.100000  1.445000  7.270000 1.615000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.510000  1.785000  7.680000 1.955000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.100000  1.785000 10.270000 1.955000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.520000  1.445000 10.690000 1.615000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-      RECT 12.000000  0.765000 12.170000 0.935000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-      RECT 12.105000  2.635000 12.275000 2.805000 ;
-      RECT 12.565000 -0.085000 12.735000 0.085000 ;
-      RECT 12.565000  2.635000 12.735000 2.805000 ;
-      RECT 13.025000 -0.085000 13.195000 0.085000 ;
-      RECT 13.025000  2.635000 13.195000 2.805000 ;
-    LAYER met1 ;
-      RECT  0.575000 1.755000  0.865000 1.800000 ;
-      RECT  0.575000 1.800000 10.330000 1.940000 ;
-      RECT  0.575000 1.940000  0.865000 1.985000 ;
-      RECT  0.955000 1.415000  1.245000 1.460000 ;
-      RECT  0.955000 1.460000 10.750000 1.600000 ;
-      RECT  0.955000 1.600000  1.245000 1.645000 ;
-      RECT  1.295000 0.395000  4.415000 0.580000 ;
-      RECT  1.295000 0.580000  1.585000 0.625000 ;
-      RECT  3.745000 0.735000  4.035000 0.780000 ;
-      RECT  3.745000 0.780000 12.230000 0.920000 ;
-      RECT  3.745000 0.920000  4.035000 0.965000 ;
-      RECT  4.125000 0.580000  4.415000 0.625000 ;
-      RECT  4.555000 0.395000  6.760000 0.580000 ;
-      RECT  4.555000 0.580000  4.845000 0.625000 ;
-      RECT  6.470000 0.580000  6.760000 0.625000 ;
-      RECT  7.040000 1.415000  7.330000 1.460000 ;
-      RECT  7.040000 1.600000  7.330000 1.645000 ;
-      RECT  7.450000 1.755000  7.740000 1.800000 ;
-      RECT  7.450000 1.940000  7.740000 1.985000 ;
-      RECT 10.040000 1.755000 10.330000 1.800000 ;
-      RECT 10.040000 1.940000 10.330000 1.985000 ;
-      RECT 10.460000 1.415000 10.750000 1.460000 ;
-      RECT 10.460000 1.600000 10.750000 1.645000 ;
-      RECT 11.940000 0.735000 12.230000 0.780000 ;
-      RECT 11.940000 0.920000 12.230000 0.965000 ;
-  END
-END sky130_fd_sc_hd__sedfxtp_1
-MACRO sky130_fd_sc_hd__fahcon_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__fahcon_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  12.42000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.950000 1.075000 1.340000 1.275000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.937500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.510000 0.710000 1.780000 1.325000 ;
-      LAYER mcon ;
-        RECT 1.525000 0.765000 1.695000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 4.265000 0.645000 4.515000 1.325000 ;
-      LAYER mcon ;
-        RECT 4.310000 0.765000 4.480000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 1.465000 0.735000 1.755000 0.780000 ;
-        RECT 1.465000 0.780000 4.540000 0.920000 ;
-        RECT 1.465000 0.920000 1.755000 0.965000 ;
-        RECT 4.250000 0.735000 4.540000 0.780000 ;
-        RECT 4.250000 0.920000 4.540000 0.965000 ;
-    END
-  END B
-  PIN CI
-    ANTENNAGATEAREA  0.493500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 10.530000 1.075000 10.975000 1.275000 ;
-    END
-  END CI
-  PIN COUT_N
-    ANTENNADIFFAREA  0.402800 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.610000 0.755000 6.935000 0.925000 ;
-        RECT 6.610000 0.925000 6.880000 1.675000 ;
-        RECT 6.710000 1.675000 6.880000 1.785000 ;
-        RECT 6.765000 0.595000 6.935000 0.755000 ;
-    END
-  END COUT_N
-  PIN SUM
-    ANTENNADIFFAREA  0.463750 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 11.995000 0.255000 12.335000 0.825000 ;
-        RECT 12.010000 1.785000 12.335000 2.465000 ;
-        RECT 12.135000 0.825000 12.335000 1.785000 ;
-    END
-  END SUM
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 12.420000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 12.610000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 12.420000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 12.420000 0.085000 ;
-      RECT  0.000000  2.635000 12.420000 2.805000 ;
-      RECT  0.085000  0.735000  0.430000 0.805000 ;
-      RECT  0.085000  0.805000  0.255000 1.500000 ;
-      RECT  0.085000  1.500000  0.440000 1.840000 ;
-      RECT  0.085000  1.840000  1.110000 2.010000 ;
-      RECT  0.085000  2.010000  0.430000 2.465000 ;
-      RECT  0.100000  0.255000  0.430000 0.735000 ;
-      RECT  0.425000  0.995000  0.780000 1.325000 ;
-      RECT  0.600000  2.180000  0.770000 2.635000 ;
-      RECT  0.610000  0.735000  1.325000 0.905000 ;
-      RECT  0.610000  0.905000  0.780000 0.995000 ;
-      RECT  0.610000  1.325000  0.780000 1.500000 ;
-      RECT  0.610000  1.500000  1.450000 1.670000 ;
-      RECT  0.630000  0.085000  0.800000 0.545000 ;
-      RECT  0.940000  2.010000  1.110000 2.215000 ;
-      RECT  0.940000  2.215000  2.545000 2.295000 ;
-      RECT  0.940000  2.295000  3.540000 2.385000 ;
-      RECT  0.995000  0.255000  3.410000 0.465000 ;
-      RECT  0.995000  0.465000  1.325000 0.735000 ;
-      RECT  1.280000  1.670000  1.450000 1.875000 ;
-      RECT  1.280000  1.875000  2.920000 2.045000 ;
-      RECT  1.965000  0.635000  2.470000 1.705000 ;
-      RECT  2.375000  2.385000  3.540000 2.465000 ;
-      RECT  2.640000  0.655000  3.025000 0.735000 ;
-      RECT  2.640000  0.735000  3.160000 0.755000 ;
-      RECT  2.640000  0.755000  3.750000 0.905000 ;
-      RECT  2.640000  1.075000  2.975000 1.160000 ;
-      RECT  2.640000  1.160000  3.100000 1.615000 ;
-      RECT  3.055000  0.905000  3.750000 0.925000 ;
-      RECT  3.240000  0.465000  3.410000 0.585000 ;
-      RECT  3.270000  0.925000  3.440000 2.295000 ;
-      RECT  3.580000  0.255000  4.595000 0.425000 ;
-      RECT  3.580000  0.425000  3.750000 0.755000 ;
-      RECT  3.725000  1.150000  4.095000 1.320000 ;
-      RECT  3.725000  1.320000  3.895000 2.295000 ;
-      RECT  3.725000  2.295000  5.100000 2.465000 ;
-      RECT  3.925000  0.595000  4.095000 1.150000 ;
-      RECT  4.210000  1.755000  4.380000 2.095000 ;
-      RECT  4.265000  0.425000  4.595000 0.475000 ;
-      RECT  4.700000  1.385000  5.180000 1.725000 ;
-      RECT  4.840000  0.510000  5.030000 0.995000 ;
-      RECT  4.840000  0.995000  5.180000 1.385000 ;
-      RECT  4.875000  1.895000  6.005000 2.065000 ;
-      RECT  4.875000  2.065000  5.100000 2.295000 ;
-      RECT  5.200000  0.085000  5.530000 0.805000 ;
-      RECT  5.270000  2.235000  5.600000 2.635000 ;
-      RECT  5.645000  1.555000  6.380000 1.725000 ;
-      RECT  5.700000  0.380000  5.980000 0.815000 ;
-      RECT  5.810000  0.815000  5.980000 1.555000 ;
-      RECT  5.835000  2.065000  6.005000 2.295000 ;
-      RECT  5.835000  2.295000  7.960000 2.465000 ;
-      RECT  6.150000  0.740000  6.435000 1.325000 ;
-      RECT  6.210000  1.725000  6.380000 1.895000 ;
-      RECT  6.210000  1.895000  6.540000 1.955000 ;
-      RECT  6.210000  1.955000  7.220000 2.125000 ;
-      RECT  6.265000  0.255000  7.700000 0.425000 ;
-      RECT  6.265000  0.425000  6.595000 0.570000 ;
-      RECT  7.050000  1.060000  7.280000 1.230000 ;
-      RECT  7.050000  1.230000  7.220000 1.955000 ;
-      RECT  7.110000  0.595000  7.360000 0.925000 ;
-      RECT  7.110000  0.925000  7.280000 1.060000 ;
-      RECT  7.390000  1.360000  7.620000 1.530000 ;
-      RECT  7.390000  1.530000  7.560000 2.125000 ;
-      RECT  7.450000  1.105000  7.700000 1.290000 ;
-      RECT  7.450000  1.290000  7.620000 1.360000 ;
-      RECT  7.530000  0.425000  7.700000 1.105000 ;
-      RECT  7.790000  1.550000  8.045000 1.720000 ;
-      RECT  7.790000  1.720000  7.960000 2.295000 ;
-      RECT  7.875000  0.995000  8.045000 1.550000 ;
-      RECT  7.935000  0.255000  9.450000 0.425000 ;
-      RECT  7.935000  0.425000  8.270000 0.825000 ;
-      RECT  8.230000  1.785000  8.400000 2.295000 ;
-      RECT  8.230000  2.295000  9.950000 2.465000 ;
-      RECT  8.440000  0.595000  8.900000 0.765000 ;
-      RECT  8.440000  0.765000  8.610000 1.445000 ;
-      RECT  8.440000  1.445000  8.740000 1.530000 ;
-      RECT  8.440000  1.530000  8.900000 1.615000 ;
-      RECT  8.570000  1.615000  8.900000 2.125000 ;
-      RECT  8.780000  0.995000  9.110000 1.275000 ;
-      RECT  9.070000  1.530000  9.450000 2.045000 ;
-      RECT  9.070000  2.045000  9.420000 2.125000 ;
-      RECT  9.280000  0.425000  9.450000 1.530000 ;
-      RECT  9.620000  2.215000  9.950000 2.295000 ;
-      RECT  9.650000  0.255000 10.020000 0.825000 ;
-      RECT  9.650000  0.825000  9.820000 1.535000 ;
-      RECT  9.650000  1.535000  9.950000 2.215000 ;
-      RECT  9.990000  0.995000 10.360000 1.325000 ;
-      RECT 10.120000  2.275000 10.455000 2.635000 ;
-      RECT 10.190000  0.735000 10.920000 0.905000 ;
-      RECT 10.190000  0.905000 10.360000 0.995000 ;
-      RECT 10.190000  1.325000 10.360000 1.455000 ;
-      RECT 10.190000  1.455000 10.835000 2.045000 ;
-      RECT 10.200000  0.085000 10.370000 0.565000 ;
-      RECT 10.540000  0.285000 10.920000 0.735000 ;
-      RECT 10.625000  2.045000 10.835000 2.465000 ;
-      RECT 11.085000  1.455000 11.415000 2.465000 ;
-      RECT 11.165000  0.270000 11.335000 0.680000 ;
-      RECT 11.165000  0.680000 11.415000 1.455000 ;
-      RECT 11.535000  0.085000 11.825000 0.555000 ;
-      RECT 11.585000  1.785000 11.840000 2.635000 ;
-      RECT 11.655000  0.995000 11.965000 1.615000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.280000  1.785000  1.450000 1.955000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  1.105000  2.155000 1.275000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  2.930000  1.445000  3.100000 1.615000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.210000  1.785000  4.380000 1.955000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  4.770000  1.445000  4.940000 1.615000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.150000  1.105000  6.320000 1.275000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.530000  0.765000  7.700000 0.935000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.450000  1.445000  8.620000 1.615000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  8.910000  1.105000  9.080000 1.275000 ;
-      RECT  9.280000  1.785000  9.450000 1.955000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.190000  1.785000 10.360000 1.955000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.230000  0.765000 11.400000 0.935000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-      RECT 11.690000  1.445000 11.860000 1.615000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-      RECT 12.105000  2.635000 12.275000 2.805000 ;
-    LAYER met1 ;
-      RECT  1.195000 1.755000  1.510000 1.800000 ;
-      RECT  1.195000 1.800000  4.440000 1.940000 ;
-      RECT  1.195000 1.940000  1.510000 1.985000 ;
-      RECT  1.925000 1.075000  2.215000 1.120000 ;
-      RECT  1.925000 1.120000  9.140000 1.260000 ;
-      RECT  1.925000 1.260000  2.215000 1.305000 ;
-      RECT  2.845000 1.415000  3.160000 1.460000 ;
-      RECT  2.845000 1.460000  5.000000 1.600000 ;
-      RECT  2.845000 1.600000  3.160000 1.645000 ;
-      RECT  4.150000 1.755000  4.440000 1.800000 ;
-      RECT  4.150000 1.940000  4.440000 1.985000 ;
-      RECT  4.710000 1.415000  5.000000 1.460000 ;
-      RECT  4.710000 1.600000  5.000000 1.645000 ;
-      RECT  6.090000 1.075000  6.380000 1.120000 ;
-      RECT  6.090000 1.260000  6.380000 1.305000 ;
-      RECT  7.470000 0.735000  7.760000 0.780000 ;
-      RECT  7.470000 0.780000 11.460000 0.920000 ;
-      RECT  7.470000 0.920000  7.760000 0.965000 ;
-      RECT  8.390000 1.415000  8.680000 1.460000 ;
-      RECT  8.390000 1.460000 11.920000 1.600000 ;
-      RECT  8.390000 1.600000  8.680000 1.645000 ;
-      RECT  8.850000 1.075000  9.140000 1.120000 ;
-      RECT  8.850000 1.260000  9.140000 1.305000 ;
-      RECT  9.195000 1.755000  9.510000 1.800000 ;
-      RECT  9.195000 1.800000 10.420000 1.940000 ;
-      RECT  9.195000 1.940000  9.510000 1.985000 ;
-      RECT 10.130000 1.755000 10.420000 1.800000 ;
-      RECT 10.130000 1.940000 10.420000 1.985000 ;
-      RECT 11.170000 0.735000 11.460000 0.780000 ;
-      RECT 11.170000 0.920000 11.460000 0.965000 ;
-      RECT 11.630000 1.415000 11.920000 1.460000 ;
-      RECT 11.630000 1.600000 11.920000 1.645000 ;
-  END
-END sky130_fd_sc_hd__fahcon_1
-MACRO sky130_fd_sc_hd__diode_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__diode_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  0.920000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN DIODE
-    ANTENNADIFFAREA  0.434700 ;
-    ANTENNAGATEAREA  0.434700 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.255000 0.835000 2.465000 ;
-    END
-  END DIODE
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 0.920000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 1.110000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 0.920000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 0.920000 0.085000 ;
-      RECT 0.000000  2.635000 0.920000 2.805000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-  END
-END sky130_fd_sc_hd__diode_2
-MACRO sky130_fd_sc_hd__nand4_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nand4_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.820000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.465000 1.075000 7.710000 1.275000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.850000 1.075000 5.565000 1.275000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.990000 1.075000 3.540000 1.275000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.105000 1.075000 1.700000 1.275000 ;
-    END
-  END D
-  PIN Y
-    ANTENNADIFFAREA  2.511000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 1.445000 7.305000 1.665000 ;
-        RECT 0.515000 1.665000 0.845000 2.465000 ;
-        RECT 1.355000 1.665000 1.685000 2.465000 ;
-        RECT 2.195000 1.665000 2.525000 2.465000 ;
-        RECT 3.035000 1.665000 3.365000 2.465000 ;
-        RECT 4.395000 1.665000 4.725000 2.465000 ;
-        RECT 5.235000 1.665000 5.565000 2.465000 ;
-        RECT 6.110000 0.655000 7.305000 0.905000 ;
-        RECT 6.110000 0.905000 6.290000 1.445000 ;
-        RECT 6.135000 1.665000 6.465000 2.465000 ;
-        RECT 6.975000 1.665000 7.305000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.820000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.010000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.820000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.820000 0.085000 ;
-      RECT 0.000000  2.635000 7.820000 2.805000 ;
-      RECT 0.090000  0.255000 0.345000 0.655000 ;
-      RECT 0.090000  0.655000 2.025000 0.905000 ;
-      RECT 0.090000  1.445000 0.345000 2.635000 ;
-      RECT 0.515000  0.085000 0.845000 0.485000 ;
-      RECT 1.015000  0.255000 1.185000 0.655000 ;
-      RECT 1.015000  1.835000 1.185000 2.635000 ;
-      RECT 1.355000  0.085000 1.685000 0.485000 ;
-      RECT 1.855000  0.255000 3.785000 0.485000 ;
-      RECT 1.855000  0.485000 2.025000 0.655000 ;
-      RECT 1.855000  1.835000 2.025000 2.635000 ;
-      RECT 2.195000  0.655000 5.565000 0.905000 ;
-      RECT 2.695000  1.835000 2.865000 2.635000 ;
-      RECT 3.535000  1.835000 4.225000 2.635000 ;
-      RECT 3.975000  0.255000 7.730000 0.485000 ;
-      RECT 4.895000  1.835000 5.065000 2.635000 ;
-      RECT 5.770000  0.485000 5.940000 0.905000 ;
-      RECT 5.770000  1.835000 5.940000 2.635000 ;
-      RECT 6.635000  1.835000 6.805000 2.635000 ;
-      RECT 7.475000  0.485000 7.730000 0.905000 ;
-      RECT 7.475000  1.445000 7.735000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nand4_4
-MACRO sky130_fd_sc_hd__nand4_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nand4_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.600000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.165000 1.075000 4.495000 1.275000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.235000 1.075000 3.080000 1.275000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.070000 1.075000 1.700000 1.275000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.110000 1.075000 0.845000 1.275000 ;
-    END
-  END D
-  PIN Y
-    ANTENNADIFFAREA  1.255500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 1.445000 3.925000 1.665000 ;
-        RECT 0.515000 1.665000 0.845000 2.465000 ;
-        RECT 1.355000 1.665000 1.685000 2.465000 ;
-        RECT 2.355000 1.665000 2.685000 2.465000 ;
-        RECT 3.370000 1.055000 3.925000 1.445000 ;
-        RECT 3.595000 0.635000 3.925000 1.055000 ;
-        RECT 3.595000 1.665000 3.925000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.600000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.790000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.600000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.600000 0.085000 ;
-      RECT 0.000000  2.635000 4.600000 2.805000 ;
-      RECT 0.090000  0.255000 0.425000 0.735000 ;
-      RECT 0.090000  0.735000 1.185000 0.905000 ;
-      RECT 0.090000  1.495000 0.345000 2.635000 ;
-      RECT 0.595000  0.085000 0.765000 0.545000 ;
-      RECT 0.935000  0.255000 2.125000 0.465000 ;
-      RECT 0.935000  0.465000 1.185000 0.735000 ;
-      RECT 1.015000  1.835000 1.185000 2.635000 ;
-      RECT 1.355000  0.635000 3.085000 0.905000 ;
-      RECT 1.855000  1.835000 2.185000 2.635000 ;
-      RECT 2.315000  0.255000 4.425000 0.465000 ;
-      RECT 2.995000  1.835000 3.325000 2.635000 ;
-      RECT 3.255000  0.465000 3.425000 0.885000 ;
-      RECT 4.095000  0.465000 4.425000 0.905000 ;
-      RECT 4.095000  1.445000 4.425000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nand4_2
-MACRO sky130_fd_sc_hd__nand4_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nand4_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.300000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.975000 0.995000 2.215000 1.665000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.000000 0.300000 1.350000 0.825000 ;
-        RECT 1.145000 0.825000 1.350000 0.995000 ;
-        RECT 1.145000 0.995000 1.455000 1.325000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.595000 0.300000 0.810000 0.995000 ;
-        RECT 0.595000 0.995000 0.975000 1.325000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.110000 0.995000 0.395000 1.325000 ;
-    END
-  END D
-  PIN Y
-    ANTENNADIFFAREA  0.795000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 1.495000 1.795000 1.665000 ;
-        RECT 0.515000 1.665000 0.845000 2.465000 ;
-        RECT 1.385000 1.665000 1.715000 2.465000 ;
-        RECT 1.520000 0.255000 2.215000 0.825000 ;
-        RECT 1.625000 0.825000 1.795000 1.495000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.300000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.490000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.300000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.300000 0.085000 ;
-      RECT 0.000000  2.635000 2.300000 2.805000 ;
-      RECT 0.085000  1.495000 0.345000 2.635000 ;
-      RECT 0.090000  0.085000 0.425000 0.825000 ;
-      RECT 1.015000  1.835000 1.185000 2.635000 ;
-      RECT 1.915000  1.835000 2.195000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nand4_1
-MACRO sky130_fd_sc_hd__clkinvlp_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__clkinvlp_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  1.330000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.745000 0.425000 1.325000 ;
-    END
-  END A
-  PIN Y
-    ANTENNADIFFAREA  0.714000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.595000 0.255000 1.215000 0.680000 ;
-        RECT 0.595000 0.680000 0.955000 1.015000 ;
-        RECT 0.595000 1.015000 2.015000 1.295000 ;
-        RECT 0.595000 1.295000 0.955000 2.465000 ;
-        RECT 1.685000 1.295000 2.015000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.095000  0.085000 0.425000 0.575000 ;
-      RECT 0.095000  1.495000 0.425000 2.635000 ;
-      RECT 1.155000  1.465000 1.485000 2.635000 ;
-      RECT 1.675000  0.085000 2.005000 0.775000 ;
-      RECT 2.215000  1.465000 2.545000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__clkinvlp_4
-MACRO sky130_fd_sc_hd__clkinvlp_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__clkinvlp_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.840000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.665000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.145000 0.995000 0.600000 1.665000 ;
-    END
-  END A
-  PIN Y
-    ANTENNADIFFAREA  0.436750 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.810000 0.315000 1.445000 0.750000 ;
-        RECT 0.810000 0.750000 1.235000 2.455000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.840000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.030000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.840000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.840000 0.085000 ;
-      RECT 0.000000  2.635000 1.840000 2.805000 ;
-      RECT 0.225000  1.835000 0.555000 2.625000 ;
-      RECT 0.225000  2.625000 1.740000 2.635000 ;
-      RECT 0.295000  0.085000 0.625000 0.745000 ;
-      RECT 1.440000  1.455000 1.740000 2.625000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-  END
-END sky130_fd_sc_hd__clkinvlp_2
-MACRO sky130_fd_sc_hd__or3b_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__or3b_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.525000 0.995000 2.350000 1.325000 ;
-        RECT 1.525000 1.325000 1.770000 1.615000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.585000 2.125000 2.200000 2.455000 ;
-    END
-  END B
-  PIN C_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 1.075000 0.425000 1.325000 ;
-    END
-  END C_N
-  PIN X
-    ANTENNADIFFAREA  0.453750 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.860000 0.415000 3.135000 0.760000 ;
-        RECT 2.860000 1.495000 3.135000 2.465000 ;
-        RECT 2.965000 0.760000 3.135000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.085000  0.085000 0.345000 0.905000 ;
-      RECT 0.085000  1.495000 0.345000 2.635000 ;
-      RECT 0.515000  0.485000 0.845000 0.905000 ;
-      RECT 0.595000  0.905000 0.845000 0.995000 ;
-      RECT 0.595000  0.995000 1.310000 1.325000 ;
-      RECT 0.595000  1.325000 0.765000 1.885000 ;
-      RECT 1.025000  0.255000 1.285000 0.655000 ;
-      RECT 1.025000  0.655000 2.690000 0.825000 ;
-      RECT 1.025000  1.495000 1.355000 1.785000 ;
-      RECT 1.025000  1.785000 2.200000 1.955000 ;
-      RECT 1.455000  0.085000 1.785000 0.485000 ;
-      RECT 1.955000  0.305000 2.125000 0.655000 ;
-      RECT 2.030000  1.495000 2.690000 1.665000 ;
-      RECT 2.030000  1.665000 2.200000 1.785000 ;
-      RECT 2.295000  0.085000 2.670000 0.485000 ;
-      RECT 2.370000  1.835000 2.650000 2.635000 ;
-      RECT 2.520000  0.825000 2.690000 0.995000 ;
-      RECT 2.520000  0.995000 2.795000 1.325000 ;
-      RECT 2.520000  1.325000 2.690000 1.495000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__or3b_1
-MACRO sky130_fd_sc_hd__or3b_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__or3b_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.695000 1.075000 2.230000 1.615000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.935000 2.125000 3.135000 2.365000 ;
-    END
-  END B
-  PIN C_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.425000 1.640000 ;
-    END
-  END C_N
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.935000 0.265000 1.285000 0.595000 ;
-        RECT 0.935000 0.595000 1.105000 1.495000 ;
-        RECT 0.935000 1.495000 1.330000 1.700000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.085000  0.290000 0.345000 0.735000 ;
-      RECT 0.085000  0.735000 0.765000 0.905000 ;
-      RECT 0.085000  1.810000 0.765000 1.870000 ;
-      RECT 0.085000  1.870000 2.660000 1.955000 ;
-      RECT 0.085000  1.955000 1.720000 2.040000 ;
-      RECT 0.085000  2.040000 0.345000 2.220000 ;
-      RECT 0.550000  2.210000 0.910000 2.635000 ;
-      RECT 0.595000  0.085000 0.765000 0.565000 ;
-      RECT 0.595000  0.905000 0.765000 1.810000 ;
-      RECT 1.275000  0.765000 3.135000 0.825000 ;
-      RECT 1.275000  0.825000 2.160000 0.905000 ;
-      RECT 1.275000  0.905000 1.595000 0.935000 ;
-      RECT 1.275000  0.935000 1.445000 1.325000 ;
-      RECT 1.425000  0.735000 3.135000 0.765000 ;
-      RECT 1.425000  2.210000 1.755000 2.635000 ;
-      RECT 1.520000  0.085000 1.690000 0.565000 ;
-      RECT 1.550000  1.785000 2.660000 1.870000 ;
-      RECT 1.990000  0.305000 2.160000 0.655000 ;
-      RECT 1.990000  0.655000 3.135000 0.735000 ;
-      RECT 2.330000  0.085000 2.660000 0.485000 ;
-      RECT 2.490000  0.995000 2.790000 1.325000 ;
-      RECT 2.490000  1.325000 2.660000 1.785000 ;
-      RECT 2.830000  0.305000 3.085000 0.605000 ;
-      RECT 2.830000  0.605000 3.135000 0.655000 ;
-      RECT 2.830000  1.495000 3.135000 1.925000 ;
-      RECT 2.965000  0.825000 3.135000 1.495000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__or3b_2
-MACRO sky130_fd_sc_hd__or3b_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__or3b_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.400000 1.415000 2.720000 1.700000 ;
-        RECT 2.535000 0.995000 2.720000 1.415000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.890000 0.995000 3.200000 1.700000 ;
-    END
-  END B
-  PIN C_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.425000 1.640000 ;
-    END
-  END C_N
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.935000 0.735000 2.025000 0.905000 ;
-        RECT 0.935000 0.905000 1.105000 1.415000 ;
-        RECT 0.935000 1.415000 2.220000 1.700000 ;
-        RECT 1.000000 0.285000 1.330000 0.735000 ;
-        RECT 1.855000 0.255000 2.090000 0.585000 ;
-        RECT 1.855000 0.585000 2.025000 0.735000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.085000  0.290000 0.345000 0.735000 ;
-      RECT 0.085000  0.735000 0.765000 0.905000 ;
-      RECT 0.085000  1.810000 0.765000 1.870000 ;
-      RECT 0.085000  1.870000 3.620000 2.040000 ;
-      RECT 0.085000  2.040000 0.345000 2.220000 ;
-      RECT 0.550000  2.210000 0.910000 2.635000 ;
-      RECT 0.595000  0.905000 0.765000 1.810000 ;
-      RECT 0.620000  0.085000 0.790000 0.565000 ;
-      RECT 1.275000  1.075000 2.365000 1.245000 ;
-      RECT 1.420000  2.210000 1.750000 2.635000 ;
-      RECT 1.500000  0.085000 1.670000 0.565000 ;
-      RECT 2.195000  0.720000 4.055000 0.825000 ;
-      RECT 2.195000  0.825000 2.400000 0.890000 ;
-      RECT 2.195000  0.890000 2.365000 1.075000 ;
-      RECT 2.250000  0.655000 4.055000 0.720000 ;
-      RECT 2.255000  2.210000 2.595000 2.635000 ;
-      RECT 2.260000  0.085000 2.590000 0.485000 ;
-      RECT 2.760000  0.305000 2.930000 0.655000 ;
-      RECT 3.100000  0.085000 3.490000 0.485000 ;
-      RECT 3.390000  0.995000 3.680000 1.325000 ;
-      RECT 3.390000  1.325000 3.620000 1.870000 ;
-      RECT 3.520000  2.210000 4.055000 2.425000 ;
-      RECT 3.660000  0.305000 3.915000 0.605000 ;
-      RECT 3.660000  0.605000 4.055000 0.655000 ;
-      RECT 3.850000  0.825000 4.055000 2.210000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__or3b_4
-MACRO sky130_fd_sc_hd__o2bb2ai_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o2bb2ai_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.520000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1_N
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 1.075000 0.625000 1.445000 ;
-        RECT 0.090000 1.445000 1.945000 1.615000 ;
-        RECT 1.615000 1.075000 1.945000 1.445000 ;
-    END
-  END A1_N
-  PIN A2_N
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.795000 1.075000 1.400000 1.275000 ;
-    END
-  END A2_N
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.410000 1.075000 3.740000 1.445000 ;
-        RECT 3.410000 1.445000 5.435000 1.615000 ;
-        RECT 4.730000 1.075000 5.435000 1.445000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.960000 1.075000 4.500000 1.275000 ;
-    END
-  END B2
-  PIN Y
-    ANTENNADIFFAREA  0.715500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.745000 0.645000 3.075000 1.075000 ;
-        RECT 2.745000 1.075000 3.215000 1.785000 ;
-        RECT 2.745000 1.785000 4.330000 1.955000 ;
-        RECT 2.745000 1.955000 3.035000 2.465000 ;
-        RECT 4.080000 1.955000 4.330000 2.125000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.520000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.710000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.520000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.520000 0.085000 ;
-      RECT 0.000000  2.635000 5.520000 2.805000 ;
-      RECT 0.150000  1.795000 0.400000 2.635000 ;
-      RECT 0.195000  0.085000 0.365000 0.895000 ;
-      RECT 0.535000  0.305000 1.705000 0.475000 ;
-      RECT 0.535000  0.475000 0.785000 0.895000 ;
-      RECT 0.575000  1.785000 2.285000 1.965000 ;
-      RECT 0.575000  1.965000 0.825000 2.465000 ;
-      RECT 0.955000  0.645000 1.285000 0.725000 ;
-      RECT 0.955000  0.725000 2.285000 0.905000 ;
-      RECT 0.995000  2.135000 1.245000 2.635000 ;
-      RECT 1.415000  1.965000 1.665000 2.125000 ;
-      RECT 1.835000  2.135000 2.575000 2.635000 ;
-      RECT 1.875000  0.085000 2.045000 0.555000 ;
-      RECT 2.115000  0.905000 2.285000 0.995000 ;
-      RECT 2.115000  0.995000 2.575000 1.325000 ;
-      RECT 2.115000  1.325000 2.285000 1.785000 ;
-      RECT 2.325000  0.255000 3.530000 0.475000 ;
-      RECT 2.325000  0.475000 2.575000 0.555000 ;
-      RECT 3.205000  2.125000 3.490000 2.635000 ;
-      RECT 3.245000  0.475000 3.530000 0.735000 ;
-      RECT 3.245000  0.735000 5.210000 0.905000 ;
-      RECT 3.660000  2.125000 3.910000 2.295000 ;
-      RECT 3.660000  2.295000 4.750000 2.465000 ;
-      RECT 3.700000  0.085000 3.870000 0.555000 ;
-      RECT 4.040000  0.255000 4.370000 0.725000 ;
-      RECT 4.040000  0.725000 5.210000 0.735000 ;
-      RECT 4.500000  1.785000 4.750000 2.295000 ;
-      RECT 4.540000  0.085000 4.710000 0.555000 ;
-      RECT 4.880000  0.255000 5.210000 0.725000 ;
-      RECT 4.965000  1.795000 5.170000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o2bb2ai_2
-MACRO sky130_fd_sc_hd__o2bb2ai_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o2bb2ai_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1_N
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.985000 0.435000 1.285000 ;
-    END
-  END A1_N
-  PIN A2_N
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.605000 0.280000 0.825000 0.995000 ;
-        RECT 0.605000 0.995000 1.000000 1.325000 ;
-    END
-  END A2_N
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.785000 1.075000 3.135000 1.285000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.030000 1.075000 2.615000 1.325000 ;
-        RECT 2.445000 1.325000 2.615000 2.425000 ;
-    END
-  END B2
-  PIN Y
-    ANTENNADIFFAREA  0.439000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.560000 0.430000 1.810000 0.790000 ;
-        RECT 1.640000 0.790000 1.810000 1.495000 ;
-        RECT 1.640000 1.495000 2.270000 1.665000 ;
-        RECT 1.940000 1.665000 2.270000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.090000  0.085000 0.425000 0.815000 ;
-      RECT 0.150000  1.455000 0.400000 2.635000 ;
-      RECT 0.570000  1.495000 1.340000 1.665000 ;
-      RECT 0.570000  1.665000 0.820000 2.465000 ;
-      RECT 0.990000  1.835000 1.770000 2.635000 ;
-      RECT 1.000000  0.280000 1.340000 0.825000 ;
-      RECT 1.170000  0.825000 1.340000 0.995000 ;
-      RECT 1.170000  0.995000 1.470000 1.325000 ;
-      RECT 1.170000  1.325000 1.340000 1.495000 ;
-      RECT 1.980000  0.425000 2.270000 0.725000 ;
-      RECT 1.980000  0.725000 3.110000 0.905000 ;
-      RECT 2.440000  0.085000 2.610000 0.555000 ;
-      RECT 2.780000  0.275000 3.110000 0.725000 ;
-      RECT 2.820000  1.455000 3.070000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o2bb2ai_1
-MACRO sky130_fd_sc_hd__o2bb2ai_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o2bb2ai_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  10.12000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1_N
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.095000 1.075000 3.505000 1.285000 ;
-    END
-  END A1_N
-  PIN A2_N
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.425000 1.075000 1.825000 1.285000 ;
-    END
-  END A2_N
-  PIN B1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 8.045000 1.075000 10.005000 1.285000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.465000 1.075000 7.875000 1.285000 ;
-    END
-  END B2
-  PIN Y
-    ANTENNADIFFAREA  1.431000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.415000 0.645000 6.155000 0.905000 ;
-        RECT 4.425000 1.455000 7.715000 1.625000 ;
-        RECT 4.425000 1.625000 4.675000 2.465000 ;
-        RECT 5.265000 1.625000 5.515000 2.465000 ;
-        RECT 5.875000 0.905000 6.155000 1.455000 ;
-        RECT 6.625000 1.625000 6.875000 2.125000 ;
-        RECT 7.465000 1.625000 7.715000 2.125000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 10.120000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.135000 -0.085000 0.305000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 10.310000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 10.120000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 10.120000 0.085000 ;
-      RECT 0.000000  2.635000 10.120000 2.805000 ;
-      RECT 0.085000  0.645000  1.705000 0.905000 ;
-      RECT 0.085000  0.905000  0.255000 1.455000 ;
-      RECT 0.085000  1.455000  3.915000 1.625000 ;
-      RECT 0.100000  0.255000  2.125000 0.475000 ;
-      RECT 0.155000  1.795000  0.405000 2.635000 ;
-      RECT 0.575000  1.625000  0.825000 2.465000 ;
-      RECT 0.995000  1.795000  1.245000 2.635000 ;
-      RECT 1.415000  1.625000  1.665000 2.465000 ;
-      RECT 1.835000  1.795000  2.085000 2.635000 ;
-      RECT 1.875000  0.475000  2.125000 0.725000 ;
-      RECT 1.875000  0.725000  3.805000 0.905000 ;
-      RECT 2.255000  1.625000  2.505000 2.465000 ;
-      RECT 2.295000  0.085000  2.465000 0.555000 ;
-      RECT 2.635000  0.255000  2.965000 0.725000 ;
-      RECT 2.675000  1.795000  2.925000 2.635000 ;
-      RECT 3.095000  1.625000  3.345000 2.465000 ;
-      RECT 3.135000  0.085000  3.305000 0.555000 ;
-      RECT 3.475000  0.255000  3.805000 0.725000 ;
-      RECT 3.515000  1.795000  4.255000 2.635000 ;
-      RECT 3.745000  1.075000  5.705000 1.285000 ;
-      RECT 3.745000  1.285000  3.915000 1.455000 ;
-      RECT 4.060000  0.255000  6.495000 0.475000 ;
-      RECT 4.060000  0.475000  4.245000 0.835000 ;
-      RECT 4.845000  1.795000  5.095000 2.635000 ;
-      RECT 5.685000  1.795000  5.935000 2.635000 ;
-      RECT 6.175000  1.795000  6.455000 2.295000 ;
-      RECT 6.175000  2.295000  8.135000 2.465000 ;
-      RECT 6.325000  0.475000  6.495000 0.735000 ;
-      RECT 6.325000  0.735000  9.855000 0.905000 ;
-      RECT 6.665000  0.085000  6.835000 0.555000 ;
-      RECT 7.005000  0.255000  7.335000 0.725000 ;
-      RECT 7.005000  0.725000  9.855000 0.735000 ;
-      RECT 7.045000  1.795000  7.295000 2.295000 ;
-      RECT 7.505000  0.085000  7.675000 0.555000 ;
-      RECT 7.845000  0.255000  8.175000 0.725000 ;
-      RECT 7.885000  1.455000  9.875000 1.625000 ;
-      RECT 7.885000  1.625000  8.135000 2.295000 ;
-      RECT 8.305000  1.795000  8.555000 2.635000 ;
-      RECT 8.345000  0.085000  8.515000 0.555000 ;
-      RECT 8.685000  0.255000  9.015000 0.725000 ;
-      RECT 8.725000  1.625000  8.975000 2.465000 ;
-      RECT 9.145000  1.795000  9.395000 2.635000 ;
-      RECT 9.185000  0.085000  9.355000 0.555000 ;
-      RECT 9.525000  0.255000  9.855000 0.725000 ;
-      RECT 9.565000  1.625000  9.875000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-      RECT 9.805000 -0.085000 9.975000 0.085000 ;
-      RECT 9.805000  2.635000 9.975000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o2bb2ai_4
-MACRO sky130_fd_sc_hd__o41ai_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o41ai_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.980000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.720000 1.075000 5.895000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.780000 1.075000 4.540000 1.275000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.595000 1.075000 3.580000 1.275000 ;
-    END
-  END A3
-  PIN A4
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.500000 1.075000 2.325000 1.275000 ;
-    END
-  END A4
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.105000 1.075000 0.440000 1.275000 ;
-    END
-  END B1
-  PIN Y
-    ANTENNADIFFAREA  0.715500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 0.635000 0.845000 0.885000 ;
-        RECT 0.515000 1.505000 2.205000 1.665000 ;
-        RECT 0.515000 1.665000 0.845000 2.465000 ;
-        RECT 0.610000 0.885000 0.845000 1.445000 ;
-        RECT 0.610000 1.445000 2.205000 1.505000 ;
-        RECT 1.875000 1.665000 2.205000 2.125000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.980000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.170000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.980000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.980000 0.085000 ;
-      RECT 0.000000  2.635000 5.980000 2.805000 ;
-      RECT 0.085000  0.255000 1.265000 0.465000 ;
-      RECT 0.085000  0.465000 0.345000 0.905000 ;
-      RECT 0.085000  1.495000 0.345000 2.635000 ;
-      RECT 1.015000  0.465000 1.265000 0.735000 ;
-      RECT 1.015000  0.735000 5.705000 0.905000 ;
-      RECT 1.015000  1.835000 1.265000 2.635000 ;
-      RECT 1.455000  0.085000 1.705000 0.545000 ;
-      RECT 1.455000  1.835000 1.705000 2.295000 ;
-      RECT 1.455000  2.295000 2.545000 2.465000 ;
-      RECT 1.875000  0.255000 2.205000 0.735000 ;
-      RECT 2.375000  0.085000 2.545000 0.545000 ;
-      RECT 2.375000  1.445000 3.465000 1.615000 ;
-      RECT 2.375000  1.615000 2.545000 2.295000 ;
-      RECT 2.715000  0.255000 3.045000 0.735000 ;
-      RECT 2.715000  1.835000 3.045000 2.295000 ;
-      RECT 2.715000  2.295000 4.445000 2.465000 ;
-      RECT 3.215000  0.085000 3.450000 0.545000 ;
-      RECT 3.215000  1.615000 3.465000 2.125000 ;
-      RECT 3.695000  0.255000 4.025000 0.735000 ;
-      RECT 3.695000  1.445000 5.705000 1.615000 ;
-      RECT 3.695000  1.615000 3.945000 2.125000 ;
-      RECT 4.115000  1.835000 4.445000 2.295000 ;
-      RECT 4.195000  0.085000 4.365000 0.545000 ;
-      RECT 4.535000  0.255000 4.865000 0.735000 ;
-      RECT 4.615000  1.615000 4.785000 2.465000 ;
-      RECT 4.955000  1.785000 5.285000 2.635000 ;
-      RECT 5.035000  0.085000 5.205000 0.545000 ;
-      RECT 5.375000  0.255000 5.705000 0.735000 ;
-      RECT 5.455000  1.615000 5.705000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o41ai_2
-MACRO sky130_fd_sc_hd__o41ai_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o41ai_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.500000 1.075000 3.080000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.990000 1.415000 2.330000 2.355000 ;
-        RECT 2.000000 1.075000 2.330000 1.415000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.500000 1.075000 1.830000 1.245000 ;
-        RECT 1.500000 1.245000 1.820000 2.355000 ;
-    END
-  END A3
-  PIN A4
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.990000 1.075000 1.320000 1.245000 ;
-        RECT 1.015000 1.245000 1.320000 2.355000 ;
-    END
-  END A4
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.105000 1.075000 0.440000 1.275000 ;
-    END
-  END B1
-  PIN Y
-    ANTENNADIFFAREA  0.439000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.255000 0.425000 0.735000 ;
-        RECT 0.085000 0.735000 0.780000 0.905000 ;
-        RECT 0.515000 1.485000 0.845000 2.465000 ;
-        RECT 0.610000 0.905000 0.780000 1.485000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.085000  1.445000 0.345000 2.635000 ;
-      RECT 0.790000  0.255000 1.120000 0.565000 ;
-      RECT 0.950000  0.565000 1.120000 0.735000 ;
-      RECT 0.950000  0.735000 2.960000 0.905000 ;
-      RECT 1.290000  0.085000 1.540000 0.565000 ;
-      RECT 1.710000  0.255000 2.040000 0.735000 ;
-      RECT 2.210000  0.085000 2.460000 0.565000 ;
-      RECT 2.630000  0.255000 2.960000 0.735000 ;
-      RECT 2.630000  1.495000 2.960000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o41ai_1
-MACRO sky130_fd_sc_hd__o41ai_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o41ai_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  10.12000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 8.155000 1.075000 10.035000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.170000 1.075000 7.940000 1.275000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.310000 1.075000 5.980000 1.275000 ;
-    END
-  END A3
-  PIN A4
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.350000 1.075000 4.020000 1.275000 ;
-    END
-  END A4
-  PIN B1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.105000 1.075000 1.700000 1.275000 ;
-    END
-  END B1
-  PIN Y
-    ANTENNADIFFAREA  1.431000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 0.635000 2.160000 0.905000 ;
-        RECT 0.515000 1.445000 3.885000 1.615000 ;
-        RECT 0.515000 1.615000 0.845000 2.465000 ;
-        RECT 1.355000 1.615000 1.685000 2.465000 ;
-        RECT 1.870000 0.905000 2.160000 1.445000 ;
-        RECT 2.715000 1.615000 3.045000 2.125000 ;
-        RECT 3.555000 1.615000 3.885000 2.125000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 10.120000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 10.310000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 10.120000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 10.120000 0.085000 ;
-      RECT 0.000000  2.635000 10.120000 2.805000 ;
-      RECT 0.085000  0.255000  2.625000 0.465000 ;
-      RECT 0.085000  0.465000  0.345000 0.905000 ;
-      RECT 0.085000  1.445000  0.345000 2.635000 ;
-      RECT 1.015000  1.835000  1.185000 2.635000 ;
-      RECT 1.855000  1.835000  2.105000 2.635000 ;
-      RECT 2.295000  1.785000  2.545000 2.295000 ;
-      RECT 2.295000  2.295000  4.225000 2.465000 ;
-      RECT 2.350000  0.465000  2.625000 0.735000 ;
-      RECT 2.350000  0.735000  9.865000 0.905000 ;
-      RECT 2.795000  0.085000  2.965000 0.545000 ;
-      RECT 3.135000  0.255000  3.465000 0.735000 ;
-      RECT 3.215000  1.785000  3.385000 2.295000 ;
-      RECT 3.635000  0.085000  3.805000 0.545000 ;
-      RECT 3.975000  0.255000  4.305000 0.735000 ;
-      RECT 4.055000  1.445000  5.985000 1.615000 ;
-      RECT 4.055000  1.615000  4.225000 2.295000 ;
-      RECT 4.395000  1.785000  4.645000 2.295000 ;
-      RECT 4.395000  2.295000  7.685000 2.465000 ;
-      RECT 4.475000  0.085000  4.645000 0.545000 ;
-      RECT 4.815000  0.255000  5.145000 0.735000 ;
-      RECT 4.815000  1.615000  5.145000 2.125000 ;
-      RECT 5.315000  0.085000  5.485000 0.545000 ;
-      RECT 5.315000  1.785000  5.485000 2.295000 ;
-      RECT 5.655000  0.255000  5.985000 0.735000 ;
-      RECT 5.655000  1.615000  5.985000 2.125000 ;
-      RECT 6.175000  0.260000  6.505000 0.735000 ;
-      RECT 6.175000  1.445000  9.865000 1.615000 ;
-      RECT 6.175000  1.615000  6.505000 2.125000 ;
-      RECT 6.675000  0.085000  6.845000 0.545000 ;
-      RECT 6.675000  1.785000  6.845000 2.295000 ;
-      RECT 7.015000  0.260000  7.345000 0.735000 ;
-      RECT 7.015000  1.615000  7.345000 2.125000 ;
-      RECT 7.515000  0.085000  7.685000 0.545000 ;
-      RECT 7.515000  1.785000  7.685000 2.295000 ;
-      RECT 7.855000  0.260000  8.185000 0.735000 ;
-      RECT 7.855000  1.615000  8.185000 2.465000 ;
-      RECT 8.355000  0.085000  8.525000 0.545000 ;
-      RECT 8.355000  1.835000  8.525000 2.635000 ;
-      RECT 8.695000  0.260000  9.025000 0.735000 ;
-      RECT 8.695000  1.615000  9.025000 2.465000 ;
-      RECT 9.195000  0.085000  9.365000 0.545000 ;
-      RECT 9.195000  1.835000  9.365000 2.635000 ;
-      RECT 9.535000  0.260000  9.865000 0.735000 ;
-      RECT 9.535000  1.615000  9.865000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-      RECT 9.805000 -0.085000 9.975000 0.085000 ;
-      RECT 9.805000  2.635000 9.975000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o41ai_4
-MACRO sky130_fd_sc_hd__lpflow_inputiso1p_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_inputiso1p_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.300000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.145000 0.765000 0.500000 1.325000 ;
-    END
-  END A
-  PIN SLEEP
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.010000 0.765000 1.275000 1.325000 ;
-    END
-  END SLEEP
-  PIN X
-    ANTENNADIFFAREA  0.509000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.565000 0.255000 2.180000 0.825000 ;
-        RECT 1.645000 1.845000 2.180000 2.465000 ;
-        RECT 1.865000 0.825000 2.180000 1.845000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.300000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.490000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.300000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.300000 0.085000 ;
-      RECT 0.000000  2.635000 2.300000 2.805000 ;
-      RECT 0.250000  0.085000 0.490000 0.595000 ;
-      RECT 0.270000  1.495000 1.695000 1.665000 ;
-      RECT 0.270000  1.665000 0.660000 1.840000 ;
-      RECT 0.670000  0.265000 0.950000 0.595000 ;
-      RECT 0.670000  0.595000 0.840000 1.495000 ;
-      RECT 1.145000  1.835000 1.475000 2.635000 ;
-      RECT 1.180000  0.085000 1.395000 0.595000 ;
-      RECT 1.525000  0.995000 1.695000 1.495000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_inputiso1p_1
-MACRO sky130_fd_sc_hd__clkdlybuf4s25_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__clkdlybuf4s25_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.213000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.485000 1.320000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.702900 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.015000 0.255000 3.595000 0.640000 ;
-        RECT 3.035000 1.565000 3.595000 2.465000 ;
-        RECT 3.230000 0.640000 3.595000 1.565000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.085000  0.255000 0.410000 0.735000 ;
-      RECT 0.085000  0.735000 1.005000 0.905000 ;
-      RECT 0.085000  1.490000 1.005000 1.660000 ;
-      RECT 0.085000  1.660000 0.430000 2.465000 ;
-      RECT 0.580000  0.085000 0.910000 0.565000 ;
-      RECT 0.600000  1.830000 0.925000 2.635000 ;
-      RECT 0.655000  0.905000 1.005000 1.025000 ;
-      RECT 0.655000  1.025000 1.105000 1.295000 ;
-      RECT 0.655000  1.295000 1.005000 1.490000 ;
-      RECT 1.175000  0.255000 1.645000 0.855000 ;
-      RECT 1.195000  1.790000 1.645000 2.465000 ;
-      RECT 1.470000  0.855000 1.645000 1.075000 ;
-      RECT 1.470000  1.075000 2.420000 1.250000 ;
-      RECT 1.470000  1.250000 1.645000 1.790000 ;
-      RECT 1.815000  0.255000 2.065000 0.735000 ;
-      RECT 1.815000  0.735000 2.765000 0.905000 ;
-      RECT 1.815000  1.495000 2.765000 1.665000 ;
-      RECT 1.815000  1.665000 2.065000 2.465000 ;
-      RECT 2.235000  1.835000 2.845000 2.635000 ;
-      RECT 2.240000  0.085000 2.845000 0.565000 ;
-      RECT 2.595000  0.905000 2.765000 0.990000 ;
-      RECT 2.595000  0.990000 3.050000 1.325000 ;
-      RECT 2.595000  1.325000 2.765000 1.495000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__clkdlybuf4s25_1
-MACRO sky130_fd_sc_hd__clkdlybuf4s25_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__clkdlybuf4s25_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.213000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.495000 1.615000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.497000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.770000 0.285000 3.095000 0.615000 ;
-        RECT 2.770000 1.625000 3.095000 2.460000 ;
-        RECT 2.865000 0.615000 3.095000 0.765000 ;
-        RECT 2.865000 0.765000 3.595000 1.275000 ;
-        RECT 2.865000 1.275000 3.095000 1.625000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.095000  0.305000 0.345000 0.640000 ;
-      RECT 0.095000  0.640000 0.840000 0.810000 ;
-      RECT 0.095000  1.785000 0.835000 1.955000 ;
-      RECT 0.095000  1.955000 0.345000 2.465000 ;
-      RECT 0.575000  0.085000 0.905000 0.470000 ;
-      RECT 0.575000  2.125000 0.905000 2.635000 ;
-      RECT 0.665000  0.810000 0.840000 0.995000 ;
-      RECT 0.665000  0.995000 1.035000 1.325000 ;
-      RECT 0.665000  1.325000 1.005000 1.750000 ;
-      RECT 0.665000  1.750000 0.835000 1.785000 ;
-      RECT 1.095000  0.255000 1.425000 0.780000 ;
-      RECT 1.175000  1.425000 1.440000 2.465000 ;
-      RECT 1.205000  0.780000 1.425000 0.995000 ;
-      RECT 1.205000  0.995000 2.165000 1.325000 ;
-      RECT 1.205000  1.325000 1.440000 1.425000 ;
-      RECT 1.615000  0.255000 1.945000 0.635000 ;
-      RECT 1.615000  0.635000 2.595000 0.805000 ;
-      RECT 1.695000  1.500000 2.595000 1.745000 ;
-      RECT 1.695000  1.745000 1.945000 2.465000 ;
-      RECT 2.135000  0.085000 2.465000 0.465000 ;
-      RECT 2.135000  1.915000 2.465000 2.635000 ;
-      RECT 2.335000  0.805000 2.595000 1.500000 ;
-      RECT 3.265000  0.085000 3.595000 0.550000 ;
-      RECT 3.265000  1.635000 3.595000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__clkdlybuf4s25_2
-MACRO sky130_fd_sc_hd__a311oi_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a311oi_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.660000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.805000 0.995000 5.420000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.935000 0.995000 3.550000 1.325000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.120000 0.995000 1.735000 1.325000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.670000 0.995000 6.855000 1.630000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.935000 0.995000 9.530000 1.325000 ;
-    END
-  END C1
-  PIN Y
-    ANTENNADIFFAREA  1.898500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.975000 0.635000 9.485000 0.805000 ;
-        RECT 6.575000 0.255000 6.745000 0.635000 ;
-        RECT 7.415000 0.255000 7.585000 0.635000 ;
-        RECT 7.415000 0.805000 7.735000 1.545000 ;
-        RECT 7.415000 1.545000 9.145000 1.715000 ;
-        RECT 7.415000 1.715000 7.735000 1.975000 ;
-        RECT 7.975000 1.530000 8.305000 1.545000 ;
-        RECT 7.975000 1.715000 8.305000 2.085000 ;
-        RECT 8.475000 0.255000 8.645000 0.635000 ;
-        RECT 8.815000 1.715000 9.145000 2.085000 ;
-        RECT 9.315000 0.255000 9.485000 0.635000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.660000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.850000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.660000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.660000 0.085000 ;
-      RECT 0.000000  2.635000 9.660000 2.805000 ;
-      RECT 0.095000  1.575000 0.425000 2.635000 ;
-      RECT 0.175000  0.255000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 3.785000 0.805000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.595000  1.495000 4.965000 1.665000 ;
-      RECT 0.595000  1.665000 0.765000 2.465000 ;
-      RECT 0.935000  1.915000 1.265000 2.635000 ;
-      RECT 1.015000  0.255000 1.185000 0.635000 ;
-      RECT 1.355000  0.085000 1.685000 0.465000 ;
-      RECT 1.435000  1.665000 1.605000 2.465000 ;
-      RECT 1.775000  1.915000 2.105000 2.635000 ;
-      RECT 1.855000  0.255000 2.025000 0.635000 ;
-      RECT 2.195000  0.295000 5.565000 0.465000 ;
-      RECT 2.275000  1.665000 2.445000 2.465000 ;
-      RECT 2.615000  1.915000 2.945000 2.635000 ;
-      RECT 3.115000  1.665000 3.285000 2.465000 ;
-      RECT 3.455000  1.915000 3.785000 2.635000 ;
-      RECT 3.955000  1.665000 4.125000 2.465000 ;
-      RECT 4.295000  1.915000 4.625000 2.635000 ;
-      RECT 4.795000  1.665000 4.965000 1.915000 ;
-      RECT 4.795000  1.915000 7.245000 2.085000 ;
-      RECT 4.795000  2.085000 4.965000 2.465000 ;
-      RECT 5.135000  2.255000 5.465000 2.635000 ;
-      RECT 5.655000  2.255000 9.565000 2.425000 ;
-      RECT 6.075000  0.085000 6.405000 0.465000 ;
-      RECT 6.915000  0.085000 7.245000 0.465000 ;
-      RECT 7.975000  0.085000 8.305000 0.465000 ;
-      RECT 8.815000  0.085000 9.145000 0.465000 ;
-      RECT 9.315000  1.835000 9.565000 2.255000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a311oi_4
-MACRO sky130_fd_sc_hd__a311oi_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a311oi_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.520000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.000000 0.995000 3.115000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.055000 0.995000 1.805000 1.325000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.135000 0.995000 0.800000 1.325000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.395000 0.995000 4.055000 1.325000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.730000 1.075000 5.410000 1.295000 ;
-        RECT 5.175000 1.295000 5.410000 1.625000 ;
-    END
-  END C1
-  PIN Y
-    ANTENNADIFFAREA  1.141000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.295000 0.655000 5.345000 0.825000 ;
-        RECT 3.235000 0.255000 3.405000 0.655000 ;
-        RECT 4.085000 0.255000 4.255000 0.655000 ;
-        RECT 4.260000 0.825000 4.475000 1.510000 ;
-        RECT 4.260000 1.510000 4.990000 1.575000 ;
-        RECT 4.260000 1.575000 5.005000 1.680000 ;
-        RECT 4.660000 1.680000 5.005000 1.745000 ;
-        RECT 4.660000 1.745000 4.990000 1.915000 ;
-        RECT 4.660000 1.915000 5.005000 2.085000 ;
-        RECT 5.175000 0.255000 5.345000 0.655000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.520000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.710000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.520000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.520000 0.085000 ;
-      RECT 0.000000  2.635000 5.520000 2.805000 ;
-      RECT 0.095000  1.495000 0.345000 2.635000 ;
-      RECT 0.175000  0.255000 0.345000 0.655000 ;
-      RECT 0.175000  0.655000 2.105000 0.825000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.595000  1.575000 3.915000 1.745000 ;
-      RECT 0.595000  1.745000 0.765000 2.465000 ;
-      RECT 0.935000  1.915000 1.265000 2.635000 ;
-      RECT 1.015000  0.255000 1.185000 0.655000 ;
-      RECT 1.355000  0.305000 3.045000 0.475000 ;
-      RECT 1.435000  1.745000 1.605000 2.465000 ;
-      RECT 1.785000  1.915000 2.135000 2.635000 ;
-      RECT 2.305000  1.745000 2.475000 2.465000 ;
-      RECT 2.645000  1.915000 2.975000 2.635000 ;
-      RECT 3.145000  2.255000 5.345000 2.425000 ;
-      RECT 3.585000  0.085000 3.915000 0.465000 ;
-      RECT 3.585000  1.745000 3.915000 2.085000 ;
-      RECT 4.110000  1.915000 4.440000 2.255000 ;
-      RECT 4.110000  2.425000 4.440000 2.465000 ;
-      RECT 4.675000  0.085000 5.005000 0.465000 ;
-      RECT 5.175000  1.795000 5.345000 2.255000 ;
-      RECT 5.175000  2.425000 5.345000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a311oi_2
-MACRO sky130_fd_sc_hd__a311oi_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a311oi_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.965000 0.265000 1.365000 0.660000 ;
-        RECT 1.195000 0.660000 1.365000 0.995000 ;
-        RECT 1.195000 0.995000 1.455000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.600000 0.265000 0.795000 0.995000 ;
-        RECT 0.600000 0.995000 1.025000 1.325000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.975000 0.420000 1.325000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.710000 0.995000 1.935000 1.835000 ;
-        RECT 1.710000 1.835000 2.230000 2.005000 ;
-        RECT 1.950000 2.005000 2.230000 2.355000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.445000 0.995000 2.685000 1.325000 ;
-    END
-  END C1
-  PIN Y
-    ANTENNADIFFAREA  0.659750 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.535000 0.255000 1.705000 0.655000 ;
-        RECT 1.535000 0.655000 2.650000 0.825000 ;
-        RECT 2.105000 0.825000 2.275000 1.495000 ;
-        RECT 2.105000 1.495000 2.650000 1.665000 ;
-        RECT 2.405000 0.295000 2.650000 0.655000 ;
-        RECT 2.410000 1.665000 2.650000 2.335000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.155000 -0.085000 0.325000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.095000  0.085000 0.425000 0.805000 ;
-      RECT 0.095000  1.495000 0.425000 2.635000 ;
-      RECT 0.600000  1.575000 1.540000 1.745000 ;
-      RECT 0.600000  1.745000 0.770000 2.305000 ;
-      RECT 0.940000  1.915000 1.200000 2.635000 ;
-      RECT 1.370000  1.745000 1.540000 2.175000 ;
-      RECT 1.370000  2.175000 1.700000 2.345000 ;
-      RECT 1.905000  0.085000 2.235000 0.485000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a311oi_1
-MACRO sky130_fd_sc_hd__sdfbbn_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sdfbbn_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  14.26000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.775000 1.405000 4.105000 1.575000 ;
-        RECT 3.775000 1.575000 4.060000 1.675000 ;
-        RECT 3.825000 1.675000 4.060000 2.375000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 13.915000 0.255000 14.175000 0.785000 ;
-        RECT 13.915000 1.470000 14.175000 2.465000 ;
-        RECT 13.965000 0.785000 14.175000 1.470000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 12.500000 0.255000 12.785000 0.715000 ;
-        RECT 12.500000 1.630000 12.785000 2.465000 ;
-        RECT 12.605000 0.715000 12.785000 1.630000 ;
-    END
-  END Q_N
-  PIN RESET_B
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 11.535000 1.095000 11.990000 1.325000 ;
-    END
-  END RESET_B
-  PIN SCD
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.415000 1.025000 1.695000 1.685000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.935000 0.345000 2.155000 0.815000 ;
-        RECT 1.935000 0.815000 2.315000 1.150000 ;
-        RECT 1.935000 1.150000 2.155000 1.695000 ;
-    END
-  END SCE
-  PIN SET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.870000 0.735000 6.295000 0.965000 ;
-        RECT 5.870000 0.965000 6.215000 1.065000 ;
-      LAYER mcon ;
-        RECT 6.125000 0.765000 6.295000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 9.755000 0.735000 10.130000 1.065000 ;
-      LAYER mcon ;
-        RECT 9.805000 0.765000 9.975000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 6.065000 0.735000  6.355000 0.780000 ;
-        RECT 6.065000 0.780000 10.035000 0.920000 ;
-        RECT 6.065000 0.920000  6.355000 0.965000 ;
-        RECT 9.745000 0.735000 10.035000 0.780000 ;
-        RECT 9.745000 0.920000 10.035000 0.965000 ;
-    END
-  END SET_B
-  PIN CLK_N
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.975000 0.435000 1.625000 ;
-    END
-  END CLK_N
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 14.260000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 14.450000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 14.260000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 14.260000 0.085000 ;
-      RECT  0.000000  2.635000 14.260000 2.805000 ;
-      RECT  0.095000  0.345000  0.345000 0.635000 ;
-      RECT  0.095000  0.635000  0.835000 0.805000 ;
-      RECT  0.095000  1.795000  0.835000 1.965000 ;
-      RECT  0.095000  1.965000  0.345000 2.465000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.515000  2.135000  0.845000 2.635000 ;
-      RECT  0.605000  0.805000  0.835000 1.795000 ;
-      RECT  1.015000  0.345000  1.235000 2.465000 ;
-      RECT  1.430000  0.085000  1.705000 0.635000 ;
-      RECT  1.430000  1.885000  1.785000 2.635000 ;
-      RECT  2.215000  1.875000  2.575000 2.385000 ;
-      RECT  2.325000  0.265000  2.655000 0.595000 ;
-      RECT  2.405000  1.295000  3.075000 1.405000 ;
-      RECT  2.405000  1.405000  2.670000 1.430000 ;
-      RECT  2.405000  1.430000  2.630000 1.465000 ;
-      RECT  2.405000  1.465000  2.605000 1.505000 ;
-      RECT  2.405000  1.505000  2.575000 1.875000 ;
-      RECT  2.460000  1.255000  3.075000 1.295000 ;
-      RECT  2.485000  0.595000  2.655000 1.075000 ;
-      RECT  2.485000  1.075000  3.075000 1.255000 ;
-      RECT  2.760000  1.575000  3.605000 1.745000 ;
-      RECT  2.760000  1.745000  3.140000 1.905000 ;
-      RECT  2.870000  0.305000  3.040000 0.625000 ;
-      RECT  2.870000  0.625000  3.645000 0.765000 ;
-      RECT  2.870000  0.765000  3.770000 0.795000 ;
-      RECT  2.970000  1.905000  3.140000 2.465000 ;
-      RECT  3.225000  0.085000  3.555000 0.445000 ;
-      RECT  3.310000  2.215000  3.640000 2.635000 ;
-      RECT  3.430000  0.795000  3.770000 1.095000 ;
-      RECT  3.430000  1.095000  3.605000 1.575000 ;
-      RECT  3.950000  0.425000  4.330000 0.595000 ;
-      RECT  3.950000  0.595000  4.120000 1.065000 ;
-      RECT  3.950000  1.065000  4.400000 1.105000 ;
-      RECT  3.950000  1.105000  4.410000 1.175000 ;
-      RECT  3.950000  1.175000  4.445000 1.235000 ;
-      RECT  4.160000  0.265000  4.330000 0.425000 ;
-      RECT  4.225000  1.235000  4.445000 1.275000 ;
-      RECT  4.230000  2.135000  4.445000 2.465000 ;
-      RECT  4.245000  1.275000  4.445000 1.305000 ;
-      RECT  4.275000  1.305000  4.445000 2.135000 ;
-      RECT  4.555000  0.265000  5.655000 0.465000 ;
-      RECT  4.570000  0.705000  4.790000 1.035000 ;
-      RECT  4.615000  1.035000  4.790000 1.575000 ;
-      RECT  4.615000  1.575000  5.125000 1.955000 ;
-      RECT  4.635000  2.250000  5.465000 2.420000 ;
-      RECT  5.000000  0.735000  5.330000 1.015000 ;
-      RECT  5.295000  1.195000  5.670000 1.235000 ;
-      RECT  5.295000  1.235000  6.645000 1.405000 ;
-      RECT  5.295000  1.405000  5.465000 2.250000 ;
-      RECT  5.485000  0.465000  5.655000 0.585000 ;
-      RECT  5.485000  0.585000  5.670000 0.655000 ;
-      RECT  5.500000  0.655000  5.670000 1.195000 ;
-      RECT  5.635000  1.575000  5.885000 1.785000 ;
-      RECT  5.635000  1.785000  6.985000 2.035000 ;
-      RECT  5.705000  2.205000  6.085000 2.635000 ;
-      RECT  5.835000  0.085000  6.005000 0.525000 ;
-      RECT  6.260000  0.255000  7.350000 0.425000 ;
-      RECT  6.260000  0.425000  6.590000 0.465000 ;
-      RECT  6.385000  2.035000  6.555000 2.375000 ;
-      RECT  6.395000  1.405000  6.645000 1.485000 ;
-      RECT  6.425000  1.155000  6.645000 1.235000 ;
-      RECT  6.680000  0.610000  7.010000 0.780000 ;
-      RECT  6.810000  0.780000  7.010000 0.895000 ;
-      RECT  6.810000  0.895000  8.125000 1.060000 ;
-      RECT  6.815000  1.060000  8.125000 1.065000 ;
-      RECT  6.815000  1.065000  6.985000 1.785000 ;
-      RECT  7.155000  1.235000  7.485000 1.415000 ;
-      RECT  7.155000  1.415000  8.160000 1.655000 ;
-      RECT  7.175000  1.915000  7.505000 2.635000 ;
-      RECT  7.180000  0.425000  7.350000 0.715000 ;
-      RECT  7.620000  0.085000  7.975000 0.465000 ;
-      RECT  7.795000  1.065000  8.125000 1.235000 ;
-      RECT  8.360000  1.575000  8.595000 1.985000 ;
-      RECT  8.420000  0.705000  8.705000 1.125000 ;
-      RECT  8.420000  1.125000  9.040000 1.305000 ;
-      RECT  8.550000  2.250000  9.380000 2.420000 ;
-      RECT  8.615000  0.265000  9.380000 0.465000 ;
-      RECT  8.835000  1.305000  9.040000 1.905000 ;
-      RECT  9.210000  0.465000  9.380000 1.235000 ;
-      RECT  9.210000  1.235000 10.560000 1.405000 ;
-      RECT  9.210000  1.405000  9.380000 2.250000 ;
-      RECT  9.550000  1.575000  9.800000 1.915000 ;
-      RECT  9.550000  1.915000 12.330000 2.085000 ;
-      RECT  9.560000  0.085000  9.820000 0.525000 ;
-      RECT  9.620000  2.255000 10.000000 2.635000 ;
-      RECT 10.080000  0.255000 11.250000 0.425000 ;
-      RECT 10.080000  0.425000 10.410000 0.545000 ;
-      RECT 10.240000  2.085000 10.410000 2.375000 ;
-      RECT 10.340000  1.075000 10.560000 1.235000 ;
-      RECT 10.575000  0.595000 10.905000 0.780000 ;
-      RECT 10.730000  0.780000 10.905000 1.915000 ;
-      RECT 10.940000  2.255000 12.330000 2.635000 ;
-      RECT 11.075000  0.425000 11.250000 0.585000 ;
-      RECT 11.080000  0.755000 11.775000 0.925000 ;
-      RECT 11.080000  0.925000 11.355000 1.575000 ;
-      RECT 11.080000  1.575000 11.855000 1.745000 ;
-      RECT 11.565000  0.265000 11.775000 0.755000 ;
-      RECT 12.000000  0.085000 12.330000 0.805000 ;
-      RECT 12.160000  0.995000 12.425000 1.325000 ;
-      RECT 12.160000  1.325000 12.330000 1.915000 ;
-      RECT 12.960000  0.255000 13.275000 0.995000 ;
-      RECT 12.960000  0.995000 13.795000 1.325000 ;
-      RECT 12.960000  1.325000 13.275000 2.415000 ;
-      RECT 13.455000  0.085000 13.745000 0.545000 ;
-      RECT 13.455000  1.765000 13.740000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  0.765000  0.775000 0.935000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  1.785000  1.235000 1.955000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  1.105000  3.075000 1.275000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.230000  1.105000  4.400000 1.275000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  1.785000  4.915000 1.955000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.155000  0.765000  5.325000 0.935000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  1.445000  8.135000 1.615000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  1.105000  8.595000 1.275000 ;
-      RECT  8.425000  1.785000  8.595000 1.955000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  1.445000 11.355000 1.615000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-      RECT 12.105000  2.635000 12.275000 2.805000 ;
-      RECT 12.565000 -0.085000 12.735000 0.085000 ;
-      RECT 12.565000  2.635000 12.735000 2.805000 ;
-      RECT 13.025000 -0.085000 13.195000 0.085000 ;
-      RECT 13.025000  2.635000 13.195000 2.805000 ;
-      RECT 13.485000 -0.085000 13.655000 0.085000 ;
-      RECT 13.485000  2.635000 13.655000 2.805000 ;
-      RECT 13.945000 -0.085000 14.115000 0.085000 ;
-      RECT 13.945000  2.635000 14.115000 2.805000 ;
-    LAYER met1 ;
-      RECT  0.545000 0.735000  0.835000 0.780000 ;
-      RECT  0.545000 0.780000  5.385000 0.920000 ;
-      RECT  0.545000 0.920000  0.835000 0.965000 ;
-      RECT  1.005000 1.755000  1.295000 1.800000 ;
-      RECT  1.005000 1.800000  8.655000 1.940000 ;
-      RECT  1.005000 1.940000  1.295000 1.985000 ;
-      RECT  2.845000 1.075000  3.135000 1.120000 ;
-      RECT  2.845000 1.120000  4.460000 1.260000 ;
-      RECT  2.845000 1.260000  3.135000 1.305000 ;
-      RECT  4.170000 1.075000  4.460000 1.120000 ;
-      RECT  4.170000 1.260000  4.460000 1.305000 ;
-      RECT  4.685000 1.755000  4.975000 1.800000 ;
-      RECT  4.685000 1.940000  4.975000 1.985000 ;
-      RECT  5.095000 0.735000  5.385000 0.780000 ;
-      RECT  5.095000 0.920000  5.385000 0.965000 ;
-      RECT  5.170000 0.965000  5.385000 1.120000 ;
-      RECT  5.170000 1.120000  8.655000 1.260000 ;
-      RECT  7.905000 1.415000  8.195000 1.460000 ;
-      RECT  7.905000 1.460000 11.415000 1.600000 ;
-      RECT  7.905000 1.600000  8.195000 1.645000 ;
-      RECT  8.365000 1.075000  8.655000 1.120000 ;
-      RECT  8.365000 1.260000  8.655000 1.305000 ;
-      RECT  8.365000 1.755000  8.655000 1.800000 ;
-      RECT  8.365000 1.940000  8.655000 1.985000 ;
-      RECT 11.125000 1.415000 11.415000 1.460000 ;
-      RECT 11.125000 1.600000 11.415000 1.645000 ;
-  END
-END sky130_fd_sc_hd__sdfbbn_1
-MACRO sky130_fd_sc_hd__sdfbbn_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sdfbbn_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  15.18000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.825000 1.325000 4.025000 2.375000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 14.415000 0.255000 14.665000 0.825000 ;
-        RECT 14.415000 1.445000 14.665000 2.465000 ;
-        RECT 14.460000 0.825000 14.665000 1.445000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 12.580000 0.255000 12.830000 0.715000 ;
-        RECT 12.580000 1.630000 12.830000 2.465000 ;
-        RECT 12.660000 0.715000 12.830000 1.630000 ;
-    END
-  END Q_N
-  PIN RESET_B
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 11.590000 1.095000 12.070000 1.325000 ;
-    END
-  END RESET_B
-  PIN SCD
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.415000 1.025000 1.695000 1.685000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.935000 0.345000 2.145000 0.765000 ;
-        RECT 1.935000 0.765000 2.335000 1.095000 ;
-        RECT 1.935000 1.095000 2.155000 1.695000 ;
-    END
-  END SCE
-  PIN SET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.885000 0.735000 6.295000 0.965000 ;
-        RECT 5.885000 0.965000 6.215000 1.065000 ;
-      LAYER mcon ;
-        RECT 6.125000 0.765000 6.295000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 9.755000 0.735000 10.130000 1.065000 ;
-      LAYER mcon ;
-        RECT 9.805000 0.765000 9.975000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 6.065000 0.735000  6.355000 0.780000 ;
-        RECT 6.065000 0.780000 10.035000 0.920000 ;
-        RECT 6.065000 0.920000  6.355000 0.965000 ;
-        RECT 9.745000 0.735000 10.035000 0.780000 ;
-        RECT 9.745000 0.920000 10.035000 0.965000 ;
-    END
-  END SET_B
-  PIN CLK_N
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.975000 0.435000 1.625000 ;
-    END
-  END CLK_N
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 15.180000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 15.370000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 15.180000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 15.180000 0.085000 ;
-      RECT  0.000000  2.635000 15.180000 2.805000 ;
-      RECT  0.170000  0.345000  0.345000 0.635000 ;
-      RECT  0.170000  0.635000  0.835000 0.805000 ;
-      RECT  0.170000  1.795000  0.835000 1.965000 ;
-      RECT  0.170000  1.965000  0.345000 2.465000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.515000  2.135000  0.845000 2.635000 ;
-      RECT  0.605000  0.805000  0.835000 1.795000 ;
-      RECT  1.015000  0.345000  1.235000 2.465000 ;
-      RECT  1.430000  0.085000  1.705000 0.635000 ;
-      RECT  1.430000  1.885000  1.785000 2.635000 ;
-      RECT  2.215000  1.875000  2.575000 2.385000 ;
-      RECT  2.315000  0.265000  2.730000 0.595000 ;
-      RECT  2.405000  1.250000  3.075000 1.405000 ;
-      RECT  2.405000  1.405000  2.575000 1.875000 ;
-      RECT  2.435000  1.235000  3.075000 1.250000 ;
-      RECT  2.560000  0.595000  2.730000 1.075000 ;
-      RECT  2.560000  1.075000  3.075000 1.235000 ;
-      RECT  2.745000  1.575000  3.645000 1.745000 ;
-      RECT  2.745000  1.745000  3.065000 1.905000 ;
-      RECT  2.895000  1.905000  3.065000 2.465000 ;
-      RECT  2.955000  0.305000  3.125000 0.625000 ;
-      RECT  2.955000  0.625000  3.645000 0.765000 ;
-      RECT  2.955000  0.765000  3.770000 0.795000 ;
-      RECT  3.295000  2.215000  3.640000 2.635000 ;
-      RECT  3.370000  0.085000  3.700000 0.445000 ;
-      RECT  3.475000  0.795000  3.770000 1.095000 ;
-      RECT  3.475000  1.095000  3.645000 1.575000 ;
-      RECT  4.230000  0.305000  4.455000 2.465000 ;
-      RECT  4.625000  0.705000  4.845000 1.575000 ;
-      RECT  4.625000  1.575000  5.125000 1.955000 ;
-      RECT  4.635000  2.250000  5.465000 2.420000 ;
-      RECT  4.700000  0.265000  5.715000 0.465000 ;
-      RECT  5.025000  0.645000  5.375000 1.015000 ;
-      RECT  5.295000  1.195000  5.715000 1.235000 ;
-      RECT  5.295000  1.235000  6.645000 1.405000 ;
-      RECT  5.295000  1.405000  5.465000 2.250000 ;
-      RECT  5.545000  0.465000  5.715000 1.195000 ;
-      RECT  5.635000  1.575000  5.885000 1.785000 ;
-      RECT  5.635000  1.785000  6.985000 2.035000 ;
-      RECT  5.705000  2.205000  6.085000 2.635000 ;
-      RECT  5.885000  0.085000  6.055000 0.525000 ;
-      RECT  6.225000  0.255000  7.375000 0.425000 ;
-      RECT  6.225000  0.425000  6.555000 0.505000 ;
-      RECT  6.385000  2.035000  6.555000 2.375000 ;
-      RECT  6.395000  1.405000  6.645000 1.485000 ;
-      RECT  6.425000  1.155000  6.645000 1.235000 ;
-      RECT  6.705000  0.595000  7.035000 0.765000 ;
-      RECT  6.815000  0.765000  7.035000 0.895000 ;
-      RECT  6.815000  0.895000  8.125000 1.065000 ;
-      RECT  6.815000  1.065000  6.985000 1.785000 ;
-      RECT  7.155000  1.235000  7.485000 1.415000 ;
-      RECT  7.155000  1.415000  8.160000 1.655000 ;
-      RECT  7.175000  1.915000  7.505000 2.635000 ;
-      RECT  7.205000  0.425000  7.375000 0.715000 ;
-      RECT  7.645000  0.085000  7.975000 0.465000 ;
-      RECT  7.795000  1.065000  8.125000 1.235000 ;
-      RECT  8.360000  1.575000  8.595000 1.985000 ;
-      RECT  8.420000  0.705000  8.705000 1.125000 ;
-      RECT  8.420000  1.125000  9.040000 1.305000 ;
-      RECT  8.550000  2.250000  9.380000 2.420000 ;
-      RECT  8.615000  0.265000  9.380000 0.465000 ;
-      RECT  8.835000  1.305000  9.040000 1.905000 ;
-      RECT  9.210000  0.465000  9.380000 1.235000 ;
-      RECT  9.210000  1.235000 10.560000 1.405000 ;
-      RECT  9.210000  1.405000  9.380000 2.250000 ;
-      RECT  9.550000  1.575000  9.800000 1.915000 ;
-      RECT  9.550000  1.915000 12.410000 2.085000 ;
-      RECT  9.560000  0.085000  9.820000 0.525000 ;
-      RECT  9.620000  2.255000 10.000000 2.635000 ;
-      RECT 10.080000  0.255000 11.250000 0.425000 ;
-      RECT 10.080000  0.425000 10.410000 0.545000 ;
-      RECT 10.240000  2.085000 10.410000 2.375000 ;
-      RECT 10.340000  1.075000 10.560000 1.235000 ;
-      RECT 10.580000  0.595000 10.910000 0.780000 ;
-      RECT 10.730000  0.780000 10.910000 1.915000 ;
-      RECT 10.940000  2.255000 12.410000 2.635000 ;
-      RECT 11.080000  0.425000 11.250000 0.585000 ;
-      RECT 11.080000  0.755000 11.845000 0.925000 ;
-      RECT 11.080000  0.925000 11.355000 1.575000 ;
-      RECT 11.080000  1.575000 11.925000 1.745000 ;
-      RECT 11.620000  0.265000 11.845000 0.755000 ;
-      RECT 12.080000  0.085000 12.410000 0.805000 ;
-      RECT 12.240000  0.995000 12.480000 1.325000 ;
-      RECT 12.240000  1.325000 12.410000 1.915000 ;
-      RECT 13.000000  0.085000 13.235000 0.885000 ;
-      RECT 13.000000  1.495000 13.235000 2.635000 ;
-      RECT 13.455000  0.255000 13.770000 0.995000 ;
-      RECT 13.455000  0.995000 14.290000 1.325000 ;
-      RECT 13.455000  1.325000 13.770000 2.415000 ;
-      RECT 13.950000  0.085000 14.245000 0.545000 ;
-      RECT 13.950000  1.765000 14.245000 2.635000 ;
-      RECT 14.835000  0.085000 15.075000 0.885000 ;
-      RECT 14.835000  1.495000 15.075000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  0.765000  0.775000 0.935000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  1.785000  1.235000 1.955000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  1.105000  3.075000 1.275000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  1.105000  4.455000 1.275000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  1.785000  4.915000 1.955000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  0.765000  5.375000 0.935000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  1.445000  8.135000 1.615000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  1.105000  8.595000 1.275000 ;
-      RECT  8.425000  1.785000  8.595000 1.955000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  1.445000 11.355000 1.615000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-      RECT 12.105000  2.635000 12.275000 2.805000 ;
-      RECT 12.565000 -0.085000 12.735000 0.085000 ;
-      RECT 12.565000  2.635000 12.735000 2.805000 ;
-      RECT 13.025000 -0.085000 13.195000 0.085000 ;
-      RECT 13.025000  2.635000 13.195000 2.805000 ;
-      RECT 13.485000 -0.085000 13.655000 0.085000 ;
-      RECT 13.485000  2.635000 13.655000 2.805000 ;
-      RECT 13.945000 -0.085000 14.115000 0.085000 ;
-      RECT 13.945000  2.635000 14.115000 2.805000 ;
-      RECT 14.405000 -0.085000 14.575000 0.085000 ;
-      RECT 14.405000  2.635000 14.575000 2.805000 ;
-      RECT 14.865000 -0.085000 15.035000 0.085000 ;
-      RECT 14.865000  2.635000 15.035000 2.805000 ;
-    LAYER met1 ;
-      RECT  0.545000 0.735000  0.835000 0.780000 ;
-      RECT  0.545000 0.780000  5.435000 0.920000 ;
-      RECT  0.545000 0.920000  0.835000 0.965000 ;
-      RECT  1.005000 1.755000  1.295000 1.800000 ;
-      RECT  1.005000 1.800000  8.655000 1.940000 ;
-      RECT  1.005000 1.940000  1.295000 1.985000 ;
-      RECT  2.845000 1.075000  3.135000 1.120000 ;
-      RECT  2.845000 1.120000  4.515000 1.260000 ;
-      RECT  2.845000 1.260000  3.135000 1.305000 ;
-      RECT  4.225000 1.075000  4.515000 1.120000 ;
-      RECT  4.225000 1.260000  4.515000 1.305000 ;
-      RECT  4.685000 1.755000  4.975000 1.800000 ;
-      RECT  4.685000 1.940000  4.975000 1.985000 ;
-      RECT  5.145000 0.735000  5.435000 0.780000 ;
-      RECT  5.145000 0.920000  5.435000 0.965000 ;
-      RECT  5.220000 0.965000  5.435000 1.120000 ;
-      RECT  5.220000 1.120000  8.655000 1.260000 ;
-      RECT  7.905000 1.415000  8.195000 1.460000 ;
-      RECT  7.905000 1.460000 11.415000 1.600000 ;
-      RECT  7.905000 1.600000  8.195000 1.645000 ;
-      RECT  8.365000 1.075000  8.655000 1.120000 ;
-      RECT  8.365000 1.260000  8.655000 1.305000 ;
-      RECT  8.365000 1.755000  8.655000 1.800000 ;
-      RECT  8.365000 1.940000  8.655000 1.985000 ;
-      RECT 11.125000 1.415000 11.415000 1.460000 ;
-      RECT 11.125000 1.600000 11.415000 1.645000 ;
-  END
-END sky130_fd_sc_hd__sdfbbn_2
-MACRO sky130_fd_sc_hd__clkdlybuf4s15_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__clkdlybuf4s15_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.213000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.060000 0.555000 1.625000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.397600 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.050000 0.255000 3.550000 0.640000 ;
-        RECT 3.070000 1.485000 3.550000 2.465000 ;
-        RECT 3.355000 0.640000 3.550000 1.485000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.085000  0.255000 0.415000 0.720000 ;
-      RECT 0.085000  0.720000 1.060000 0.890000 ;
-      RECT 0.085000  1.795000 1.060000 1.965000 ;
-      RECT 0.085000  1.965000 0.430000 2.465000 ;
-      RECT 0.585000  0.085000 0.915000 0.550000 ;
-      RECT 0.600000  2.135000 0.930000 2.635000 ;
-      RECT 0.890000  0.890000 1.060000 1.075000 ;
-      RECT 0.890000  1.075000 1.320000 1.245000 ;
-      RECT 0.890000  1.245000 1.060000 1.795000 ;
-      RECT 1.230000  1.785000 1.660000 2.465000 ;
-      RECT 1.280000  0.255000 1.660000 0.905000 ;
-      RECT 1.490000  0.905000 1.660000 1.075000 ;
-      RECT 1.490000  1.075000 2.415000 1.485000 ;
-      RECT 1.490000  1.485000 1.660000 1.785000 ;
-      RECT 1.830000  0.255000 2.100000 0.735000 ;
-      RECT 1.830000  0.735000 2.900000 0.905000 ;
-      RECT 1.830000  1.790000 2.900000 1.965000 ;
-      RECT 1.830000  1.965000 2.100000 2.465000 ;
-      RECT 2.550000  0.085000 2.880000 0.565000 ;
-      RECT 2.550000  2.135000 2.880000 2.635000 ;
-      RECT 2.730000  0.905000 2.900000 1.075000 ;
-      RECT 2.730000  1.075000 3.185000 1.245000 ;
-      RECT 2.730000  1.245000 2.900000 1.790000 ;
-      RECT 3.720000  0.085000 4.055000 0.645000 ;
-      RECT 3.720000  1.485000 4.055000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__clkdlybuf4s15_2
-MACRO sky130_fd_sc_hd__clkdlybuf4s15_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__clkdlybuf4s15_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.213000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.055000 0.560000 1.325000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.376300 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.210000 0.285000 3.595000 0.545000 ;
-        RECT 3.210000 1.760000 3.595000 2.465000 ;
-        RECT 3.365000 0.545000 3.595000 1.760000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.085000  0.255000 0.425000 0.715000 ;
-      RECT 0.085000  0.715000 1.215000 0.885000 ;
-      RECT 0.085000  1.495000 1.215000 1.665000 ;
-      RECT 0.085000  1.665000 0.425000 2.465000 ;
-      RECT 0.595000  0.085000 0.910000 0.545000 ;
-      RECT 0.595000  1.835000 0.925000 2.635000 ;
-      RECT 0.730000  0.885000 1.215000 1.495000 ;
-      RECT 1.385000  0.255000 1.760000 0.825000 ;
-      RECT 1.385000  1.835000 1.760000 2.465000 ;
-      RECT 1.590000  0.825000 1.760000 1.055000 ;
-      RECT 1.590000  1.055000 2.685000 1.250000 ;
-      RECT 1.590000  1.250000 1.760000 1.835000 ;
-      RECT 1.930000  0.255000 2.260000 0.715000 ;
-      RECT 1.930000  0.715000 3.195000 0.885000 ;
-      RECT 1.930000  1.420000 3.195000 1.590000 ;
-      RECT 1.930000  1.590000 2.410000 2.465000 ;
-      RECT 2.640000  1.760000 3.040000 2.635000 ;
-      RECT 2.710000  0.085000 3.040000 0.545000 ;
-      RECT 2.855000  0.885000 3.195000 1.420000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__clkdlybuf4s15_1
-MACRO sky130_fd_sc_hd__clkbuf_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__clkbuf_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.213000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.425000 0.755000 0.775000 1.325000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.795200 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.010000 0.345000 1.305000 0.735000 ;
-        RECT 1.010000 0.735000 2.660000 0.905000 ;
-        RECT 1.045000 1.835000 2.165000 2.005000 ;
-        RECT 1.045000 2.005000 1.305000 2.465000 ;
-        RECT 1.905000 0.345000 2.165000 0.735000 ;
-        RECT 1.905000 1.415000 2.660000 1.585000 ;
-        RECT 1.905000 1.585000 2.165000 1.835000 ;
-        RECT 1.905000 2.005000 2.165000 2.465000 ;
-        RECT 2.255000 0.905000 2.660000 1.415000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.085000  0.255000 0.385000 0.585000 ;
-      RECT 0.085000  0.585000 0.255000 1.495000 ;
-      RECT 0.085000  1.495000 1.115000 1.665000 ;
-      RECT 0.085000  1.665000 0.395000 2.465000 ;
-      RECT 0.555000  0.085000 0.830000 0.565000 ;
-      RECT 0.565000  1.835000 0.875000 2.635000 ;
-      RECT 0.945000  1.075000 2.085000 1.245000 ;
-      RECT 0.945000  1.245000 1.115000 1.495000 ;
-      RECT 1.475000  0.085000 1.730000 0.565000 ;
-      RECT 1.475000  2.175000 1.730000 2.635000 ;
-      RECT 2.335000  0.085000 2.615000 0.565000 ;
-      RECT 2.335000  1.765000 2.620000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__clkbuf_4
-MACRO sky130_fd_sc_hd__clkbuf_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__clkbuf_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.840000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.213000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.425000 0.745000 0.785000 1.325000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.383400 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.040000 0.255000 1.245000 0.655000 ;
-        RECT 1.040000 0.655000 1.725000 0.825000 ;
-        RECT 1.060000 1.855000 1.725000 2.030000 ;
-        RECT 1.060000 2.030000 1.245000 2.435000 ;
-        RECT 1.385000 0.825000 1.725000 1.855000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.840000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.030000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.840000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.840000 0.085000 ;
-      RECT 0.000000  2.635000 1.840000 2.805000 ;
-      RECT 0.085000  0.255000 0.345000 0.585000 ;
-      RECT 0.085000  0.585000 0.255000 1.495000 ;
-      RECT 0.085000  1.495000 1.215000 1.665000 ;
-      RECT 0.085000  1.665000 0.355000 2.435000 ;
-      RECT 0.525000  1.855000 0.855000 2.635000 ;
-      RECT 0.555000  0.085000 0.830000 0.565000 ;
-      RECT 0.965000  0.995000 1.215000 1.495000 ;
-      RECT 1.415000  0.085000 1.750000 0.485000 ;
-      RECT 1.415000  2.210000 1.750000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-  END
-END sky130_fd_sc_hd__clkbuf_2
-MACRO sky130_fd_sc_hd__clkbuf_8
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__clkbuf_8 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.060000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.426000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.715000 0.400000 1.325000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  1.590400 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.420000 0.280000 1.680000 0.735000 ;
-        RECT 1.420000 0.735000 4.730000 0.905000 ;
-        RECT 1.420000 1.495000 4.730000 1.735000 ;
-        RECT 1.420000 1.735000 1.680000 2.460000 ;
-        RECT 2.280000 0.280000 2.540000 0.735000 ;
-        RECT 2.280000 1.735000 2.540000 2.460000 ;
-        RECT 3.140000 0.280000 3.400000 0.735000 ;
-        RECT 3.140000 1.735000 3.400000 2.460000 ;
-        RECT 3.760000 0.905000 4.730000 1.495000 ;
-        RECT 4.000000 0.280000 4.260000 0.735000 ;
-        RECT 4.000000 1.735000 4.260000 2.460000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.060000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.250000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.060000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.060000 0.085000 ;
-      RECT 0.000000  2.635000 5.060000 2.805000 ;
-      RECT 0.095000  1.525000 0.390000 2.635000 ;
-      RECT 0.145000  0.085000 0.390000 0.545000 ;
-      RECT 0.570000  0.265000 0.820000 1.075000 ;
-      RECT 0.570000  1.075000 3.590000 1.325000 ;
-      RECT 0.570000  1.325000 0.820000 2.460000 ;
-      RECT 0.990000  0.085000 1.250000 0.610000 ;
-      RECT 0.990000  1.525000 1.250000 2.635000 ;
-      RECT 1.850000  0.085000 2.110000 0.565000 ;
-      RECT 1.850000  1.905000 2.110000 2.635000 ;
-      RECT 2.710000  0.085000 2.970000 0.565000 ;
-      RECT 2.710000  1.905000 2.970000 2.635000 ;
-      RECT 3.570000  0.085000 3.830000 0.565000 ;
-      RECT 3.570000  1.905000 3.830000 2.635000 ;
-      RECT 4.430000  0.085000 4.730000 0.565000 ;
-      RECT 4.430000  1.905000 4.725000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-  END
-END sky130_fd_sc_hd__clkbuf_8
-MACRO sky130_fd_sc_hd__clkbuf_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__clkbuf_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.380000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.196500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.945000 0.985000 1.275000 1.355000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.340600 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.255000 0.345000 0.760000 ;
-        RECT 0.085000 0.760000 0.255000 1.560000 ;
-        RECT 0.085000 1.560000 0.355000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.380000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 1.065000 -0.085000 1.235000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 1.570000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.380000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.380000 0.085000 ;
-      RECT 0.000000  2.635000 1.380000 2.805000 ;
-      RECT 0.425000  1.060000 0.710000 1.390000 ;
-      RECT 0.525000  0.085000 0.855000 0.465000 ;
-      RECT 0.525000  1.875000 0.855000 2.635000 ;
-      RECT 0.540000  0.635000 1.205000 0.805000 ;
-      RECT 0.540000  0.805000 0.710000 1.060000 ;
-      RECT 0.540000  1.390000 0.710000 1.535000 ;
-      RECT 0.540000  1.535000 1.205000 1.705000 ;
-      RECT 1.035000  0.255000 1.205000 0.635000 ;
-      RECT 1.035000  1.705000 1.205000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-  END
-END sky130_fd_sc_hd__clkbuf_1
-MACRO sky130_fd_sc_hd__clkbuf_16
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__clkbuf_16 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.200000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.852000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.765000 0.400000 1.325000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  3.180800 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.280000 0.280000 2.540000 0.735000 ;
-        RECT 2.280000 0.735000 9.025000 0.905000 ;
-        RECT 2.280000 1.495000 9.025000 1.720000 ;
-        RECT 2.280000 1.720000 7.685000 1.735000 ;
-        RECT 2.280000 1.735000 2.540000 2.460000 ;
-        RECT 3.140000 0.280000 3.400000 0.735000 ;
-        RECT 3.140000 1.735000 3.400000 2.460000 ;
-        RECT 4.000000 0.280000 4.260000 0.735000 ;
-        RECT 4.000000 1.735000 4.260000 2.460000 ;
-        RECT 4.845000 0.280000 5.120000 0.735000 ;
-        RECT 4.860000 1.735000 5.120000 2.460000 ;
-        RECT 5.705000 0.280000 5.965000 0.735000 ;
-        RECT 5.705000 1.735000 5.965000 2.460000 ;
-        RECT 6.565000 0.280000 6.825000 0.735000 ;
-        RECT 6.565000 1.735000 6.825000 2.460000 ;
-        RECT 7.425000 0.280000 7.685000 0.735000 ;
-        RECT 7.425000 1.735000 7.685000 2.460000 ;
-        RECT 7.860000 0.905000 9.025000 1.495000 ;
-        RECT 8.295000 0.280000 8.555000 0.735000 ;
-        RECT 8.295000 1.720000 8.585000 2.460000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.200000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.390000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.200000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.200000 0.085000 ;
-      RECT 0.000000  2.635000 9.200000 2.805000 ;
-      RECT 0.085000  0.085000 0.390000 0.595000 ;
-      RECT 0.095000  1.825000 0.390000 2.635000 ;
-      RECT 0.570000  0.265000 0.820000 1.075000 ;
-      RECT 0.570000  1.075000 7.690000 1.325000 ;
-      RECT 0.570000  1.325000 0.815000 2.465000 ;
-      RECT 0.990000  0.085000 1.250000 0.610000 ;
-      RECT 0.990000  1.825000 1.250000 2.635000 ;
-      RECT 1.430000  0.265000 1.680000 1.075000 ;
-      RECT 1.430000  1.325000 1.680000 2.460000 ;
-      RECT 1.850000  0.085000 2.110000 0.645000 ;
-      RECT 1.850000  1.835000 2.110000 2.630000 ;
-      RECT 1.850000  2.630000 8.125000 2.635000 ;
-      RECT 2.710000  0.085000 2.970000 0.565000 ;
-      RECT 2.710000  1.905000 2.970000 2.630000 ;
-      RECT 3.570000  0.085000 3.830000 0.565000 ;
-      RECT 3.570000  1.905000 3.830000 2.630000 ;
-      RECT 4.430000  0.085000 4.675000 0.565000 ;
-      RECT 4.430000  1.905000 4.690000 2.630000 ;
-      RECT 5.290000  0.085000 5.535000 0.565000 ;
-      RECT 5.290000  1.905000 5.535000 2.630000 ;
-      RECT 6.145000  0.085000 6.395000 0.565000 ;
-      RECT 6.150000  1.905000 6.395000 2.630000 ;
-      RECT 7.005000  0.085000 7.255000 0.565000 ;
-      RECT 7.010000  1.905000 7.255000 2.630000 ;
-      RECT 7.865000  0.085000 8.125000 0.565000 ;
-      RECT 7.870000  1.905000 8.125000 2.630000 ;
-      RECT 8.725000  0.085000 9.025000 0.565000 ;
-      RECT 8.755000  1.890000 9.025000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-  END
-END sky130_fd_sc_hd__clkbuf_16
-MACRO sky130_fd_sc_hd__lpflow_inputiso1n_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_inputiso1n_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.540000 2.085000 1.735000 2.415000 ;
-    END
-  END A
-  PIN SLEEP_B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 1.075000 0.425000 1.325000 ;
-    END
-  END SLEEP_B
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.405000 0.415000 2.675000 0.760000 ;
-        RECT 2.405000 1.495000 2.675000 2.465000 ;
-        RECT 2.505000 0.760000 2.675000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.090000  1.495000 0.345000 2.635000 ;
-      RECT 0.110000  0.265000 0.420000 0.735000 ;
-      RECT 0.110000  0.735000 0.845000 0.905000 ;
-      RECT 0.590000  0.085000 1.325000 0.565000 ;
-      RECT 0.595000  0.905000 0.845000 0.995000 ;
-      RECT 0.595000  0.995000 1.335000 1.325000 ;
-      RECT 0.595000  1.325000 0.765000 1.885000 ;
-      RECT 0.990000  1.495000 2.235000 1.665000 ;
-      RECT 0.990000  1.665000 1.410000 1.915000 ;
-      RECT 1.495000  0.305000 1.665000 0.655000 ;
-      RECT 1.495000  0.655000 2.235000 0.825000 ;
-      RECT 1.835000  0.085000 2.215000 0.485000 ;
-      RECT 1.915000  1.835000 2.195000 2.635000 ;
-      RECT 2.065000  0.825000 2.235000 0.995000 ;
-      RECT 2.065000  0.995000 2.295000 1.325000 ;
-      RECT 2.065000  1.325000 2.235000 1.495000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_inputiso1n_1
-MACRO sky130_fd_sc_hd__a41oi_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a41oi_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  10.12000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.385000 0.995000 4.205000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.405000 1.075000 6.315000 1.285000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.560000 1.075000 7.955000 1.300000 ;
-    END
-  END A3
-  PIN A4
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 8.285000 1.075000 9.975000 1.280000 ;
-    END
-  END A4
-  PIN B1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.105000 1.075000 1.745000 1.305000 ;
-        RECT 0.105000 1.305000 0.325000 1.965000 ;
-    END
-  END B1
-  PIN Y
-    ANTENNADIFFAREA  1.242000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 1.575000 2.155000 1.685000 ;
-        RECT 0.515000 1.685000 1.685000 1.745000 ;
-        RECT 0.515000 1.745000 0.845000 2.085000 ;
-        RECT 0.595000 0.255000 0.765000 0.635000 ;
-        RECT 0.595000 0.635000 4.015000 0.805000 ;
-        RECT 1.350000 1.495000 2.155000 1.575000 ;
-        RECT 1.350000 1.745000 1.685000 2.085000 ;
-        RECT 1.435000 0.255000 1.605000 0.635000 ;
-        RECT 1.935000 0.805000 2.155000 1.495000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 10.120000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 10.310000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 10.120000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 10.120000 0.085000 ;
-      RECT 0.000000  2.635000 10.120000 2.805000 ;
-      RECT 0.090000  0.085000  0.425000 0.465000 ;
-      RECT 0.090000  2.255000  2.335000 2.425000 ;
-      RECT 0.935000  0.085000  1.265000 0.465000 ;
-      RECT 1.775000  0.085000  2.105000 0.465000 ;
-      RECT 2.165000  1.905000  3.515000 2.075000 ;
-      RECT 2.165000  2.075000  2.335000 2.255000 ;
-      RECT 2.165000  2.425000  2.335000 2.465000 ;
-      RECT 2.425000  0.295000  6.115000 0.465000 ;
-      RECT 2.505000  2.255000  3.175000 2.635000 ;
-      RECT 3.345000  1.575000  9.945000 1.745000 ;
-      RECT 3.345000  1.745000  3.515000 1.905000 ;
-      RECT 3.345000  2.075000  3.515000 2.465000 ;
-      RECT 3.685000  1.915000  4.015000 2.635000 ;
-      RECT 4.185000  1.745000  4.355000 2.425000 ;
-      RECT 4.525000  0.635000  7.895000 0.805000 ;
-      RECT 4.620000  1.915000  4.950000 2.635000 ;
-      RECT 5.120000  1.745000  5.290000 2.465000 ;
-      RECT 5.495000  1.915000  6.165000 2.635000 ;
-      RECT 6.305000  0.295000  8.235000 0.465000 ;
-      RECT 6.385000  1.745000  6.555000 2.465000 ;
-      RECT 6.725000  1.915000  7.055000 2.635000 ;
-      RECT 7.225000  1.745000  7.395000 2.465000 ;
-      RECT 7.565000  1.915000  7.895000 2.635000 ;
-      RECT 8.065000  0.255000  8.235000 0.295000 ;
-      RECT 8.065000  0.465000  8.235000 0.635000 ;
-      RECT 8.065000  0.635000  9.915000 0.805000 ;
-      RECT 8.065000  1.745000  8.235000 2.465000 ;
-      RECT 8.405000  0.085000  8.735000 0.465000 ;
-      RECT 8.405000  1.915000  8.735000 2.635000 ;
-      RECT 8.905000  0.255000  9.075000 0.635000 ;
-      RECT 8.905000  1.745000  9.075000 2.465000 ;
-      RECT 9.245000  0.085000  9.575000 0.465000 ;
-      RECT 9.245000  1.915000  9.575000 2.635000 ;
-      RECT 9.745000  0.255000  9.915000 0.635000 ;
-      RECT 9.775000  1.745000  9.945000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-      RECT 9.805000 -0.085000 9.975000 0.085000 ;
-      RECT 9.805000  2.635000 9.975000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a41oi_4
-MACRO sky130_fd_sc_hd__a41oi_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a41oi_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.980000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.785000 1.075000 2.455000 1.295000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.665000 1.075000 3.365000 1.285000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.545000 1.075000 4.575000 1.295000 ;
-    END
-  END A3
-  PIN A4
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.755000 1.075000 5.895000 1.295000 ;
-    END
-  END A4
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.545000 1.075000 1.555000 1.280000 ;
-    END
-  END B1
-  PIN Y
-    ANTENNADIFFAREA  0.621000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.145000 0.645000 2.295000 0.815000 ;
-        RECT 0.145000 0.815000 0.315000 1.455000 ;
-        RECT 0.145000 1.455000 1.455000 1.625000 ;
-        RECT 0.685000 0.255000 0.855000 0.645000 ;
-        RECT 1.125000 1.625000 1.455000 2.125000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.980000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.170000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.980000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.980000 0.085000 ;
-      RECT 0.000000  2.635000 5.980000 2.805000 ;
-      RECT 0.185000  0.085000 0.515000 0.465000 ;
-      RECT 0.785000  1.795000 0.955000 2.295000 ;
-      RECT 0.785000  2.295000 1.795000 2.465000 ;
-      RECT 1.025000  0.085000 1.375000 0.465000 ;
-      RECT 1.545000  0.295000 2.635000 0.465000 ;
-      RECT 1.625000  1.535000 5.760000 1.705000 ;
-      RECT 1.625000  1.705000 1.795000 2.295000 ;
-      RECT 1.965000  1.915000 2.295000 2.635000 ;
-      RECT 2.465000  0.465000 2.635000 0.645000 ;
-      RECT 2.465000  0.645000 3.555000 0.815000 ;
-      RECT 2.465000  1.705000 2.635000 2.465000 ;
-      RECT 2.805000  0.295000 4.495000 0.465000 ;
-      RECT 2.805000  1.915000 3.135000 2.635000 ;
-      RECT 3.325000  1.705000 3.495000 2.465000 ;
-      RECT 3.745000  0.645000 5.675000 0.815000 ;
-      RECT 3.755000  1.915000 4.425000 2.635000 ;
-      RECT 4.665000  1.705000 4.835000 2.465000 ;
-      RECT 5.005000  0.085000 5.335000 0.465000 ;
-      RECT 5.005000  1.915000 5.335000 2.635000 ;
-      RECT 5.505000  0.255000 5.675000 0.645000 ;
-      RECT 5.505000  1.705000 5.675000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a41oi_2
-MACRO sky130_fd_sc_hd__a41oi_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a41oi_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.780000 0.995000 3.085000 1.615000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.890000 0.755000 2.210000 1.665000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.470000 0.755000 1.710000 1.665000 ;
-    END
-  END A3
-  PIN A4
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.960000 0.965000 1.250000 1.665000 ;
-    END
-  END A4
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.540000 0.965000 0.780000 1.665000 ;
-    END
-  END B1
-  PIN Y
-    ANTENNADIFFAREA  0.669500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.285000 0.345000 0.615000 ;
-        RECT 0.090000 0.615000 1.290000 0.785000 ;
-        RECT 0.090000 0.785000 0.360000 1.845000 ;
-        RECT 0.090000 1.845000 0.425000 2.425000 ;
-        RECT 1.120000 0.295000 3.015000 0.465000 ;
-        RECT 1.120000 0.465000 1.290000 0.615000 ;
-        RECT 2.685000 0.465000 3.015000 0.805000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.595000  1.845000 3.015000 2.015000 ;
-      RECT 0.595000  2.015000 0.845000 2.465000 ;
-      RECT 0.620000  0.085000 0.950000 0.445000 ;
-      RECT 1.120000  2.195000 1.450000 2.635000 ;
-      RECT 1.760000  2.015000 1.930000 2.465000 ;
-      RECT 2.215000  2.195000 2.545000 2.635000 ;
-      RECT 2.765000  2.015000 3.015000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a41oi_1
-MACRO sky130_fd_sc_hd__nor4_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nor4_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.300000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.955000 0.655000 2.215000 1.665000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.245000 1.075000 1.695000 1.245000 ;
-        RECT 1.455000 1.245000 1.695000 2.450000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.845000 0.995000 1.075000 1.415000 ;
-        RECT 0.845000 1.415000 1.285000 1.615000 ;
-        RECT 1.030000 1.615000 1.285000 2.450000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.745000 0.335000 1.325000 ;
-    END
-  END D
-  PIN Y
-    ANTENNADIFFAREA  0.672750 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 1.495000 0.675000 1.665000 ;
-        RECT 0.090000 1.665000 0.425000 2.450000 ;
-        RECT 0.505000 0.645000 0.860000 0.655000 ;
-        RECT 0.505000 0.655000 1.705000 0.825000 ;
-        RECT 0.505000 0.825000 0.675000 1.495000 ;
-        RECT 0.595000 0.385000 0.860000 0.645000 ;
-        RECT 1.535000 0.385000 1.705000 0.655000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.300000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.490000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.300000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.300000 0.085000 ;
-      RECT 0.000000  2.635000 2.300000 2.805000 ;
-      RECT 0.085000  0.085000 0.345000 0.575000 ;
-      RECT 1.035000  0.085000 1.365000 0.485000 ;
-      RECT 1.875000  0.085000 2.205000 0.485000 ;
-      RECT 1.955000  1.835000 2.215000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nor4_1
-MACRO sky130_fd_sc_hd__nor4_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nor4_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.600000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.200000 1.075000 0.965000 1.285000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.135000 1.075000 1.940000 1.285000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.210000 1.075000 3.105000 1.285000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.340000 1.075000 3.925000 1.285000 ;
-    END
-  END D
-  PIN Y
-    ANTENNADIFFAREA  0.972000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.535000 0.255000 0.865000 0.725000 ;
-        RECT 0.535000 0.725000 4.515000 0.905000 ;
-        RECT 1.375000 0.255000 1.705000 0.725000 ;
-        RECT 2.775000 0.255000 3.105000 0.725000 ;
-        RECT 3.615000 0.255000 3.945000 0.725000 ;
-        RECT 3.655000 1.455000 4.515000 1.625000 ;
-        RECT 3.655000 1.625000 3.905000 2.125000 ;
-        RECT 4.180000 0.905000 4.515000 1.455000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.600000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.790000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.600000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.600000 0.085000 ;
-      RECT 0.000000  2.635000 4.600000 2.805000 ;
-      RECT 0.090000  0.085000 0.365000 0.905000 ;
-      RECT 0.150000  1.455000 2.085000 1.625000 ;
-      RECT 0.150000  1.625000 0.405000 2.465000 ;
-      RECT 0.575000  1.795000 0.825000 2.635000 ;
-      RECT 0.995000  1.625000 1.245000 2.465000 ;
-      RECT 1.035000  0.085000 1.205000 0.555000 ;
-      RECT 1.415000  1.795000 1.665000 2.295000 ;
-      RECT 1.415000  2.295000 3.065000 2.465000 ;
-      RECT 1.835000  1.625000 2.085000 2.125000 ;
-      RECT 1.875000  0.085000 2.605000 0.555000 ;
-      RECT 2.395000  1.455000 3.485000 1.625000 ;
-      RECT 2.395000  1.625000 2.645000 2.125000 ;
-      RECT 2.815000  1.795000 3.065000 2.295000 ;
-      RECT 3.235000  1.625000 3.485000 2.295000 ;
-      RECT 3.235000  2.295000 4.325000 2.465000 ;
-      RECT 3.275000  0.085000 3.445000 0.555000 ;
-      RECT 4.075000  1.795000 4.325000 2.295000 ;
-      RECT 4.115000  0.085000 4.405000 0.555000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nor4_2
-MACRO sky130_fd_sc_hd__nor4_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nor4_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.820000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.180000 1.075000 1.825000 1.285000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.095000 1.075000 4.070000 1.285000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.295000 1.075000 5.705000 1.285000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.875000 1.075000 7.295000 1.285000 ;
-    END
-  END D
-  PIN Y
-    ANTENNADIFFAREA  1.944000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.535000 0.255000 0.865000 0.725000 ;
-        RECT 0.535000 0.725000 7.735000 0.905000 ;
-        RECT 1.375000 0.255000 1.705000 0.725000 ;
-        RECT 2.215000 0.255000 2.545000 0.725000 ;
-        RECT 3.055000 0.255000 3.385000 0.725000 ;
-        RECT 4.415000 0.255000 4.745000 0.725000 ;
-        RECT 5.255000 0.255000 5.585000 0.725000 ;
-        RECT 6.095000 0.255000 6.425000 0.725000 ;
-        RECT 6.135000 1.455000 7.735000 1.625000 ;
-        RECT 6.135000 1.625000 6.385000 2.125000 ;
-        RECT 6.935000 0.255000 7.265000 0.725000 ;
-        RECT 6.975000 1.625000 7.225000 2.125000 ;
-        RECT 7.465000 0.905000 7.735000 1.455000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.820000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.010000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.820000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.820000 0.085000 ;
-      RECT 0.000000  2.635000 7.820000 2.805000 ;
-      RECT 0.090000  0.085000 0.365000 0.905000 ;
-      RECT 0.090000  1.455000 2.085000 1.625000 ;
-      RECT 0.090000  1.625000 0.405000 2.465000 ;
-      RECT 0.575000  1.795000 0.825000 2.635000 ;
-      RECT 0.995000  1.625000 1.245000 2.465000 ;
-      RECT 1.035000  0.085000 1.205000 0.555000 ;
-      RECT 1.415000  1.795000 1.665000 2.635000 ;
-      RECT 1.835000  1.625000 2.085000 2.295000 ;
-      RECT 1.835000  2.295000 3.820000 2.465000 ;
-      RECT 1.875000  0.085000 2.045000 0.555000 ;
-      RECT 2.255000  1.455000 5.545000 1.625000 ;
-      RECT 2.255000  1.625000 2.505000 2.125000 ;
-      RECT 2.675000  1.795000 2.925000 2.295000 ;
-      RECT 2.715000  0.085000 2.885000 0.555000 ;
-      RECT 3.095000  1.625000 3.345000 2.125000 ;
-      RECT 3.515000  1.795000 3.820000 2.295000 ;
-      RECT 3.555000  0.085000 4.245000 0.555000 ;
-      RECT 4.005000  1.795000 4.285000 2.295000 ;
-      RECT 4.005000  2.295000 7.645000 2.465000 ;
-      RECT 4.455000  1.625000 4.705000 2.125000 ;
-      RECT 4.875000  1.795000 5.125000 2.295000 ;
-      RECT 4.915000  0.085000 5.085000 0.555000 ;
-      RECT 5.295000  1.625000 5.545000 2.125000 ;
-      RECT 5.715000  1.795000 5.965000 2.295000 ;
-      RECT 5.755000  0.085000 5.925000 0.555000 ;
-      RECT 6.555000  1.795000 6.805000 2.295000 ;
-      RECT 6.595000  0.085000 6.765000 0.555000 ;
-      RECT 7.395000  1.795000 7.645000 2.295000 ;
-      RECT 7.435000  0.085000 7.605000 0.555000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nor4_4
-MACRO sky130_fd_sc_hd__tapvgnd2_1
-  CLASS CORE WELLTAP ;
-  FOREIGN sky130_fd_sc_hd__tapvgnd2_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  0.460000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 0.460000 0.240000 ;
-      LAYER pwell ;
-        RECT 0.145000 0.320000 0.315000 0.845000 ;
-    END
-  END VGND
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.085000 1.755000 0.375000 1.985000 ;
-      LAYER nwell ;
-        RECT -0.190000 1.305000 0.650000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 0.460000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 0.460000 0.085000 ;
-      RECT 0.000000  2.635000 0.460000 2.805000 ;
-      RECT 0.085000  0.085000 0.375000 0.810000 ;
-      RECT 0.085000  1.470000 0.375000 2.455000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  1.785000 0.315000 1.955000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-  END
-END sky130_fd_sc_hd__tapvgnd2_1
-MACRO sky130_fd_sc_hd__and3b_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__and3b_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.600000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.715000 0.615000 3.995000 1.705000 ;
-    END
-  END A_N
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.020000 0.725000 1.235000 1.340000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.525000 0.995000 1.715000 1.340000 ;
-    END
-  END C
-  PIN X
-    ANTENNADIFFAREA  0.934000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.225000 1.535000 3.535000 1.705000 ;
-        RECT 2.285000 0.515000 2.475000 0.615000 ;
-        RECT 2.285000 0.615000 3.535000 0.845000 ;
-        RECT 3.145000 0.255000 3.335000 0.615000 ;
-        RECT 3.270000 0.845000 3.535000 1.535000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.600000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.790000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.600000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.600000 0.085000 ;
-      RECT 0.000000  2.635000 4.600000 2.805000 ;
-      RECT 0.150000  0.255000 0.635000 0.355000 ;
-      RECT 0.150000  0.355000 1.600000 0.545000 ;
-      RECT 0.150000  0.545000 0.635000 0.805000 ;
-      RECT 0.150000  0.805000 0.370000 1.495000 ;
-      RECT 0.150000  1.495000 0.510000 2.165000 ;
-      RECT 0.540000  0.995000 0.850000 1.325000 ;
-      RECT 0.680000  1.325000 0.850000 1.875000 ;
-      RECT 0.680000  1.875000 4.445000 2.105000 ;
-      RECT 0.730000  2.275000 1.180000 2.635000 ;
-      RECT 1.280000  1.525000 2.055000 1.695000 ;
-      RECT 1.420000  0.545000 1.600000 0.615000 ;
-      RECT 1.420000  0.615000 2.115000 0.805000 ;
-      RECT 1.745000  2.275000 2.075000 2.635000 ;
-      RECT 1.780000  0.085000 2.110000 0.445000 ;
-      RECT 1.885000  0.805000 2.115000 1.020000 ;
-      RECT 1.885000  1.020000 3.100000 1.355000 ;
-      RECT 1.885000  1.355000 2.055000 1.525000 ;
-      RECT 2.645000  0.085000 2.975000 0.445000 ;
-      RECT 2.645000  2.275000 2.980000 2.635000 ;
-      RECT 3.505000  0.085000 3.835000 0.445000 ;
-      RECT 3.505000  2.275000 3.835000 2.635000 ;
-      RECT 4.165000  0.425000 4.445000 1.875000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-  END
-END sky130_fd_sc_hd__and3b_4
-MACRO sky130_fd_sc_hd__and3b_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__and3b_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.425000 1.955000 ;
-    END
-  END A_N
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.790000 2.125000 2.265000 2.465000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.985000 0.305000 2.185000 0.725000 ;
-        RECT 1.985000 0.725000 2.395000 1.245000 ;
-    END
-  END C
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.860000 1.765000 3.135000 2.465000 ;
-        RECT 2.875000 0.255000 3.135000 0.735000 ;
-        RECT 2.965000 0.735000 3.135000 1.765000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.085000  0.085000 0.345000 0.905000 ;
-      RECT 0.085000  2.125000 0.345000 2.635000 ;
-      RECT 0.515000  0.485000 0.845000 0.905000 ;
-      RECT 0.595000  0.905000 0.845000 0.995000 ;
-      RECT 0.595000  0.995000 1.390000 1.245000 ;
-      RECT 0.595000  1.245000 0.765000 2.465000 ;
-      RECT 1.005000  1.425000 2.795000 1.595000 ;
-      RECT 1.005000  1.595000 1.255000 1.960000 ;
-      RECT 1.005000  2.130000 1.620000 2.635000 ;
-      RECT 1.025000  0.305000 1.815000 0.570000 ;
-      RECT 1.425000  1.765000 1.755000 1.955000 ;
-      RECT 1.425000  1.955000 1.620000 2.130000 ;
-      RECT 1.560000  0.570000 1.815000 1.425000 ;
-      RECT 1.975000  1.595000 2.690000 1.890000 ;
-      RECT 2.375000  0.085000 2.705000 0.545000 ;
-      RECT 2.435000  2.090000 2.650000 2.635000 ;
-      RECT 2.565000  0.995000 2.795000 1.425000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__and3b_1
-MACRO sky130_fd_sc_hd__and3b_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__and3b_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.145000 0.745000 0.410000 1.325000 ;
-    END
-  END A_N
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.815000 2.125000 2.290000 2.465000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.010000 0.305000 2.220000 0.765000 ;
-        RECT 2.010000 0.765000 2.420000 1.245000 ;
-    END
-  END C
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.875000 1.795000 3.160000 2.465000 ;
-        RECT 2.915000 0.255000 3.160000 0.715000 ;
-        RECT 2.990000 0.715000 3.160000 0.925000 ;
-        RECT 2.990000 0.925000 3.595000 1.445000 ;
-        RECT 2.990000 1.445000 3.160000 1.795000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.085000  0.085000 0.355000 0.575000 ;
-      RECT 0.085000  1.575000 0.400000 2.635000 ;
-      RECT 0.580000  0.305000 0.855000 1.015000 ;
-      RECT 0.580000  1.015000 1.415000 1.245000 ;
-      RECT 0.580000  1.245000 0.855000 1.905000 ;
-      RECT 1.030000  2.130000 1.645000 2.635000 ;
-      RECT 1.050000  1.425000 2.820000 1.595000 ;
-      RECT 1.050000  1.595000 1.285000 1.960000 ;
-      RECT 1.055000  0.305000 1.840000 0.570000 ;
-      RECT 1.455000  1.765000 1.785000 1.955000 ;
-      RECT 1.455000  1.955000 1.645000 2.130000 ;
-      RECT 1.585000  0.570000 1.840000 1.425000 ;
-      RECT 2.010000  1.595000 2.200000 1.890000 ;
-      RECT 2.410000  0.085000 2.740000 0.580000 ;
-      RECT 2.460000  1.790000 2.675000 2.635000 ;
-      RECT 2.590000  0.995000 2.820000 1.425000 ;
-      RECT 3.330000  0.085000 3.595000 0.745000 ;
-      RECT 3.330000  1.625000 3.595000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__and3b_2
-MACRO sky130_fd_sc_hd__nor2_8
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nor2_8 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.360000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  1.980000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.360000 1.075000 3.530000 1.275000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  1.980000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.800000 1.075000 6.540000 1.275000 ;
-    END
-  END B
-  PIN Y
-    ANTENNADIFFAREA  2.484000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.535000 0.255000 0.865000 0.725000 ;
-        RECT 0.535000 0.725000 7.275000 0.905000 ;
-        RECT 1.375000 0.255000 1.705000 0.725000 ;
-        RECT 2.215000 0.255000 2.545000 0.725000 ;
-        RECT 3.055000 0.255000 3.385000 0.725000 ;
-        RECT 3.895000 0.255000 4.225000 0.725000 ;
-        RECT 3.935000 1.445000 7.275000 1.615000 ;
-        RECT 3.935000 1.615000 4.185000 2.125000 ;
-        RECT 4.735000 0.255000 5.065000 0.725000 ;
-        RECT 4.775000 1.615000 5.025000 2.125000 ;
-        RECT 5.575000 0.255000 5.905000 0.725000 ;
-        RECT 5.615000 1.615000 5.865000 2.125000 ;
-        RECT 6.415000 0.255000 6.745000 0.725000 ;
-        RECT 6.455000 1.615000 6.705000 2.125000 ;
-        RECT 6.710000 0.905000 7.275000 1.445000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.360000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.550000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.360000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.360000 0.085000 ;
-      RECT 0.000000  2.635000 7.360000 2.805000 ;
-      RECT 0.090000  0.085000 0.365000 0.905000 ;
-      RECT 0.090000  1.455000 3.765000 1.665000 ;
-      RECT 0.090000  1.665000 0.405000 2.465000 ;
-      RECT 0.575000  1.835000 0.825000 2.635000 ;
-      RECT 0.995000  1.665000 1.245000 2.465000 ;
-      RECT 1.035000  0.085000 1.205000 0.555000 ;
-      RECT 1.415000  1.835000 1.665000 2.635000 ;
-      RECT 1.835000  1.665000 2.085000 2.465000 ;
-      RECT 1.875000  0.085000 2.045000 0.555000 ;
-      RECT 2.255000  1.835000 2.505000 2.635000 ;
-      RECT 2.675000  1.665000 2.925000 2.465000 ;
-      RECT 2.715000  0.085000 2.885000 0.555000 ;
-      RECT 3.095000  1.835000 3.345000 2.635000 ;
-      RECT 3.515000  1.665000 3.765000 2.295000 ;
-      RECT 3.515000  2.295000 7.125000 2.465000 ;
-      RECT 3.555000  0.085000 3.725000 0.555000 ;
-      RECT 4.355000  1.785000 4.605000 2.295000 ;
-      RECT 4.395000  0.085000 4.565000 0.555000 ;
-      RECT 5.195000  1.785000 5.445000 2.295000 ;
-      RECT 5.235000  0.085000 5.405000 0.555000 ;
-      RECT 6.035000  1.785000 6.285000 2.295000 ;
-      RECT 6.075000  0.085000 6.245000 0.555000 ;
-      RECT 6.875000  1.785000 7.125000 2.295000 ;
-      RECT 6.915000  0.085000 7.205000 0.555000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nor2_8
-MACRO sky130_fd_sc_hd__nor2_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nor2_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.140000 1.075000 1.800000 1.275000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.120000 1.075000 3.485000 1.275000 ;
-    END
-  END B
-  PIN Y
-    ANTENNADIFFAREA  1.242000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.535000 0.255000 0.865000 0.725000 ;
-        RECT 0.535000 0.725000 4.055000 0.905000 ;
-        RECT 1.375000 0.255000 1.705000 0.725000 ;
-        RECT 2.215000 0.255000 2.545000 0.725000 ;
-        RECT 2.295000 1.445000 4.055000 1.745000 ;
-        RECT 2.295000 1.745000 2.465000 2.125000 ;
-        RECT 3.055000 0.255000 3.385000 0.725000 ;
-        RECT 3.135000 1.745000 3.305000 2.125000 ;
-        RECT 3.655000 0.905000 4.055000 1.445000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.090000  0.085000 0.365000 0.905000 ;
-      RECT 0.090000  1.455000 2.125000 1.665000 ;
-      RECT 0.090000  1.665000 0.365000 2.465000 ;
-      RECT 0.535000  1.835000 0.865000 2.635000 ;
-      RECT 1.035000  0.085000 1.205000 0.555000 ;
-      RECT 1.035000  1.665000 1.205000 2.465000 ;
-      RECT 1.375000  1.835000 1.625000 2.635000 ;
-      RECT 1.795000  1.665000 2.125000 2.295000 ;
-      RECT 1.795000  2.295000 3.890000 2.465000 ;
-      RECT 1.875000  0.085000 2.045000 0.555000 ;
-      RECT 2.635000  1.935000 2.965000 2.295000 ;
-      RECT 2.715000  0.085000 2.885000 0.555000 ;
-      RECT 3.475000  1.915000 3.890000 2.295000 ;
-      RECT 3.555000  0.085000 3.840000 0.555000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nor2_4
-MACRO sky130_fd_sc_hd__nor2_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nor2_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.300000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 1.075000 0.810000 1.275000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.980000 1.075000 1.750000 1.275000 ;
-    END
-  END B
-  PIN Y
-    ANTENNADIFFAREA  0.621000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.535000 0.255000 0.865000 0.725000 ;
-        RECT 0.535000 0.725000 1.705000 0.735000 ;
-        RECT 0.535000 0.735000 2.135000 0.905000 ;
-        RECT 1.375000 0.255000 1.705000 0.725000 ;
-        RECT 1.375000 1.445000 2.135000 1.665000 ;
-        RECT 1.375000 1.665000 1.705000 2.125000 ;
-        RECT 1.920000 0.905000 2.135000 1.445000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.300000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.490000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.300000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.300000 0.085000 ;
-      RECT 0.000000  2.635000 2.300000 2.805000 ;
-      RECT 0.090000  0.085000 0.365000 0.905000 ;
-      RECT 0.090000  1.455000 1.205000 1.665000 ;
-      RECT 0.090000  1.665000 0.365000 2.465000 ;
-      RECT 0.535000  1.835000 0.865000 2.635000 ;
-      RECT 1.035000  0.085000 1.205000 0.555000 ;
-      RECT 1.035000  1.665000 1.205000 2.295000 ;
-      RECT 1.035000  2.295000 2.175000 2.465000 ;
-      RECT 1.875000  0.085000 2.165000 0.555000 ;
-      RECT 1.875000  1.835000 2.175000 2.295000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nor2_2
-MACRO sky130_fd_sc_hd__nor2_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nor2_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.380000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.945000 1.075000 1.295000 1.325000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.435000 1.325000 ;
-    END
-  END B
-  PIN Y
-    ANTENNADIFFAREA  0.435500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 1.495000 0.775000 1.665000 ;
-        RECT 0.095000 1.665000 0.425000 2.450000 ;
-        RECT 0.515000 0.255000 0.845000 0.895000 ;
-        RECT 0.605000 0.895000 0.775000 1.495000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.380000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 1.570000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.380000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.380000 0.085000 ;
-      RECT 0.000000  2.635000 1.380000 2.805000 ;
-      RECT 0.105000  0.085000 0.345000 0.895000 ;
-      RECT 0.955000  1.495000 1.285000 2.635000 ;
-      RECT 1.015000  0.085000 1.285000 0.895000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nor2_1
-MACRO sky130_fd_sc_hd__macro_sparecell
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__macro_sparecell ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  13.34000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN LO
-    ANTENNAGATEAREA  1.980000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.215000 1.075000 4.965000 1.325000 ;
-      LAYER mcon ;
-        RECT 4.775000 1.105000 4.945000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 5.135000 1.075000 5.895000 1.325000 ;
-      LAYER mcon ;
-        RECT 5.705000 1.105000 5.875000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 6.755000 0.915000 7.275000 2.465000 ;
-      LAYER mcon ;
-        RECT 6.765000 1.105000 6.935000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 7.445000 1.075000 8.205000 1.325000 ;
-      LAYER mcon ;
-        RECT 7.625000 1.105000 7.795000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 8.375000 1.075000 9.125000 1.325000 ;
-      LAYER mcon ;
-        RECT 8.485000 1.105000 8.655000 1.275000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 4.715000 1.075000 5.005000 1.120000 ;
-        RECT 4.715000 1.120000 8.715000 1.260000 ;
-        RECT 4.715000 1.260000 5.005000 1.305000 ;
-        RECT 5.645000 1.075000 5.935000 1.120000 ;
-        RECT 5.645000 1.260000 5.935000 1.305000 ;
-        RECT 6.705000 1.075000 6.995000 1.120000 ;
-        RECT 6.705000 1.260000 6.995000 1.305000 ;
-        RECT 7.565000 1.075000 7.855000 1.120000 ;
-        RECT 7.565000 1.260000 7.855000 1.305000 ;
-        RECT 8.425000 1.075000 8.715000 1.120000 ;
-        RECT 8.425000 1.260000 8.715000 1.305000 ;
-    END
-  END LO
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER li1 ;
-        RECT  0.000000 -0.085000 13.340000 0.085000 ;
-        RECT  0.145000  0.085000  0.355000 0.905000 ;
-        RECT  1.025000  0.085000  1.255000 0.905000 ;
-        RECT  1.515000  0.085000  1.805000 0.555000 ;
-        RECT  2.475000  0.085000  2.645000 0.555000 ;
-        RECT  3.315000  0.085000  3.590000 0.905000 ;
-        RECT  5.215000  0.085000  5.385000 0.545000 ;
-        RECT  6.755000  0.085000  7.095000 0.745000 ;
-        RECT  7.955000  0.085000  8.125000 0.545000 ;
-        RECT  9.750000  0.085000 10.025000 0.905000 ;
-        RECT 10.695000  0.085000 10.865000 0.555000 ;
-        RECT 11.535000  0.085000 11.825000 0.555000 ;
-        RECT 12.085000  0.085000 12.315000 0.905000 ;
-        RECT 12.985000  0.085000 13.195000 0.905000 ;
-      LAYER mcon ;
-        RECT  0.145000 -0.085000  0.315000 0.085000 ;
-        RECT  0.605000 -0.085000  0.775000 0.085000 ;
-        RECT  1.065000 -0.085000  1.235000 0.085000 ;
-        RECT  1.525000 -0.085000  1.695000 0.085000 ;
-        RECT  1.985000 -0.085000  2.155000 0.085000 ;
-        RECT  2.445000 -0.085000  2.615000 0.085000 ;
-        RECT  2.905000 -0.085000  3.075000 0.085000 ;
-        RECT  3.365000 -0.085000  3.535000 0.085000 ;
-        RECT  3.825000 -0.085000  3.995000 0.085000 ;
-        RECT  4.285000 -0.085000  4.455000 0.085000 ;
-        RECT  4.745000 -0.085000  4.915000 0.085000 ;
-        RECT  5.205000 -0.085000  5.375000 0.085000 ;
-        RECT  5.665000 -0.085000  5.835000 0.085000 ;
-        RECT  6.125000 -0.085000  6.295000 0.085000 ;
-        RECT  6.585000 -0.085000  6.755000 0.085000 ;
-        RECT  7.045000 -0.085000  7.215000 0.085000 ;
-        RECT  7.505000 -0.085000  7.675000 0.085000 ;
-        RECT  7.965000 -0.085000  8.135000 0.085000 ;
-        RECT  8.425000 -0.085000  8.595000 0.085000 ;
-        RECT  8.885000 -0.085000  9.055000 0.085000 ;
-        RECT  9.345000 -0.085000  9.515000 0.085000 ;
-        RECT  9.805000 -0.085000  9.975000 0.085000 ;
-        RECT 10.265000 -0.085000 10.435000 0.085000 ;
-        RECT 10.725000 -0.085000 10.895000 0.085000 ;
-        RECT 11.185000 -0.085000 11.355000 0.085000 ;
-        RECT 11.645000 -0.085000 11.815000 0.085000 ;
-        RECT 12.105000 -0.085000 12.275000 0.085000 ;
-        RECT 12.565000 -0.085000 12.735000 0.085000 ;
-        RECT 13.025000 -0.085000 13.195000 0.085000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 13.340000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 13.530000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT  0.000000 2.635000 13.340000 2.805000 ;
-        RECT  0.145000 1.495000  0.355000 2.635000 ;
-        RECT  1.025000 1.495000  1.255000 2.635000 ;
-        RECT  2.815000 1.835000  3.145000 2.635000 ;
-        RECT  3.870000 1.835000  4.125000 2.635000 ;
-        RECT  4.795000 1.835000  4.965000 2.635000 ;
-        RECT  5.635000 1.495000  5.895000 2.635000 ;
-        RECT  6.255000 1.910000  6.585000 2.635000 ;
-        RECT  7.445000 1.495000  7.705000 2.635000 ;
-        RECT  8.375000 1.835000  8.545000 2.635000 ;
-        RECT  9.215000 1.835000  9.470000 2.635000 ;
-        RECT 10.195000 1.835000 10.525000 2.635000 ;
-        RECT 12.085000 1.495000 12.315000 2.635000 ;
-        RECT 12.985000 1.495000 13.195000 2.635000 ;
-      LAYER mcon ;
-        RECT  0.145000 2.635000  0.315000 2.805000 ;
-        RECT  0.605000 2.635000  0.775000 2.805000 ;
-        RECT  1.065000 2.635000  1.235000 2.805000 ;
-        RECT  1.525000 2.635000  1.695000 2.805000 ;
-        RECT  1.985000 2.635000  2.155000 2.805000 ;
-        RECT  2.445000 2.635000  2.615000 2.805000 ;
-        RECT  2.905000 2.635000  3.075000 2.805000 ;
-        RECT  3.365000 2.635000  3.535000 2.805000 ;
-        RECT  3.825000 2.635000  3.995000 2.805000 ;
-        RECT  4.285000 2.635000  4.455000 2.805000 ;
-        RECT  4.745000 2.635000  4.915000 2.805000 ;
-        RECT  5.205000 2.635000  5.375000 2.805000 ;
-        RECT  5.665000 2.635000  5.835000 2.805000 ;
-        RECT  6.125000 2.635000  6.295000 2.805000 ;
-        RECT  6.585000 2.635000  6.755000 2.805000 ;
-        RECT  7.045000 2.635000  7.215000 2.805000 ;
-        RECT  7.505000 2.635000  7.675000 2.805000 ;
-        RECT  7.965000 2.635000  8.135000 2.805000 ;
-        RECT  8.425000 2.635000  8.595000 2.805000 ;
-        RECT  8.885000 2.635000  9.055000 2.805000 ;
-        RECT  9.345000 2.635000  9.515000 2.805000 ;
-        RECT  9.805000 2.635000  9.975000 2.805000 ;
-        RECT 10.265000 2.635000 10.435000 2.805000 ;
-        RECT 10.725000 2.635000 10.895000 2.805000 ;
-        RECT 11.185000 2.635000 11.355000 2.805000 ;
-        RECT 11.645000 2.635000 11.815000 2.805000 ;
-        RECT 12.105000 2.635000 12.275000 2.805000 ;
-        RECT 12.565000 2.635000 12.735000 2.805000 ;
-        RECT 13.025000 2.635000 13.195000 2.805000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 13.340000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.525000 0.255000  0.855000 0.885000 ;
-      RECT  0.525000 0.885000  0.775000 1.485000 ;
-      RECT  0.525000 1.485000  0.855000 2.465000 ;
-      RECT  0.945000 1.075000  1.275000 1.325000 ;
-      RECT  1.505000 1.835000  1.805000 2.295000 ;
-      RECT  1.505000 2.295000  2.645000 2.465000 ;
-      RECT  1.545000 0.735000  3.145000 0.905000 ;
-      RECT  1.545000 0.905000  1.760000 1.445000 ;
-      RECT  1.545000 1.445000  2.305000 1.665000 ;
-      RECT  1.930000 1.075000  2.700000 1.275000 ;
-      RECT  1.975000 0.255000  2.305000 0.725000 ;
-      RECT  1.975000 0.725000  3.145000 0.735000 ;
-      RECT  1.975000 1.665000  2.305000 2.125000 ;
-      RECT  2.475000 1.455000  3.590000 1.665000 ;
-      RECT  2.475000 1.665000  2.645000 2.295000 ;
-      RECT  2.815000 0.255000  3.145000 0.725000 ;
-      RECT  2.870000 1.075000  3.590000 1.275000 ;
-      RECT  3.315000 1.665000  3.590000 2.465000 ;
-      RECT  3.765000 0.655000  4.625000 0.905000 ;
-      RECT  3.765000 0.905000  4.045000 1.495000 ;
-      RECT  3.765000 1.495000  5.465000 1.665000 ;
-      RECT  3.875000 0.255000  5.045000 0.465000 ;
-      RECT  3.875000 0.465000  4.205000 0.485000 ;
-      RECT  4.295000 1.665000  4.625000 2.465000 ;
-      RECT  4.795000 0.465000  5.045000 0.715000 ;
-      RECT  4.795000 0.715000  5.895000 0.885000 ;
-      RECT  5.135000 1.665000  5.465000 2.465000 ;
-      RECT  5.555000 0.255000  5.895000 0.715000 ;
-      RECT  6.065000 0.255000  6.585000 1.740000 ;
-      RECT  7.445000 0.255000  7.785000 0.715000 ;
-      RECT  7.445000 0.715000  8.545000 0.885000 ;
-      RECT  7.875000 1.495000  9.575000 1.665000 ;
-      RECT  7.875000 1.665000  8.205000 2.465000 ;
-      RECT  8.295000 0.255000  9.465000 0.465000 ;
-      RECT  8.295000 0.465000  8.545000 0.715000 ;
-      RECT  8.715000 0.655000  9.575000 0.905000 ;
-      RECT  8.715000 1.665000  9.045000 2.465000 ;
-      RECT  9.135000 0.465000  9.465000 0.485000 ;
-      RECT  9.295000 0.905000  9.575000 1.495000 ;
-      RECT  9.750000 1.075000 10.470000 1.275000 ;
-      RECT  9.750000 1.455000 10.865000 1.665000 ;
-      RECT  9.750000 1.665000 10.025000 2.465000 ;
-      RECT 10.195000 0.255000 10.525000 0.725000 ;
-      RECT 10.195000 0.725000 11.365000 0.735000 ;
-      RECT 10.195000 0.735000 11.795000 0.905000 ;
-      RECT 10.640000 1.075000 11.410000 1.275000 ;
-      RECT 10.695000 1.665000 10.865000 2.295000 ;
-      RECT 10.695000 2.295000 11.835000 2.465000 ;
-      RECT 11.035000 0.255000 11.365000 0.725000 ;
-      RECT 11.035000 1.445000 11.795000 1.665000 ;
-      RECT 11.035000 1.665000 11.365000 2.125000 ;
-      RECT 11.535000 1.835000 11.835000 2.295000 ;
-      RECT 11.580000 0.905000 11.795000 1.445000 ;
-      RECT 12.065000 1.075000 12.395000 1.325000 ;
-      RECT 12.485000 0.255000 12.815000 0.885000 ;
-      RECT 12.485000 1.485000 12.815000 2.465000 ;
-      RECT 12.565000 0.885000 12.815000 1.485000 ;
-    LAYER mcon ;
-      RECT  0.565000 1.105000  0.735000 1.275000 ;
-      RECT  1.085000 1.105000  1.255000 1.275000 ;
-      RECT  1.570000 1.105000  1.740000 1.275000 ;
-      RECT  2.100000 1.105000  2.270000 1.275000 ;
-      RECT  2.960000 1.105000  3.130000 1.275000 ;
-      RECT  3.820000 1.105000  3.990000 1.275000 ;
-      RECT  9.345000 1.105000  9.515000 1.275000 ;
-      RECT 10.205000 1.105000 10.375000 1.275000 ;
-      RECT 11.065000 1.105000 11.235000 1.275000 ;
-      RECT 11.605000 1.105000 11.775000 1.275000 ;
-      RECT 12.090000 1.105000 12.260000 1.275000 ;
-      RECT 12.605000 1.105000 12.775000 1.275000 ;
-    LAYER met1 ;
-      RECT  0.505000 1.075000  0.875000 1.305000 ;
-      RECT  1.025000 1.075000  1.315000 1.120000 ;
-      RECT  1.025000 1.120000  1.800000 1.260000 ;
-      RECT  1.025000 1.260000  1.315000 1.305000 ;
-      RECT  1.510000 1.075000  1.800000 1.120000 ;
-      RECT  1.510000 1.260000  1.800000 1.305000 ;
-      RECT  2.040000 1.075000  2.330000 1.120000 ;
-      RECT  2.040000 1.120000  4.050000 1.260000 ;
-      RECT  2.040000 1.260000  2.330000 1.305000 ;
-      RECT  2.900000 1.075000  3.190000 1.120000 ;
-      RECT  2.900000 1.260000  3.190000 1.305000 ;
-      RECT  3.760000 1.075000  4.050000 1.120000 ;
-      RECT  3.760000 1.260000  4.050000 1.305000 ;
-      RECT  9.285000 1.075000  9.575000 1.120000 ;
-      RECT  9.285000 1.120000 11.295000 1.260000 ;
-      RECT  9.285000 1.260000  9.575000 1.305000 ;
-      RECT 10.145000 1.075000 10.435000 1.120000 ;
-      RECT 10.145000 1.260000 10.435000 1.305000 ;
-      RECT 11.005000 1.075000 11.295000 1.120000 ;
-      RECT 11.005000 1.260000 11.295000 1.305000 ;
-      RECT 11.545000 1.075000 11.835000 1.120000 ;
-      RECT 11.545000 1.120000 12.320000 1.260000 ;
-      RECT 11.545000 1.260000 11.835000 1.305000 ;
-      RECT 12.030000 1.075000 12.320000 1.120000 ;
-      RECT 12.030000 1.260000 12.320000 1.305000 ;
-      RECT 12.470000 1.075000 12.835000 1.305000 ;
-    LAYER pwell ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  3.360000 -0.085000  3.530000 0.085000 ;
-      RECT  5.660000 -0.085000  5.830000 0.085000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  7.510000 -0.085000  7.680000 0.085000 ;
-      RECT  9.810000 -0.085000  9.980000 0.085000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-  END
-END sky130_fd_sc_hd__macro_sparecell
-MACRO sky130_fd_sc_hd__conb_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__conb_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.380000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN HI
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.255000 0.605000 1.740000 ;
-    END
-  END HI
-  PIN LO
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.775000 0.915000 1.295000 2.465000 ;
-    END
-  END LO
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.380000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 1.570000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.380000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.380000 0.085000 ;
-      RECT 0.000000  2.635000 1.380000 2.805000 ;
-      RECT 0.275000  1.910000 0.605000 2.635000 ;
-      RECT 0.775000  0.085000 1.115000 0.745000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-  END
-END sky130_fd_sc_hd__conb_1
-MACRO sky130_fd_sc_hd__tapvgnd_1
-  CLASS CORE WELLTAP ;
-  FOREIGN sky130_fd_sc_hd__tapvgnd_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  0.460000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 0.460000 0.240000 ;
-      LAYER pwell ;
-        RECT 0.145000 0.320000 0.315000 0.845000 ;
-    END
-  END VGND
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.085000 2.095000 0.375000 2.325000 ;
-      LAYER nwell ;
-        RECT -0.190000 1.305000 0.650000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 0.460000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 0.460000 0.085000 ;
-      RECT 0.000000  2.635000 0.460000 2.805000 ;
-      RECT 0.085000  0.085000 0.375000 0.810000 ;
-      RECT 0.085000  1.470000 0.375000 2.455000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.125000 0.315000 2.295000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-  END
-END sky130_fd_sc_hd__tapvgnd_1
-MACRO sky130_fd_sc_hd__dlymetal6s6s_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlymetal6s6s_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.600000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.575000 1.700000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.080000 0.255000 4.515000 0.825000 ;
-        RECT 4.080000 1.495000 4.515000 2.465000 ;
-        RECT 4.155000 0.825000 4.515000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.600000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.125000 -0.085000 0.295000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.790000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.600000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.600000 0.085000 ;
-      RECT 0.000000  2.635000 4.600000 2.805000 ;
-      RECT 0.085000  0.255000 0.525000 0.655000 ;
-      RECT 0.085000  0.655000 1.080000 0.825000 ;
-      RECT 0.085000  1.870000 1.080000 2.040000 ;
-      RECT 0.085000  2.040000 0.525000 2.465000 ;
-      RECT 0.695000  0.085000 1.080000 0.485000 ;
-      RECT 0.695000  2.210000 1.080000 2.635000 ;
-      RECT 0.745000  0.825000 1.080000 0.995000 ;
-      RECT 0.745000  0.995000 1.155000 1.325000 ;
-      RECT 0.745000  1.325000 1.080000 1.870000 ;
-      RECT 1.250000  0.255000 1.520000 0.825000 ;
-      RECT 1.250000  1.495000 1.975000 1.675000 ;
-      RECT 1.250000  1.675000 1.520000 2.465000 ;
-      RECT 1.325000  0.825000 1.520000 0.995000 ;
-      RECT 1.325000  0.995000 1.975000 1.495000 ;
-      RECT 1.690000  0.255000 1.940000 0.655000 ;
-      RECT 1.690000  0.655000 2.495000 0.825000 ;
-      RECT 1.690000  1.845000 2.495000 2.040000 ;
-      RECT 1.690000  2.040000 1.940000 2.465000 ;
-      RECT 2.110000  0.085000 2.495000 0.485000 ;
-      RECT 2.110000  2.210000 2.495000 2.635000 ;
-      RECT 2.145000  0.825000 2.495000 0.995000 ;
-      RECT 2.145000  0.995000 2.570000 1.325000 ;
-      RECT 2.145000  1.325000 2.495000 1.845000 ;
-      RECT 2.665000  0.255000 2.915000 0.825000 ;
-      RECT 2.665000  1.495000 3.390000 1.675000 ;
-      RECT 2.665000  1.675000 2.915000 2.465000 ;
-      RECT 2.740000  0.825000 2.915000 0.995000 ;
-      RECT 2.740000  0.995000 3.390000 1.495000 ;
-      RECT 3.085000  0.255000 3.355000 0.655000 ;
-      RECT 3.085000  0.655000 3.910000 0.825000 ;
-      RECT 3.085000  1.845000 3.910000 2.040000 ;
-      RECT 3.085000  2.040000 3.355000 2.465000 ;
-      RECT 3.525000  0.085000 3.910000 0.485000 ;
-      RECT 3.525000  2.210000 3.910000 2.635000 ;
-      RECT 3.560000  0.825000 3.910000 0.995000 ;
-      RECT 3.560000  0.995000 3.985000 1.325000 ;
-      RECT 3.560000  1.325000 3.910000 1.845000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-  END
-END sky130_fd_sc_hd__dlymetal6s6s_1
-MACRO sky130_fd_sc_hd__dfxtp_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dfxtp_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.820000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.370000 0.715000 1.650000 1.665000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.885000 1.495000 7.275000 1.575000 ;
-        RECT 6.885000 1.575000 7.215000 2.420000 ;
-        RECT 6.895000 0.305000 7.225000 0.740000 ;
-        RECT 6.895000 0.740000 7.275000 0.825000 ;
-        RECT 7.050000 0.825000 7.275000 0.865000 ;
-        RECT 7.060000 1.445000 7.275000 1.495000 ;
-        RECT 7.105000 0.865000 7.275000 1.445000 ;
-    END
-  END Q
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.975000 0.440000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.820000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.010000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.820000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.820000 0.085000 ;
-      RECT 0.000000  2.635000 7.820000 2.805000 ;
-      RECT 0.175000  0.345000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 0.840000 0.805000 ;
-      RECT 0.175000  1.795000 0.840000 1.965000 ;
-      RECT 0.175000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.840000 1.795000 ;
-      RECT 1.015000  0.345000 1.200000 2.465000 ;
-      RECT 1.440000  2.175000 1.705000 2.635000 ;
-      RECT 1.455000  0.085000 1.705000 0.545000 ;
-      RECT 1.820000  0.675000 2.045000 0.805000 ;
-      RECT 1.820000  0.805000 1.990000 1.910000 ;
-      RECT 1.820000  1.910000 2.125000 2.040000 ;
-      RECT 1.875000  0.365000 2.210000 0.535000 ;
-      RECT 1.875000  0.535000 2.045000 0.675000 ;
-      RECT 1.875000  2.040000 2.125000 2.465000 ;
-      RECT 2.160000  1.125000 2.400000 1.720000 ;
-      RECT 2.215000  0.735000 2.740000 0.955000 ;
-      RECT 2.335000  2.190000 3.440000 2.360000 ;
-      RECT 2.405000  0.365000 3.080000 0.535000 ;
-      RECT 2.570000  0.955000 2.740000 1.655000 ;
-      RECT 2.570000  1.655000 3.100000 2.020000 ;
-      RECT 2.910000  0.535000 3.080000 1.315000 ;
-      RECT 2.910000  1.315000 3.780000 1.485000 ;
-      RECT 3.270000  1.485000 3.780000 1.575000 ;
-      RECT 3.270000  1.575000 3.440000 2.190000 ;
-      RECT 3.290000  0.765000 4.120000 1.065000 ;
-      RECT 3.290000  1.065000 3.490000 1.095000 ;
-      RECT 3.400000  0.085000 3.770000 0.585000 ;
-      RECT 3.610000  1.245000 3.780000 1.315000 ;
-      RECT 3.610000  1.835000 3.780000 2.635000 ;
-      RECT 3.950000  0.365000 4.355000 0.535000 ;
-      RECT 3.950000  0.535000 4.120000 0.765000 ;
-      RECT 3.950000  1.065000 4.120000 2.135000 ;
-      RECT 3.950000  2.135000 4.200000 2.465000 ;
-      RECT 4.290000  1.245000 4.480000 1.965000 ;
-      RECT 4.425000  2.165000 5.310000 2.335000 ;
-      RECT 4.505000  0.705000 4.970000 1.035000 ;
-      RECT 4.525000  0.365000 5.310000 0.535000 ;
-      RECT 4.650000  1.035000 4.970000 1.995000 ;
-      RECT 5.140000  0.535000 5.310000 0.995000 ;
-      RECT 5.140000  0.995000 6.015000 1.325000 ;
-      RECT 5.140000  1.325000 5.310000 2.165000 ;
-      RECT 5.480000  1.530000 6.375000 1.905000 ;
-      RECT 5.490000  2.135000 5.805000 2.635000 ;
-      RECT 5.585000  0.085000 5.795000 0.615000 ;
-      RECT 6.035000  1.905000 6.375000 2.465000 ;
-      RECT 6.055000  0.300000 6.385000 0.825000 ;
-      RECT 6.185000  0.825000 6.385000 0.995000 ;
-      RECT 6.185000  0.995000 6.935000 1.325000 ;
-      RECT 6.185000  1.325000 6.375000 1.530000 ;
-      RECT 6.545000  1.625000 6.715000 2.635000 ;
-      RECT 6.555000  0.085000 6.725000 0.695000 ;
-      RECT 7.385000  1.720000 7.555000 2.635000 ;
-      RECT 7.395000  0.085000 7.565000 0.600000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.630000  1.785000 0.800000 1.955000 ;
-      RECT 1.025000  1.445000 1.195000 1.615000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.215000  1.445000 2.385000 1.615000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.730000  1.785000 2.900000 1.955000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.300000  1.785000 4.470000 1.955000 ;
-      RECT 4.735000  1.445000 4.905000 1.615000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.570000 1.755000 0.860000 1.800000 ;
-      RECT 0.570000 1.800000 4.530000 1.940000 ;
-      RECT 0.570000 1.940000 0.860000 1.985000 ;
-      RECT 0.965000 1.415000 1.255000 1.460000 ;
-      RECT 0.965000 1.460000 4.965000 1.600000 ;
-      RECT 0.965000 1.600000 1.255000 1.645000 ;
-      RECT 2.155000 1.415000 2.445000 1.460000 ;
-      RECT 2.155000 1.600000 2.445000 1.645000 ;
-      RECT 2.670000 1.755000 2.960000 1.800000 ;
-      RECT 2.670000 1.940000 2.960000 1.985000 ;
-      RECT 4.240000 1.755000 4.530000 1.800000 ;
-      RECT 4.240000 1.940000 4.530000 1.985000 ;
-      RECT 4.675000 1.415000 4.965000 1.460000 ;
-      RECT 4.675000 1.600000 4.965000 1.645000 ;
-  END
-END sky130_fd_sc_hd__dfxtp_2
-MACRO sky130_fd_sc_hd__dfxtp_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dfxtp_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  8.740000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.440000 1.065000 1.720000 1.665000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.985000 0.305000 7.320000 0.730000 ;
-        RECT 6.985000 0.730000 8.655000 0.900000 ;
-        RECT 6.985000 1.465000 8.655000 1.635000 ;
-        RECT 6.985000 1.635000 7.320000 2.395000 ;
-        RECT 7.840000 0.305000 8.175000 0.730000 ;
-        RECT 7.840000 1.635000 8.170000 2.395000 ;
-        RECT 8.410000 0.900000 8.655000 1.465000 ;
-    END
-  END Q
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.975000 0.440000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 8.740000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.930000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 8.740000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 8.740000 0.085000 ;
-      RECT 0.000000  2.635000 8.740000 2.805000 ;
-      RECT 0.175000  0.345000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 0.840000 0.805000 ;
-      RECT 0.175000  1.795000 0.840000 1.965000 ;
-      RECT 0.175000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.840000 1.795000 ;
-      RECT 1.015000  0.345000 1.240000 2.465000 ;
-      RECT 1.440000  2.175000 1.705000 2.635000 ;
-      RECT 1.455000  0.085000 1.705000 0.545000 ;
-      RECT 1.890000  0.365000 2.220000 0.535000 ;
-      RECT 1.890000  0.535000 2.060000 2.065000 ;
-      RECT 1.890000  2.065000 2.125000 2.440000 ;
-      RECT 2.230000  0.705000 2.810000 1.035000 ;
-      RECT 2.230000  1.035000 2.470000 1.905000 ;
-      RECT 2.370000  2.190000 3.440000 2.360000 ;
-      RECT 2.400000  0.365000 3.150000 0.535000 ;
-      RECT 2.660000  1.655000 3.100000 2.010000 ;
-      RECT 2.980000  0.535000 3.150000 1.315000 ;
-      RECT 2.980000  1.315000 3.780000 1.485000 ;
-      RECT 3.270000  1.485000 3.780000 1.575000 ;
-      RECT 3.270000  1.575000 3.440000 2.190000 ;
-      RECT 3.320000  0.765000 4.120000 1.065000 ;
-      RECT 3.320000  1.065000 3.490000 1.095000 ;
-      RECT 3.400000  0.085000 3.770000 0.585000 ;
-      RECT 3.610000  1.245000 3.780000 1.315000 ;
-      RECT 3.610000  1.835000 3.780000 2.635000 ;
-      RECT 3.950000  0.365000 4.410000 0.535000 ;
-      RECT 3.950000  0.535000 4.120000 0.765000 ;
-      RECT 3.950000  1.065000 4.120000 2.135000 ;
-      RECT 3.950000  2.135000 4.200000 2.465000 ;
-      RECT 4.290000  0.705000 4.840000 1.035000 ;
-      RECT 4.290000  1.245000 4.480000 1.965000 ;
-      RECT 4.425000  2.165000 5.310000 2.335000 ;
-      RECT 4.640000  0.365000 5.310000 0.535000 ;
-      RECT 4.650000  1.035000 4.840000 1.575000 ;
-      RECT 4.650000  1.575000 4.970000 1.905000 ;
-      RECT 5.140000  0.535000 5.310000 1.075000 ;
-      RECT 5.140000  1.075000 6.230000 1.245000 ;
-      RECT 5.140000  1.245000 5.310000 2.165000 ;
-      RECT 5.480000  1.500000 6.590000 1.670000 ;
-      RECT 5.480000  1.670000 6.340000 1.830000 ;
-      RECT 5.490000  2.135000 5.705000 2.635000 ;
-      RECT 5.625000  0.085000 5.795000 0.615000 ;
-      RECT 6.090000  0.295000 6.450000 0.735000 ;
-      RECT 6.090000  0.735000 6.590000 0.905000 ;
-      RECT 6.170000  1.830000 6.340000 2.455000 ;
-      RECT 6.420000  0.905000 6.590000 1.075000 ;
-      RECT 6.420000  1.075000 8.240000 1.245000 ;
-      RECT 6.420000  1.245000 6.590000 1.500000 ;
-      RECT 6.625000  0.085000 6.795000 0.565000 ;
-      RECT 6.625000  1.855000 6.805000 2.635000 ;
-      RECT 7.495000  0.085000 7.665000 0.560000 ;
-      RECT 7.500000  1.805000 7.670000 2.635000 ;
-      RECT 8.340000  1.805000 8.510000 2.635000 ;
-      RECT 8.345000  0.085000 8.515000 0.560000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.610000  1.785000 0.780000 1.955000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.070000  0.765000 1.240000 0.935000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.470000  0.765000 2.640000 0.935000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 2.930000  1.785000 3.100000 1.955000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.310000  0.765000 4.480000 0.935000 ;
-      RECT 4.310000  1.785000 4.480000 1.955000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.755000 0.840000 1.800000 ;
-      RECT 0.550000 1.800000 4.540000 1.940000 ;
-      RECT 0.550000 1.940000 0.840000 1.985000 ;
-      RECT 1.010000 0.735000 1.300000 0.780000 ;
-      RECT 1.010000 0.780000 4.540000 0.920000 ;
-      RECT 1.010000 0.920000 1.300000 0.965000 ;
-      RECT 2.410000 0.735000 2.700000 0.780000 ;
-      RECT 2.410000 0.920000 2.700000 0.965000 ;
-      RECT 2.870000 1.755000 3.160000 1.800000 ;
-      RECT 2.870000 1.940000 3.160000 1.985000 ;
-      RECT 4.250000 0.735000 4.540000 0.780000 ;
-      RECT 4.250000 0.920000 4.540000 0.965000 ;
-      RECT 4.250000 1.755000 4.540000 1.800000 ;
-      RECT 4.250000 1.940000 4.540000 1.985000 ;
-  END
-END sky130_fd_sc_hd__dfxtp_4
-MACRO sky130_fd_sc_hd__dfxtp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dfxtp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.360000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.370000 0.715000 1.650000 1.665000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.885000 1.495000 7.275000 1.575000 ;
-        RECT 6.885000 1.575000 7.215000 2.420000 ;
-        RECT 6.895000 0.305000 7.225000 0.740000 ;
-        RECT 6.895000 0.740000 7.275000 0.825000 ;
-        RECT 7.050000 0.825000 7.275000 0.865000 ;
-        RECT 7.060000 1.445000 7.275000 1.495000 ;
-        RECT 7.105000 0.865000 7.275000 1.445000 ;
-    END
-  END Q
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.975000 0.440000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.360000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.550000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.360000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.360000 0.085000 ;
-      RECT 0.000000  2.635000 7.360000 2.805000 ;
-      RECT 0.175000  0.345000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 0.840000 0.805000 ;
-      RECT 0.175000  1.795000 0.840000 1.965000 ;
-      RECT 0.175000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.840000 1.795000 ;
-      RECT 1.015000  0.345000 1.200000 2.465000 ;
-      RECT 1.440000  2.175000 1.705000 2.635000 ;
-      RECT 1.455000  0.085000 1.705000 0.545000 ;
-      RECT 1.820000  0.675000 2.045000 0.805000 ;
-      RECT 1.820000  0.805000 1.990000 1.910000 ;
-      RECT 1.820000  1.910000 2.125000 2.040000 ;
-      RECT 1.875000  0.365000 2.210000 0.535000 ;
-      RECT 1.875000  0.535000 2.045000 0.675000 ;
-      RECT 1.875000  2.040000 2.125000 2.465000 ;
-      RECT 2.160000  1.125000 2.400000 1.720000 ;
-      RECT 2.215000  0.735000 2.740000 0.955000 ;
-      RECT 2.335000  2.190000 3.440000 2.360000 ;
-      RECT 2.405000  0.365000 3.080000 0.535000 ;
-      RECT 2.570000  0.955000 2.740000 1.655000 ;
-      RECT 2.570000  1.655000 3.100000 2.020000 ;
-      RECT 2.910000  0.535000 3.080000 1.315000 ;
-      RECT 2.910000  1.315000 3.780000 1.485000 ;
-      RECT 3.270000  1.485000 3.780000 1.575000 ;
-      RECT 3.270000  1.575000 3.440000 2.190000 ;
-      RECT 3.290000  0.765000 4.120000 1.065000 ;
-      RECT 3.290000  1.065000 3.490000 1.095000 ;
-      RECT 3.400000  0.085000 3.770000 0.585000 ;
-      RECT 3.610000  1.245000 3.780000 1.315000 ;
-      RECT 3.610000  1.835000 3.780000 2.635000 ;
-      RECT 3.950000  0.365000 4.355000 0.535000 ;
-      RECT 3.950000  0.535000 4.120000 0.765000 ;
-      RECT 3.950000  1.065000 4.120000 2.135000 ;
-      RECT 3.950000  2.135000 4.200000 2.465000 ;
-      RECT 4.290000  1.245000 4.480000 1.965000 ;
-      RECT 4.425000  2.165000 5.310000 2.335000 ;
-      RECT 4.505000  0.705000 4.970000 1.035000 ;
-      RECT 4.525000  0.365000 5.310000 0.535000 ;
-      RECT 4.650000  1.035000 4.970000 1.995000 ;
-      RECT 5.140000  0.535000 5.310000 0.995000 ;
-      RECT 5.140000  0.995000 6.015000 1.325000 ;
-      RECT 5.140000  1.325000 5.310000 2.165000 ;
-      RECT 5.480000  1.530000 6.375000 1.905000 ;
-      RECT 5.490000  2.135000 5.805000 2.635000 ;
-      RECT 5.585000  0.085000 5.795000 0.615000 ;
-      RECT 6.035000  1.905000 6.375000 2.465000 ;
-      RECT 6.055000  0.300000 6.385000 0.825000 ;
-      RECT 6.185000  0.825000 6.385000 0.995000 ;
-      RECT 6.185000  0.995000 6.935000 1.325000 ;
-      RECT 6.185000  1.325000 6.375000 1.530000 ;
-      RECT 6.545000  1.625000 6.715000 2.635000 ;
-      RECT 6.555000  0.085000 6.725000 0.695000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.630000  1.785000 0.800000 1.955000 ;
-      RECT 1.025000  1.445000 1.195000 1.615000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.215000  1.445000 2.385000 1.615000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.730000  1.785000 2.900000 1.955000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.300000  1.785000 4.470000 1.955000 ;
-      RECT 4.735000  1.445000 4.905000 1.615000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.570000 1.755000 0.860000 1.800000 ;
-      RECT 0.570000 1.800000 4.530000 1.940000 ;
-      RECT 0.570000 1.940000 0.860000 1.985000 ;
-      RECT 0.965000 1.415000 1.255000 1.460000 ;
-      RECT 0.965000 1.460000 4.965000 1.600000 ;
-      RECT 0.965000 1.600000 1.255000 1.645000 ;
-      RECT 2.155000 1.415000 2.445000 1.460000 ;
-      RECT 2.155000 1.600000 2.445000 1.645000 ;
-      RECT 2.670000 1.755000 2.960000 1.800000 ;
-      RECT 2.670000 1.940000 2.960000 1.985000 ;
-      RECT 4.240000 1.755000 4.530000 1.800000 ;
-      RECT 4.240000 1.940000 4.530000 1.985000 ;
-      RECT 4.675000 1.415000 4.965000 1.460000 ;
-      RECT 4.675000 1.600000 4.965000 1.645000 ;
-  END
-END sky130_fd_sc_hd__dfxtp_1
-MACRO sky130_fd_sc_hd__or4_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__or4_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.490000 0.995000 1.895000 1.325000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 2.125000 1.745000 2.415000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.610000 0.995000 1.320000 1.615000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.755000 0.440000 1.325000 ;
-    END
-  END D
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.405000 0.415000 2.680000 0.760000 ;
-        RECT 2.405000 1.495000 2.680000 2.465000 ;
-        RECT 2.510000 0.760000 2.680000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.085000  1.495000 0.410000 1.785000 ;
-      RECT 0.085000  1.785000 1.680000 1.955000 ;
-      RECT 0.090000  0.085000 0.425000 0.585000 ;
-      RECT 0.625000  0.305000 0.795000 0.655000 ;
-      RECT 0.625000  0.655000 2.235000 0.825000 ;
-      RECT 0.995000  0.085000 1.325000 0.485000 ;
-      RECT 1.495000  0.305000 1.665000 0.655000 ;
-      RECT 1.510000  1.495000 2.235000 1.665000 ;
-      RECT 1.510000  1.665000 1.680000 1.785000 ;
-      RECT 1.835000  0.085000 2.215000 0.485000 ;
-      RECT 1.915000  1.835000 2.195000 2.635000 ;
-      RECT 2.065000  0.825000 2.235000 0.995000 ;
-      RECT 2.065000  0.995000 2.340000 1.325000 ;
-      RECT 2.065000  1.325000 2.235000 1.495000 ;
-      RECT 2.850000  0.085000 3.020000 1.000000 ;
-      RECT 2.850000  1.455000 3.020000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__or4_2
-MACRO sky130_fd_sc_hd__or4_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__or4_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.840000 0.995000 2.010000 1.445000 ;
-        RECT 1.840000 1.445000 2.275000 1.615000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.280000 0.995000 1.610000 1.450000 ;
-        RECT 1.400000 1.450000 1.610000 1.785000 ;
-        RECT 1.400000 1.785000 1.720000 2.375000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.880000 0.995000 1.050000 1.620000 ;
-        RECT 0.880000 1.620000 1.230000 2.375000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.755000 0.370000 1.325000 ;
-    END
-  END D
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.480000 1.455000 4.055000 1.625000 ;
-        RECT 2.480000 1.625000 2.730000 2.465000 ;
-        RECT 2.520000 0.255000 2.770000 0.725000 ;
-        RECT 2.520000 0.725000 4.055000 0.905000 ;
-        RECT 3.280000 0.255000 3.610000 0.725000 ;
-        RECT 3.320000 1.625000 3.570000 2.465000 ;
-        RECT 3.810000 0.905000 4.055000 1.455000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.140000 -0.085000 0.310000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.115000  1.495000 0.710000 1.665000 ;
-      RECT 0.115000  1.665000 0.450000 2.450000 ;
-      RECT 0.120000  0.085000 0.370000 0.585000 ;
-      RECT 0.540000  0.655000 2.350000 0.825000 ;
-      RECT 0.540000  0.825000 0.710000 1.495000 ;
-      RECT 0.700000  0.305000 0.870000 0.655000 ;
-      RECT 1.070000  0.085000 1.400000 0.485000 ;
-      RECT 1.570000  0.305000 1.740000 0.655000 ;
-      RECT 1.960000  0.085000 2.340000 0.485000 ;
-      RECT 2.005000  1.795000 2.255000 2.635000 ;
-      RECT 2.180000  0.825000 2.350000 1.075000 ;
-      RECT 2.180000  1.075000 3.640000 1.245000 ;
-      RECT 2.900000  1.795000 3.150000 2.635000 ;
-      RECT 2.940000  0.085000 3.110000 0.555000 ;
-      RECT 3.740000  1.795000 3.990000 2.635000 ;
-      RECT 3.780000  0.085000 3.950000 0.555000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__or4_4
-MACRO sky130_fd_sc_hd__or4_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__or4_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.490000 0.995000 1.895000 1.325000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 2.125000 1.745000 2.415000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.610000 0.995000 1.320000 1.615000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.755000 0.440000 1.325000 ;
-    END
-  END D
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.405000 0.415000 2.675000 0.760000 ;
-        RECT 2.405000 1.495000 2.675000 2.465000 ;
-        RECT 2.505000 0.760000 2.675000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.090000  1.495000 0.410000 1.785000 ;
-      RECT 0.090000  1.785000 1.680000 1.955000 ;
-      RECT 0.095000  0.085000 0.425000 0.585000 ;
-      RECT 0.625000  0.305000 0.795000 0.655000 ;
-      RECT 0.625000  0.655000 2.235000 0.825000 ;
-      RECT 0.995000  0.085000 1.325000 0.485000 ;
-      RECT 1.495000  0.305000 1.665000 0.655000 ;
-      RECT 1.510000  1.495000 2.235000 1.665000 ;
-      RECT 1.510000  1.665000 1.680000 1.785000 ;
-      RECT 1.835000  0.085000 2.215000 0.485000 ;
-      RECT 1.915000  1.835000 2.195000 2.635000 ;
-      RECT 2.065000  0.825000 2.235000 0.995000 ;
-      RECT 2.065000  0.995000 2.335000 1.325000 ;
-      RECT 2.065000  1.325000 2.235000 1.495000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__or4_1
-MACRO sky130_fd_sc_hd__lpflow_isobufsrckapwr_16
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_isobufsrckapwr_16 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  14.26000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.615000 1.320000 ;
-    END
-  END A
-  PIN SLEEP
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.260000 1.075000 4.700000 1.275000 ;
-    END
-  END SLEEP
-  PIN X
-    ANTENNADIFFAREA  3.180800 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT  7.340000 0.280000  7.600000 0.735000 ;
-        RECT  7.340000 0.735000 14.085000 0.905000 ;
-        RECT  7.375000 1.495000 14.085000 1.720000 ;
-        RECT  7.375000 1.720000 12.745000 1.735000 ;
-        RECT  7.375000 1.735000  7.600000 2.460000 ;
-        RECT  8.200000 0.280000  8.460000 0.735000 ;
-        RECT  8.200000 1.735000  8.460000 2.460000 ;
-        RECT  9.060000 0.280000  9.320000 0.735000 ;
-        RECT  9.060000 1.735000  9.320000 2.460000 ;
-        RECT  9.905000 0.280000 10.180000 0.735000 ;
-        RECT  9.920000 1.735000 10.180000 2.460000 ;
-        RECT 10.765000 0.280000 11.025000 0.735000 ;
-        RECT 10.765000 1.735000 11.025000 2.460000 ;
-        RECT 11.625000 0.280000 11.885000 0.735000 ;
-        RECT 11.625000 1.735000 11.885000 2.460000 ;
-        RECT 12.485000 0.280000 12.745000 0.735000 ;
-        RECT 12.485000 1.735000 12.745000 2.460000 ;
-        RECT 12.920000 0.905000 14.085000 1.495000 ;
-        RECT 13.355000 0.280000 13.615000 0.735000 ;
-        RECT 13.355000 1.720000 13.645000 2.460000 ;
-    END
-  END X
-  PIN KAPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 10.350000 1.905000 10.595000 2.465000 ;
-      LAYER mcon ;
-        RECT 10.395000 2.125000 10.565000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 11.210000 1.905000 11.455000 2.465000 ;
-      LAYER mcon ;
-        RECT 11.255000 2.125000 11.425000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 12.070000 1.905000 12.315000 2.465000 ;
-      LAYER mcon ;
-        RECT 12.110000 2.125000 12.280000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 12.930000 1.905000 13.185000 2.465000 ;
-      LAYER mcon ;
-        RECT 12.960000 2.125000 13.130000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 13.815000 1.890000 14.085000 2.465000 ;
-      LAYER mcon ;
-        RECT 13.840000 2.125000 14.010000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 5.155000 1.495000 5.485000 2.465000 ;
-      LAYER mcon ;
-        RECT 5.235000 2.125000 5.405000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 6.015000 1.495000 6.345000 2.465000 ;
-      LAYER mcon ;
-        RECT 6.095000 2.125000 6.265000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 6.875000 1.495000 7.205000 2.465000 ;
-      LAYER mcon ;
-        RECT 6.950000 2.125000 7.120000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 7.770000 1.905000 8.030000 2.465000 ;
-      LAYER mcon ;
-        RECT 7.800000 2.125000 7.970000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 8.630000 1.905000 8.890000 2.465000 ;
-      LAYER mcon ;
-        RECT 8.680000 2.125000 8.850000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 9.490000 1.905000 9.750000 2.465000 ;
-      LAYER mcon ;
-        RECT 9.540000 2.125000 9.710000 2.295000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT  0.070000 2.140000 14.190000 2.340000 ;
-        RECT  5.175000 2.080000  5.465000 2.140000 ;
-        RECT  6.035000 2.080000  6.325000 2.140000 ;
-        RECT  6.890000 2.080000  7.180000 2.140000 ;
-        RECT  7.740000 2.080000  8.030000 2.140000 ;
-        RECT  8.620000 2.080000  8.910000 2.140000 ;
-        RECT  9.480000 2.080000  9.770000 2.140000 ;
-        RECT 10.335000 2.080000 10.625000 2.140000 ;
-        RECT 11.195000 2.080000 11.485000 2.140000 ;
-        RECT 12.050000 2.080000 12.340000 2.140000 ;
-        RECT 12.900000 2.080000 13.190000 2.140000 ;
-        RECT 13.780000 2.080000 14.070000 2.140000 ;
-    END
-  END KAPWR
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 14.260000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-    PORT
-      LAYER pwell ;
-        RECT 5.205000 -0.085000 5.375000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 14.450000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 14.260000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 14.260000 0.085000 ;
-      RECT  0.000000  2.635000 14.260000 2.805000 ;
-      RECT  0.130000  1.495000  0.535000 2.635000 ;
-      RECT  0.245000  0.085000  0.535000 0.905000 ;
-      RECT  0.705000  0.255000  1.035000 0.815000 ;
-      RECT  0.705000  1.575000  1.035000 2.465000 ;
-      RECT  0.785000  0.815000  1.035000 1.075000 ;
-      RECT  0.785000  1.075000  2.265000 1.275000 ;
-      RECT  0.785000  1.275000  1.035000 1.575000 ;
-      RECT  1.205000  1.575000  1.585000 2.295000 ;
-      RECT  1.205000  2.295000  3.265000 2.465000 ;
-      RECT  1.215000  0.085000  1.505000 0.905000 ;
-      RECT  1.675000  0.255000  2.005000 0.725000 ;
-      RECT  1.675000  0.725000  4.525000 0.905000 ;
-      RECT  1.755000  1.445000  2.765000 1.745000 ;
-      RECT  1.755000  1.745000  1.925000 2.125000 ;
-      RECT  2.095000  1.935000  2.425000 2.295000 ;
-      RECT  2.175000  0.085000  2.345000 0.555000 ;
-      RECT  2.435000  0.905000  3.095000 0.965000 ;
-      RECT  2.435000  0.965000  2.765000 1.445000 ;
-      RECT  2.515000  0.255000  2.845000 0.725000 ;
-      RECT  2.595000  1.745000  2.765000 2.125000 ;
-      RECT  2.935000  1.455000  4.975000 1.665000 ;
-      RECT  2.935000  1.665000  3.265000 2.295000 ;
-      RECT  3.015000  0.085000  3.185000 0.555000 ;
-      RECT  3.355000  0.255000  3.685000 0.725000 ;
-      RECT  3.435000  1.835000  3.685000 2.635000 ;
-      RECT  3.855000  0.085000  4.025000 0.555000 ;
-      RECT  3.855000  1.665000  4.025000 2.465000 ;
-      RECT  4.195000  0.255000  4.525000 0.725000 ;
-      RECT  4.195000  1.835000  4.525000 2.635000 ;
-      RECT  4.695000  0.085000  5.450000 0.565000 ;
-      RECT  4.695000  0.565000  4.975000 0.905000 ;
-      RECT  4.695000  1.665000  4.975000 2.465000 ;
-      RECT  5.145000  0.735000  5.460000 1.325000 ;
-      RECT  5.655000  0.265000  5.880000 1.075000 ;
-      RECT  5.655000  1.075000 12.750000 1.325000 ;
-      RECT  5.655000  1.325000  5.845000 2.465000 ;
-      RECT  6.050000  0.085000  6.310000 0.610000 ;
-      RECT  6.490000  0.265000  6.740000 1.075000 ;
-      RECT  6.515000  1.325000  6.705000 2.460000 ;
-      RECT  6.910000  0.085000  7.170000 0.645000 ;
-      RECT  7.770000  0.085000  8.030000 0.565000 ;
-      RECT  8.630000  0.085000  8.890000 0.565000 ;
-      RECT  9.490000  0.085000  9.735000 0.565000 ;
-      RECT 10.350000  0.085000 10.595000 0.565000 ;
-      RECT 11.205000  0.085000 11.455000 0.565000 ;
-      RECT 12.065000  0.085000 12.315000 0.565000 ;
-      RECT 12.925000  0.085000 13.185000 0.565000 ;
-      RECT 13.785000  0.085000 14.085000 0.565000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.525000  0.765000  2.695000 0.935000 ;
-      RECT  2.885000  0.765000  3.055000 0.935000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.210000  0.765000  5.380000 0.935000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-      RECT 12.105000  2.635000 12.275000 2.805000 ;
-      RECT 12.565000 -0.085000 12.735000 0.085000 ;
-      RECT 12.565000  2.635000 12.735000 2.805000 ;
-      RECT 13.025000 -0.085000 13.195000 0.085000 ;
-      RECT 13.025000  2.635000 13.195000 2.805000 ;
-      RECT 13.485000 -0.085000 13.655000 0.085000 ;
-      RECT 13.485000  2.635000 13.655000 2.805000 ;
-      RECT 13.945000 -0.085000 14.115000 0.085000 ;
-      RECT 13.945000  2.635000 14.115000 2.805000 ;
-    LAYER met1 ;
-      RECT 2.465000 0.735000 3.115000 0.780000 ;
-      RECT 2.465000 0.780000 5.440000 0.920000 ;
-      RECT 2.465000 0.920000 3.115000 0.965000 ;
-      RECT 5.150000 0.735000 5.440000 0.780000 ;
-      RECT 5.150000 0.920000 5.440000 0.965000 ;
-  END
-END sky130_fd_sc_hd__lpflow_isobufsrckapwr_16
-MACRO sky130_fd_sc_hd__decap_12
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__decap_12 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.520000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.520000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.710000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.520000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.520000 0.085000 ;
-      RECT 0.000000  2.635000 5.520000 2.805000 ;
-      RECT 0.085000  0.085000 5.430000 0.855000 ;
-      RECT 0.085000  0.855000 2.665000 1.375000 ;
-      RECT 0.085000  1.545000 5.430000 2.635000 ;
-      RECT 2.835000  1.025000 5.430000 1.545000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-  END
-END sky130_fd_sc_hd__decap_12
-MACRO sky130_fd_sc_hd__decap_3
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__decap_3 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.380000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.380000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 1.570000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.380000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.380000 0.085000 ;
-      RECT 0.000000  2.635000 1.380000 2.805000 ;
-      RECT 0.085000  0.085000 1.295000 0.835000 ;
-      RECT 0.085000  0.835000 0.605000 1.375000 ;
-      RECT 0.085000  1.545000 1.295000 2.635000 ;
-      RECT 0.775000  1.005000 1.295000 1.545000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-  END
-END sky130_fd_sc_hd__decap_3
-MACRO sky130_fd_sc_hd__decap_6
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__decap_6 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.085000  0.085000 2.675000 0.855000 ;
-      RECT 0.085000  0.855000 1.295000 1.375000 ;
-      RECT 0.085000  1.545000 2.675000 2.635000 ;
-      RECT 1.465000  1.025000 2.675000 1.545000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__decap_6
-MACRO sky130_fd_sc_hd__decap_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__decap_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.840000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.840000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.030000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.840000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.840000 0.085000 ;
-      RECT 0.000000  2.635000 1.840000 2.805000 ;
-      RECT 0.085000  0.085000 1.755000 0.855000 ;
-      RECT 0.085000  0.855000 0.835000 1.375000 ;
-      RECT 0.085000  1.545000 1.755000 2.635000 ;
-      RECT 1.005000  1.025000 1.755000 1.545000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-  END
-END sky130_fd_sc_hd__decap_4
-MACRO sky130_fd_sc_hd__decap_8
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__decap_8 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.085000  0.085000 3.595000 0.855000 ;
-      RECT 0.085000  0.855000 1.735000 1.375000 ;
-      RECT 0.085000  1.545000 3.595000 2.635000 ;
-      RECT 1.905000  1.025000 3.595000 1.545000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__decap_8
-MACRO sky130_fd_sc_hd__o22a_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o22a_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.095000 1.075000 3.590000 1.275000 ;
-        RECT 3.270000 1.275000 3.590000 1.615000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.595000 1.075000 2.925000 1.325000 ;
-        RECT 2.745000 1.325000 2.925000 1.445000 ;
-        RECT 2.745000 1.445000 3.100000 1.615000 ;
-        RECT 2.900000 1.615000 3.100000 2.405000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.435000 1.075000 1.790000 1.325000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.960000 1.075000 2.425000 1.325000 ;
-    END
-  END B2
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.590000 0.365000 0.805000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.130000 -0.085000 0.300000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.115000  1.445000 0.365000 2.635000 ;
-      RECT 0.185000  0.085000 0.355000 0.885000 ;
-      RECT 0.975000  0.715000 2.215000 0.895000 ;
-      RECT 0.975000  0.895000 1.255000 1.495000 ;
-      RECT 0.975000  1.495000 2.575000 1.705000 ;
-      RECT 0.995000  1.875000 1.795000 2.635000 ;
-      RECT 1.025000  0.085000 1.205000 0.545000 ;
-      RECT 1.465000  0.295000 2.730000 0.475000 ;
-      RECT 1.850000  0.645000 2.215000 0.715000 ;
-      RECT 2.190000  1.705000 2.575000 2.465000 ;
-      RECT 2.390000  0.475000 2.730000 0.695000 ;
-      RECT 2.390000  0.695000 3.590000 0.825000 ;
-      RECT 2.560000  0.825000 3.590000 0.865000 ;
-      RECT 2.915000  0.085000 3.085000 0.525000 ;
-      RECT 3.255000  0.280000 3.590000 0.695000 ;
-      RECT 3.270000  1.795000 3.590000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o22a_2
-MACRO sky130_fd_sc_hd__o22a_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o22a_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.670000 1.075000 3.135000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.165000 1.075000 2.495000 1.325000 ;
-        RECT 2.315000 1.325000 2.495000 1.445000 ;
-        RECT 2.315000 1.445000 2.645000 1.615000 ;
-        RECT 2.445000 1.615000 2.645000 2.405000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.980000 1.075000 1.335000 1.325000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.530000 1.075000 1.995000 1.325000 ;
-    END
-  END B2
-  PIN X
-    ANTENNADIFFAREA  0.449000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.365000 0.365000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.535000  0.715000 1.785000 0.895000 ;
-      RECT 0.535000  0.895000 0.810000 1.495000 ;
-      RECT 0.535000  1.495000 2.145000 1.705000 ;
-      RECT 0.555000  1.875000 1.340000 2.635000 ;
-      RECT 0.595000  0.085000 0.765000 0.545000 ;
-      RECT 1.035000  0.295000 2.285000 0.475000 ;
-      RECT 1.420000  0.645000 1.785000 0.715000 ;
-      RECT 1.735000  1.705000 2.145000 1.805000 ;
-      RECT 1.735000  1.805000 2.120000 2.465000 ;
-      RECT 1.955000  0.475000 2.285000 0.695000 ;
-      RECT 1.955000  0.695000 3.135000 0.865000 ;
-      RECT 2.455000  0.085000 2.625000 0.525000 ;
-      RECT 2.795000  0.280000 3.135000 0.695000 ;
-      RECT 2.815000  1.455000 3.135000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o22a_1
-MACRO sky130_fd_sc_hd__o22a_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o22a_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.440000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.350000 1.075000 4.680000 1.445000 ;
-        RECT 4.350000 1.445000 5.735000 1.615000 ;
-        RECT 5.565000 1.075000 6.355000 1.275000 ;
-        RECT 5.565000 1.275000 5.735000 1.445000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.900000 1.075000 5.395000 1.275000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.420000 1.075000 2.955000 1.445000 ;
-        RECT 2.420000 1.445000 4.180000 1.615000 ;
-        RECT 3.850000 1.075000 4.180000 1.445000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.125000 1.075000 3.680000 1.275000 ;
-    END
-  END B2
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.725000 1.770000 0.905000 ;
-        RECT 0.085000 0.905000 0.370000 1.445000 ;
-        RECT 0.085000 1.445000 1.730000 1.615000 ;
-        RECT 0.600000 0.265000 0.930000 0.725000 ;
-        RECT 0.640000 1.615000 0.890000 2.465000 ;
-        RECT 1.440000 0.255000 1.770000 0.725000 ;
-        RECT 1.480000 1.615000 1.730000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 6.440000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.630000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.440000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.440000 0.085000 ;
-      RECT 0.000000  2.635000 6.440000 2.805000 ;
-      RECT 0.220000  1.825000 0.470000 2.635000 ;
-      RECT 0.260000  0.085000 0.430000 0.555000 ;
-      RECT 0.540000  1.075000 2.230000 1.275000 ;
-      RECT 1.060000  1.795000 1.310000 2.635000 ;
-      RECT 1.100000  0.085000 1.270000 0.555000 ;
-      RECT 1.900000  1.275000 2.230000 1.785000 ;
-      RECT 1.900000  1.785000 5.270000 1.955000 ;
-      RECT 1.900000  2.125000 2.670000 2.635000 ;
-      RECT 1.940000  0.085000 2.110000 0.555000 ;
-      RECT 1.940000  0.735000 3.970000 0.905000 ;
-      RECT 1.940000  0.905000 2.230000 1.075000 ;
-      RECT 2.380000  0.255000 4.470000 0.475000 ;
-      RECT 2.415000  0.645000 3.970000 0.735000 ;
-      RECT 2.840000  2.125000 3.090000 2.295000 ;
-      RECT 2.840000  2.295000 3.930000 2.465000 ;
-      RECT 3.260000  1.955000 3.510000 2.125000 ;
-      RECT 3.680000  2.125000 3.930000 2.295000 ;
-      RECT 4.100000  2.125000 4.430000 2.635000 ;
-      RECT 4.140000  0.475000 4.470000 0.735000 ;
-      RECT 4.140000  0.735000 6.150000 0.905000 ;
-      RECT 4.600000  2.125000 4.850000 2.295000 ;
-      RECT 4.600000  2.295000 5.690000 2.465000 ;
-      RECT 4.640000  0.085000 4.810000 0.555000 ;
-      RECT 4.980000  0.255000 5.310000 0.725000 ;
-      RECT 4.980000  0.725000 6.150000 0.735000 ;
-      RECT 5.020000  1.955000 5.270000 2.125000 ;
-      RECT 5.440000  1.785000 5.690000 2.295000 ;
-      RECT 5.480000  0.085000 5.650000 0.555000 ;
-      RECT 5.820000  0.255000 6.150000 0.725000 ;
-      RECT 5.905000  1.455000 6.110000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o22a_4
-MACRO sky130_fd_sc_hd__sdfsbp_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sdfsbp_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  14.26000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.050000 0.765000 1.335000 1.675000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 13.410000 0.275000 13.740000 0.825000 ;
-        RECT 13.410000 1.495000 13.740000 2.450000 ;
-        RECT 13.515000 0.825000 13.740000 1.495000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 11.460000 0.255000 11.855000 2.465000 ;
-    END
-  END Q_N
-  PIN SCD
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.765000 0.340000 1.675000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.540000 0.765000 0.820000 1.675000 ;
-      LAYER mcon ;
-        RECT 0.605000 1.105000 0.775000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 2.405000 1.075000 2.735000 1.590000 ;
-      LAYER mcon ;
-        RECT 2.445000 1.105000 2.615000 1.275000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.545000 1.075000 0.835000 1.120000 ;
-        RECT 0.545000 1.120000 2.675000 1.260000 ;
-        RECT 0.545000 1.260000 0.835000 1.305000 ;
-        RECT 2.385000 1.075000 2.675000 1.120000 ;
-        RECT 2.385000 1.260000 2.675000 1.305000 ;
-    END
-  END SCE
-  PIN SET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.640000 1.445000 7.065000 1.765000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 8.880000 1.435000 9.115000 1.525000 ;
-        RECT 8.880000 1.525000 9.935000 1.725000 ;
-      LAYER mcon ;
-        RECT 8.940000 1.445000 9.110000 1.615000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 6.580000 1.415000 6.870000 1.460000 ;
-        RECT 6.580000 1.460000 9.170000 1.600000 ;
-        RECT 6.580000 1.600000 6.870000 1.645000 ;
-        RECT 8.880000 1.415000 9.170000 1.460000 ;
-        RECT 8.880000 1.600000 9.170000 1.645000 ;
-    END
-  END SET_B
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 2.905000 0.725000 3.100000 1.055000 ;
-        RECT 2.905000 1.055000 3.565000 1.615000 ;
-        RECT 2.905000 1.615000 3.100000 1.970000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 14.260000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 14.450000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 14.260000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 14.260000 0.085000 ;
-      RECT  0.000000  2.635000 14.260000 2.805000 ;
-      RECT  0.085000  0.085000  0.700000 0.595000 ;
-      RECT  0.085000  1.845000  1.185000 2.075000 ;
-      RECT  0.085000  2.075000  0.345000 2.465000 ;
-      RECT  0.515000  2.275000  0.845000 2.635000 ;
-      RECT  0.870000  0.255000  1.670000 0.595000 ;
-      RECT  1.015000  2.075000  1.185000 2.255000 ;
-      RECT  1.015000  2.255000  2.105000 2.465000 ;
-      RECT  1.355000  1.845000  1.695000 2.085000 ;
-      RECT  1.495000  0.595000  1.670000 0.645000 ;
-      RECT  1.495000  0.645000  1.695000 0.705000 ;
-      RECT  1.500000  0.705000  1.695000 0.720000 ;
-      RECT  1.505000  0.720000  1.695000 1.845000 ;
-      RECT  1.840000  0.085000  2.090000 0.545000 ;
-      RECT  1.980000  0.715000  2.530000 0.905000 ;
-      RECT  1.980000  0.905000  2.235000 1.760000 ;
-      RECT  1.980000  1.760000  2.535000 2.085000 ;
-      RECT  2.260000  0.255000  2.530000 0.715000 ;
-      RECT  2.275000  2.085000  2.535000 2.465000 ;
-      RECT  2.700000  0.085000  3.100000 0.555000 ;
-      RECT  2.705000  2.140000  3.100000 2.635000 ;
-      RECT  3.270000  0.255000  3.470000 0.715000 ;
-      RECT  3.270000  0.715000  3.995000 0.885000 ;
-      RECT  3.270000  1.830000  3.995000 2.000000 ;
-      RECT  3.270000  2.000000  3.475000 2.325000 ;
-      RECT  3.640000  0.085000  3.940000 0.545000 ;
-      RECT  3.645000  2.275000  3.975000 2.635000 ;
-      RECT  3.735000  0.885000  3.995000 1.830000 ;
-      RECT  4.110000  0.255000  4.335000 0.585000 ;
-      RECT  4.145000  2.135000  4.440000 2.465000 ;
-      RECT  4.165000  0.585000  4.335000 1.090000 ;
-      RECT  4.165000  1.090000  4.490000 1.420000 ;
-      RECT  4.165000  1.420000  4.440000 2.135000 ;
-      RECT  4.505000  0.255000  4.885000 0.920000 ;
-      RECT  4.665000  1.590000  4.970000 1.615000 ;
-      RECT  4.665000  1.615000  4.890000 2.465000 ;
-      RECT  4.715000  0.920000  4.885000 1.445000 ;
-      RECT  4.715000  1.445000  4.970000 1.590000 ;
-      RECT  5.055000  0.255000  5.450000 1.225000 ;
-      RECT  5.055000  1.225000  7.705000 1.275000 ;
-      RECT  5.060000  2.135000  5.805000 2.465000 ;
-      RECT  5.140000  1.275000  6.475000 1.395000 ;
-      RECT  5.205000  1.575000  5.465000 1.955000 ;
-      RECT  5.620000  0.635000  6.550000 0.805000 ;
-      RECT  5.620000  0.805000  6.015000 1.015000 ;
-      RECT  5.635000  1.395000  5.805000 2.135000 ;
-      RECT  5.665000  0.085000  6.165000 0.465000 ;
-      RECT  5.975000  1.575000  6.145000 1.935000 ;
-      RECT  5.975000  1.935000  6.820000 2.105000 ;
-      RECT  6.000000  2.275000  6.330000 2.635000 ;
-      RECT  6.305000  0.975000  7.705000 1.225000 ;
-      RECT  6.335000  0.255000  6.550000 0.635000 ;
-      RECT  6.605000  2.105000  6.820000 2.450000 ;
-      RECT  6.720000  0.085000  7.705000 0.805000 ;
-      RECT  7.060000  2.125000  8.015000 2.635000 ;
-      RECT  7.355000  1.275000  7.705000 1.325000 ;
-      RECT  7.385000  1.705000  8.055000 1.955000 ;
-      RECT  7.885000  0.695000  9.085000 0.895000 ;
-      RECT  7.885000  0.895000  8.055000 1.705000 ;
-      RECT  8.185000  2.125000  8.990000 2.460000 ;
-      RECT  8.420000  1.075000  8.650000 1.905000 ;
-      RECT  8.465000  0.275000  9.855000 0.515000 ;
-      RECT  8.820000  1.895000 10.430000 2.065000 ;
-      RECT  8.820000  2.065000  8.990000 2.125000 ;
-      RECT  8.830000  0.895000  9.085000 1.265000 ;
-      RECT  9.160000  2.235000  9.490000 2.635000 ;
-      RECT  9.285000  0.855000  9.515000 1.185000 ;
-      RECT  9.285000  1.185000 10.910000 1.355000 ;
-      RECT  9.660000  2.065000  9.930000 2.450000 ;
-      RECT  9.685000  0.515000  9.855000 0.845000 ;
-      RECT  9.685000  0.845000 10.560000 1.015000 ;
-      RECT 10.035000  0.085000 10.285000 0.545000 ;
-      RECT 10.100000  2.235000 10.430000 2.635000 ;
-      RECT 10.105000  1.525000 10.430000 1.895000 ;
-      RECT 10.465000  0.255000 10.910000 0.585000 ;
-      RECT 10.600000  1.355000 10.845000 2.465000 ;
-      RECT 10.730000  0.585000 10.910000 1.185000 ;
-      RECT 11.080000  1.485000 11.290000 2.635000 ;
-      RECT 11.120000  0.085000 11.290000 0.885000 ;
-      RECT 12.025000  0.085000 12.315000 0.885000 ;
-      RECT 12.025000  1.485000 12.315000 2.635000 ;
-      RECT 12.530000  0.255000 12.715000 0.995000 ;
-      RECT 12.530000  0.995000 13.345000 1.325000 ;
-      RECT 12.530000  1.325000 12.715000 2.465000 ;
-      RECT 12.885000  0.085000 13.240000 0.825000 ;
-      RECT 12.885000  1.635000 13.240000 2.635000 ;
-      RECT 13.910000  0.085000 14.175000 0.885000 ;
-      RECT 13.910000  1.485000 14.175000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  1.445000  1.695000 1.615000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  1.785000  3.995000 1.955000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  1.105000  4.455000 1.275000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  4.800000  1.445000  4.970000 1.615000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.260000  1.785000  5.430000 1.955000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.560000  1.785000  7.730000 1.955000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.480000  1.105000  8.650000 1.275000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-      RECT 12.105000  2.635000 12.275000 2.805000 ;
-      RECT 12.565000 -0.085000 12.735000 0.085000 ;
-      RECT 12.565000  2.635000 12.735000 2.805000 ;
-      RECT 13.025000 -0.085000 13.195000 0.085000 ;
-      RECT 13.025000  2.635000 13.195000 2.805000 ;
-      RECT 13.485000 -0.085000 13.655000 0.085000 ;
-      RECT 13.485000  2.635000 13.655000 2.805000 ;
-      RECT 13.945000 -0.085000 14.115000 0.085000 ;
-      RECT 13.945000  2.635000 14.115000 2.805000 ;
-    LAYER met1 ;
-      RECT 1.465000 1.415000 1.755000 1.460000 ;
-      RECT 1.465000 1.460000 5.030000 1.600000 ;
-      RECT 1.465000 1.600000 1.755000 1.645000 ;
-      RECT 3.765000 1.755000 4.055000 1.800000 ;
-      RECT 3.765000 1.800000 7.790000 1.940000 ;
-      RECT 3.765000 1.940000 4.055000 1.985000 ;
-      RECT 4.225000 1.075000 4.515000 1.120000 ;
-      RECT 4.225000 1.120000 8.710000 1.260000 ;
-      RECT 4.225000 1.260000 4.515000 1.305000 ;
-      RECT 4.740000 1.415000 5.030000 1.460000 ;
-      RECT 4.740000 1.600000 5.030000 1.645000 ;
-      RECT 5.200000 1.755000 5.490000 1.800000 ;
-      RECT 5.200000 1.940000 5.490000 1.985000 ;
-      RECT 7.500000 1.755000 7.790000 1.800000 ;
-      RECT 7.500000 1.940000 7.790000 1.985000 ;
-      RECT 8.420000 1.075000 8.710000 1.120000 ;
-      RECT 8.420000 1.260000 8.710000 1.305000 ;
-  END
-END sky130_fd_sc_hd__sdfsbp_2
-MACRO sky130_fd_sc_hd__sdfsbp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sdfsbp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  13.34000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.055000 0.765000 1.335000 1.675000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 12.915000 0.275000 13.255000 0.825000 ;
-        RECT 12.915000 1.495000 13.255000 2.450000 ;
-        RECT 13.070000 0.825000 13.255000 1.495000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 11.500000 0.255000 11.830000 2.465000 ;
-    END
-  END Q_N
-  PIN SCD
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.765000 0.345000 1.675000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.545000 0.765000 0.825000 1.675000 ;
-      LAYER mcon ;
-        RECT 0.610000 1.105000 0.780000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 2.370000 1.075000 2.700000 1.600000 ;
-      LAYER mcon ;
-        RECT 2.445000 1.105000 2.615000 1.275000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.550000 1.075000 0.840000 1.120000 ;
-        RECT 0.550000 1.120000 2.675000 1.260000 ;
-        RECT 0.550000 1.260000 0.840000 1.305000 ;
-        RECT 2.385000 1.075000 2.675000 1.120000 ;
-        RECT 2.385000 1.260000 2.675000 1.305000 ;
-    END
-  END SCE
-  PIN SET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.640000 1.445000 7.015000 1.765000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 8.885000 1.415000  9.110000 1.525000 ;
-        RECT 8.885000 1.525000 10.075000 1.725000 ;
-      LAYER mcon ;
-        RECT 8.885000 1.445000 9.055000 1.615000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 6.580000 1.415000 6.870000 1.460000 ;
-        RECT 6.580000 1.460000 9.115000 1.600000 ;
-        RECT 6.580000 1.600000 6.870000 1.645000 ;
-        RECT 8.825000 1.415000 9.115000 1.460000 ;
-        RECT 8.825000 1.600000 9.115000 1.645000 ;
-    END
-  END SET_B
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 2.905000 0.725000 3.100000 1.055000 ;
-        RECT 2.905000 1.055000 3.565000 1.590000 ;
-        RECT 2.905000 1.590000 3.085000 1.960000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 13.340000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 13.530000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 13.340000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 13.340000 0.085000 ;
-      RECT  0.000000  2.635000 13.340000 2.805000 ;
-      RECT  0.085000  0.085000  0.480000 0.595000 ;
-      RECT  0.085000  1.845000  1.105000 2.025000 ;
-      RECT  0.085000  2.025000  0.345000 2.465000 ;
-      RECT  0.515000  2.195000  0.765000 2.635000 ;
-      RECT  0.875000  0.280000  1.655000 0.560000 ;
-      RECT  0.935000  2.025000  1.105000 2.255000 ;
-      RECT  0.935000  2.255000  2.045000 2.465000 ;
-      RECT  1.295000  1.870000  1.695000 2.075000 ;
-      RECT  1.380000  0.560000  1.655000 0.590000 ;
-      RECT  1.380000  0.590000  1.660000 0.600000 ;
-      RECT  1.395000  0.600000  1.660000 0.605000 ;
-      RECT  1.405000  0.605000  1.660000 0.610000 ;
-      RECT  1.420000  0.610000  1.660000 0.615000 ;
-      RECT  1.430000  0.615000  1.670000 0.620000 ;
-      RECT  1.440000  0.620000  1.670000 0.630000 ;
-      RECT  1.445000  0.630000  1.670000 0.635000 ;
-      RECT  1.460000  0.635000  1.670000 0.645000 ;
-      RECT  1.475000  0.645000  1.670000 0.655000 ;
-      RECT  1.475000  0.655000  1.695000 0.665000 ;
-      RECT  1.495000  0.665000  1.695000 0.705000 ;
-      RECT  1.505000  0.705000  1.695000 1.870000 ;
-      RECT  1.825000  0.085000  2.005000 0.545000 ;
-      RECT  1.865000  0.715000  2.515000 0.905000 ;
-      RECT  1.865000  0.905000  2.200000 1.770000 ;
-      RECT  1.865000  1.770000  2.520000 2.085000 ;
-      RECT  2.260000  0.255000  2.515000 0.715000 ;
-      RECT  2.270000  2.085000  2.520000 2.465000 ;
-      RECT  2.690000  0.085000  3.030000 0.555000 ;
-      RECT  2.690000  2.140000  3.030000 2.635000 ;
-      RECT  3.255000  1.775000  3.995000 1.955000 ;
-      RECT  3.255000  1.955000  3.425000 2.325000 ;
-      RECT  3.270000  0.255000  3.455000 0.715000 ;
-      RECT  3.270000  0.715000  3.995000 0.885000 ;
-      RECT  3.595000  2.275000  3.925000 2.635000 ;
-      RECT  3.630000  0.085000  3.940000 0.545000 ;
-      RECT  3.735000  0.885000  3.995000 1.775000 ;
-      RECT  4.095000  2.135000  4.440000 2.465000 ;
-      RECT  4.110000  0.255000  4.335000 0.585000 ;
-      RECT  4.165000  0.585000  4.335000 1.090000 ;
-      RECT  4.165000  1.090000  4.490000 1.420000 ;
-      RECT  4.165000  1.420000  4.440000 2.135000 ;
-      RECT  4.505000  0.255000  4.830000 0.920000 ;
-      RECT  4.610000  1.590000  4.915000 1.615000 ;
-      RECT  4.610000  1.615000  4.830000 2.465000 ;
-      RECT  4.660000  0.920000  4.830000 1.445000 ;
-      RECT  4.660000  1.445000  4.915000 1.590000 ;
-      RECT  5.000000  0.255000  5.440000 1.225000 ;
-      RECT  5.000000  1.225000  7.660000 1.275000 ;
-      RECT  5.030000  2.135000  5.755000 2.465000 ;
-      RECT  5.085000  1.275000  6.435000 1.395000 ;
-      RECT  5.205000  1.575000  5.415000 1.955000 ;
-      RECT  5.585000  1.395000  5.755000 2.135000 ;
-      RECT  5.610000  0.085000  6.095000 0.465000 ;
-      RECT  5.610000  0.635000  6.535000 0.805000 ;
-      RECT  5.610000  0.805000  5.975000 1.015000 ;
-      RECT  5.925000  1.575000  6.095000 1.935000 ;
-      RECT  5.925000  1.935000  6.765000 2.105000 ;
-      RECT  5.945000  2.275000  6.275000 2.635000 ;
-      RECT  6.250000  0.975000  7.660000 1.225000 ;
-      RECT  6.275000  0.255000  6.535000 0.635000 ;
-      RECT  6.550000  2.105000  6.765000 2.450000 ;
-      RECT  6.735000  0.085000  7.630000 0.805000 ;
-      RECT  7.005000  2.125000  7.960000 2.635000 ;
-      RECT  7.190000  1.495000  8.005000 1.955000 ;
-      RECT  7.300000  1.275000  7.660000 1.325000 ;
-      RECT  7.835000  0.695000  9.040000 0.895000 ;
-      RECT  7.835000  0.895000  8.005000 1.495000 ;
-      RECT  8.130000  2.125000  8.935000 2.460000 ;
-      RECT  8.365000  1.075000  8.595000 1.905000 ;
-      RECT  8.410000  0.275000  9.825000 0.445000 ;
-      RECT  8.765000  1.895000 10.465000 2.065000 ;
-      RECT  8.765000  2.065000  8.935000 2.125000 ;
-      RECT  8.810000  0.895000  9.040000 1.245000 ;
-      RECT  9.195000  2.235000  9.525000 2.635000 ;
-      RECT  9.290000  0.855000  9.465000 1.185000 ;
-      RECT  9.290000  1.185000 10.895000 1.355000 ;
-      RECT  9.655000  0.445000  9.825000 0.845000 ;
-      RECT  9.655000  0.845000 10.545000 1.015000 ;
-      RECT  9.695000  2.065000  9.910000 2.450000 ;
-      RECT 10.135000  2.235000 10.465000 2.635000 ;
-      RECT 10.220000  0.085000 10.390000 0.545000 ;
-      RECT 10.245000  1.525000 10.465000 1.895000 ;
-      RECT 10.560000  0.255000 10.895000 0.540000 ;
-      RECT 10.635000  1.355000 10.895000 2.465000 ;
-      RECT 10.715000  0.540000 10.895000 1.185000 ;
-      RECT 11.120000  0.085000 11.330000 0.885000 ;
-      RECT 11.120000  1.485000 11.330000 2.635000 ;
-      RECT 12.060000  0.255000 12.270000 0.995000 ;
-      RECT 12.060000  0.995000 12.900000 1.325000 ;
-      RECT 12.060000  1.325000 12.270000 2.465000 ;
-      RECT 12.540000  0.085000 12.745000 0.825000 ;
-      RECT 12.575000  1.575000 12.745000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  1.445000  1.695000 1.615000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  1.785000  3.995000 1.955000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  1.105000  4.455000 1.275000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  1.445000  4.915000 1.615000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  1.785000  5.375000 1.955000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  1.785000  7.675000 1.955000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  1.105000  8.595000 1.275000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-      RECT 12.105000  2.635000 12.275000 2.805000 ;
-      RECT 12.565000 -0.085000 12.735000 0.085000 ;
-      RECT 12.565000  2.635000 12.735000 2.805000 ;
-      RECT 13.025000 -0.085000 13.195000 0.085000 ;
-      RECT 13.025000  2.635000 13.195000 2.805000 ;
-    LAYER met1 ;
-      RECT 1.465000 1.415000 1.755000 1.460000 ;
-      RECT 1.465000 1.460000 4.975000 1.600000 ;
-      RECT 1.465000 1.600000 1.755000 1.645000 ;
-      RECT 3.765000 1.755000 4.055000 1.800000 ;
-      RECT 3.765000 1.800000 7.735000 1.940000 ;
-      RECT 3.765000 1.940000 4.055000 1.985000 ;
-      RECT 4.225000 1.075000 4.515000 1.120000 ;
-      RECT 4.225000 1.120000 8.655000 1.260000 ;
-      RECT 4.225000 1.260000 4.515000 1.305000 ;
-      RECT 4.685000 1.415000 4.975000 1.460000 ;
-      RECT 4.685000 1.600000 4.975000 1.645000 ;
-      RECT 5.145000 1.755000 5.435000 1.800000 ;
-      RECT 5.145000 1.940000 5.435000 1.985000 ;
-      RECT 7.445000 1.755000 7.735000 1.800000 ;
-      RECT 7.445000 1.940000 7.735000 1.985000 ;
-      RECT 8.365000 1.075000 8.655000 1.120000 ;
-      RECT 8.365000 1.260000 8.655000 1.305000 ;
-  END
-END sky130_fd_sc_hd__sdfsbp_1
-MACRO sky130_fd_sc_hd__dfxbp_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dfxbp_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.660000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.370000 0.715000 1.650000 1.665000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.890000 1.495000 7.300000 1.575000 ;
-        RECT 6.890000 1.575000 7.220000 2.420000 ;
-        RECT 6.900000 0.305000 7.230000 0.740000 ;
-        RECT 6.900000 0.740000 7.300000 0.825000 ;
-        RECT 7.055000 0.825000 7.300000 0.865000 ;
-        RECT 7.065000 1.445000 7.300000 1.495000 ;
-        RECT 7.110000 0.865000 7.300000 1.445000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 8.810000 1.495000 9.145000 2.465000 ;
-        RECT 8.890000 0.265000 9.145000 0.885000 ;
-        RECT 8.930000 0.885000 9.145000 1.495000 ;
-    END
-  END Q_N
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.975000 0.440000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.660000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.850000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.660000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.660000 0.085000 ;
-      RECT 0.000000  2.635000 9.660000 2.805000 ;
-      RECT 0.175000  0.345000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 0.840000 0.805000 ;
-      RECT 0.175000  1.795000 0.840000 1.965000 ;
-      RECT 0.175000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.840000 1.795000 ;
-      RECT 1.015000  0.345000 1.200000 2.465000 ;
-      RECT 1.440000  2.175000 1.705000 2.635000 ;
-      RECT 1.455000  0.085000 1.705000 0.545000 ;
-      RECT 1.820000  0.675000 2.045000 0.805000 ;
-      RECT 1.820000  0.805000 1.990000 1.910000 ;
-      RECT 1.820000  1.910000 2.125000 2.040000 ;
-      RECT 1.875000  0.365000 2.210000 0.535000 ;
-      RECT 1.875000  0.535000 2.045000 0.675000 ;
-      RECT 1.875000  2.040000 2.125000 2.465000 ;
-      RECT 2.160000  1.125000 2.400000 1.720000 ;
-      RECT 2.215000  0.735000 2.740000 0.955000 ;
-      RECT 2.335000  2.190000 3.440000 2.360000 ;
-      RECT 2.405000  0.365000 3.080000 0.535000 ;
-      RECT 2.570000  0.955000 2.740000 1.655000 ;
-      RECT 2.570000  1.655000 3.100000 2.020000 ;
-      RECT 2.910000  0.535000 3.080000 1.315000 ;
-      RECT 2.910000  1.315000 3.780000 1.485000 ;
-      RECT 3.270000  1.485000 3.780000 1.575000 ;
-      RECT 3.270000  1.575000 3.440000 2.190000 ;
-      RECT 3.290000  0.765000 4.120000 1.065000 ;
-      RECT 3.290000  1.065000 3.490000 1.095000 ;
-      RECT 3.400000  0.085000 3.770000 0.585000 ;
-      RECT 3.610000  1.245000 3.780000 1.315000 ;
-      RECT 3.610000  1.835000 3.780000 2.635000 ;
-      RECT 3.950000  0.365000 4.355000 0.535000 ;
-      RECT 3.950000  0.535000 4.120000 0.765000 ;
-      RECT 3.950000  1.065000 4.120000 2.135000 ;
-      RECT 3.950000  2.135000 4.200000 2.465000 ;
-      RECT 4.290000  1.245000 4.480000 1.965000 ;
-      RECT 4.425000  2.165000 5.310000 2.335000 ;
-      RECT 4.505000  0.705000 4.970000 1.035000 ;
-      RECT 4.525000  0.365000 5.310000 0.535000 ;
-      RECT 4.650000  1.035000 4.970000 1.995000 ;
-      RECT 5.140000  0.535000 5.310000 0.995000 ;
-      RECT 5.140000  0.995000 6.020000 1.325000 ;
-      RECT 5.140000  1.325000 5.310000 2.165000 ;
-      RECT 5.480000  1.530000 6.380000 1.905000 ;
-      RECT 5.490000  2.135000 5.805000 2.635000 ;
-      RECT 5.585000  0.085000 5.795000 0.615000 ;
-      RECT 6.040000  1.905000 6.380000 2.465000 ;
-      RECT 6.060000  0.300000 6.390000 0.825000 ;
-      RECT 6.190000  0.825000 6.390000 0.995000 ;
-      RECT 6.190000  0.995000 6.940000 1.325000 ;
-      RECT 6.190000  1.325000 6.380000 1.530000 ;
-      RECT 6.550000  1.625000 6.720000 2.635000 ;
-      RECT 6.560000  0.085000 6.730000 0.695000 ;
-      RECT 7.390000  1.720000 7.565000 2.635000 ;
-      RECT 7.400000  0.085000 7.570000 0.600000 ;
-      RECT 7.905000  0.345000 8.165000 0.615000 ;
-      RECT 7.905000  1.715000 8.235000 2.445000 ;
-      RECT 7.965000  0.615000 8.165000 0.995000 ;
-      RECT 7.965000  0.995000 8.760000 1.325000 ;
-      RECT 7.965000  1.325000 8.235000 1.715000 ;
-      RECT 8.390000  0.085000 8.720000 0.825000 ;
-      RECT 8.425000  1.495000 8.640000 2.635000 ;
-      RECT 9.315000  0.085000 9.565000 0.905000 ;
-      RECT 9.315000  1.495000 9.565000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.630000  1.785000 0.800000 1.955000 ;
-      RECT 1.025000  1.445000 1.195000 1.615000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.215000  1.445000 2.385000 1.615000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.730000  1.785000 2.900000 1.955000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.300000  1.785000 4.470000 1.955000 ;
-      RECT 4.735000  1.445000 4.905000 1.615000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.570000 1.755000 0.860000 1.800000 ;
-      RECT 0.570000 1.800000 4.530000 1.940000 ;
-      RECT 0.570000 1.940000 0.860000 1.985000 ;
-      RECT 0.965000 1.415000 1.255000 1.460000 ;
-      RECT 0.965000 1.460000 4.965000 1.600000 ;
-      RECT 0.965000 1.600000 1.255000 1.645000 ;
-      RECT 2.155000 1.415000 2.445000 1.460000 ;
-      RECT 2.155000 1.600000 2.445000 1.645000 ;
-      RECT 2.670000 1.755000 2.960000 1.800000 ;
-      RECT 2.670000 1.940000 2.960000 1.985000 ;
-      RECT 4.240000 1.755000 4.530000 1.800000 ;
-      RECT 4.240000 1.940000 4.530000 1.985000 ;
-      RECT 4.675000 1.415000 4.965000 1.460000 ;
-      RECT 4.675000 1.600000 4.965000 1.645000 ;
-  END
-END sky130_fd_sc_hd__dfxbp_2
-MACRO sky130_fd_sc_hd__dfxbp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dfxbp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  8.740000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.370000 0.715000 1.650000 1.665000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.890000 1.495000 7.300000 1.575000 ;
-        RECT 6.890000 1.575000 7.220000 2.420000 ;
-        RECT 6.900000 0.305000 7.230000 0.740000 ;
-        RECT 6.900000 0.740000 7.300000 0.825000 ;
-        RECT 7.055000 0.825000 7.300000 0.865000 ;
-        RECT 7.065000 1.445000 7.300000 1.495000 ;
-        RECT 7.110000 0.865000 7.300000 1.445000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 8.315000 1.480000 8.650000 2.465000 ;
-        RECT 8.395000 0.255000 8.650000 0.910000 ;
-        RECT 8.415000 0.910000 8.650000 1.480000 ;
-    END
-  END Q_N
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.975000 0.440000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 8.740000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.930000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 8.740000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 8.740000 0.085000 ;
-      RECT 0.000000  2.635000 8.740000 2.805000 ;
-      RECT 0.175000  0.345000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 0.840000 0.805000 ;
-      RECT 0.175000  1.795000 0.840000 1.965000 ;
-      RECT 0.175000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.840000 1.795000 ;
-      RECT 1.015000  0.345000 1.200000 2.465000 ;
-      RECT 1.440000  2.175000 1.705000 2.635000 ;
-      RECT 1.455000  0.085000 1.705000 0.545000 ;
-      RECT 1.820000  0.675000 2.045000 0.805000 ;
-      RECT 1.820000  0.805000 1.990000 1.910000 ;
-      RECT 1.820000  1.910000 2.125000 2.040000 ;
-      RECT 1.875000  0.365000 2.210000 0.535000 ;
-      RECT 1.875000  0.535000 2.045000 0.675000 ;
-      RECT 1.875000  2.040000 2.125000 2.465000 ;
-      RECT 2.160000  1.125000 2.400000 1.720000 ;
-      RECT 2.215000  0.735000 2.740000 0.955000 ;
-      RECT 2.335000  2.190000 3.440000 2.360000 ;
-      RECT 2.405000  0.365000 3.080000 0.535000 ;
-      RECT 2.570000  0.955000 2.740000 1.655000 ;
-      RECT 2.570000  1.655000 3.100000 2.020000 ;
-      RECT 2.910000  0.535000 3.080000 1.315000 ;
-      RECT 2.910000  1.315000 3.780000 1.485000 ;
-      RECT 3.270000  1.485000 3.780000 1.575000 ;
-      RECT 3.270000  1.575000 3.440000 2.190000 ;
-      RECT 3.290000  0.765000 4.120000 1.065000 ;
-      RECT 3.290000  1.065000 3.490000 1.095000 ;
-      RECT 3.400000  0.085000 3.770000 0.585000 ;
-      RECT 3.610000  1.245000 3.780000 1.315000 ;
-      RECT 3.610000  1.835000 3.780000 2.635000 ;
-      RECT 3.950000  0.365000 4.355000 0.535000 ;
-      RECT 3.950000  0.535000 4.120000 0.765000 ;
-      RECT 3.950000  1.065000 4.120000 2.135000 ;
-      RECT 3.950000  2.135000 4.200000 2.465000 ;
-      RECT 4.290000  1.245000 4.480000 1.965000 ;
-      RECT 4.425000  2.165000 5.310000 2.335000 ;
-      RECT 4.505000  0.705000 4.970000 1.035000 ;
-      RECT 4.525000  0.365000 5.310000 0.535000 ;
-      RECT 4.650000  1.035000 4.970000 1.995000 ;
-      RECT 5.140000  0.535000 5.310000 0.995000 ;
-      RECT 5.140000  0.995000 6.020000 1.325000 ;
-      RECT 5.140000  1.325000 5.310000 2.165000 ;
-      RECT 5.480000  1.530000 6.380000 1.905000 ;
-      RECT 5.490000  2.135000 5.805000 2.635000 ;
-      RECT 5.585000  0.085000 5.795000 0.615000 ;
-      RECT 6.040000  1.905000 6.380000 2.465000 ;
-      RECT 6.060000  0.300000 6.390000 0.825000 ;
-      RECT 6.190000  0.825000 6.390000 0.995000 ;
-      RECT 6.190000  0.995000 6.940000 1.325000 ;
-      RECT 6.190000  1.325000 6.380000 1.530000 ;
-      RECT 6.550000  1.625000 6.720000 2.635000 ;
-      RECT 6.560000  0.085000 6.730000 0.695000 ;
-      RECT 7.410000  1.715000 7.740000 2.445000 ;
-      RECT 7.420000  0.345000 7.670000 0.615000 ;
-      RECT 7.470000  0.615000 7.670000 0.995000 ;
-      RECT 7.470000  0.995000 8.245000 1.325000 ;
-      RECT 7.470000  1.325000 7.740000 1.715000 ;
-      RECT 7.905000  0.085000 8.225000 0.545000 ;
-      RECT 7.930000  1.495000 8.145000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.630000  1.785000 0.800000 1.955000 ;
-      RECT 1.025000  1.445000 1.195000 1.615000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.215000  1.445000 2.385000 1.615000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.730000  1.785000 2.900000 1.955000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.300000  1.785000 4.470000 1.955000 ;
-      RECT 4.735000  1.445000 4.905000 1.615000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.570000 1.755000 0.860000 1.800000 ;
-      RECT 0.570000 1.800000 4.530000 1.940000 ;
-      RECT 0.570000 1.940000 0.860000 1.985000 ;
-      RECT 0.965000 1.415000 1.255000 1.460000 ;
-      RECT 0.965000 1.460000 4.965000 1.600000 ;
-      RECT 0.965000 1.600000 1.255000 1.645000 ;
-      RECT 2.155000 1.415000 2.445000 1.460000 ;
-      RECT 2.155000 1.600000 2.445000 1.645000 ;
-      RECT 2.670000 1.755000 2.960000 1.800000 ;
-      RECT 2.670000 1.940000 2.960000 1.985000 ;
-      RECT 4.240000 1.755000 4.530000 1.800000 ;
-      RECT 4.240000 1.940000 4.530000 1.985000 ;
-      RECT 4.675000 1.415000 4.965000 1.460000 ;
-      RECT 4.675000 1.600000 4.965000 1.645000 ;
-  END
-END sky130_fd_sc_hd__dfxbp_1
-MACRO sky130_fd_sc_hd__a2bb2oi_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a2bb2oi_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1_N
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.150000 0.995000 0.520000 1.615000 ;
-    END
-  END A1_N
-  PIN A2_N
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.725000 1.010000 1.240000 1.275000 ;
-    END
-  END A2_N
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.780000 0.995000 3.070000 1.615000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.245000 0.995000 2.610000 1.615000 ;
-        RECT 2.440000 0.425000 2.610000 0.995000 ;
-    END
-  END B2
-  PIN Y
-    ANTENNADIFFAREA  0.515500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.420000 1.785000 1.945000 1.955000 ;
-        RECT 1.420000 1.955000 1.785000 2.465000 ;
-        RECT 1.775000 0.255000 2.205000 0.825000 ;
-        RECT 1.775000 0.825000 1.945000 1.785000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.095000  0.085000 0.425000 0.825000 ;
-      RECT 0.095000  1.805000 0.425000 2.635000 ;
-      RECT 0.595000  0.255000 0.765000 0.660000 ;
-      RECT 0.595000  0.660000 1.580000 0.830000 ;
-      RECT 0.875000  1.445000 1.580000 1.615000 ;
-      RECT 0.875000  1.615000 1.205000 2.465000 ;
-      RECT 0.935000  0.085000 1.605000 0.490000 ;
-      RECT 1.410000  0.830000 1.580000 1.445000 ;
-      RECT 1.955000  2.235000 2.285000 2.465000 ;
-      RECT 2.115000  1.785000 3.130000 1.955000 ;
-      RECT 2.115000  1.955000 2.285000 2.235000 ;
-      RECT 2.455000  2.135000 2.705000 2.635000 ;
-      RECT 2.795000  0.085000 3.125000 0.825000 ;
-      RECT 2.875000  1.955000 3.130000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a2bb2oi_1
-MACRO sky130_fd_sc_hd__a2bb2oi_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a2bb2oi_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.660000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1_N
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.945000 1.075000 7.320000 1.275000 ;
-    END
-  END A1_N
-  PIN A2_N
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.595000 1.075000 9.045000 1.275000 ;
-    END
-  END A2_N
-  PIN B1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.100000 1.075000 1.555000 1.285000 ;
-        RECT 1.385000 1.285000 1.555000 1.445000 ;
-        RECT 1.385000 1.445000 3.575000 1.615000 ;
-        RECT 3.245000 1.075000 3.575000 1.445000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.725000 1.075000 3.075000 1.275000 ;
-    END
-  END B2
-  PIN Y
-    ANTENNADIFFAREA  1.242000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.775000 0.645000 2.995000 0.725000 ;
-        RECT 1.775000 0.725000 5.045000 0.905000 ;
-        RECT 3.745000 0.905000 3.915000 1.415000 ;
-        RECT 3.745000 1.415000 4.965000 1.615000 ;
-        RECT 3.875000 0.275000 4.205000 0.725000 ;
-        RECT 3.915000 1.615000 4.165000 2.125000 ;
-        RECT 4.715000 0.275000 5.045000 0.725000 ;
-        RECT 4.745000 1.615000 4.965000 2.125000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.660000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.850000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.660000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.660000 0.085000 ;
-      RECT 0.000000  2.635000 9.660000 2.805000 ;
-      RECT 0.085000  1.455000 1.215000 1.625000 ;
-      RECT 0.085000  1.625000 0.425000 2.465000 ;
-      RECT 0.175000  0.085000 0.345000 0.895000 ;
-      RECT 0.515000  0.255000 0.845000 0.725000 ;
-      RECT 0.515000  0.725000 1.605000 0.905000 ;
-      RECT 0.595000  1.795000 0.805000 2.635000 ;
-      RECT 0.975000  1.625000 1.215000 1.795000 ;
-      RECT 0.975000  1.795000 3.745000 1.965000 ;
-      RECT 0.975000  1.965000 1.215000 2.465000 ;
-      RECT 1.015000  0.085000 1.185000 0.555000 ;
-      RECT 1.355000  0.255000 3.365000 0.475000 ;
-      RECT 1.355000  0.475000 1.605000 0.725000 ;
-      RECT 1.395000  2.135000 1.645000 2.635000 ;
-      RECT 1.815000  1.965000 2.065000 2.465000 ;
-      RECT 2.235000  2.135000 2.485000 2.635000 ;
-      RECT 2.655000  1.965000 2.905000 2.465000 ;
-      RECT 3.075000  2.135000 3.325000 2.635000 ;
-      RECT 3.495000  1.965000 3.745000 2.295000 ;
-      RECT 3.495000  2.295000 5.465000 2.465000 ;
-      RECT 3.535000  0.085000 3.705000 0.555000 ;
-      RECT 4.085000  1.075000 5.725000 1.245000 ;
-      RECT 4.335000  1.795000 4.575000 2.295000 ;
-      RECT 4.375000  0.085000 4.545000 0.555000 ;
-      RECT 5.135000  1.455000 5.465000 2.295000 ;
-      RECT 5.215000  0.085000 5.905000 0.555000 ;
-      RECT 5.555000  0.735000 9.575000 0.905000 ;
-      RECT 5.555000  0.905000 5.725000 1.075000 ;
-      RECT 5.655000  1.455000 7.625000 1.625000 ;
-      RECT 5.655000  1.625000 5.985000 2.465000 ;
-      RECT 6.075000  0.255000 6.405000 0.725000 ;
-      RECT 6.075000  0.725000 8.925000 0.735000 ;
-      RECT 6.155000  1.795000 6.365000 2.635000 ;
-      RECT 6.540000  1.625000 6.780000 2.465000 ;
-      RECT 6.575000  0.085000 6.745000 0.555000 ;
-      RECT 6.915000  0.255000 7.245000 0.725000 ;
-      RECT 6.955000  1.795000 7.205000 2.635000 ;
-      RECT 7.375000  1.625000 7.625000 2.295000 ;
-      RECT 7.375000  2.295000 9.310000 2.465000 ;
-      RECT 7.415000  0.085000 7.585000 0.555000 ;
-      RECT 7.755000  0.255000 8.085000 0.725000 ;
-      RECT 7.795000  1.455000 9.575000 1.625000 ;
-      RECT 7.795000  1.625000 8.045000 2.125000 ;
-      RECT 8.215000  1.795000 8.465000 2.295000 ;
-      RECT 8.255000  0.085000 8.425000 0.555000 ;
-      RECT 8.595000  0.255000 8.925000 0.725000 ;
-      RECT 8.635000  1.625000 8.885000 2.125000 ;
-      RECT 9.060000  1.795000 9.310000 2.295000 ;
-      RECT 9.095000  0.085000 9.265000 0.555000 ;
-      RECT 9.215000  0.905000 9.575000 1.455000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a2bb2oi_4
-MACRO sky130_fd_sc_hd__a2bb2oi_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a2bb2oi_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.520000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1_N
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.310000 1.075000 4.205000 1.275000 ;
-    END
-  END A1_N
-  PIN A2_N
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.455000 1.075000 5.435000 1.275000 ;
-    END
-  END A2_N
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.710000 1.445000 ;
-        RECT 0.085000 1.445000 2.030000 1.615000 ;
-        RECT 1.700000 1.075000 2.030000 1.445000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.940000 1.075000 1.480000 1.275000 ;
-    END
-  END B2
-  PIN Y
-    ANTENNADIFFAREA  0.621000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.070000 0.645000 1.400000 0.725000 ;
-        RECT 1.070000 0.725000 2.660000 0.905000 ;
-        RECT 2.330000 0.255000 2.660000 0.725000 ;
-        RECT 2.370000 0.905000 2.660000 1.660000 ;
-        RECT 2.370000 1.660000 2.620000 2.125000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.520000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.710000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.520000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.520000 0.085000 ;
-      RECT 0.000000  2.635000 5.520000 2.805000 ;
-      RECT 0.270000  1.785000 2.200000 1.955000 ;
-      RECT 0.270000  1.955000 0.520000 2.465000 ;
-      RECT 0.310000  0.085000 0.480000 0.895000 ;
-      RECT 0.650000  0.255000 1.820000 0.475000 ;
-      RECT 0.650000  0.475000 0.900000 0.895000 ;
-      RECT 0.690000  2.135000 0.940000 2.635000 ;
-      RECT 1.110000  1.955000 1.360000 2.465000 ;
-      RECT 1.530000  2.135000 1.780000 2.635000 ;
-      RECT 1.950000  1.955000 2.200000 2.295000 ;
-      RECT 1.950000  2.295000 3.040000 2.465000 ;
-      RECT 1.990000  0.085000 2.160000 0.555000 ;
-      RECT 2.790000  1.795000 3.040000 2.295000 ;
-      RECT 2.830000  0.085000 3.520000 0.555000 ;
-      RECT 2.830000  0.995000 3.120000 1.325000 ;
-      RECT 2.950000  0.725000 4.860000 0.905000 ;
-      RECT 2.950000  0.905000 3.120000 0.995000 ;
-      RECT 2.950000  1.325000 3.120000 1.445000 ;
-      RECT 2.950000  1.445000 4.820000 1.615000 ;
-      RECT 3.310000  1.785000 4.400000 1.965000 ;
-      RECT 3.310000  1.965000 3.560000 2.465000 ;
-      RECT 3.690000  0.255000 4.020000 0.725000 ;
-      RECT 3.730000  2.135000 3.980000 2.635000 ;
-      RECT 4.150000  1.965000 4.400000 2.295000 ;
-      RECT 4.150000  2.295000 5.240000 2.465000 ;
-      RECT 4.190000  0.085000 4.360000 0.555000 ;
-      RECT 4.530000  0.255000 4.860000 0.725000 ;
-      RECT 4.570000  1.615000 4.820000 2.125000 ;
-      RECT 4.990000  1.455000 5.240000 2.295000 ;
-      RECT 5.030000  0.085000 5.200000 0.905000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a2bb2oi_2
-MACRO sky130_fd_sc_hd__nor4bb_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nor4bb_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.115000 0.995000 3.595000 1.275000 ;
-        RECT 3.295000 1.275000 3.595000 1.705000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.615000 0.995000 2.945000 1.445000 ;
-        RECT 2.615000 1.445000 3.085000 1.630000 ;
-        RECT 2.825000 1.630000 3.085000 2.410000 ;
-    END
-  END B
-  PIN C_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.430000 0.995000 0.780000 1.695000 ;
-    END
-  END C_N
-  PIN D_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.950000 0.995000 1.240000 1.325000 ;
-    END
-  END D_N
-  PIN Y
-    ANTENNADIFFAREA  0.606900 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.470000 1.955000 2.055000 2.125000 ;
-        RECT 1.855000 0.655000 3.085000 0.825000 ;
-        RECT 1.855000 0.825000 2.055000 1.955000 ;
-        RECT 2.015000 0.300000 2.215000 0.655000 ;
-        RECT 2.885000 0.310000 3.085000 0.655000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.085000  0.450000 0.405000 0.825000 ;
-      RECT 0.085000  0.825000 0.260000 1.885000 ;
-      RECT 0.085000  1.885000 1.205000 2.070000 ;
-      RECT 0.085000  2.070000 0.345000 2.455000 ;
-      RECT 0.515000  2.240000 0.845000 2.635000 ;
-      RECT 0.655000  0.085000 0.825000 0.825000 ;
-      RECT 0.995000  1.525000 1.590000 1.715000 ;
-      RECT 1.035000  2.070000 1.205000 2.295000 ;
-      RECT 1.035000  2.295000 2.395000 2.465000 ;
-      RECT 1.075000  0.450000 1.245000 0.655000 ;
-      RECT 1.075000  0.655000 1.590000 0.825000 ;
-      RECT 1.410000  0.825000 1.590000 0.995000 ;
-      RECT 1.410000  0.995000 1.685000 1.325000 ;
-      RECT 1.410000  1.325000 1.590000 1.525000 ;
-      RECT 1.515000  0.085000 1.845000 0.480000 ;
-      RECT 2.225000  0.995000 2.395000 2.295000 ;
-      RECT 2.385000  0.085000 2.715000 0.485000 ;
-      RECT 3.255000  0.085000 3.585000 0.825000 ;
-      RECT 3.255000  1.875000 3.585000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nor4bb_1
-MACRO sky130_fd_sc_hd__nor4bb_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nor4bb_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.980000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.130000 1.075000 5.895000 1.275000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.165000 1.075000 4.960000 1.275000 ;
-    END
-  END B
-  PIN C_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.950000 0.995000 1.235000 1.325000 ;
-    END
-  END C_N
-  PIN D_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.425000 0.995000 0.780000 1.695000 ;
-    END
-  END D_N
-  PIN Y
-    ANTENNADIFFAREA  0.972000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.060000 0.255000 2.390000 0.725000 ;
-        RECT 2.060000 0.725000 5.450000 0.905000 ;
-        RECT 2.900000 0.255000 3.230000 0.725000 ;
-        RECT 2.900000 1.445000 3.995000 1.705000 ;
-        RECT 3.575000 0.905000 3.995000 1.445000 ;
-        RECT 4.280000 0.255000 4.610000 0.725000 ;
-        RECT 5.120000 0.255000 5.450000 0.725000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.980000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.170000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.980000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.980000 0.085000 ;
-      RECT 0.000000  2.635000 5.980000 2.805000 ;
-      RECT 0.085000  0.450000 0.465000 0.825000 ;
-      RECT 0.085000  0.825000 0.255000 1.885000 ;
-      RECT 0.085000  1.885000 1.915000 2.055000 ;
-      RECT 0.085000  2.055000 0.345000 2.455000 ;
-      RECT 0.515000  2.240000 0.845000 2.635000 ;
-      RECT 0.635000  0.085000 0.805000 0.825000 ;
-      RECT 0.995000  1.525000 1.575000 1.715000 ;
-      RECT 1.055000  0.450000 1.250000 0.655000 ;
-      RECT 1.055000  0.655000 1.575000 0.825000 ;
-      RECT 1.405000  0.825000 1.575000 1.075000 ;
-      RECT 1.405000  1.075000 2.390000 1.245000 ;
-      RECT 1.405000  1.245000 1.575000 1.525000 ;
-      RECT 1.560000  0.085000 1.890000 0.480000 ;
-      RECT 1.640000  2.225000 1.970000 2.295000 ;
-      RECT 1.640000  2.295000 3.650000 2.465000 ;
-      RECT 1.745000  1.415000 2.730000 1.585000 ;
-      RECT 1.745000  1.585000 1.915000 1.885000 ;
-      RECT 2.140000  1.795000 2.310000 1.875000 ;
-      RECT 2.140000  1.875000 4.610000 2.045000 ;
-      RECT 2.140000  2.045000 2.310000 2.125000 ;
-      RECT 2.480000  2.215000 3.650000 2.295000 ;
-      RECT 2.560000  0.085000 2.730000 0.555000 ;
-      RECT 2.560000  1.075000 3.405000 1.275000 ;
-      RECT 2.560000  1.275000 2.730000 1.415000 ;
-      RECT 3.400000  0.085000 4.110000 0.555000 ;
-      RECT 3.860000  2.215000 4.990000 2.465000 ;
-      RECT 4.320000  1.455000 4.610000 1.875000 ;
-      RECT 4.780000  0.085000 4.950000 0.555000 ;
-      RECT 4.780000  1.455000 5.870000 1.625000 ;
-      RECT 4.780000  1.625000 4.990000 2.215000 ;
-      RECT 5.160000  1.795000 5.370000 2.635000 ;
-      RECT 5.540000  1.625000 5.870000 2.465000 ;
-      RECT 5.620000  0.085000 5.895000 0.905000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nor4bb_2
-MACRO sky130_fd_sc_hd__nor4bb_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nor4bb_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.200000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.375000 1.075000 9.110000 1.285000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.150000 1.075000 7.105000 1.285000 ;
-    END
-  END B
-  PIN C_N
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.110000 1.075000 0.445000 1.365000 ;
-    END
-  END C_N
-  PIN D_N
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.955000 1.075000 1.295000 1.325000 ;
-    END
-  END D_N
-  PIN Y
-    ANTENNADIFFAREA  1.944000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.840000 1.415000 3.185000 1.705000 ;
-        RECT 1.935000 0.255000 2.265000 0.725000 ;
-        RECT 1.935000 0.725000 8.665000 0.905000 ;
-        RECT 2.775000 0.255000 3.105000 0.725000 ;
-        RECT 3.015000 0.905000 3.185000 1.415000 ;
-        RECT 3.615000 0.255000 3.945000 0.725000 ;
-        RECT 4.455000 0.255000 4.785000 0.725000 ;
-        RECT 5.815000 0.255000 6.145000 0.725000 ;
-        RECT 6.655000 0.255000 6.985000 0.725000 ;
-        RECT 7.495000 0.255000 7.825000 0.725000 ;
-        RECT 8.335000 0.255000 8.665000 0.725000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.200000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.390000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.200000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.200000 0.085000 ;
-      RECT 0.000000  2.635000 9.200000 2.805000 ;
-      RECT 0.085000  0.255000 0.445000 0.725000 ;
-      RECT 0.085000  0.725000 0.785000 0.895000 ;
-      RECT 0.085000  1.535000 0.785000 1.875000 ;
-      RECT 0.085000  1.875000 3.525000 2.045000 ;
-      RECT 0.085000  2.045000 0.365000 2.465000 ;
-      RECT 0.535000  2.215000 0.865000 2.635000 ;
-      RECT 0.615000  0.085000 0.785000 0.555000 ;
-      RECT 0.615000  0.895000 0.785000 1.535000 ;
-      RECT 0.955000  0.255000 1.285000 0.735000 ;
-      RECT 0.955000  0.735000 1.635000 0.905000 ;
-      RECT 0.955000  1.535000 1.635000 1.705000 ;
-      RECT 1.465000  0.905000 1.635000 1.075000 ;
-      RECT 1.465000  1.075000 2.845000 1.245000 ;
-      RECT 1.465000  1.245000 1.635000 1.535000 ;
-      RECT 1.515000  2.215000 3.525000 2.295000 ;
-      RECT 1.515000  2.295000 5.195000 2.465000 ;
-      RECT 1.595000  0.085000 1.765000 0.555000 ;
-      RECT 2.435000  0.085000 2.605000 0.555000 ;
-      RECT 3.275000  0.085000 3.445000 0.555000 ;
-      RECT 3.355000  1.075000 4.905000 1.285000 ;
-      RECT 3.355000  1.285000 3.525000 1.875000 ;
-      RECT 3.695000  1.455000 6.945000 1.625000 ;
-      RECT 3.695000  1.625000 3.905000 2.125000 ;
-      RECT 4.075000  1.795000 4.325000 2.295000 ;
-      RECT 4.115000  0.085000 4.285000 0.555000 ;
-      RECT 4.495000  1.625000 4.745000 2.125000 ;
-      RECT 4.915000  1.795000 5.195000 2.295000 ;
-      RECT 4.955000  0.085000 5.645000 0.555000 ;
-      RECT 5.380000  1.795000 5.685000 2.295000 ;
-      RECT 5.380000  2.295000 7.365000 2.465000 ;
-      RECT 5.855000  1.625000 6.105000 2.125000 ;
-      RECT 6.275000  1.795000 6.525000 2.295000 ;
-      RECT 6.315000  0.085000 6.485000 0.555000 ;
-      RECT 6.695000  1.625000 6.945000 2.125000 ;
-      RECT 7.115000  1.455000 9.110000 1.625000 ;
-      RECT 7.115000  1.625000 7.365000 2.295000 ;
-      RECT 7.155000  0.085000 7.325000 0.555000 ;
-      RECT 7.535000  1.795000 7.785000 2.635000 ;
-      RECT 7.955000  1.625000 8.205000 2.465000 ;
-      RECT 7.995000  0.085000 8.165000 0.555000 ;
-      RECT 8.375000  1.795000 8.625000 2.635000 ;
-      RECT 8.795000  1.625000 9.110000 2.465000 ;
-      RECT 8.835000  0.085000 9.110000 0.905000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nor4bb_4
-MACRO sky130_fd_sc_hd__ha_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__ha_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.520000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.790000 1.055000 4.045000 1.225000 ;
-        RECT 3.820000 1.225000 4.045000 1.675000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.310000 1.005000 2.615000 1.395000 ;
-        RECT 2.310000 1.395000 3.595000 1.675000 ;
-    END
-  END B
-  PIN COUT
-    ANTENNADIFFAREA  0.511500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.635000 0.315000 4.965000 0.825000 ;
-        RECT 4.715000 1.545000 4.965000 2.415000 ;
-        RECT 4.790000 0.825000 4.965000 1.545000 ;
-    END
-  END COUT
-  PIN SUM
-    ANTENNADIFFAREA  0.511500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.555000 0.315000 0.885000 0.825000 ;
-        RECT 0.555000 0.825000 0.780000 1.565000 ;
-        RECT 0.555000 1.565000 0.885000 2.415000 ;
-    END
-  END SUM
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.520000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.710000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.520000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.520000 0.085000 ;
-      RECT 0.000000  2.635000 5.520000 2.805000 ;
-      RECT 0.135000  0.085000 0.375000 0.885000 ;
-      RECT 0.135000  1.495000 0.375000 2.635000 ;
-      RECT 0.950000  1.075000 1.590000 1.245000 ;
-      RECT 1.055000  0.085000 1.250000 0.885000 ;
-      RECT 1.055000  1.515000 1.250000 2.635000 ;
-      RECT 1.420000  0.345000 1.745000 0.675000 ;
-      RECT 1.420000  0.675000 1.590000 1.075000 ;
-      RECT 1.420000  1.245000 1.590000 2.205000 ;
-      RECT 1.420000  2.205000 2.220000 2.375000 ;
-      RECT 1.760000  0.995000 1.930000 1.855000 ;
-      RECT 1.760000  1.855000 4.465000 2.025000 ;
-      RECT 1.995000  0.345000 2.165000 0.635000 ;
-      RECT 1.995000  0.635000 3.005000 0.805000 ;
-      RECT 2.335000  0.085000 2.665000 0.465000 ;
-      RECT 2.835000  0.345000 3.005000 0.635000 ;
-      RECT 2.850000  2.205000 3.640000 2.635000 ;
-      RECT 3.460000  0.345000 3.630000 0.715000 ;
-      RECT 3.460000  0.715000 4.465000 0.885000 ;
-      RECT 3.810000  2.025000 3.980000 2.355000 ;
-      RECT 4.215000  0.085000 4.465000 0.545000 ;
-      RECT 4.215000  2.205000 4.545000 2.635000 ;
-      RECT 4.295000  0.885000 4.465000 0.995000 ;
-      RECT 4.295000  0.995000 4.620000 1.325000 ;
-      RECT 4.295000  1.325000 4.465000 1.855000 ;
-      RECT 5.145000  0.085000 5.385000 0.885000 ;
-      RECT 5.145000  1.495000 5.385000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-  END
-END sky130_fd_sc_hd__ha_2
-MACRO sky130_fd_sc_hd__ha_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__ha_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.200000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.320000 1.075000 4.380000 1.245000 ;
-        RECT 4.210000 1.245000 4.380000 1.505000 ;
-        RECT 4.210000 1.505000 6.810000 1.675000 ;
-        RECT 5.625000 0.995000 5.795000 1.505000 ;
-        RECT 6.580000 0.995000 7.055000 1.325000 ;
-        RECT 6.580000 1.325000 6.810000 1.505000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.550000 0.995000 5.455000 1.165000 ;
-        RECT 4.550000 1.165000 4.720000 1.325000 ;
-        RECT 5.285000 0.730000 6.315000 0.825000 ;
-        RECT 5.285000 0.825000 5.535000 0.845000 ;
-        RECT 5.285000 0.845000 5.495000 0.875000 ;
-        RECT 5.285000 0.875000 5.455000 0.995000 ;
-        RECT 5.295000 0.720000 6.315000 0.730000 ;
-        RECT 5.310000 0.710000 6.315000 0.720000 ;
-        RECT 5.320000 0.695000 6.315000 0.710000 ;
-        RECT 5.335000 0.675000 6.315000 0.695000 ;
-        RECT 5.345000 0.655000 6.315000 0.675000 ;
-        RECT 6.085000 0.825000 6.315000 1.325000 ;
-    END
-  END B
-  PIN COUT
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.595000 0.315000 7.845000 0.735000 ;
-        RECT 7.595000 0.735000 8.685000 0.905000 ;
-        RECT 7.595000 1.415000 8.685000 1.585000 ;
-        RECT 7.595000 1.585000 7.765000 2.415000 ;
-        RECT 8.405000 0.315000 8.685000 0.735000 ;
-        RECT 8.405000 0.905000 8.685000 1.415000 ;
-        RECT 8.405000 1.585000 8.685000 2.415000 ;
-    END
-  END COUT
-  PIN SUM
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 0.315000 0.845000 1.065000 ;
-        RECT 0.515000 1.065000 1.550000 1.335000 ;
-        RECT 0.515000 1.335000 0.845000 2.415000 ;
-        RECT 1.355000 0.315000 1.685000 0.825000 ;
-        RECT 1.355000 0.825000 1.550000 1.065000 ;
-        RECT 1.355000 1.335000 1.550000 1.565000 ;
-        RECT 1.355000 1.565000 1.685000 2.415000 ;
-    END
-  END SUM
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.200000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.390000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.200000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.200000 0.085000 ;
-      RECT 0.000000  2.635000 9.200000 2.805000 ;
-      RECT 0.135000  0.085000 0.345000 0.885000 ;
-      RECT 0.135000  1.495000 0.345000 2.635000 ;
-      RECT 1.015000  0.085000 1.185000 0.885000 ;
-      RECT 1.015000  1.515000 1.185000 2.635000 ;
-      RECT 1.720000  1.075000 2.750000 1.245000 ;
-      RECT 1.855000  0.085000 2.095000 0.885000 ;
-      RECT 1.855000  1.495000 2.365000 2.635000 ;
-      RECT 2.270000  0.305000 3.385000 0.475000 ;
-      RECT 2.580000  0.645000 3.045000 0.815000 ;
-      RECT 2.580000  0.815000 2.750000 1.075000 ;
-      RECT 2.580000  1.245000 2.750000 1.765000 ;
-      RECT 2.580000  1.765000 3.700000 1.935000 ;
-      RECT 2.770000  1.935000 2.940000 2.355000 ;
-      RECT 2.920000  0.995000 3.090000 1.425000 ;
-      RECT 2.920000  1.425000 4.040000 1.595000 ;
-      RECT 3.190000  2.105000 3.360000 2.635000 ;
-      RECT 3.215000  0.475000 3.385000 0.645000 ;
-      RECT 3.215000  0.645000 5.115000 0.815000 ;
-      RECT 3.530000  1.935000 3.700000 2.205000 ;
-      RECT 3.530000  2.205000 4.330000 2.375000 ;
-      RECT 3.555000  0.085000 3.910000 0.465000 ;
-      RECT 3.870000  1.595000 4.040000 1.855000 ;
-      RECT 3.870000  1.855000 7.395000 2.025000 ;
-      RECT 4.080000  0.345000 4.250000 0.645000 ;
-      RECT 4.420000  0.085000 4.750000 0.465000 ;
-      RECT 4.920000  0.255000 5.190000 0.585000 ;
-      RECT 4.920000  0.585000 5.115000 0.645000 ;
-      RECT 5.240000  2.205000 5.570000 2.635000 ;
-      RECT 5.385000  0.085000 5.715000 0.465000 ;
-      RECT 5.835000  2.025000 6.005000 2.355000 ;
-      RECT 6.175000  0.295000 6.875000 0.465000 ;
-      RECT 6.175000  2.205000 6.505000 2.635000 ;
-      RECT 6.675000  2.025000 6.845000 2.355000 ;
-      RECT 6.705000  0.465000 6.875000 0.645000 ;
-      RECT 6.705000  0.645000 7.395000 0.815000 ;
-      RECT 7.055000  0.085000 7.385000 0.465000 ;
-      RECT 7.055000  2.205000 7.385000 2.635000 ;
-      RECT 7.225000  0.815000 7.395000 1.075000 ;
-      RECT 7.225000  1.075000 8.225000 1.245000 ;
-      RECT 7.225000  1.245000 7.395000 1.855000 ;
-      RECT 7.935000  1.755000 8.225000 2.635000 ;
-      RECT 8.015000  0.085000 8.225000 0.565000 ;
-      RECT 8.855000  0.085000 9.065000 0.885000 ;
-      RECT 8.855000  1.495000 9.065000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-  END
-END sky130_fd_sc_hd__ha_4
-MACRO sky130_fd_sc_hd__ha_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__ha_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.600000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.335000 1.315000 3.585000 1.485000 ;
-        RECT 3.360000 1.055000 3.585000 1.315000 ;
-        RECT 3.360000 1.485000 3.585000 1.615000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.850000 1.345000 2.155000 1.655000 ;
-        RECT 1.850000 1.655000 3.165000 1.825000 ;
-        RECT 1.850000 1.825000 2.155000 2.375000 ;
-    END
-  END B
-  PIN COUT
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.175000 0.315000 4.515000 0.825000 ;
-        RECT 4.175000 1.565000 4.515000 2.415000 ;
-        RECT 4.330000 0.825000 4.515000 1.565000 ;
-    END
-  END COUT
-  PIN SUM
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.315000 0.425000 0.825000 ;
-        RECT 0.090000 0.825000 0.320000 1.565000 ;
-        RECT 0.090000 1.565000 0.425000 2.415000 ;
-    END
-  END SUM
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.600000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.790000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.600000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.600000 0.085000 ;
-      RECT 0.000000  2.635000 4.600000 2.805000 ;
-      RECT 0.490000  1.075000 1.130000 1.245000 ;
-      RECT 0.595000  0.085000 0.790000 0.885000 ;
-      RECT 0.595000  1.515000 0.790000 2.275000 ;
-      RECT 0.595000  2.275000 1.260000 2.635000 ;
-      RECT 0.960000  0.345000 1.285000 0.675000 ;
-      RECT 0.960000  0.675000 1.130000 1.075000 ;
-      RECT 0.960000  1.245000 1.130000 1.935000 ;
-      RECT 0.960000  1.935000 1.680000 2.105000 ;
-      RECT 1.300000  0.975000 3.170000 1.145000 ;
-      RECT 1.300000  1.145000 1.470000 1.325000 ;
-      RECT 1.510000  2.105000 1.680000 2.355000 ;
-      RECT 1.535000  0.345000 1.705000 0.635000 ;
-      RECT 1.535000  0.635000 2.545000 0.805000 ;
-      RECT 1.875000  0.085000 2.205000 0.465000 ;
-      RECT 2.375000  0.345000 2.545000 0.635000 ;
-      RECT 2.450000  2.275000 3.120000 2.635000 ;
-      RECT 3.000000  0.345000 3.170000 0.715000 ;
-      RECT 3.000000  0.715000 4.005000 0.885000 ;
-      RECT 3.000000  0.885000 3.170000 0.975000 ;
-      RECT 3.350000  1.785000 4.005000 1.955000 ;
-      RECT 3.350000  1.955000 3.520000 2.355000 ;
-      RECT 3.755000  0.085000 4.005000 0.545000 ;
-      RECT 3.755000  2.125000 4.005000 2.635000 ;
-      RECT 3.835000  0.885000 4.005000 0.995000 ;
-      RECT 3.835000  0.995000 4.160000 1.325000 ;
-      RECT 3.835000  1.325000 4.005000 1.785000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-  END
-END sky130_fd_sc_hd__ha_1
-MACRO sky130_fd_sc_hd__dlclkp_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlclkp_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.820000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN GATE
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.530000 0.765000 1.950000 1.015000 ;
-    END
-  END GATE
-  PIN GCLK
-    ANTENNADIFFAREA  1.039500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.040000 0.255000 6.460000 0.545000 ;
-        RECT 6.040000 1.835000 7.300000 2.005000 ;
-        RECT 6.040000 2.005000 6.370000 2.455000 ;
-        RECT 6.290000 0.545000 6.460000 0.715000 ;
-        RECT 6.290000 0.715000 7.300000 0.885000 ;
-        RECT 6.585000 1.785000 7.300000 1.835000 ;
-        RECT 6.750000 0.885000 7.300000 1.785000 ;
-        RECT 6.970000 0.255000 7.300000 0.715000 ;
-        RECT 6.970000 2.005000 7.300000 2.465000 ;
-    END
-  END GCLK
-  PIN CLK
-    ANTENNAGATEAREA  0.406500 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.985000 0.330000 1.625000 ;
-      LAYER mcon ;
-        RECT 0.150000 1.105000 0.320000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 5.230000 1.055000 5.740000 1.325000 ;
-      LAYER mcon ;
-        RECT 5.230000 1.105000 5.400000 1.275000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.090000 1.075000 0.380000 1.120000 ;
-        RECT 0.090000 1.120000 5.460000 1.260000 ;
-        RECT 0.090000 1.260000 0.380000 1.305000 ;
-        RECT 5.170000 1.075000 5.460000 1.120000 ;
-        RECT 5.170000 1.260000 5.460000 1.305000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.820000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.010000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.820000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.820000 0.085000 ;
-      RECT 0.000000  2.635000 7.820000 2.805000 ;
-      RECT 0.085000  0.345000 0.345000 0.635000 ;
-      RECT 0.085000  0.635000 0.780000 0.805000 ;
-      RECT 0.085000  1.795000 0.780000 1.965000 ;
-      RECT 0.085000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.780000 1.070000 ;
-      RECT 0.610000  1.070000 0.840000 1.400000 ;
-      RECT 0.610000  1.400000 0.780000 1.795000 ;
-      RECT 1.015000  0.345000 1.280000 1.355000 ;
-      RECT 1.015000  1.355000 2.335000 1.585000 ;
-      RECT 1.015000  1.585000 1.240000 2.465000 ;
-      RECT 1.450000  0.085000 1.785000 0.465000 ;
-      RECT 1.450000  2.195000 1.815000 2.635000 ;
-      RECT 1.525000  1.785000 1.695000 1.855000 ;
-      RECT 1.525000  1.855000 2.845000 1.905000 ;
-      RECT 1.525000  1.905000 2.735000 2.025000 ;
-      RECT 2.045000  1.585000 2.335000 1.685000 ;
-      RECT 2.290000  0.705000 2.735000 1.035000 ;
-      RECT 2.415000  0.365000 3.075000 0.535000 ;
-      RECT 2.475000  2.195000 3.165000 2.425000 ;
-      RECT 2.505000  1.575000 2.845000 1.855000 ;
-      RECT 2.565000  1.035000 2.735000 1.575000 ;
-      RECT 2.905000  0.535000 3.075000 0.995000 ;
-      RECT 2.905000  0.995000 3.775000 1.165000 ;
-      RECT 2.915000  2.060000 3.185000 2.090000 ;
-      RECT 2.915000  2.090000 3.180000 2.105000 ;
-      RECT 2.915000  2.105000 3.165000 2.195000 ;
-      RECT 2.980000  2.015000 3.185000 2.060000 ;
-      RECT 3.015000  1.165000 3.775000 1.325000 ;
-      RECT 3.015000  1.325000 3.185000 2.015000 ;
-      RECT 3.315000  0.085000 3.650000 0.530000 ;
-      RECT 3.335000  2.175000 3.695000 2.635000 ;
-      RECT 3.355000  1.535000 4.115000 1.865000 ;
-      RECT 3.895000  0.415000 4.115000 0.745000 ;
-      RECT 3.895000  1.865000 4.115000 2.435000 ;
-      RECT 3.945000  0.745000 4.115000 0.995000 ;
-      RECT 3.945000  0.995000 4.720000 1.325000 ;
-      RECT 3.945000  1.325000 4.115000 1.535000 ;
-      RECT 4.295000  0.085000 4.580000 0.715000 ;
-      RECT 4.295000  2.010000 4.580000 2.635000 ;
-      RECT 4.750000  0.290000 5.060000 0.715000 ;
-      RECT 4.750000  0.715000 6.120000 0.825000 ;
-      RECT 4.750000  1.495000 6.140000 1.665000 ;
-      RECT 4.750000  1.665000 5.035000 2.465000 ;
-      RECT 4.890000  0.825000 6.120000 0.885000 ;
-      RECT 4.890000  0.885000 5.060000 1.495000 ;
-      RECT 5.575000  1.835000 5.840000 2.635000 ;
-      RECT 5.590000  0.085000 5.870000 0.545000 ;
-      RECT 5.910000  0.885000 6.120000 1.055000 ;
-      RECT 5.910000  1.055000 6.580000 1.290000 ;
-      RECT 5.910000  1.290000 6.140000 1.495000 ;
-      RECT 6.540000  2.175000 6.800000 2.635000 ;
-      RECT 6.630000  0.085000 6.800000 0.545000 ;
-      RECT 7.470000  0.085000 7.735000 0.885000 ;
-      RECT 7.470000  1.485000 7.735000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.610000  1.785000 0.780000 1.955000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.755000 0.840000 1.800000 ;
-      RECT 0.550000 1.800000 1.755000 1.940000 ;
-      RECT 0.550000 1.940000 0.840000 1.985000 ;
-      RECT 1.465000 1.755000 1.755000 1.800000 ;
-      RECT 1.465000 1.940000 1.755000 1.985000 ;
-  END
-END sky130_fd_sc_hd__dlclkp_4
-MACRO sky130_fd_sc_hd__dlclkp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlclkp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.440000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN GATE
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.525000 1.435000 2.185000 1.685000 ;
-        RECT 1.985000 0.385000 2.185000 1.435000 ;
-    END
-  END GATE
-  PIN GCLK
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.055000 0.255000 6.355000 0.595000 ;
-        RECT 6.090000 1.495000 6.355000 2.455000 ;
-        RECT 6.170000 0.595000 6.355000 1.495000 ;
-    END
-  END GCLK
-  PIN CLK
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.985000 0.330000 1.625000 ;
-      LAYER mcon ;
-        RECT 0.145000 1.105000 0.315000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 5.190000 1.105000 5.510000 1.435000 ;
-      LAYER mcon ;
-        RECT 5.210000 1.105000 5.380000 1.275000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.085000 1.075000 0.380000 1.120000 ;
-        RECT 0.085000 1.120000 5.440000 1.260000 ;
-        RECT 0.085000 1.260000 0.380000 1.305000 ;
-        RECT 5.150000 1.075000 5.440000 1.120000 ;
-        RECT 5.150000 1.260000 5.440000 1.305000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 6.440000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 0.995000 1.355000 ;
-        RECT -0.190000 1.355000 6.630000 2.910000 ;
-        RECT  2.620000 1.305000 6.630000 1.355000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.440000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.440000 0.085000 ;
-      RECT 0.000000  2.635000 6.440000 2.805000 ;
-      RECT 0.175000  0.260000 0.345000 0.615000 ;
-      RECT 0.175000  0.615000 0.780000 0.785000 ;
-      RECT 0.175000  1.795000 0.780000 1.965000 ;
-      RECT 0.175000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.445000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.785000 0.780000 1.060000 ;
-      RECT 0.610000  1.060000 0.840000 1.390000 ;
-      RECT 0.610000  1.390000 0.780000 1.795000 ;
-      RECT 1.015000  0.260000 1.280000 1.855000 ;
-      RECT 1.015000  1.855000 2.590000 2.025000 ;
-      RECT 1.015000  2.025000 1.240000 2.465000 ;
-      RECT 1.450000  2.195000 1.815000 2.635000 ;
-      RECT 1.480000  0.085000 1.810000 0.905000 ;
-      RECT 2.390000  0.815000 3.220000 0.985000 ;
-      RECT 2.390000  0.985000 2.590000 1.855000 ;
-      RECT 2.475000  2.255000 3.225000 2.425000 ;
-      RECT 2.790000  0.390000 3.725000 0.560000 ;
-      RECT 3.055000  1.155000 4.175000 1.325000 ;
-      RECT 3.055000  1.325000 3.225000 2.255000 ;
-      RECT 3.395000  2.135000 3.695000 2.635000 ;
-      RECT 3.430000  1.535000 4.710000 1.840000 ;
-      RECT 3.430000  1.840000 4.130000 1.865000 ;
-      RECT 3.555000  0.560000 3.725000 0.995000 ;
-      RECT 3.555000  0.995000 4.175000 1.155000 ;
-      RECT 3.895000  0.085000 4.145000 0.610000 ;
-      RECT 3.910000  1.865000 4.130000 2.435000 ;
-      RECT 4.310000  2.010000 4.595000 2.635000 ;
-      RECT 4.320000  0.255000 4.580000 0.615000 ;
-      RECT 4.345000  0.615000 4.580000 0.995000 ;
-      RECT 4.345000  0.995000 4.740000 1.325000 ;
-      RECT 4.345000  1.325000 4.710000 1.535000 ;
-      RECT 4.840000  0.290000 5.155000 0.620000 ;
-      RECT 4.935000  0.620000 5.155000 0.765000 ;
-      RECT 4.935000  0.765000 6.000000 0.935000 ;
-      RECT 5.005000  1.725000 5.920000 1.895000 ;
-      RECT 5.005000  1.895000 5.335000 2.465000 ;
-      RECT 5.570000  2.130000 5.920000 2.635000 ;
-      RECT 5.670000  0.085000 5.840000 0.545000 ;
-      RECT 5.750000  0.935000 6.000000 1.325000 ;
-      RECT 5.750000  1.325000 5.920000 1.725000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-  END
-END sky130_fd_sc_hd__dlclkp_1
-MACRO sky130_fd_sc_hd__dlclkp_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlclkp_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.900000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN GATE
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.530000 1.435000 2.215000 1.685000 ;
-        RECT 1.985000 0.285000 2.215000 1.435000 ;
-    END
-  END GATE
-  PIN GCLK
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.060000 0.255000 6.360000 0.595000 ;
-        RECT 6.095000 1.495000 6.360000 2.455000 ;
-        RECT 6.165000 0.595000 6.360000 1.495000 ;
-    END
-  END GCLK
-  PIN CLK
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.985000 0.330000 1.625000 ;
-      LAYER mcon ;
-        RECT 0.150000 1.105000 0.320000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 5.210000 1.105000 5.485000 1.435000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.090000 1.075000 0.380000 1.120000 ;
-        RECT 0.090000 1.120000 5.440000 1.260000 ;
-        RECT 0.090000 1.260000 0.380000 1.305000 ;
-        RECT 5.150000 1.075000 5.440000 1.120000 ;
-        RECT 5.150000 1.260000 5.440000 1.305000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 6.900000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 0.995000 1.355000 ;
-        RECT -0.190000 1.355000 7.090000 2.910000 ;
-        RECT  2.625000 1.305000 7.090000 1.355000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.900000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.900000 0.085000 ;
-      RECT 0.000000  2.635000 6.900000 2.805000 ;
-      RECT 0.175000  0.260000 0.345000 0.615000 ;
-      RECT 0.175000  0.615000 0.780000 0.785000 ;
-      RECT 0.175000  1.795000 0.780000 1.965000 ;
-      RECT 0.175000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.445000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.785000 0.780000 1.060000 ;
-      RECT 0.610000  1.060000 0.840000 1.390000 ;
-      RECT 0.610000  1.390000 0.780000 1.795000 ;
-      RECT 1.015000  0.260000 1.280000 1.855000 ;
-      RECT 1.015000  1.855000 2.645000 2.025000 ;
-      RECT 1.015000  2.025000 1.240000 2.465000 ;
-      RECT 1.455000  2.195000 1.820000 2.635000 ;
-      RECT 1.485000  0.085000 1.815000 0.905000 ;
-      RECT 2.395000  0.815000 3.225000 0.985000 ;
-      RECT 2.395000  0.985000 2.645000 1.855000 ;
-      RECT 2.480000  2.255000 3.230000 2.425000 ;
-      RECT 2.795000  0.390000 3.725000 0.560000 ;
-      RECT 3.060000  1.155000 4.180000 1.325000 ;
-      RECT 3.060000  1.325000 3.230000 2.255000 ;
-      RECT 3.400000  2.135000 3.700000 2.635000 ;
-      RECT 3.435000  1.535000 4.735000 1.840000 ;
-      RECT 3.435000  1.840000 4.135000 1.865000 ;
-      RECT 3.555000  0.560000 3.725000 0.995000 ;
-      RECT 3.555000  0.995000 4.180000 1.155000 ;
-      RECT 3.895000  0.085000 4.145000 0.610000 ;
-      RECT 3.915000  1.865000 4.135000 2.435000 ;
-      RECT 4.315000  0.255000 4.585000 0.615000 ;
-      RECT 4.315000  2.010000 4.600000 2.635000 ;
-      RECT 4.350000  0.615000 4.585000 0.995000 ;
-      RECT 4.350000  0.995000 4.735000 1.535000 ;
-      RECT 4.835000  0.290000 5.150000 0.620000 ;
-      RECT 4.930000  0.620000 5.150000 0.765000 ;
-      RECT 4.930000  0.765000 5.995000 0.935000 ;
-      RECT 5.010000  1.725000 5.925000 1.895000 ;
-      RECT 5.010000  1.895000 5.340000 2.465000 ;
-      RECT 5.575000  2.130000 5.925000 2.635000 ;
-      RECT 5.675000  0.085000 5.845000 0.545000 ;
-      RECT 5.755000  0.935000 5.995000 1.325000 ;
-      RECT 5.755000  1.325000 5.925000 1.725000 ;
-      RECT 6.530000  0.085000 6.810000 0.885000 ;
-      RECT 6.530000  1.485000 6.810000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-  END
-END sky130_fd_sc_hd__dlclkp_2
-MACRO sky130_fd_sc_hd__or2_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__or2_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.300000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.010000 0.765000 1.275000 1.325000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.145000 0.765000 0.500000 1.325000 ;
-    END
-  END B
-  PIN X
-    ANTENNADIFFAREA  0.509000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.565000 0.255000 2.180000 0.825000 ;
-        RECT 1.645000 1.845000 2.180000 2.465000 ;
-        RECT 1.865000 0.825000 2.180000 1.845000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.300000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.490000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.300000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.300000 0.085000 ;
-      RECT 0.000000  2.635000 2.300000 2.805000 ;
-      RECT 0.250000  0.085000 0.490000 0.595000 ;
-      RECT 0.270000  1.495000 1.695000 1.665000 ;
-      RECT 0.270000  1.665000 0.660000 1.840000 ;
-      RECT 0.670000  0.265000 0.950000 0.595000 ;
-      RECT 0.670000  0.595000 0.840000 1.495000 ;
-      RECT 1.145000  1.835000 1.475000 2.635000 ;
-      RECT 1.180000  0.085000 1.395000 0.595000 ;
-      RECT 1.525000  0.995000 1.695000 1.495000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-  END
-END sky130_fd_sc_hd__or2_1
-MACRO sky130_fd_sc_hd__or2_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__or2_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.865000 0.995000 1.240000 1.325000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.765000 0.345000 1.325000 ;
-    END
-  END B
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.440000 0.265000 1.770000 0.735000 ;
-        RECT 1.440000 0.735000 3.135000 0.905000 ;
-        RECT 1.440000 1.835000 2.610000 2.005000 ;
-        RECT 1.440000 2.005000 1.770000 2.465000 ;
-        RECT 2.280000 0.265000 2.610000 0.735000 ;
-        RECT 2.280000 1.495000 3.135000 1.665000 ;
-        RECT 2.280000 1.665000 2.610000 1.835000 ;
-        RECT 2.280000 2.005000 2.610000 2.465000 ;
-        RECT 2.790000 0.905000 3.135000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.105000  0.085000 0.345000 0.595000 ;
-      RECT 0.155000  1.495000 1.615000 1.665000 ;
-      RECT 0.155000  1.665000 0.515000 2.465000 ;
-      RECT 0.515000  0.290000 0.845000 0.825000 ;
-      RECT 0.515000  0.825000 0.695000 1.495000 ;
-      RECT 1.060000  0.085000 1.230000 0.825000 ;
-      RECT 1.060000  1.835000 1.230000 2.635000 ;
-      RECT 1.410000  1.075000 2.620000 1.245000 ;
-      RECT 1.410000  1.245000 1.615000 1.495000 ;
-      RECT 1.940000  0.085000 2.110000 0.565000 ;
-      RECT 1.940000  2.175000 2.110000 2.635000 ;
-      RECT 2.780000  0.085000 2.950000 0.565000 ;
-      RECT 2.780000  1.835000 2.950000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__or2_4
-MACRO sky130_fd_sc_hd__or2_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__or2_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.300000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.865000 0.765000 1.275000 1.325000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.150000 0.765000 0.345000 1.325000 ;
-    END
-  END B
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.440000 1.835000 2.215000 2.005000 ;
-        RECT 1.440000 2.005000 1.770000 2.465000 ;
-        RECT 1.520000 0.385000 1.690000 0.655000 ;
-        RECT 1.520000 0.655000 2.215000 0.825000 ;
-        RECT 1.785000 0.825000 2.215000 1.835000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.300000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.490000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.300000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.300000 0.085000 ;
-      RECT 0.000000  2.635000 2.300000 2.805000 ;
-      RECT 0.105000  0.085000 0.345000 0.595000 ;
-      RECT 0.155000  1.495000 1.615000 1.665000 ;
-      RECT 0.155000  1.665000 0.515000 1.840000 ;
-      RECT 0.515000  0.255000 0.805000 0.595000 ;
-      RECT 0.515000  0.595000 0.695000 1.495000 ;
-      RECT 1.035000  0.085000 1.350000 0.595000 ;
-      RECT 1.100000  1.835000 1.270000 2.635000 ;
-      RECT 1.445000  0.995000 1.615000 1.495000 ;
-      RECT 1.860000  0.085000 2.190000 0.485000 ;
-      RECT 1.940000  2.175000 2.110000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-  END
-END sky130_fd_sc_hd__or2_2
-MACRO sky130_fd_sc_hd__or2_0
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__or2_0 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.300000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.010000 0.995000 1.335000 1.615000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.145000 0.995000 0.500000 1.615000 ;
-    END
-  END B
-  PIN X
-    ANTENNADIFFAREA  0.326800 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.565000 0.525000 2.180000 0.825000 ;
-        RECT 1.645000 2.135000 2.180000 2.465000 ;
-        RECT 1.865000 0.825000 2.180000 2.135000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.300000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.490000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.300000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.300000 0.085000 ;
-      RECT 0.000000  2.635000 2.300000 2.805000 ;
-      RECT 0.250000  0.085000 0.490000 0.825000 ;
-      RECT 0.270000  1.785000 1.695000 1.955000 ;
-      RECT 0.270000  1.955000 0.660000 2.130000 ;
-      RECT 0.670000  0.425000 0.950000 0.825000 ;
-      RECT 0.670000  0.825000 0.840000 1.785000 ;
-      RECT 1.145000  2.125000 1.475000 2.635000 ;
-      RECT 1.180000  0.085000 1.395000 0.825000 ;
-      RECT 1.525000  0.995000 1.695000 1.785000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-  END
-END sky130_fd_sc_hd__or2_0
-MACRO sky130_fd_sc_hd__dlymetal6s4s_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlymetal6s4s_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.600000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.570000 1.700000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.660000 0.255000 3.105000 0.825000 ;
-        RECT 2.660000 1.495000 3.565000 1.675000 ;
-        RECT 2.660000 1.675000 3.105000 2.465000 ;
-        RECT 2.735000 0.825000 3.105000 0.995000 ;
-        RECT 2.735000 0.995000 3.565000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.600000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.120000 -0.085000 0.290000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.790000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.600000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.600000 0.085000 ;
-      RECT 0.000000  2.635000 4.600000 2.805000 ;
-      RECT 0.085000  0.255000 0.520000 0.655000 ;
-      RECT 0.085000  0.655000 1.075000 0.825000 ;
-      RECT 0.085000  1.870000 1.075000 2.040000 ;
-      RECT 0.085000  2.040000 0.520000 2.465000 ;
-      RECT 0.690000  0.085000 1.075000 0.485000 ;
-      RECT 0.690000  2.210000 1.075000 2.635000 ;
-      RECT 0.740000  0.825000 1.075000 0.995000 ;
-      RECT 0.740000  0.995000 1.150000 1.325000 ;
-      RECT 0.740000  1.325000 1.075000 1.870000 ;
-      RECT 1.245000  0.255000 1.515000 0.825000 ;
-      RECT 1.245000  1.495000 1.970000 1.675000 ;
-      RECT 1.245000  1.675000 1.515000 2.465000 ;
-      RECT 1.320000  0.825000 1.515000 0.995000 ;
-      RECT 1.320000  0.995000 1.970000 1.495000 ;
-      RECT 1.685000  0.255000 1.935000 0.655000 ;
-      RECT 1.685000  0.655000 2.490000 0.825000 ;
-      RECT 1.685000  1.845000 2.490000 2.040000 ;
-      RECT 1.685000  2.040000 1.935000 2.465000 ;
-      RECT 2.105000  0.085000 2.490000 0.485000 ;
-      RECT 2.105000  2.210000 2.490000 2.635000 ;
-      RECT 2.140000  0.825000 2.490000 0.995000 ;
-      RECT 2.140000  0.995000 2.565000 1.325000 ;
-      RECT 2.140000  1.325000 2.490000 1.845000 ;
-      RECT 3.275000  0.255000 3.530000 0.655000 ;
-      RECT 3.275000  0.655000 4.085000 0.825000 ;
-      RECT 3.275000  1.845000 4.085000 2.040000 ;
-      RECT 3.275000  2.040000 3.530000 2.465000 ;
-      RECT 3.700000  0.085000 4.085000 0.485000 ;
-      RECT 3.700000  2.210000 4.085000 2.635000 ;
-      RECT 3.735000  0.825000 4.085000 0.995000 ;
-      RECT 3.735000  0.995000 4.160000 1.325000 ;
-      RECT 3.735000  1.325000 4.085000 1.845000 ;
-      RECT 4.255000  0.255000 4.515000 0.825000 ;
-      RECT 4.255000  1.495000 4.515000 2.465000 ;
-      RECT 4.330000  0.825000 4.515000 1.495000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-  END
-END sky130_fd_sc_hd__dlymetal6s4s_1
-MACRO sky130_fd_sc_hd__xnor3_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__xnor3_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.660000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.246000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 8.425000 1.075000 8.835000 1.325000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.661500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.605000 0.995000 7.775000 1.445000 ;
-        RECT 7.605000 1.445000 8.185000 1.615000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.381000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.995000 1.075000 3.560000 1.325000 ;
-    END
-  END C
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.625000 0.375000 0.875000 0.995000 ;
-        RECT 0.625000 0.995000 1.710000 1.325000 ;
-        RECT 0.625000 1.325000 0.955000 2.425000 ;
-        RECT 1.465000 0.350000 1.725000 0.925000 ;
-        RECT 1.465000 0.925000 1.710000 0.995000 ;
-        RECT 1.465000 1.325000 1.710000 1.440000 ;
-        RECT 1.465000 1.440000 1.745000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.660000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.850000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.660000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.660000 0.085000 ;
-      RECT 0.000000  2.635000 9.660000 2.805000 ;
-      RECT 0.285000  0.085000 0.455000 0.735000 ;
-      RECT 0.285000  1.490000 0.455000 2.635000 ;
-      RECT 1.125000  0.085000 1.295000 0.735000 ;
-      RECT 1.125000  1.495000 1.295000 2.635000 ;
-      RECT 1.880000  0.995000 2.085000 1.325000 ;
-      RECT 1.895000  0.085000 2.145000 0.525000 ;
-      RECT 1.910000  0.695000 2.485000 0.865000 ;
-      RECT 1.910000  0.865000 2.085000 0.995000 ;
-      RECT 1.915000  1.325000 2.085000 1.875000 ;
-      RECT 1.915000  1.875000 2.600000 2.045000 ;
-      RECT 1.915000  2.215000 2.250000 2.635000 ;
-      RECT 2.315000  0.255000 3.885000 0.425000 ;
-      RECT 2.315000  0.425000 2.485000 0.695000 ;
-      RECT 2.315000  1.535000 3.900000 1.705000 ;
-      RECT 2.430000  2.045000 2.600000 2.235000 ;
-      RECT 2.430000  2.235000 3.900000 2.405000 ;
-      RECT 2.655000  0.595000 2.825000 1.535000 ;
-      RECT 2.940000  1.895000 5.440000 2.065000 ;
-      RECT 3.125000  0.625000 4.345000 0.795000 ;
-      RECT 3.125000  0.795000 3.505000 0.905000 ;
-      RECT 3.450000  0.425000 3.885000 0.455000 ;
-      RECT 3.730000  0.995000 4.005000 1.325000 ;
-      RECT 3.730000  1.325000 3.900000 1.535000 ;
-      RECT 4.055000  0.285000 4.685000 0.455000 ;
-      RECT 4.070000  1.525000 4.455000 1.695000 ;
-      RECT 4.175000  0.795000 4.345000 1.375000 ;
-      RECT 4.175000  1.375000 4.455000 1.525000 ;
-      RECT 4.515000  0.455000 4.685000 1.035000 ;
-      RECT 4.515000  1.035000 4.795000 1.205000 ;
-      RECT 4.605000  2.235000 4.935000 2.635000 ;
-      RECT 4.625000  1.205000 4.795000 1.895000 ;
-      RECT 4.855000  0.085000 5.025000 0.865000 ;
-      RECT 5.025000  1.445000 5.445000 1.715000 ;
-      RECT 5.205000  0.415000 5.445000 1.445000 ;
-      RECT 5.270000  2.065000 5.440000 2.275000 ;
-      RECT 5.270000  2.275000 8.365000 2.445000 ;
-      RECT 5.625000  0.265000 6.035000 0.485000 ;
-      RECT 5.625000  0.485000 5.835000 0.595000 ;
-      RECT 5.625000  0.595000 5.795000 2.105000 ;
-      RECT 5.965000  0.720000 6.375000 0.825000 ;
-      RECT 5.965000  0.825000 6.175000 0.890000 ;
-      RECT 5.965000  0.890000 6.135000 2.275000 ;
-      RECT 6.005000  0.655000 6.375000 0.720000 ;
-      RECT 6.205000  0.320000 6.375000 0.655000 ;
-      RECT 6.315000  1.445000 7.095000 1.615000 ;
-      RECT 6.315000  1.615000 6.730000 2.045000 ;
-      RECT 6.330000  0.995000 6.755000 1.270000 ;
-      RECT 6.545000  0.630000 6.755000 0.995000 ;
-      RECT 6.925000  0.255000 8.070000 0.425000 ;
-      RECT 6.925000  0.425000 7.095000 1.445000 ;
-      RECT 7.265000  0.595000 7.435000 1.935000 ;
-      RECT 7.265000  1.935000 9.575000 2.105000 ;
-      RECT 7.605000  0.425000 8.070000 0.465000 ;
-      RECT 7.945000  0.730000 8.150000 0.945000 ;
-      RECT 7.945000  0.945000 8.255000 1.275000 ;
-      RECT 8.355000  1.495000 9.175000 1.705000 ;
-      RECT 8.395000  0.295000 8.685000 0.735000 ;
-      RECT 8.395000  0.735000 9.175000 0.750000 ;
-      RECT 8.435000  0.750000 9.175000 0.905000 ;
-      RECT 8.775000  2.275000 9.110000 2.635000 ;
-      RECT 8.855000  0.085000 9.025000 0.565000 ;
-      RECT 9.005000  0.905000 9.175000 0.995000 ;
-      RECT 9.005000  0.995000 9.235000 1.325000 ;
-      RECT 9.005000  1.325000 9.175000 1.495000 ;
-      RECT 9.090000  1.875000 9.575000 1.935000 ;
-      RECT 9.275000  0.255000 9.575000 0.585000 ;
-      RECT 9.280000  2.105000 9.575000 2.465000 ;
-      RECT 9.405000  0.585000 9.575000 1.875000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  1.445000 4.455000 1.615000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  0.765000 5.375000 0.935000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  0.425000 5.835000 0.595000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  0.765000 6.755000 0.935000 ;
-      RECT 6.585000  1.445000 6.755000 1.615000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  0.765000 8.135000 0.935000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  0.425000 8.595000 0.595000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-    LAYER met1 ;
-      RECT 4.225000 1.415000 4.515000 1.460000 ;
-      RECT 4.225000 1.460000 6.815000 1.600000 ;
-      RECT 4.225000 1.600000 4.515000 1.645000 ;
-      RECT 5.145000 0.735000 5.435000 0.780000 ;
-      RECT 5.145000 0.780000 8.195000 0.920000 ;
-      RECT 5.145000 0.920000 5.435000 0.965000 ;
-      RECT 5.605000 0.395000 5.895000 0.440000 ;
-      RECT 5.605000 0.440000 8.655000 0.580000 ;
-      RECT 5.605000 0.580000 5.895000 0.625000 ;
-      RECT 6.525000 0.735000 6.815000 0.780000 ;
-      RECT 6.525000 0.920000 6.815000 0.965000 ;
-      RECT 6.525000 1.415000 6.815000 1.460000 ;
-      RECT 6.525000 1.600000 6.815000 1.645000 ;
-      RECT 7.905000 0.735000 8.195000 0.780000 ;
-      RECT 7.905000 0.920000 8.195000 0.965000 ;
-      RECT 8.365000 0.395000 8.655000 0.440000 ;
-      RECT 8.365000 0.580000 8.655000 0.625000 ;
-  END
-END sky130_fd_sc_hd__xnor3_4
-MACRO sky130_fd_sc_hd__xnor3_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__xnor3_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  8.280000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.246000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.045000 1.075000 7.455000 1.325000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.661500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.225000 0.995000 6.395000 1.445000 ;
-        RECT 6.225000 1.445000 6.805000 1.615000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.381000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.615000 1.075000 2.180000 1.325000 ;
-    END
-  END C
-  PIN X
-    ANTENNADIFFAREA  0.449000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.350000 0.345000 0.925000 ;
-        RECT 0.085000 0.925000 0.330000 1.440000 ;
-        RECT 0.085000 1.440000 0.365000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 8.280000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.470000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 8.280000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 8.280000 0.085000 ;
-      RECT 0.000000  2.635000 8.280000 2.805000 ;
-      RECT 0.500000  0.995000 0.705000 1.325000 ;
-      RECT 0.515000  0.085000 0.765000 0.525000 ;
-      RECT 0.530000  0.695000 1.105000 0.865000 ;
-      RECT 0.530000  0.865000 0.705000 0.995000 ;
-      RECT 0.535000  1.325000 0.705000 1.875000 ;
-      RECT 0.535000  1.875000 1.220000 2.045000 ;
-      RECT 0.535000  2.215000 0.870000 2.635000 ;
-      RECT 0.935000  0.255000 2.505000 0.425000 ;
-      RECT 0.935000  0.425000 1.105000 0.695000 ;
-      RECT 0.935000  1.535000 2.520000 1.705000 ;
-      RECT 1.050000  2.045000 1.220000 2.235000 ;
-      RECT 1.050000  2.235000 2.520000 2.405000 ;
-      RECT 1.275000  0.595000 1.445000 1.535000 ;
-      RECT 1.560000  1.895000 4.060000 2.065000 ;
-      RECT 1.745000  0.625000 2.965000 0.795000 ;
-      RECT 1.745000  0.795000 2.125000 0.905000 ;
-      RECT 2.070000  0.425000 2.505000 0.455000 ;
-      RECT 2.350000  0.995000 2.625000 1.325000 ;
-      RECT 2.350000  1.325000 2.520000 1.535000 ;
-      RECT 2.675000  0.285000 3.305000 0.455000 ;
-      RECT 2.690000  1.525000 3.075000 1.695000 ;
-      RECT 2.795000  0.795000 2.965000 1.375000 ;
-      RECT 2.795000  1.375000 3.075000 1.525000 ;
-      RECT 3.135000  0.455000 3.305000 1.035000 ;
-      RECT 3.135000  1.035000 3.415000 1.205000 ;
-      RECT 3.225000  2.235000 3.555000 2.635000 ;
-      RECT 3.245000  1.205000 3.415000 1.895000 ;
-      RECT 3.475000  0.085000 3.645000 0.865000 ;
-      RECT 3.645000  1.445000 4.065000 1.715000 ;
-      RECT 3.825000  0.415000 4.065000 1.445000 ;
-      RECT 3.890000  2.065000 4.060000 2.275000 ;
-      RECT 3.890000  2.275000 6.985000 2.445000 ;
-      RECT 4.245000  0.265000 4.655000 0.485000 ;
-      RECT 4.245000  0.485000 4.455000 0.595000 ;
-      RECT 4.245000  0.595000 4.415000 2.105000 ;
-      RECT 4.585000  0.720000 4.995000 0.825000 ;
-      RECT 4.585000  0.825000 4.795000 0.890000 ;
-      RECT 4.585000  0.890000 4.755000 2.275000 ;
-      RECT 4.625000  0.655000 4.995000 0.720000 ;
-      RECT 4.825000  0.320000 4.995000 0.655000 ;
-      RECT 4.935000  1.445000 5.715000 1.615000 ;
-      RECT 4.935000  1.615000 5.350000 2.045000 ;
-      RECT 4.950000  0.995000 5.375000 1.270000 ;
-      RECT 5.165000  0.630000 5.375000 0.995000 ;
-      RECT 5.545000  0.255000 6.690000 0.425000 ;
-      RECT 5.545000  0.425000 5.715000 1.445000 ;
-      RECT 5.885000  0.595000 6.055000 1.935000 ;
-      RECT 5.885000  1.935000 8.195000 2.105000 ;
-      RECT 6.225000  0.425000 6.690000 0.465000 ;
-      RECT 6.565000  0.730000 6.770000 0.945000 ;
-      RECT 6.565000  0.945000 6.875000 1.275000 ;
-      RECT 6.975000  1.495000 7.795000 1.705000 ;
-      RECT 7.015000  0.295000 7.305000 0.735000 ;
-      RECT 7.015000  0.735000 7.795000 0.750000 ;
-      RECT 7.055000  0.750000 7.795000 0.905000 ;
-      RECT 7.395000  2.275000 7.730000 2.635000 ;
-      RECT 7.475000  0.085000 7.645000 0.565000 ;
-      RECT 7.625000  0.905000 7.795000 0.995000 ;
-      RECT 7.625000  0.995000 7.855000 1.325000 ;
-      RECT 7.625000  1.325000 7.795000 1.495000 ;
-      RECT 7.710000  1.875000 8.195000 1.935000 ;
-      RECT 7.895000  0.255000 8.195000 0.585000 ;
-      RECT 7.900000  2.105000 8.195000 2.465000 ;
-      RECT 8.025000  0.585000 8.195000 1.875000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  1.445000 3.075000 1.615000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  0.765000 3.995000 0.935000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  0.425000 4.455000 0.595000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  0.765000 5.375000 0.935000 ;
-      RECT 5.205000  1.445000 5.375000 1.615000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  0.765000 6.755000 0.935000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  0.425000 7.215000 0.595000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-    LAYER met1 ;
-      RECT 2.845000 1.415000 3.135000 1.460000 ;
-      RECT 2.845000 1.460000 5.435000 1.600000 ;
-      RECT 2.845000 1.600000 3.135000 1.645000 ;
-      RECT 3.765000 0.735000 4.055000 0.780000 ;
-      RECT 3.765000 0.780000 6.815000 0.920000 ;
-      RECT 3.765000 0.920000 4.055000 0.965000 ;
-      RECT 4.225000 0.395000 4.515000 0.440000 ;
-      RECT 4.225000 0.440000 7.275000 0.580000 ;
-      RECT 4.225000 0.580000 4.515000 0.625000 ;
-      RECT 5.145000 0.735000 5.435000 0.780000 ;
-      RECT 5.145000 0.920000 5.435000 0.965000 ;
-      RECT 5.145000 1.415000 5.435000 1.460000 ;
-      RECT 5.145000 1.600000 5.435000 1.645000 ;
-      RECT 6.525000 0.735000 6.815000 0.780000 ;
-      RECT 6.525000 0.920000 6.815000 0.965000 ;
-      RECT 6.985000 0.395000 7.275000 0.440000 ;
-      RECT 6.985000 0.580000 7.275000 0.625000 ;
-  END
-END sky130_fd_sc_hd__xnor3_1
-MACRO sky130_fd_sc_hd__xnor3_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__xnor3_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  8.740000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.246000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.505000 1.075000 7.915000 1.325000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.661500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.685000 0.995000 6.855000 1.445000 ;
-        RECT 6.685000 1.445000 7.265000 1.615000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.381000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.075000 1.075000 2.640000 1.325000 ;
-    END
-  END C
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.545000 0.350000 0.805000 0.925000 ;
-        RECT 0.545000 0.925000 0.790000 1.440000 ;
-        RECT 0.545000 1.440000 0.825000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 8.740000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.930000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 8.740000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 8.740000 0.085000 ;
-      RECT 0.000000  2.635000 8.740000 2.805000 ;
-      RECT 0.085000  0.085000 0.375000 0.735000 ;
-      RECT 0.085000  1.490000 0.375000 2.635000 ;
-      RECT 0.960000  0.995000 1.165000 1.325000 ;
-      RECT 0.975000  0.085000 1.225000 0.525000 ;
-      RECT 0.990000  0.695000 1.565000 0.865000 ;
-      RECT 0.990000  0.865000 1.165000 0.995000 ;
-      RECT 0.995000  1.325000 1.165000 1.875000 ;
-      RECT 0.995000  1.875000 1.680000 2.045000 ;
-      RECT 0.995000  2.215000 1.330000 2.635000 ;
-      RECT 1.395000  0.255000 2.965000 0.425000 ;
-      RECT 1.395000  0.425000 1.565000 0.695000 ;
-      RECT 1.395000  1.535000 2.980000 1.705000 ;
-      RECT 1.510000  2.045000 1.680000 2.235000 ;
-      RECT 1.510000  2.235000 2.980000 2.405000 ;
-      RECT 1.735000  0.595000 1.905000 1.535000 ;
-      RECT 2.020000  1.895000 4.520000 2.065000 ;
-      RECT 2.205000  0.625000 3.425000 0.795000 ;
-      RECT 2.205000  0.795000 2.585000 0.905000 ;
-      RECT 2.530000  0.425000 2.965000 0.455000 ;
-      RECT 2.810000  0.995000 3.085000 1.325000 ;
-      RECT 2.810000  1.325000 2.980000 1.535000 ;
-      RECT 3.135000  0.285000 3.765000 0.455000 ;
-      RECT 3.150000  1.525000 3.535000 1.695000 ;
-      RECT 3.255000  0.795000 3.425000 1.375000 ;
-      RECT 3.255000  1.375000 3.535000 1.525000 ;
-      RECT 3.595000  0.455000 3.765000 1.035000 ;
-      RECT 3.595000  1.035000 3.875000 1.205000 ;
-      RECT 3.685000  2.235000 4.015000 2.635000 ;
-      RECT 3.705000  1.205000 3.875000 1.895000 ;
-      RECT 3.935000  0.085000 4.105000 0.865000 ;
-      RECT 4.105000  1.445000 4.525000 1.715000 ;
-      RECT 4.285000  0.415000 4.525000 1.445000 ;
-      RECT 4.350000  2.065000 4.520000 2.275000 ;
-      RECT 4.350000  2.275000 7.445000 2.445000 ;
-      RECT 4.705000  0.265000 5.115000 0.485000 ;
-      RECT 4.705000  0.485000 4.915000 0.595000 ;
-      RECT 4.705000  0.595000 4.875000 2.105000 ;
-      RECT 5.045000  0.720000 5.455000 0.825000 ;
-      RECT 5.045000  0.825000 5.255000 0.890000 ;
-      RECT 5.045000  0.890000 5.215000 2.275000 ;
-      RECT 5.085000  0.655000 5.455000 0.720000 ;
-      RECT 5.285000  0.320000 5.455000 0.655000 ;
-      RECT 5.395000  1.445000 6.175000 1.615000 ;
-      RECT 5.395000  1.615000 5.810000 2.045000 ;
-      RECT 5.410000  0.995000 5.835000 1.270000 ;
-      RECT 5.625000  0.630000 5.835000 0.995000 ;
-      RECT 6.005000  0.255000 7.150000 0.425000 ;
-      RECT 6.005000  0.425000 6.175000 1.445000 ;
-      RECT 6.345000  0.595000 6.515000 1.935000 ;
-      RECT 6.345000  1.935000 8.655000 2.105000 ;
-      RECT 6.685000  0.425000 7.150000 0.465000 ;
-      RECT 7.025000  0.730000 7.230000 0.945000 ;
-      RECT 7.025000  0.945000 7.335000 1.275000 ;
-      RECT 7.435000  1.495000 8.255000 1.705000 ;
-      RECT 7.475000  0.295000 7.765000 0.735000 ;
-      RECT 7.475000  0.735000 8.255000 0.750000 ;
-      RECT 7.515000  0.750000 8.255000 0.905000 ;
-      RECT 7.855000  2.275000 8.190000 2.635000 ;
-      RECT 7.935000  0.085000 8.105000 0.565000 ;
-      RECT 8.085000  0.905000 8.255000 0.995000 ;
-      RECT 8.085000  0.995000 8.315000 1.325000 ;
-      RECT 8.085000  1.325000 8.255000 1.495000 ;
-      RECT 8.170000  1.875000 8.655000 1.935000 ;
-      RECT 8.355000  0.255000 8.655000 0.585000 ;
-      RECT 8.360000  2.105000 8.655000 2.465000 ;
-      RECT 8.485000  0.585000 8.655000 1.875000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  1.445000 3.535000 1.615000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  0.765000 4.455000 0.935000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  0.425000 4.915000 0.595000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  0.765000 5.835000 0.935000 ;
-      RECT 5.665000  1.445000 5.835000 1.615000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  0.765000 7.215000 0.935000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  0.425000 7.675000 0.595000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-    LAYER met1 ;
-      RECT 3.305000 1.415000 3.595000 1.460000 ;
-      RECT 3.305000 1.460000 5.895000 1.600000 ;
-      RECT 3.305000 1.600000 3.595000 1.645000 ;
-      RECT 4.225000 0.735000 4.515000 0.780000 ;
-      RECT 4.225000 0.780000 7.275000 0.920000 ;
-      RECT 4.225000 0.920000 4.515000 0.965000 ;
-      RECT 4.685000 0.395000 4.975000 0.440000 ;
-      RECT 4.685000 0.440000 7.735000 0.580000 ;
-      RECT 4.685000 0.580000 4.975000 0.625000 ;
-      RECT 5.605000 0.735000 5.895000 0.780000 ;
-      RECT 5.605000 0.920000 5.895000 0.965000 ;
-      RECT 5.605000 1.415000 5.895000 1.460000 ;
-      RECT 5.605000 1.600000 5.895000 1.645000 ;
-      RECT 6.985000 0.735000 7.275000 0.780000 ;
-      RECT 6.985000 0.920000 7.275000 0.965000 ;
-      RECT 7.445000 0.395000 7.735000 0.440000 ;
-      RECT 7.445000 0.580000 7.735000 0.625000 ;
-  END
-END sky130_fd_sc_hd__xnor3_2
-MACRO sky130_fd_sc_hd__dfbbn_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dfbbn_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  11.96000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.745000 1.005000 2.155000 1.625000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 11.615000 0.255000 11.875000 0.825000 ;
-        RECT 11.615000 1.455000 11.875000 2.465000 ;
-        RECT 11.665000 0.825000 11.875000 1.455000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 10.200000 0.255000 10.485000 0.715000 ;
-        RECT 10.200000 1.630000 10.485000 2.465000 ;
-        RECT 10.305000 0.715000 10.485000 1.630000 ;
-    END
-  END Q_N
-  PIN RESET_B
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 9.235000 1.095000 9.690000 1.325000 ;
-    END
-  END RESET_B
-  PIN SET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.585000 0.735000 3.995000 0.965000 ;
-        RECT 3.585000 0.965000 3.915000 1.065000 ;
-      LAYER mcon ;
-        RECT 3.825000 0.765000 3.995000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 7.280000 0.735000 7.825000 1.065000 ;
-      LAYER mcon ;
-        RECT 7.575000 0.765000 7.745000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 3.765000 0.735000 4.055000 0.780000 ;
-        RECT 3.765000 0.780000 7.805000 0.920000 ;
-        RECT 3.765000 0.920000 4.055000 0.965000 ;
-        RECT 7.515000 0.735000 7.805000 0.780000 ;
-        RECT 7.515000 0.920000 7.805000 0.965000 ;
-    END
-  END SET_B
-  PIN CLK_N
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.975000 0.435000 1.625000 ;
-    END
-  END CLK_N
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 11.960000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 12.150000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 11.960000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 11.960000 0.085000 ;
-      RECT  0.000000  2.635000 11.960000 2.805000 ;
-      RECT  0.175000  0.345000  0.345000 0.635000 ;
-      RECT  0.175000  0.635000  0.840000 0.805000 ;
-      RECT  0.175000  1.795000  0.840000 1.965000 ;
-      RECT  0.175000  1.965000  0.345000 2.465000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.515000  2.135000  0.845000 2.635000 ;
-      RECT  0.610000  0.805000  0.840000 1.795000 ;
-      RECT  1.015000  0.345000  1.235000 2.465000 ;
-      RECT  1.405000  0.635000  2.125000 0.825000 ;
-      RECT  1.405000  0.825000  1.575000 1.795000 ;
-      RECT  1.405000  1.795000  2.125000 1.965000 ;
-      RECT  1.430000  0.085000  1.785000 0.465000 ;
-      RECT  1.430000  2.135000  1.785000 2.635000 ;
-      RECT  1.955000  0.305000  2.125000 0.635000 ;
-      RECT  1.955000  1.965000  2.125000 2.465000 ;
-      RECT  2.325000  0.705000  2.545000 1.575000 ;
-      RECT  2.325000  1.575000  2.825000 1.955000 ;
-      RECT  2.335000  2.250000  3.165000 2.420000 ;
-      RECT  2.400000  0.265000  3.415000 0.465000 ;
-      RECT  2.725000  0.645000  3.075000 1.015000 ;
-      RECT  2.995000  1.195000  3.415000 1.235000 ;
-      RECT  2.995000  1.235000  4.345000 1.405000 ;
-      RECT  2.995000  1.405000  3.165000 2.250000 ;
-      RECT  3.245000  0.465000  3.415000 1.195000 ;
-      RECT  3.335000  1.575000  3.585000 1.785000 ;
-      RECT  3.335000  1.785000  4.685000 2.035000 ;
-      RECT  3.405000  2.205000  3.785000 2.635000 ;
-      RECT  3.585000  0.085000  3.755000 0.525000 ;
-      RECT  3.925000  0.255000  5.075000 0.425000 ;
-      RECT  3.925000  0.425000  4.255000 0.505000 ;
-      RECT  4.085000  2.035000  4.255000 2.375000 ;
-      RECT  4.095000  1.405000  4.345000 1.485000 ;
-      RECT  4.125000  1.155000  4.345000 1.235000 ;
-      RECT  4.405000  0.595000  4.735000 0.765000 ;
-      RECT  4.515000  0.765000  4.735000 0.895000 ;
-      RECT  4.515000  0.895000  5.825000 1.065000 ;
-      RECT  4.515000  1.065000  4.685000 1.785000 ;
-      RECT  4.855000  1.235000  5.185000 1.415000 ;
-      RECT  4.855000  1.415000  5.860000 1.655000 ;
-      RECT  4.875000  1.915000  5.205000 2.635000 ;
-      RECT  4.905000  0.425000  5.075000 0.715000 ;
-      RECT  5.325000  0.085000  5.675000 0.465000 ;
-      RECT  5.495000  1.065000  5.825000 1.235000 ;
-      RECT  6.060000  1.575000  6.295000 1.985000 ;
-      RECT  6.065000  1.060000  6.405000 1.125000 ;
-      RECT  6.065000  1.125000  6.740000 1.305000 ;
-      RECT  6.185000  0.705000  6.405000 1.060000 ;
-      RECT  6.250000  2.250000  7.080000 2.420000 ;
-      RECT  6.300000  0.265000  7.080000 0.465000 ;
-      RECT  6.535000  1.305000  6.740000 1.905000 ;
-      RECT  6.910000  0.465000  7.080000 1.235000 ;
-      RECT  6.910000  1.235000  8.260000 1.405000 ;
-      RECT  6.910000  1.405000  7.080000 2.250000 ;
-      RECT  7.250000  0.085000  7.575000 0.525000 ;
-      RECT  7.250000  1.575000  7.500000 1.915000 ;
-      RECT  7.250000  1.915000 10.030000 2.085000 ;
-      RECT  7.320000  2.255000  7.700000 2.635000 ;
-      RECT  7.745000  0.255000  8.955000 0.425000 ;
-      RECT  7.745000  0.425000  8.075000 0.545000 ;
-      RECT  7.940000  2.085000  8.110000 2.375000 ;
-      RECT  8.040000  1.075000  8.260000 1.235000 ;
-      RECT  8.215000  0.665000  8.615000 0.835000 ;
-      RECT  8.430000  0.835000  8.615000 0.840000 ;
-      RECT  8.430000  0.840000  8.600000 1.915000 ;
-      RECT  8.640000  2.255000 10.030000 2.635000 ;
-      RECT  8.770000  1.110000  9.055000 1.575000 ;
-      RECT  8.770000  1.575000  9.555000 1.745000 ;
-      RECT  8.785000  0.425000  8.955000 0.585000 ;
-      RECT  8.835000  0.755000  9.475000 0.925000 ;
-      RECT  8.835000  0.925000  9.055000 1.110000 ;
-      RECT  9.265000  0.265000  9.475000 0.755000 ;
-      RECT  9.725000  0.085000 10.030000 0.805000 ;
-      RECT  9.860000  0.995000 10.125000 1.325000 ;
-      RECT  9.860000  1.325000 10.030000 1.915000 ;
-      RECT 10.660000  0.255000 10.975000 0.995000 ;
-      RECT 10.660000  0.995000 11.495000 1.325000 ;
-      RECT 10.660000  1.325000 10.975000 2.415000 ;
-      RECT 11.150000  0.085000 11.445000 0.545000 ;
-      RECT 11.155000  1.765000 11.445000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.610000  0.765000  0.780000 0.935000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  1.785000  1.235000 1.955000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  1.785000  2.615000 1.955000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  0.765000  3.075000 0.935000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  1.445000  5.835000 1.615000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  1.105000  6.295000 1.275000 ;
-      RECT  6.125000  1.785000  6.295000 1.955000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.855000  1.445000  9.025000 1.615000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 0.735000 0.840000 0.780000 ;
-      RECT 0.550000 0.780000 3.135000 0.920000 ;
-      RECT 0.550000 0.920000 0.840000 0.965000 ;
-      RECT 1.005000 1.755000 1.295000 1.800000 ;
-      RECT 1.005000 1.800000 6.355000 1.940000 ;
-      RECT 1.005000 1.940000 1.295000 1.985000 ;
-      RECT 2.385000 1.755000 2.675000 1.800000 ;
-      RECT 2.385000 1.940000 2.675000 1.985000 ;
-      RECT 2.845000 0.735000 3.135000 0.780000 ;
-      RECT 2.845000 0.920000 3.135000 0.965000 ;
-      RECT 2.920000 0.965000 3.135000 1.120000 ;
-      RECT 2.920000 1.120000 6.355000 1.260000 ;
-      RECT 5.605000 1.415000 5.895000 1.460000 ;
-      RECT 5.605000 1.460000 9.085000 1.600000 ;
-      RECT 5.605000 1.600000 5.895000 1.645000 ;
-      RECT 6.065000 1.075000 6.355000 1.120000 ;
-      RECT 6.065000 1.260000 6.355000 1.305000 ;
-      RECT 6.065000 1.755000 6.355000 1.800000 ;
-      RECT 6.065000 1.940000 6.355000 1.985000 ;
-      RECT 8.795000 1.415000 9.085000 1.460000 ;
-      RECT 8.795000 1.600000 9.085000 1.645000 ;
-  END
-END sky130_fd_sc_hd__dfbbn_1
-MACRO sky130_fd_sc_hd__dfbbn_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dfbbn_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  12.88000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.760000 1.005000 2.170000 1.625000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 12.115000 0.255000 12.345000 0.825000 ;
-        RECT 12.115000 1.445000 12.345000 2.465000 ;
-        RECT 12.160000 0.825000 12.345000 1.445000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 10.240000 0.255000 10.500000 0.715000 ;
-        RECT 10.240000 1.630000 10.500000 2.465000 ;
-        RECT 10.320000 0.715000 10.500000 1.630000 ;
-    END
-  END Q_N
-  PIN RESET_B
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 9.250000 1.095000 9.730000 1.325000 ;
-    END
-  END RESET_B
-  PIN SET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.600000 0.735000 4.010000 0.965000 ;
-        RECT 3.600000 0.965000 3.930000 1.065000 ;
-      LAYER mcon ;
-        RECT 3.840000 0.765000 4.010000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 7.470000 0.735000 7.845000 1.065000 ;
-      LAYER mcon ;
-        RECT 7.520000 0.765000 7.690000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 3.780000 0.735000 4.070000 0.780000 ;
-        RECT 3.780000 0.780000 7.750000 0.920000 ;
-        RECT 3.780000 0.920000 4.070000 0.965000 ;
-        RECT 7.460000 0.735000 7.750000 0.780000 ;
-        RECT 7.460000 0.920000 7.750000 0.965000 ;
-    END
-  END SET_B
-  PIN CLK_N
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.975000 0.440000 1.625000 ;
-    END
-  END CLK_N
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 12.880000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 13.070000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 12.880000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 12.880000 0.085000 ;
-      RECT  0.000000  2.635000 12.880000 2.805000 ;
-      RECT  0.085000  0.345000  0.345000 0.635000 ;
-      RECT  0.085000  0.635000  0.840000 0.805000 ;
-      RECT  0.085000  1.795000  0.840000 1.965000 ;
-      RECT  0.085000  1.965000  0.345000 2.465000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.515000  2.135000  0.845000 2.635000 ;
-      RECT  0.610000  0.805000  0.840000 1.795000 ;
-      RECT  1.015000  0.345000  1.240000 2.465000 ;
-      RECT  1.420000  0.635000  2.125000 0.825000 ;
-      RECT  1.420000  0.825000  1.590000 1.795000 ;
-      RECT  1.420000  1.795000  2.125000 1.965000 ;
-      RECT  1.445000  0.085000  1.785000 0.465000 ;
-      RECT  1.445000  2.135000  1.785000 2.635000 ;
-      RECT  1.955000  0.305000  2.125000 0.635000 ;
-      RECT  1.955000  1.965000  2.125000 2.465000 ;
-      RECT  2.340000  0.705000  2.560000 1.575000 ;
-      RECT  2.340000  1.575000  2.840000 1.955000 ;
-      RECT  2.350000  2.250000  3.180000 2.420000 ;
-      RECT  2.415000  0.265000  3.410000 0.465000 ;
-      RECT  2.740000  0.645000  3.070000 1.015000 ;
-      RECT  3.010000  1.195000  3.410000 1.235000 ;
-      RECT  3.010000  1.235000  4.360000 1.405000 ;
-      RECT  3.010000  1.405000  3.180000 2.250000 ;
-      RECT  3.240000  0.465000  3.410000 1.195000 ;
-      RECT  3.350000  1.575000  3.600000 1.785000 ;
-      RECT  3.350000  1.785000  4.700000 2.035000 ;
-      RECT  3.420000  2.205000  3.800000 2.635000 ;
-      RECT  3.580000  0.085000  3.750000 0.525000 ;
-      RECT  3.920000  0.255000  5.170000 0.425000 ;
-      RECT  3.920000  0.425000  4.250000 0.545000 ;
-      RECT  4.100000  2.035000  4.270000 2.375000 ;
-      RECT  4.110000  1.405000  4.360000 1.485000 ;
-      RECT  4.140000  1.155000  4.360000 1.235000 ;
-      RECT  4.420000  0.595000  4.750000 0.765000 ;
-      RECT  4.530000  0.765000  4.750000 0.895000 ;
-      RECT  4.530000  0.895000  5.840000 1.065000 ;
-      RECT  4.530000  1.065000  4.700000 1.785000 ;
-      RECT  4.870000  1.235000  5.200000 1.415000 ;
-      RECT  4.870000  1.415000  5.875000 1.655000 ;
-      RECT  4.890000  1.915000  5.220000 2.635000 ;
-      RECT  4.920000  0.425000  5.170000 0.715000 ;
-      RECT  5.360000  0.085000  5.690000 0.465000 ;
-      RECT  5.510000  1.065000  5.840000 1.235000 ;
-      RECT  6.075000  1.575000  6.310000 1.985000 ;
-      RECT  6.135000  0.705000  6.420000 1.125000 ;
-      RECT  6.135000  1.125000  6.755000 1.305000 ;
-      RECT  6.265000  2.250000  7.095000 2.420000 ;
-      RECT  6.330000  0.265000  7.095000 0.465000 ;
-      RECT  6.550000  1.305000  6.755000 1.905000 ;
-      RECT  6.925000  0.465000  7.095000 1.235000 ;
-      RECT  6.925000  1.235000  8.275000 1.405000 ;
-      RECT  6.925000  1.405000  7.095000 2.250000 ;
-      RECT  7.265000  1.575000  7.515000 1.915000 ;
-      RECT  7.265000  1.915000 10.070000 2.085000 ;
-      RECT  7.275000  0.085000  7.535000 0.525000 ;
-      RECT  7.335000  2.255000  7.715000 2.635000 ;
-      RECT  7.795000  0.255000  8.965000 0.425000 ;
-      RECT  7.795000  0.425000  8.125000 0.545000 ;
-      RECT  7.955000  2.085000  8.125000 2.375000 ;
-      RECT  8.055000  1.075000  8.275000 1.235000 ;
-      RECT  8.295000  0.595000  8.625000 0.780000 ;
-      RECT  8.445000  0.780000  8.625000 1.915000 ;
-      RECT  8.655000  2.255000 10.070000 2.635000 ;
-      RECT  8.795000  0.425000  8.965000 0.585000 ;
-      RECT  8.795000  0.755000  9.500000 0.925000 ;
-      RECT  8.795000  0.925000  9.070000 1.575000 ;
-      RECT  8.795000  1.575000  9.570000 1.745000 ;
-      RECT  9.280000  0.265000  9.500000 0.755000 ;
-      RECT  9.740000  0.085000 10.070000 0.805000 ;
-      RECT  9.900000  0.995000 10.140000 1.325000 ;
-      RECT  9.900000  1.325000 10.070000 1.915000 ;
-      RECT 10.680000  0.085000 10.910000 0.885000 ;
-      RECT 10.680000  1.465000 10.910000 2.635000 ;
-      RECT 11.215000  0.255000 11.470000 0.995000 ;
-      RECT 11.215000  0.995000 11.990000 1.325000 ;
-      RECT 11.215000  1.325000 11.470000 2.415000 ;
-      RECT 11.650000  0.085000 11.945000 0.545000 ;
-      RECT 11.650000  1.765000 11.945000 2.635000 ;
-      RECT 12.515000  0.085000 12.795000 0.885000 ;
-      RECT 12.515000  1.465000 12.795000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.610000  0.765000  0.780000 0.935000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.070000  1.785000  1.240000 1.955000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.460000  1.785000  2.630000 1.955000 ;
-      RECT  2.900000  0.765000  3.070000 0.935000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  5.680000  1.445000  5.850000 1.615000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.140000  1.105000  6.310000 1.275000 ;
-      RECT  6.140000  1.785000  6.310000 1.955000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  8.900000  1.445000  9.070000 1.615000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-      RECT 12.105000  2.635000 12.275000 2.805000 ;
-      RECT 12.565000 -0.085000 12.735000 0.085000 ;
-      RECT 12.565000  2.635000 12.735000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 0.735000 0.840000 0.780000 ;
-      RECT 0.550000 0.780000 3.130000 0.920000 ;
-      RECT 0.550000 0.920000 0.840000 0.965000 ;
-      RECT 1.010000 1.755000 1.300000 1.800000 ;
-      RECT 1.010000 1.800000 6.370000 1.940000 ;
-      RECT 1.010000 1.940000 1.300000 1.985000 ;
-      RECT 2.400000 1.755000 2.690000 1.800000 ;
-      RECT 2.400000 1.940000 2.690000 1.985000 ;
-      RECT 2.840000 0.735000 3.130000 0.780000 ;
-      RECT 2.840000 0.920000 3.130000 0.965000 ;
-      RECT 2.935000 0.965000 3.130000 1.120000 ;
-      RECT 2.935000 1.120000 6.370000 1.260000 ;
-      RECT 5.620000 1.415000 5.910000 1.460000 ;
-      RECT 5.620000 1.460000 9.130000 1.600000 ;
-      RECT 5.620000 1.600000 5.910000 1.645000 ;
-      RECT 6.080000 1.075000 6.370000 1.120000 ;
-      RECT 6.080000 1.260000 6.370000 1.305000 ;
-      RECT 6.080000 1.755000 6.370000 1.800000 ;
-      RECT 6.080000 1.940000 6.370000 1.985000 ;
-      RECT 8.840000 1.415000 9.130000 1.460000 ;
-      RECT 8.840000 1.600000 9.130000 1.645000 ;
-  END
-END sky130_fd_sc_hd__dfbbn_2
-MACRO sky130_fd_sc_hd__dlygate4sd1_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlygate4sd1_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.055000 0.555000 1.615000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.410000 0.255000 2.700000 0.825000 ;
-        RECT 2.440000 1.495000 2.700000 2.465000 ;
-        RECT 2.530000 0.825000 2.700000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.140000 -0.085000 0.310000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.085000  1.785000 0.895000 2.005000 ;
-      RECT 0.085000  2.005000 0.380000 2.465000 ;
-      RECT 0.095000  0.255000 0.380000 0.715000 ;
-      RECT 0.095000  0.715000 0.895000 0.885000 ;
-      RECT 0.550000  0.085000 0.765000 0.545000 ;
-      RECT 0.550000  2.175000 0.765000 2.635000 ;
-      RECT 0.725000  0.885000 0.895000 0.995000 ;
-      RECT 0.725000  0.995000 0.980000 1.325000 ;
-      RECT 0.725000  1.325000 0.895000 1.785000 ;
-      RECT 0.935000  0.255000 1.320000 0.545000 ;
-      RECT 0.935000  2.175000 1.320000 2.465000 ;
-      RECT 1.150000  0.545000 1.320000 1.075000 ;
-      RECT 1.150000  1.075000 1.900000 1.275000 ;
-      RECT 1.150000  1.275000 1.320000 2.175000 ;
-      RECT 1.515000  0.255000 1.740000 0.735000 ;
-      RECT 1.515000  0.735000 2.240000 0.905000 ;
-      RECT 1.515000  1.575000 2.240000 1.745000 ;
-      RECT 1.515000  1.745000 1.740000 2.430000 ;
-      RECT 1.910000  0.085000 2.240000 0.565000 ;
-      RECT 1.910000  1.915000 2.270000 2.635000 ;
-      RECT 2.070000  0.905000 2.240000 0.995000 ;
-      RECT 2.070000  0.995000 2.360000 1.325000 ;
-      RECT 2.070000  1.325000 2.240000 1.575000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__dlygate4sd1_1
-MACRO sky130_fd_sc_hd__and2_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__and2_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.300000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.100000 1.075000 0.775000 1.325000 ;
-        RECT 0.100000 1.325000 0.365000 1.685000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.995000 1.075000 1.335000 1.325000 ;
-    END
-  END B
-  PIN X
-    ANTENNADIFFAREA  0.657000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.655000 0.255000 2.215000 0.545000 ;
-        RECT 1.755000 1.915000 2.215000 2.465000 ;
-        RECT 1.965000 0.545000 2.215000 1.915000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.300000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.490000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.300000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.300000 0.085000 ;
-      RECT 0.000000  2.635000 2.300000 2.805000 ;
-      RECT 0.285000  0.355000 0.615000 0.715000 ;
-      RECT 0.285000  0.715000 1.675000 0.905000 ;
-      RECT 0.285000  1.965000 0.565000 2.635000 ;
-      RECT 0.735000  1.575000 1.675000 1.745000 ;
-      RECT 0.735000  1.745000 1.035000 2.295000 ;
-      RECT 1.235000  0.085000 1.485000 0.545000 ;
-      RECT 1.235000  1.915000 1.565000 2.635000 ;
-      RECT 1.505000  0.905000 1.675000 0.995000 ;
-      RECT 1.505000  0.995000 1.795000 1.325000 ;
-      RECT 1.505000  1.325000 1.675000 1.575000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-  END
-END sky130_fd_sc_hd__and2_1
-MACRO sky130_fd_sc_hd__and2_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__and2_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.125000 0.995000 0.435000 1.615000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.605000 0.995000 0.980000 1.325000 ;
-    END
-  END B
-  PIN X
-    ANTENNADIFFAREA  0.924000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.530000 0.515000 1.720000 0.615000 ;
-        RECT 1.530000 0.615000 3.135000 0.845000 ;
-        RECT 1.530000 1.535000 3.135000 1.760000 ;
-        RECT 1.530000 1.760000 1.720000 2.465000 ;
-        RECT 2.390000 0.255000 2.580000 0.615000 ;
-        RECT 2.390000 1.760000 3.135000 1.765000 ;
-        RECT 2.390000 1.765000 2.580000 2.465000 ;
-        RECT 2.855000 0.845000 3.135000 1.535000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.095000  0.255000 0.425000 0.615000 ;
-      RECT 0.095000  0.615000 1.360000 0.805000 ;
-      RECT 0.095000  1.880000 0.425000 2.635000 ;
-      RECT 0.605000  1.580000 1.360000 1.750000 ;
-      RECT 0.605000  1.750000 0.785000 2.465000 ;
-      RECT 0.955000  0.085000 1.285000 0.445000 ;
-      RECT 0.990000  1.935000 1.320000 2.635000 ;
-      RECT 1.150000  0.805000 1.360000 1.020000 ;
-      RECT 1.150000  1.020000 2.685000 1.355000 ;
-      RECT 1.150000  1.355000 1.360000 1.580000 ;
-      RECT 1.890000  0.085000 2.220000 0.445000 ;
-      RECT 1.890000  1.935000 2.220000 2.635000 ;
-      RECT 2.750000  0.085000 3.080000 0.445000 ;
-      RECT 2.750000  1.935000 3.080000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__and2_4
-MACRO sky130_fd_sc_hd__and2_0
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__and2_0 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.300000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.185000 0.430000 1.955000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.940000 1.080000 1.270000 1.615000 ;
-    END
-  END B
-  PIN X
-    ANTENNADIFFAREA  0.280900 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.560000 0.255000 2.215000 0.525000 ;
-        RECT 1.790000 1.835000 2.215000 2.465000 ;
-        RECT 1.950000 0.525000 2.215000 1.835000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.300000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.490000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.300000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.300000 0.085000 ;
-      RECT 0.000000  2.635000 2.300000 2.805000 ;
-      RECT 0.160000  2.175000 0.430000 2.635000 ;
-      RECT 0.185000  0.280000 0.490000 0.695000 ;
-      RECT 0.185000  0.695000 1.780000 0.910000 ;
-      RECT 0.185000  0.910000 0.770000 0.950000 ;
-      RECT 0.600000  0.950000 0.770000 2.135000 ;
-      RECT 0.600000  2.135000 0.865000 2.465000 ;
-      RECT 0.950000  0.085000 1.390000 0.525000 ;
-      RECT 1.110000  1.835000 1.620000 2.635000 ;
-      RECT 1.450000  0.910000 1.780000 1.435000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-  END
-END sky130_fd_sc_hd__and2_0
-MACRO sky130_fd_sc_hd__and2_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__and2_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.775000 1.325000 ;
-        RECT 0.085000 1.325000 0.400000 1.765000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.005000 1.075000 1.335000 1.325000 ;
-    END
-  END B
-  PIN X
-    ANTENNADIFFAREA  0.643500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.665000 0.255000 2.215000 0.545000 ;
-        RECT 1.765000 1.915000 2.215000 2.465000 ;
-        RECT 1.965000 0.545000 2.215000 1.915000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.285000  0.355000 0.615000 0.715000 ;
-      RECT 0.285000  0.715000 1.675000 0.905000 ;
-      RECT 0.285000  1.965000 0.565000 2.635000 ;
-      RECT 0.735000  1.575000 1.675000 1.745000 ;
-      RECT 0.735000  1.745000 1.035000 2.295000 ;
-      RECT 1.245000  0.085000 1.495000 0.545000 ;
-      RECT 1.245000  1.915000 1.575000 2.635000 ;
-      RECT 1.505000  0.905000 1.675000 0.995000 ;
-      RECT 1.505000  0.995000 1.795000 1.325000 ;
-      RECT 1.505000  1.325000 1.675000 1.575000 ;
-      RECT 2.385000  0.085000 2.675000 0.885000 ;
-      RECT 2.385000  1.495000 2.675000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__and2_2
-MACRO sky130_fd_sc_hd__sdfstp_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sdfstp_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  12.88000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.050000 0.765000 1.335000 1.675000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.519750 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 12.035000 0.255000 12.365000 0.825000 ;
-        RECT 12.035000 1.495000 12.365000 2.450000 ;
-        RECT 12.145000 0.825000 12.365000 1.495000 ;
-    END
-  END Q
-  PIN SCD
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.765000 0.340000 1.675000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.540000 0.765000 0.820000 1.675000 ;
-      LAYER mcon ;
-        RECT 0.605000 1.105000 0.775000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 2.370000 1.075000 2.700000 1.600000 ;
-      LAYER mcon ;
-        RECT 2.445000 1.105000 2.615000 1.275000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.545000 1.075000 0.835000 1.120000 ;
-        RECT 0.545000 1.120000 2.675000 1.260000 ;
-        RECT 0.545000 1.260000 0.835000 1.305000 ;
-        RECT 2.385000 1.075000 2.675000 1.120000 ;
-        RECT 2.385000 1.260000 2.675000 1.305000 ;
-    END
-  END SCE
-  PIN SET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.640000 1.445000 7.065000 1.765000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 8.880000 1.425000 9.135000 1.545000 ;
-        RECT 8.880000 1.545000 9.945000 1.725000 ;
-      LAYER mcon ;
-        RECT 8.940000 1.445000 9.110000 1.615000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 6.580000 1.415000 6.870000 1.460000 ;
-        RECT 6.580000 1.460000 9.170000 1.600000 ;
-        RECT 6.580000 1.600000 6.870000 1.645000 ;
-        RECT 8.880000 1.415000 9.170000 1.460000 ;
-        RECT 8.880000 1.600000 9.170000 1.645000 ;
-    END
-  END SET_B
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 2.905000 0.725000 3.100000 1.055000 ;
-        RECT 2.905000 1.055000 3.565000 1.615000 ;
-        RECT 2.905000 1.615000 3.085000 1.960000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 12.880000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 13.070000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 12.880000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 12.880000 0.085000 ;
-      RECT  0.000000  2.635000 12.880000 2.805000 ;
-      RECT  0.085000  0.085000  0.700000 0.595000 ;
-      RECT  0.085000  1.845000  1.125000 2.025000 ;
-      RECT  0.085000  2.025000  0.345000 2.465000 ;
-      RECT  0.515000  2.195000  0.785000 2.635000 ;
-      RECT  0.870000  0.255000  1.625000 0.555000 ;
-      RECT  0.870000  0.555000  1.640000 0.575000 ;
-      RECT  0.870000  0.575000  1.650000 0.595000 ;
-      RECT  0.955000  2.025000  1.125000 2.255000 ;
-      RECT  0.955000  2.255000  2.045000 2.465000 ;
-      RECT  1.295000  1.845000  1.695000 2.085000 ;
-      RECT  1.380000  0.595000  1.660000 0.600000 ;
-      RECT  1.395000  0.600000  1.660000 0.605000 ;
-      RECT  1.405000  0.605000  1.660000 0.610000 ;
-      RECT  1.420000  0.610000  1.660000 0.615000 ;
-      RECT  1.430000  0.615000  1.660000 0.620000 ;
-      RECT  1.440000  0.620000  1.665000 0.630000 ;
-      RECT  1.445000  0.630000  1.665000 0.635000 ;
-      RECT  1.460000  0.635000  1.665000 0.645000 ;
-      RECT  1.475000  0.645000  1.670000 0.660000 ;
-      RECT  1.475000  0.660000  1.675000 0.665000 ;
-      RECT  1.495000  0.665000  1.675000 0.705000 ;
-      RECT  1.505000  0.705000  1.675000 0.710000 ;
-      RECT  1.505000  0.710000  1.695000 1.845000 ;
-      RECT  1.825000  0.085000  2.090000 0.545000 ;
-      RECT  1.865000  0.715000  2.520000 0.905000 ;
-      RECT  1.865000  0.905000  2.200000 1.770000 ;
-      RECT  1.865000  1.770000  2.520000 2.085000 ;
-      RECT  2.260000  0.255000  2.520000 0.715000 ;
-      RECT  2.270000  2.085000  2.520000 2.465000 ;
-      RECT  2.690000  0.085000  3.100000 0.555000 ;
-      RECT  2.690000  2.140000  2.985000 2.635000 ;
-      RECT  3.255000  1.830000  3.995000 1.990000 ;
-      RECT  3.255000  1.990000  3.985000 2.000000 ;
-      RECT  3.255000  2.000000  3.425000 2.325000 ;
-      RECT  3.270000  0.255000  3.455000 0.715000 ;
-      RECT  3.270000  0.715000  3.995000 0.885000 ;
-      RECT  3.595000  2.275000  3.925000 2.635000 ;
-      RECT  3.625000  0.085000  3.955000 0.545000 ;
-      RECT  3.735000  0.885000  3.995000 1.830000 ;
-      RECT  4.095000  2.135000  4.440000 2.465000 ;
-      RECT  4.125000  0.255000  4.335000 0.585000 ;
-      RECT  4.165000  0.585000  4.335000 1.090000 ;
-      RECT  4.165000  1.090000  4.490000 1.420000 ;
-      RECT  4.165000  1.420000  4.440000 2.135000 ;
-      RECT  4.505000  0.255000  4.830000 0.920000 ;
-      RECT  4.615000  1.590000  4.915000 1.615000 ;
-      RECT  4.615000  1.615000  4.830000 2.465000 ;
-      RECT  4.660000  0.920000  4.830000 1.445000 ;
-      RECT  4.660000  1.445000  4.915000 1.590000 ;
-      RECT  5.000000  0.255000  5.440000 1.225000 ;
-      RECT  5.000000  1.225000  7.715000 1.275000 ;
-      RECT  5.035000  2.135000  5.755000 2.465000 ;
-      RECT  5.085000  1.275000  6.475000 1.395000 ;
-      RECT  5.205000  1.575000  5.415000 1.955000 ;
-      RECT  5.585000  1.395000  5.755000 2.135000 ;
-      RECT  5.610000  0.085000  6.095000 0.465000 ;
-      RECT  5.645000  0.635000  6.535000 0.805000 ;
-      RECT  5.645000  0.805000  5.975000 1.015000 ;
-      RECT  5.925000  1.575000  6.095000 1.935000 ;
-      RECT  5.925000  1.935000  6.820000 2.105000 ;
-      RECT  5.945000  2.275000  6.330000 2.635000 ;
-      RECT  6.285000  0.255000  6.535000 0.635000 ;
-      RECT  6.305000  0.975000  7.715000 1.225000 ;
-      RECT  6.605000  2.105000  6.820000 2.450000 ;
-      RECT  6.705000  0.085000  7.715000 0.805000 ;
-      RECT  7.060000  2.125000  8.015000 2.635000 ;
-      RECT  7.235000  1.670000  8.135000 1.955000 ;
-      RECT  7.355000  1.275000  7.715000 1.325000 ;
-      RECT  7.885000  0.720000  9.105000 0.905000 ;
-      RECT  7.885000  0.905000  8.135000 1.670000 ;
-      RECT  8.185000  2.125000  8.990000 2.460000 ;
-      RECT  8.425000  1.075000  8.650000 1.905000 ;
-      RECT  8.465000  0.275000  9.910000 0.545000 ;
-      RECT  8.820000  0.905000  9.105000 1.255000 ;
-      RECT  8.820000  1.895000 10.485000 2.065000 ;
-      RECT  8.820000  2.065000  8.990000 2.125000 ;
-      RECT  9.160000  2.235000  9.490000 2.635000 ;
-      RECT  9.320000  0.855000  9.530000 1.195000 ;
-      RECT  9.320000  1.195000 10.915000 1.365000 ;
-      RECT  9.660000  2.065000  9.965000 2.450000 ;
-      RECT  9.710000  0.545000  9.910000 0.785000 ;
-      RECT  9.710000  0.785000 10.515000 1.015000 ;
-      RECT 10.115000  0.085000 10.365000 0.545000 ;
-      RECT 10.155000  1.605000 10.485000 1.895000 ;
-      RECT 10.155000  2.235000 10.485000 2.635000 ;
-      RECT 10.575000  0.255000 10.915000 0.585000 ;
-      RECT 10.655000  1.365000 10.915000 2.465000 ;
-      RECT 10.685000  0.585000 10.915000 1.195000 ;
-      RECT 11.085000  0.255000 11.345000 0.995000 ;
-      RECT 11.085000  0.995000 11.975000 1.325000 ;
-      RECT 11.085000  1.325000 11.345000 2.465000 ;
-      RECT 11.570000  0.085000 11.865000 0.825000 ;
-      RECT 11.570000  1.790000 11.820000 2.635000 ;
-      RECT 12.535000  0.085000 12.795000 0.885000 ;
-      RECT 12.535000  1.495000 12.795000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  1.445000  1.695000 1.615000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  1.785000  3.995000 1.955000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  1.105000  4.455000 1.275000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  1.445000  4.915000 1.615000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  1.785000  5.375000 1.955000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.560000  1.785000  7.730000 1.955000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.480000  1.105000  8.650000 1.275000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-      RECT 12.105000  2.635000 12.275000 2.805000 ;
-      RECT 12.565000 -0.085000 12.735000 0.085000 ;
-      RECT 12.565000  2.635000 12.735000 2.805000 ;
-    LAYER met1 ;
-      RECT 1.465000 1.415000 1.755000 1.460000 ;
-      RECT 1.465000 1.460000 4.975000 1.600000 ;
-      RECT 1.465000 1.600000 1.755000 1.645000 ;
-      RECT 3.765000 1.755000 4.055000 1.800000 ;
-      RECT 3.765000 1.800000 7.790000 1.940000 ;
-      RECT 3.765000 1.940000 4.055000 1.985000 ;
-      RECT 4.225000 1.075000 4.515000 1.120000 ;
-      RECT 4.225000 1.120000 8.710000 1.260000 ;
-      RECT 4.225000 1.260000 4.515000 1.305000 ;
-      RECT 4.685000 1.415000 4.975000 1.460000 ;
-      RECT 4.685000 1.600000 4.975000 1.645000 ;
-      RECT 5.145000 1.755000 5.435000 1.800000 ;
-      RECT 5.145000 1.940000 5.435000 1.985000 ;
-      RECT 7.500000 1.755000 7.790000 1.800000 ;
-      RECT 7.500000 1.940000 7.790000 1.985000 ;
-      RECT 8.420000 1.075000 8.710000 1.120000 ;
-      RECT 8.420000 1.260000 8.710000 1.305000 ;
-  END
-END sky130_fd_sc_hd__sdfstp_2
-MACRO sky130_fd_sc_hd__sdfstp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sdfstp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  12.42000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.050000 0.765000 1.335000 1.675000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 11.995000 0.275000 12.335000 0.825000 ;
-        RECT 11.995000 1.495000 12.335000 2.450000 ;
-        RECT 12.145000 0.825000 12.335000 1.495000 ;
-    END
-  END Q
-  PIN SCD
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.765000 0.340000 1.675000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.540000 0.765000 0.820000 1.675000 ;
-      LAYER mcon ;
-        RECT 0.605000 1.105000 0.775000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 2.370000 1.075000 2.700000 1.600000 ;
-      LAYER mcon ;
-        RECT 2.445000 1.105000 2.615000 1.275000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.545000 1.075000 0.835000 1.120000 ;
-        RECT 0.545000 1.120000 2.675000 1.260000 ;
-        RECT 0.545000 1.260000 0.835000 1.305000 ;
-        RECT 2.385000 1.075000 2.675000 1.120000 ;
-        RECT 2.385000 1.260000 2.675000 1.305000 ;
-    END
-  END SCE
-  PIN SET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.640000 1.445000 7.065000 1.765000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 8.880000 1.425000 9.135000 1.545000 ;
-        RECT 8.880000 1.545000 9.945000 1.725000 ;
-      LAYER mcon ;
-        RECT 8.940000 1.445000 9.110000 1.615000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 6.580000 1.415000 6.870000 1.460000 ;
-        RECT 6.580000 1.460000 9.170000 1.600000 ;
-        RECT 6.580000 1.600000 6.870000 1.645000 ;
-        RECT 8.880000 1.415000 9.170000 1.460000 ;
-        RECT 8.880000 1.600000 9.170000 1.645000 ;
-    END
-  END SET_B
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 2.905000 0.725000 3.100000 1.055000 ;
-        RECT 2.905000 1.055000 3.565000 1.615000 ;
-        RECT 2.905000 1.615000 3.085000 1.960000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 12.420000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 12.610000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 12.420000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 12.420000 0.085000 ;
-      RECT  0.000000  2.635000 12.420000 2.805000 ;
-      RECT  0.085000  0.085000  0.700000 0.595000 ;
-      RECT  0.085000  1.845000  1.125000 2.025000 ;
-      RECT  0.085000  2.025000  0.345000 2.465000 ;
-      RECT  0.515000  2.195000  0.785000 2.635000 ;
-      RECT  0.870000  0.255000  1.625000 0.555000 ;
-      RECT  0.870000  0.555000  1.640000 0.575000 ;
-      RECT  0.870000  0.575000  1.650000 0.595000 ;
-      RECT  0.955000  2.025000  1.125000 2.255000 ;
-      RECT  0.955000  2.255000  2.045000 2.465000 ;
-      RECT  1.295000  1.845000  1.695000 2.085000 ;
-      RECT  1.380000  0.595000  1.660000 0.600000 ;
-      RECT  1.395000  0.600000  1.660000 0.605000 ;
-      RECT  1.405000  0.605000  1.660000 0.610000 ;
-      RECT  1.420000  0.610000  1.660000 0.615000 ;
-      RECT  1.430000  0.615000  1.660000 0.620000 ;
-      RECT  1.440000  0.620000  1.665000 0.630000 ;
-      RECT  1.445000  0.630000  1.665000 0.635000 ;
-      RECT  1.460000  0.635000  1.665000 0.645000 ;
-      RECT  1.475000  0.645000  1.670000 0.660000 ;
-      RECT  1.475000  0.660000  1.675000 0.665000 ;
-      RECT  1.495000  0.665000  1.675000 0.705000 ;
-      RECT  1.505000  0.705000  1.675000 0.710000 ;
-      RECT  1.505000  0.710000  1.695000 1.845000 ;
-      RECT  1.825000  0.085000  2.090000 0.545000 ;
-      RECT  1.865000  0.715000  2.520000 0.905000 ;
-      RECT  1.865000  0.905000  2.200000 1.770000 ;
-      RECT  1.865000  1.770000  2.520000 2.085000 ;
-      RECT  2.260000  0.255000  2.520000 0.715000 ;
-      RECT  2.270000  2.085000  2.520000 2.465000 ;
-      RECT  2.690000  0.085000  3.100000 0.555000 ;
-      RECT  2.690000  2.140000  2.985000 2.635000 ;
-      RECT  3.255000  1.830000  3.995000 1.990000 ;
-      RECT  3.255000  1.990000  3.985000 2.000000 ;
-      RECT  3.255000  2.000000  3.425000 2.325000 ;
-      RECT  3.270000  0.255000  3.455000 0.715000 ;
-      RECT  3.270000  0.715000  3.995000 0.885000 ;
-      RECT  3.595000  2.275000  3.925000 2.635000 ;
-      RECT  3.625000  0.085000  3.955000 0.545000 ;
-      RECT  3.735000  0.885000  3.995000 1.830000 ;
-      RECT  4.095000  2.135000  4.440000 2.465000 ;
-      RECT  4.125000  0.255000  4.335000 0.585000 ;
-      RECT  4.165000  0.585000  4.335000 1.090000 ;
-      RECT  4.165000  1.090000  4.490000 1.420000 ;
-      RECT  4.165000  1.420000  4.440000 2.135000 ;
-      RECT  4.505000  0.255000  4.830000 0.920000 ;
-      RECT  4.615000  1.590000  4.915000 1.615000 ;
-      RECT  4.615000  1.615000  4.830000 2.465000 ;
-      RECT  4.660000  0.920000  4.830000 1.445000 ;
-      RECT  4.660000  1.445000  4.915000 1.590000 ;
-      RECT  5.000000  0.255000  5.440000 1.225000 ;
-      RECT  5.000000  1.225000  7.715000 1.275000 ;
-      RECT  5.035000  2.135000  5.755000 2.465000 ;
-      RECT  5.085000  1.275000  6.475000 1.395000 ;
-      RECT  5.205000  1.575000  5.415000 1.955000 ;
-      RECT  5.585000  1.395000  5.755000 2.135000 ;
-      RECT  5.610000  0.085000  6.095000 0.465000 ;
-      RECT  5.645000  0.635000  6.535000 0.805000 ;
-      RECT  5.645000  0.805000  5.975000 1.015000 ;
-      RECT  5.925000  1.575000  6.095000 1.935000 ;
-      RECT  5.925000  1.935000  6.820000 2.105000 ;
-      RECT  5.945000  2.275000  6.330000 2.635000 ;
-      RECT  6.285000  0.255000  6.535000 0.635000 ;
-      RECT  6.305000  0.975000  7.715000 1.225000 ;
-      RECT  6.605000  2.105000  6.820000 2.450000 ;
-      RECT  6.705000  0.085000  7.715000 0.805000 ;
-      RECT  7.060000  2.125000  8.015000 2.635000 ;
-      RECT  7.235000  1.670000  8.135000 1.955000 ;
-      RECT  7.355000  1.275000  7.715000 1.325000 ;
-      RECT  7.885000  0.720000  9.105000 0.905000 ;
-      RECT  7.885000  0.905000  8.135000 1.670000 ;
-      RECT  8.185000  2.125000  8.990000 2.460000 ;
-      RECT  8.425000  1.075000  8.650000 1.905000 ;
-      RECT  8.465000  0.275000  9.910000 0.545000 ;
-      RECT  8.820000  0.905000  9.105000 1.255000 ;
-      RECT  8.820000  1.895000 10.485000 2.065000 ;
-      RECT  8.820000  2.065000  8.990000 2.125000 ;
-      RECT  9.160000  2.235000  9.490000 2.635000 ;
-      RECT  9.320000  0.855000  9.530000 1.195000 ;
-      RECT  9.320000  1.195000 10.915000 1.365000 ;
-      RECT  9.660000  2.065000  9.965000 2.450000 ;
-      RECT  9.710000  0.545000  9.910000 0.785000 ;
-      RECT  9.710000  0.785000 10.515000 1.015000 ;
-      RECT 10.115000  0.085000 10.365000 0.545000 ;
-      RECT 10.155000  1.605000 10.485000 1.895000 ;
-      RECT 10.155000  2.235000 10.485000 2.635000 ;
-      RECT 10.575000  0.255000 10.915000 0.585000 ;
-      RECT 10.655000  1.365000 10.915000 2.465000 ;
-      RECT 10.685000  0.585000 10.915000 1.195000 ;
-      RECT 11.085000  0.255000 11.345000 0.995000 ;
-      RECT 11.085000  0.995000 11.975000 1.325000 ;
-      RECT 11.085000  1.325000 11.345000 2.465000 ;
-      RECT 11.515000  0.085000 11.825000 0.825000 ;
-      RECT 11.515000  1.790000 11.825000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  1.445000  1.695000 1.615000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  1.785000  3.995000 1.955000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  1.105000  4.455000 1.275000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  1.445000  4.915000 1.615000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  1.785000  5.375000 1.955000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.560000  1.785000  7.730000 1.955000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.480000  1.105000  8.650000 1.275000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-      RECT 12.105000  2.635000 12.275000 2.805000 ;
-    LAYER met1 ;
-      RECT 1.465000 1.415000 1.755000 1.460000 ;
-      RECT 1.465000 1.460000 4.975000 1.600000 ;
-      RECT 1.465000 1.600000 1.755000 1.645000 ;
-      RECT 3.765000 1.755000 4.055000 1.800000 ;
-      RECT 3.765000 1.800000 7.790000 1.940000 ;
-      RECT 3.765000 1.940000 4.055000 1.985000 ;
-      RECT 4.225000 1.075000 4.515000 1.120000 ;
-      RECT 4.225000 1.120000 8.710000 1.260000 ;
-      RECT 4.225000 1.260000 4.515000 1.305000 ;
-      RECT 4.685000 1.415000 4.975000 1.460000 ;
-      RECT 4.685000 1.600000 4.975000 1.645000 ;
-      RECT 5.145000 1.755000 5.435000 1.800000 ;
-      RECT 5.145000 1.940000 5.435000 1.985000 ;
-      RECT 7.500000 1.755000 7.790000 1.800000 ;
-      RECT 7.500000 1.940000 7.790000 1.985000 ;
-      RECT 8.420000 1.075000 8.710000 1.120000 ;
-      RECT 8.420000 1.260000 8.710000 1.305000 ;
-  END
-END sky130_fd_sc_hd__sdfstp_1
-MACRO sky130_fd_sc_hd__sdfstp_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sdfstp_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  13.80000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.050000 0.765000 1.335000 1.675000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 12.040000 0.275000 12.370000 0.825000 ;
-        RECT 12.040000 1.495000 12.370000 2.450000 ;
-        RECT 12.145000 0.825000 12.370000 1.055000 ;
-        RECT 12.145000 1.055000 13.210000 1.325000 ;
-        RECT 12.145000 1.325000 12.370000 1.495000 ;
-        RECT 12.880000 0.255000 13.210000 1.055000 ;
-        RECT 12.880000 1.325000 13.210000 2.465000 ;
-    END
-  END Q
-  PIN SCD
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.765000 0.340000 1.675000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.540000 0.765000 0.820000 1.675000 ;
-      LAYER mcon ;
-        RECT 0.605000 1.105000 0.775000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 2.370000 1.075000 2.700000 1.600000 ;
-      LAYER mcon ;
-        RECT 2.445000 1.105000 2.615000 1.275000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.545000 1.075000 0.835000 1.120000 ;
-        RECT 0.545000 1.120000 2.675000 1.260000 ;
-        RECT 0.545000 1.260000 0.835000 1.305000 ;
-        RECT 2.385000 1.075000 2.675000 1.120000 ;
-        RECT 2.385000 1.260000 2.675000 1.305000 ;
-    END
-  END SCE
-  PIN SET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.640000 1.445000 7.065000 1.765000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 8.880000 1.425000 9.135000 1.545000 ;
-        RECT 8.880000 1.545000 9.945000 1.725000 ;
-      LAYER mcon ;
-        RECT 8.940000 1.445000 9.110000 1.615000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 6.580000 1.415000 6.870000 1.460000 ;
-        RECT 6.580000 1.460000 9.170000 1.600000 ;
-        RECT 6.580000 1.600000 6.870000 1.645000 ;
-        RECT 8.880000 1.415000 9.170000 1.460000 ;
-        RECT 8.880000 1.600000 9.170000 1.645000 ;
-    END
-  END SET_B
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 2.905000 0.725000 3.100000 1.055000 ;
-        RECT 2.905000 1.055000 3.565000 1.615000 ;
-        RECT 2.905000 1.615000 3.085000 1.960000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 13.800000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 13.990000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 13.800000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 13.800000 0.085000 ;
-      RECT  0.000000  2.635000 13.800000 2.805000 ;
-      RECT  0.085000  0.085000  0.700000 0.595000 ;
-      RECT  0.085000  1.845000  1.125000 2.025000 ;
-      RECT  0.085000  2.025000  0.345000 2.465000 ;
-      RECT  0.515000  2.195000  0.785000 2.635000 ;
-      RECT  0.870000  0.255000  1.625000 0.555000 ;
-      RECT  0.870000  0.555000  1.640000 0.575000 ;
-      RECT  0.870000  0.575000  1.650000 0.595000 ;
-      RECT  0.955000  2.025000  1.125000 2.255000 ;
-      RECT  0.955000  2.255000  2.045000 2.465000 ;
-      RECT  1.295000  1.845000  1.695000 2.085000 ;
-      RECT  1.380000  0.595000  1.660000 0.600000 ;
-      RECT  1.395000  0.600000  1.660000 0.605000 ;
-      RECT  1.405000  0.605000  1.660000 0.610000 ;
-      RECT  1.420000  0.610000  1.660000 0.615000 ;
-      RECT  1.430000  0.615000  1.660000 0.620000 ;
-      RECT  1.440000  0.620000  1.665000 0.630000 ;
-      RECT  1.445000  0.630000  1.665000 0.635000 ;
-      RECT  1.460000  0.635000  1.665000 0.645000 ;
-      RECT  1.475000  0.645000  1.670000 0.660000 ;
-      RECT  1.475000  0.660000  1.675000 0.665000 ;
-      RECT  1.495000  0.665000  1.675000 0.705000 ;
-      RECT  1.505000  0.705000  1.675000 0.710000 ;
-      RECT  1.505000  0.710000  1.695000 1.845000 ;
-      RECT  1.825000  0.085000  2.090000 0.545000 ;
-      RECT  1.865000  0.715000  2.520000 0.905000 ;
-      RECT  1.865000  0.905000  2.200000 1.770000 ;
-      RECT  1.865000  1.770000  2.520000 2.085000 ;
-      RECT  2.260000  0.255000  2.520000 0.715000 ;
-      RECT  2.270000  2.085000  2.520000 2.465000 ;
-      RECT  2.690000  0.085000  3.100000 0.555000 ;
-      RECT  2.690000  2.140000  2.985000 2.635000 ;
-      RECT  3.255000  1.830000  3.995000 1.990000 ;
-      RECT  3.255000  1.990000  3.985000 2.000000 ;
-      RECT  3.255000  2.000000  3.425000 2.325000 ;
-      RECT  3.270000  0.255000  3.455000 0.715000 ;
-      RECT  3.270000  0.715000  3.995000 0.885000 ;
-      RECT  3.595000  2.275000  3.925000 2.635000 ;
-      RECT  3.625000  0.085000  3.955000 0.545000 ;
-      RECT  3.735000  0.885000  3.995000 1.830000 ;
-      RECT  4.095000  2.135000  4.440000 2.465000 ;
-      RECT  4.125000  0.255000  4.335000 0.585000 ;
-      RECT  4.165000  0.585000  4.335000 1.090000 ;
-      RECT  4.165000  1.090000  4.490000 1.420000 ;
-      RECT  4.165000  1.420000  4.440000 2.135000 ;
-      RECT  4.505000  0.255000  4.830000 0.920000 ;
-      RECT  4.615000  1.590000  4.915000 1.615000 ;
-      RECT  4.615000  1.615000  4.830000 2.465000 ;
-      RECT  4.660000  0.920000  4.830000 1.445000 ;
-      RECT  4.660000  1.445000  4.915000 1.590000 ;
-      RECT  5.000000  0.255000  5.440000 1.225000 ;
-      RECT  5.000000  1.225000  7.715000 1.275000 ;
-      RECT  5.035000  2.135000  5.755000 2.465000 ;
-      RECT  5.085000  1.275000  6.475000 1.395000 ;
-      RECT  5.205000  1.575000  5.415000 1.955000 ;
-      RECT  5.585000  1.395000  5.755000 2.135000 ;
-      RECT  5.610000  0.085000  6.095000 0.465000 ;
-      RECT  5.645000  0.635000  6.535000 0.805000 ;
-      RECT  5.645000  0.805000  5.975000 1.015000 ;
-      RECT  5.925000  1.575000  6.095000 1.935000 ;
-      RECT  5.925000  1.935000  6.820000 2.105000 ;
-      RECT  5.945000  2.275000  6.330000 2.635000 ;
-      RECT  6.285000  0.255000  6.535000 0.635000 ;
-      RECT  6.305000  0.975000  7.715000 1.225000 ;
-      RECT  6.605000  2.105000  6.820000 2.450000 ;
-      RECT  6.705000  0.085000  7.715000 0.805000 ;
-      RECT  7.060000  2.125000  8.015000 2.635000 ;
-      RECT  7.235000  1.670000  8.135000 1.955000 ;
-      RECT  7.355000  1.275000  7.715000 1.325000 ;
-      RECT  7.885000  0.720000  9.105000 0.905000 ;
-      RECT  7.885000  0.905000  8.135000 1.670000 ;
-      RECT  8.185000  2.125000  8.990000 2.460000 ;
-      RECT  8.425000  1.075000  8.650000 1.905000 ;
-      RECT  8.465000  0.275000  9.910000 0.545000 ;
-      RECT  8.820000  0.905000  9.105000 1.255000 ;
-      RECT  8.820000  1.895000 10.485000 2.065000 ;
-      RECT  8.820000  2.065000  8.990000 2.125000 ;
-      RECT  9.160000  2.235000  9.490000 2.635000 ;
-      RECT  9.320000  0.855000  9.530000 1.195000 ;
-      RECT  9.320000  1.195000 10.915000 1.365000 ;
-      RECT  9.660000  2.065000  9.965000 2.450000 ;
-      RECT  9.710000  0.545000  9.910000 0.785000 ;
-      RECT  9.710000  0.785000 10.515000 1.015000 ;
-      RECT 10.115000  0.085000 10.365000 0.545000 ;
-      RECT 10.155000  1.605000 10.485000 1.895000 ;
-      RECT 10.155000  2.235000 10.485000 2.635000 ;
-      RECT 10.575000  0.255000 10.915000 0.585000 ;
-      RECT 10.655000  1.365000 10.915000 2.465000 ;
-      RECT 10.685000  0.585000 10.915000 1.195000 ;
-      RECT 11.085000  0.255000 11.345000 0.995000 ;
-      RECT 11.085000  0.995000 11.975000 1.325000 ;
-      RECT 11.085000  1.325000 11.345000 2.465000 ;
-      RECT 11.515000  0.085000 11.870000 0.825000 ;
-      RECT 11.515000  1.495000 11.870000 2.635000 ;
-      RECT 12.540000  0.085000 12.710000 0.885000 ;
-      RECT 12.540000  1.495000 12.710000 2.635000 ;
-      RECT 13.380000  0.085000 13.715000 0.885000 ;
-      RECT 13.380000  1.495000 13.715000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  1.445000  1.695000 1.615000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  1.785000  3.995000 1.955000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  1.105000  4.455000 1.275000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  1.445000  4.915000 1.615000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  1.785000  5.375000 1.955000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.560000  1.785000  7.730000 1.955000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.480000  1.105000  8.650000 1.275000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-      RECT 12.105000  2.635000 12.275000 2.805000 ;
-      RECT 12.565000 -0.085000 12.735000 0.085000 ;
-      RECT 12.565000  2.635000 12.735000 2.805000 ;
-      RECT 13.025000 -0.085000 13.195000 0.085000 ;
-      RECT 13.025000  2.635000 13.195000 2.805000 ;
-      RECT 13.485000 -0.085000 13.655000 0.085000 ;
-      RECT 13.485000  2.635000 13.655000 2.805000 ;
-    LAYER met1 ;
-      RECT 1.465000 1.415000 1.755000 1.460000 ;
-      RECT 1.465000 1.460000 4.975000 1.600000 ;
-      RECT 1.465000 1.600000 1.755000 1.645000 ;
-      RECT 3.765000 1.755000 4.055000 1.800000 ;
-      RECT 3.765000 1.800000 7.790000 1.940000 ;
-      RECT 3.765000 1.940000 4.055000 1.985000 ;
-      RECT 4.225000 1.075000 4.515000 1.120000 ;
-      RECT 4.225000 1.120000 8.710000 1.260000 ;
-      RECT 4.225000 1.260000 4.515000 1.305000 ;
-      RECT 4.685000 1.415000 4.975000 1.460000 ;
-      RECT 4.685000 1.600000 4.975000 1.645000 ;
-      RECT 5.145000 1.755000 5.435000 1.800000 ;
-      RECT 5.145000 1.940000 5.435000 1.985000 ;
-      RECT 7.500000 1.755000 7.790000 1.800000 ;
-      RECT 7.500000 1.940000 7.790000 1.985000 ;
-      RECT 8.420000 1.075000 8.710000 1.120000 ;
-      RECT 8.420000 1.260000 8.710000 1.305000 ;
-  END
-END sky130_fd_sc_hd__sdfstp_4
-MACRO sky130_fd_sc_hd__dfstp_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dfstp_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  11.04000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.222000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.770000 1.005000 2.180000 1.625000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  1.320000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT  8.925000 0.265000  9.170000 0.715000 ;
-        RECT  8.925000 0.715000 10.955000 0.885000 ;
-        RECT  8.925000 1.470000 10.955000 1.640000 ;
-        RECT  8.925000 1.640000  9.170000 2.465000 ;
-        RECT  9.765000 0.265000  9.935000 0.715000 ;
-        RECT  9.765000 1.640000  9.935000 2.465000 ;
-        RECT 10.605000 0.265000 10.955000 0.715000 ;
-        RECT 10.605000 1.640000 10.955000 2.465000 ;
-        RECT 10.725000 0.885000 10.955000 1.470000 ;
-    END
-  END Q
-  PIN SET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.610000 0.735000 4.020000 1.065000 ;
-      LAYER mcon ;
-        RECT 3.825000 0.765000 3.995000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 6.660000 0.735000 7.320000 1.005000 ;
-        RECT 6.660000 1.005000 6.990000 1.065000 ;
-      LAYER mcon ;
-        RECT 7.045000 0.765000 7.215000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 3.765000 0.735000 4.055000 0.780000 ;
-        RECT 3.765000 0.780000 7.275000 0.920000 ;
-        RECT 3.765000 0.920000 4.055000 0.965000 ;
-        RECT 6.985000 0.735000 7.275000 0.780000 ;
-        RECT 6.985000 0.920000 7.275000 0.965000 ;
-    END
-  END SET_B
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.975000 0.440000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 11.040000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 11.230000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 11.040000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 11.040000 0.085000 ;
-      RECT  0.000000  2.635000 11.040000 2.805000 ;
-      RECT  0.175000  0.345000  0.345000 0.635000 ;
-      RECT  0.175000  0.635000  0.840000 0.805000 ;
-      RECT  0.175000  1.795000  0.840000 1.965000 ;
-      RECT  0.175000  1.965000  0.345000 2.465000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.515000  2.135000  0.845000 2.635000 ;
-      RECT  0.610000  0.805000  0.840000 1.795000 ;
-      RECT  1.015000  0.345000  1.240000 2.465000 ;
-      RECT  1.430000  0.635000  2.125000 0.825000 ;
-      RECT  1.430000  0.825000  1.600000 1.795000 ;
-      RECT  1.430000  1.795000  2.125000 1.965000 ;
-      RECT  1.455000  0.085000  1.785000 0.465000 ;
-      RECT  1.455000  2.135000  1.785000 2.635000 ;
-      RECT  1.955000  0.305000  2.125000 0.635000 ;
-      RECT  1.955000  1.965000  2.125000 2.465000 ;
-      RECT  2.350000  0.705000  2.570000 1.575000 ;
-      RECT  2.350000  1.575000  2.850000 1.955000 ;
-      RECT  2.360000  2.250000  3.190000 2.420000 ;
-      RECT  2.425000  0.265000  3.440000 0.465000 ;
-      RECT  2.750000  0.645000  3.100000 1.015000 ;
-      RECT  3.020000  1.195000  3.440000 1.235000 ;
-      RECT  3.020000  1.235000  4.370000 1.405000 ;
-      RECT  3.020000  1.405000  3.190000 2.250000 ;
-      RECT  3.270000  0.465000  3.440000 1.195000 ;
-      RECT  3.360000  1.575000  3.610000 1.835000 ;
-      RECT  3.360000  1.835000  4.710000 2.085000 ;
-      RECT  3.430000  2.255000  3.810000 2.635000 ;
-      RECT  3.610000  0.085000  4.020000 0.525000 ;
-      RECT  3.990000  2.085000  4.160000 2.375000 ;
-      RECT  4.120000  1.405000  4.370000 1.565000 ;
-      RECT  4.310000  0.295000  4.560000 0.725000 ;
-      RECT  4.310000  0.725000  4.710000 1.065000 ;
-      RECT  4.330000  2.255000  4.660000 2.635000 ;
-      RECT  4.540000  1.065000  4.710000 1.835000 ;
-      RECT  4.740000  0.085000  5.080000 0.545000 ;
-      RECT  4.880000  0.725000  6.150000 0.895000 ;
-      RECT  4.880000  0.895000  5.050000 1.655000 ;
-      RECT  4.880000  1.655000  5.400000 1.965000 ;
-      RECT  5.110000  2.165000  5.740000 2.415000 ;
-      RECT  5.220000  1.065000  5.400000 1.475000 ;
-      RECT  5.570000  1.235000  7.470000 1.405000 ;
-      RECT  5.570000  1.405000  5.740000 1.915000 ;
-      RECT  5.570000  1.915000  6.780000 2.085000 ;
-      RECT  5.570000  2.085000  5.740000 2.165000 ;
-      RECT  5.640000  0.305000  6.490000 0.475000 ;
-      RECT  5.820000  0.895000  6.150000 1.015000 ;
-      RECT  5.910000  1.575000  7.850000 1.745000 ;
-      RECT  5.920000  2.255000  6.340000 2.635000 ;
-      RECT  6.320000  0.475000  6.490000 1.235000 ;
-      RECT  6.540000  2.085000  6.780000 2.375000 ;
-      RECT  6.670000  0.085000  7.330000 0.565000 ;
-      RECT  7.010000  1.945000  7.340000 2.635000 ;
-      RECT  7.140000  1.175000  7.470000 1.235000 ;
-      RECT  7.510000  0.350000  7.850000 0.680000 ;
-      RECT  7.510000  1.745000  7.850000 1.765000 ;
-      RECT  7.510000  1.765000  7.680000 2.375000 ;
-      RECT  7.640000  0.680000  7.850000 1.575000 ;
-      RECT  7.950000  1.915000  8.280000 2.425000 ;
-      RECT  8.030000  0.345000  8.280000 1.055000 ;
-      RECT  8.030000  1.055000 10.555000 1.275000 ;
-      RECT  8.030000  1.275000  8.280000 1.915000 ;
-      RECT  8.460000  0.085000  8.745000 0.545000 ;
-      RECT  8.460000  1.835000  8.745000 2.635000 ;
-      RECT  9.340000  0.085000  9.595000 0.545000 ;
-      RECT  9.340000  1.810000  9.595000 2.635000 ;
-      RECT 10.105000  0.085000 10.435000 0.545000 ;
-      RECT 10.105000  1.810000 10.435000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.615000  1.785000  0.785000 1.955000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  0.765000  1.235000 0.935000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  1.785000  2.615000 1.955000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  0.765000  3.075000 0.935000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  1.785000  5.375000 1.955000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.225000  1.105000  5.395000 1.275000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.555000 1.755000 0.845000 1.800000 ;
-      RECT 0.555000 1.800000 5.435000 1.940000 ;
-      RECT 0.555000 1.940000 0.845000 1.985000 ;
-      RECT 1.005000 0.735000 1.295000 0.780000 ;
-      RECT 1.005000 0.780000 3.135000 0.920000 ;
-      RECT 1.005000 0.920000 1.295000 0.965000 ;
-      RECT 2.385000 1.755000 2.675000 1.800000 ;
-      RECT 2.385000 1.940000 2.675000 1.985000 ;
-      RECT 2.845000 0.735000 3.135000 0.780000 ;
-      RECT 2.845000 0.920000 3.135000 0.965000 ;
-      RECT 2.920000 0.965000 3.135000 1.120000 ;
-      RECT 2.920000 1.120000 5.455000 1.260000 ;
-      RECT 5.145000 1.755000 5.435000 1.800000 ;
-      RECT 5.145000 1.940000 5.435000 1.985000 ;
-      RECT 5.165000 1.075000 5.455000 1.120000 ;
-      RECT 5.165000 1.260000 5.455000 1.305000 ;
-  END
-END sky130_fd_sc_hd__dfstp_4
-MACRO sky130_fd_sc_hd__dfstp_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dfstp_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.660000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.222000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.770000 1.005000 2.180000 1.625000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 8.810000 1.495000 9.575000 1.615000 ;
-        RECT 8.810000 1.615000 9.140000 2.460000 ;
-        RECT 8.890000 0.265000 9.135000 0.765000 ;
-        RECT 8.890000 0.765000 9.575000 0.825000 ;
-        RECT 8.975000 0.825000 9.575000 0.855000 ;
-        RECT 8.975000 1.445000 9.575000 1.495000 ;
-        RECT 8.990000 0.855000 9.575000 0.895000 ;
-        RECT 9.020000 0.895000 9.575000 1.445000 ;
-    END
-  END Q
-  PIN SET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.610000 0.735000 4.020000 1.065000 ;
-      LAYER mcon ;
-        RECT 3.825000 0.765000 3.995000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 6.660000 0.735000 7.340000 1.005000 ;
-        RECT 6.660000 1.005000 7.010000 1.065000 ;
-      LAYER mcon ;
-        RECT 7.045000 0.765000 7.215000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 3.765000 0.735000 4.055000 0.780000 ;
-        RECT 3.765000 0.780000 7.275000 0.920000 ;
-        RECT 3.765000 0.920000 4.055000 0.965000 ;
-        RECT 6.985000 0.735000 7.275000 0.780000 ;
-        RECT 6.985000 0.920000 7.275000 0.965000 ;
-    END
-  END SET_B
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.975000 0.435000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.660000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.850000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.660000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.660000 0.085000 ;
-      RECT 0.000000  2.635000 9.660000 2.805000 ;
-      RECT 0.085000  0.345000 0.345000 0.635000 ;
-      RECT 0.085000  0.635000 0.835000 0.805000 ;
-      RECT 0.085000  1.795000 0.835000 1.965000 ;
-      RECT 0.085000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.605000  0.805000 0.835000 1.795000 ;
-      RECT 1.005000  0.565000 1.235000 2.045000 ;
-      RECT 1.015000  0.345000 1.235000 0.565000 ;
-      RECT 1.015000  2.045000 1.235000 2.465000 ;
-      RECT 1.430000  0.635000 2.125000 0.825000 ;
-      RECT 1.430000  0.825000 1.600000 1.795000 ;
-      RECT 1.430000  1.795000 2.125000 1.965000 ;
-      RECT 1.455000  0.085000 1.785000 0.465000 ;
-      RECT 1.455000  2.135000 1.785000 2.635000 ;
-      RECT 1.955000  0.305000 2.125000 0.635000 ;
-      RECT 1.955000  1.965000 2.125000 2.465000 ;
-      RECT 2.350000  0.705000 2.570000 1.575000 ;
-      RECT 2.350000  1.575000 2.850000 1.955000 ;
-      RECT 2.360000  2.250000 3.190000 2.420000 ;
-      RECT 2.425000  0.265000 3.440000 0.465000 ;
-      RECT 2.750000  0.645000 3.100000 1.015000 ;
-      RECT 3.020000  1.195000 3.440000 1.235000 ;
-      RECT 3.020000  1.235000 4.370000 1.405000 ;
-      RECT 3.020000  1.405000 3.190000 2.250000 ;
-      RECT 3.270000  0.465000 3.440000 1.195000 ;
-      RECT 3.360000  1.575000 3.610000 1.835000 ;
-      RECT 3.360000  1.835000 4.710000 2.085000 ;
-      RECT 3.430000  2.255000 3.810000 2.635000 ;
-      RECT 3.610000  0.085000 4.020000 0.525000 ;
-      RECT 3.990000  2.085000 4.160000 2.375000 ;
-      RECT 4.120000  1.405000 4.370000 1.565000 ;
-      RECT 4.310000  0.295000 4.560000 0.725000 ;
-      RECT 4.310000  0.725000 4.710000 1.065000 ;
-      RECT 4.330000  2.255000 4.660000 2.635000 ;
-      RECT 4.540000  1.065000 4.710000 1.835000 ;
-      RECT 4.760000  0.085000 5.080000 0.545000 ;
-      RECT 4.880000  0.725000 6.150000 0.895000 ;
-      RECT 4.880000  0.895000 5.050000 1.655000 ;
-      RECT 4.880000  1.655000 5.400000 1.965000 ;
-      RECT 5.110000  2.165000 5.740000 2.415000 ;
-      RECT 5.220000  1.065000 5.400000 1.475000 ;
-      RECT 5.570000  1.235000 7.490000 1.405000 ;
-      RECT 5.570000  1.405000 5.740000 1.915000 ;
-      RECT 5.570000  1.915000 6.780000 2.085000 ;
-      RECT 5.570000  2.085000 5.740000 2.165000 ;
-      RECT 5.640000  0.305000 6.490000 0.475000 ;
-      RECT 5.800000  0.895000 6.150000 1.015000 ;
-      RECT 5.910000  1.575000 7.880000 1.745000 ;
-      RECT 5.920000  2.255000 6.340000 2.635000 ;
-      RECT 6.320000  0.475000 6.490000 1.235000 ;
-      RECT 6.540000  2.085000 6.780000 2.375000 ;
-      RECT 6.690000  0.085000 7.330000 0.565000 ;
-      RECT 7.010000  1.945000 7.340000 2.635000 ;
-      RECT 7.140000  1.175000 7.490000 1.235000 ;
-      RECT 7.510000  1.745000 7.880000 1.765000 ;
-      RECT 7.510000  1.765000 7.680000 2.375000 ;
-      RECT 7.530000  0.350000 7.880000 0.680000 ;
-      RECT 7.690000  0.680000 7.880000 1.575000 ;
-      RECT 7.970000  1.915000 8.300000 2.425000 ;
-      RECT 8.050000  0.345000 8.220000 0.995000 ;
-      RECT 8.050000  0.995000 8.850000 1.325000 ;
-      RECT 8.050000  1.325000 8.300000 1.915000 ;
-      RECT 8.390000  0.085000 8.720000 0.825000 ;
-      RECT 8.470000  1.495000 8.640000 2.635000 ;
-      RECT 9.305000  0.085000 9.575000 0.595000 ;
-      RECT 9.310000  1.785000 9.575000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  1.785000 0.775000 1.955000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  0.765000 1.235000 0.935000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  1.785000 2.615000 1.955000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  0.765000 3.075000 0.935000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  1.785000 5.375000 1.955000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.225000  1.105000 5.395000 1.275000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.545000 1.755000 0.835000 1.800000 ;
-      RECT 0.545000 1.800000 5.435000 1.940000 ;
-      RECT 0.545000 1.940000 0.835000 1.985000 ;
-      RECT 1.005000 0.735000 1.295000 0.780000 ;
-      RECT 1.005000 0.780000 3.135000 0.920000 ;
-      RECT 1.005000 0.920000 1.295000 0.965000 ;
-      RECT 2.385000 1.755000 2.675000 1.800000 ;
-      RECT 2.385000 1.940000 2.675000 1.985000 ;
-      RECT 2.845000 0.735000 3.135000 0.780000 ;
-      RECT 2.845000 0.920000 3.135000 0.965000 ;
-      RECT 2.920000 0.965000 3.135000 1.120000 ;
-      RECT 2.920000 1.120000 5.455000 1.260000 ;
-      RECT 5.145000 1.755000 5.435000 1.800000 ;
-      RECT 5.145000 1.940000 5.435000 1.985000 ;
-      RECT 5.165000 1.075000 5.455000 1.120000 ;
-      RECT 5.165000 1.260000 5.455000 1.305000 ;
-  END
-END sky130_fd_sc_hd__dfstp_2
-MACRO sky130_fd_sc_hd__dfstp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dfstp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.660000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.222000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.770000 1.005000 2.180000 1.625000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 8.945000 0.265000 9.200000 0.795000 ;
-        RECT 8.945000 1.655000 9.200000 2.325000 ;
-        RECT 9.020000 0.795000 9.200000 1.655000 ;
-    END
-  END Q
-  PIN SET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.610000 0.735000 4.020000 1.065000 ;
-      LAYER mcon ;
-        RECT 3.850000 0.765000 4.020000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 6.680000 0.735000 7.340000 1.005000 ;
-        RECT 6.680000 1.005000 7.010000 1.065000 ;
-      LAYER mcon ;
-        RECT 7.110000 0.765000 7.280000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 3.790000 0.735000 4.080000 0.780000 ;
-        RECT 3.790000 0.780000 7.340000 0.920000 ;
-        RECT 3.790000 0.920000 4.080000 0.965000 ;
-        RECT 7.050000 0.735000 7.340000 0.780000 ;
-        RECT 7.050000 0.920000 7.340000 0.965000 ;
-    END
-  END SET_B
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.975000 0.440000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.660000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.850000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.660000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.660000 0.085000 ;
-      RECT 0.000000  2.635000 9.660000 2.805000 ;
-      RECT 0.175000  0.345000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 0.840000 0.805000 ;
-      RECT 0.175000  1.795000 0.840000 1.965000 ;
-      RECT 0.175000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.840000 1.795000 ;
-      RECT 1.015000  0.345000 1.240000 2.465000 ;
-      RECT 1.430000  0.635000 2.125000 0.825000 ;
-      RECT 1.430000  0.825000 1.600000 1.795000 ;
-      RECT 1.430000  1.795000 2.125000 1.965000 ;
-      RECT 1.455000  0.085000 1.785000 0.465000 ;
-      RECT 1.455000  2.135000 1.785000 2.635000 ;
-      RECT 1.955000  0.305000 2.125000 0.635000 ;
-      RECT 1.955000  1.965000 2.125000 2.465000 ;
-      RECT 2.350000  0.705000 2.570000 1.575000 ;
-      RECT 2.350000  1.575000 2.850000 1.955000 ;
-      RECT 2.360000  2.250000 3.190000 2.420000 ;
-      RECT 2.425000  0.265000 3.440000 0.465000 ;
-      RECT 2.750000  0.645000 3.100000 1.015000 ;
-      RECT 3.020000  1.195000 3.440000 1.235000 ;
-      RECT 3.020000  1.235000 4.370000 1.405000 ;
-      RECT 3.020000  1.405000 3.190000 2.250000 ;
-      RECT 3.270000  0.465000 3.440000 1.195000 ;
-      RECT 3.360000  1.575000 3.610000 1.835000 ;
-      RECT 3.360000  1.835000 4.730000 2.085000 ;
-      RECT 3.430000  2.255000 3.810000 2.635000 ;
-      RECT 3.610000  0.085000 4.020000 0.525000 ;
-      RECT 3.990000  2.085000 4.160000 2.375000 ;
-      RECT 4.120000  1.405000 4.370000 1.565000 ;
-      RECT 4.310000  0.295000 4.560000 0.725000 ;
-      RECT 4.310000  0.725000 4.730000 1.065000 ;
-      RECT 4.330000  2.255000 4.660000 2.635000 ;
-      RECT 4.540000  1.065000 4.730000 1.835000 ;
-      RECT 4.760000  0.085000 5.080000 0.545000 ;
-      RECT 4.900000  0.725000 6.150000 0.895000 ;
-      RECT 4.900000  0.895000 5.070000 1.655000 ;
-      RECT 4.900000  1.655000 5.420000 1.965000 ;
-      RECT 5.130000  2.165000 5.760000 2.415000 ;
-      RECT 5.240000  1.065000 5.420000 1.475000 ;
-      RECT 5.590000  1.235000 7.490000 1.405000 ;
-      RECT 5.590000  1.405000 5.760000 1.915000 ;
-      RECT 5.590000  1.915000 6.800000 2.085000 ;
-      RECT 5.590000  2.085000 5.760000 2.165000 ;
-      RECT 5.640000  0.305000 6.490000 0.475000 ;
-      RECT 5.820000  0.895000 6.150000 1.015000 ;
-      RECT 5.930000  1.575000 7.850000 1.745000 ;
-      RECT 5.940000  2.255000 6.360000 2.635000 ;
-      RECT 6.320000  0.475000 6.490000 1.235000 ;
-      RECT 6.560000  2.085000 6.800000 2.375000 ;
-      RECT 6.690000  0.085000 7.350000 0.565000 ;
-      RECT 7.030000  1.945000 7.360000 2.635000 ;
-      RECT 7.160000  1.175000 7.490000 1.235000 ;
-      RECT 7.530000  0.350000 7.850000 0.680000 ;
-      RECT 7.530000  1.745000 7.850000 1.765000 ;
-      RECT 7.530000  1.765000 7.700000 2.375000 ;
-      RECT 7.660000  0.680000 7.850000 1.575000 ;
-      RECT 7.970000  1.915000 8.300000 2.425000 ;
-      RECT 8.050000  0.345000 8.300000 0.995000 ;
-      RECT 8.050000  0.995000 8.850000 1.325000 ;
-      RECT 8.050000  1.325000 8.300000 1.915000 ;
-      RECT 8.480000  0.085000 8.765000 0.545000 ;
-      RECT 8.480000  1.835000 8.765000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.610000  1.785000 0.780000 1.955000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.070000  0.765000 1.240000 0.935000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.470000  1.785000 2.640000 1.955000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 2.930000  0.765000 3.100000 0.935000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.250000  1.105000 5.420000 1.275000 ;
-      RECT 5.250000  1.785000 5.420000 1.955000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.755000 0.840000 1.800000 ;
-      RECT 0.550000 1.800000 5.480000 1.940000 ;
-      RECT 0.550000 1.940000 0.840000 1.985000 ;
-      RECT 1.010000 0.735000 1.300000 0.780000 ;
-      RECT 1.010000 0.780000 3.160000 0.920000 ;
-      RECT 1.010000 0.920000 1.300000 0.965000 ;
-      RECT 2.410000 1.755000 2.700000 1.800000 ;
-      RECT 2.410000 1.940000 2.700000 1.985000 ;
-      RECT 2.870000 0.735000 3.160000 0.780000 ;
-      RECT 2.870000 0.920000 3.160000 0.965000 ;
-      RECT 2.945000 0.965000 3.160000 1.120000 ;
-      RECT 2.945000 1.120000 5.480000 1.260000 ;
-      RECT 5.190000 1.075000 5.480000 1.120000 ;
-      RECT 5.190000 1.260000 5.480000 1.305000 ;
-      RECT 5.190000 1.755000 5.480000 1.800000 ;
-      RECT 5.190000 1.940000 5.480000 1.985000 ;
-  END
-END sky130_fd_sc_hd__dfstp_1
-MACRO sky130_fd_sc_hd__probec_p_8
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__probec_p_8 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.520000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.742500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.140000 1.075000 1.240000 1.275000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  1.782000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met4 ;
-        RECT -1.140000 0.770000 0.040000 1.950000 ;
-        RECT  1.460000 0.770000 2.640000 1.950000 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT -1.260000  0.560000 2.760000 2.160000 ;
-        RECT  1.160000 -1.105000 2.760000 0.560000 ;
-        RECT  1.160000  2.160000 2.760000 3.825000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met5 ;
-        RECT 4.360000 -1.170000 6.675000 0.560000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.710000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met5 ;
-        RECT 4.360000 2.160000 6.675000 3.890000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.520000 0.085000 ;
-      RECT 0.000000  2.635000 5.520000 2.805000 ;
-      RECT 0.095000  1.445000 1.595000 1.615000 ;
-      RECT 0.095000  1.615000 0.425000 2.465000 ;
-      RECT 0.175000  0.255000 0.345000 0.735000 ;
-      RECT 0.175000  0.735000 1.595000 0.905000 ;
-      RECT 0.515000  0.085000 0.845000 0.565000 ;
-      RECT 0.595000  1.835000 0.765000 2.635000 ;
-      RECT 0.935000  1.615000 1.265000 2.465000 ;
-      RECT 1.015000  0.260000 1.185000 0.735000 ;
-      RECT 1.355000  0.085000 1.685000 0.565000 ;
-      RECT 1.420000  0.905000 1.595000 1.075000 ;
-      RECT 1.420000  1.075000 4.045000 1.245000 ;
-      RECT 1.420000  1.245000 1.595000 1.445000 ;
-      RECT 1.435000  1.835000 1.605000 2.635000 ;
-      RECT 1.855000  0.255000 2.025000 0.735000 ;
-      RECT 1.855000  0.735000 4.545000 0.905000 ;
-      RECT 1.855000  1.445000 4.545000 1.615000 ;
-      RECT 1.855000  1.615000 2.025000 2.465000 ;
-      RECT 2.195000  0.085000 2.525000 0.565000 ;
-      RECT 2.195000  1.835000 2.525000 2.635000 ;
-      RECT 2.695000  0.255000 2.865000 0.735000 ;
-      RECT 2.695000  1.615000 2.865000 2.465000 ;
-      RECT 3.035000  0.085000 3.365000 0.565000 ;
-      RECT 3.035000  1.835000 3.365000 2.635000 ;
-      RECT 3.535000  0.255000 3.705000 0.735000 ;
-      RECT 3.535000  1.615000 3.705000 2.465000 ;
-      RECT 3.875000  0.085000 4.205000 0.565000 ;
-      RECT 3.875000  1.835000 4.205000 2.635000 ;
-      RECT 4.290000  0.905000 4.545000 1.055000 ;
-      RECT 4.290000  1.055000 4.870000 1.315000 ;
-      RECT 4.290000  1.315000 4.545000 1.445000 ;
-      RECT 4.375000  0.255000 4.545000 0.735000 ;
-      RECT 4.375000  1.615000 4.545000 2.465000 ;
-      RECT 4.715000  0.085000 5.045000 0.885000 ;
-      RECT 4.715000  1.485000 5.045000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.305000  1.105000 4.475000 1.275000 ;
-      RECT 4.665000  1.105000 4.835000 1.275000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.000000 -0.240000 5.520000 -0.130000 ;
-      RECT 0.000000 -0.130000 5.840000  0.130000 ;
-      RECT 0.000000  0.130000 5.520000  0.240000 ;
-      RECT 0.000000  2.480000 5.520000  2.590000 ;
-      RECT 0.000000  2.590000 5.840000  2.850000 ;
-      RECT 0.000000  2.850000 5.520000  2.960000 ;
-      RECT 2.020000  1.060000 2.660000  1.120000 ;
-      RECT 2.020000  1.120000 4.895000  1.260000 ;
-      RECT 2.020000  1.260000 2.660000  1.320000 ;
-      RECT 4.245000  1.075000 4.895000  1.120000 ;
-      RECT 4.245000  1.260000 4.895000  1.305000 ;
-    LAYER met2 ;
-      RECT 1.890000  1.050000 2.660000 1.330000 ;
-      RECT 5.135000 -0.140000 5.905000 0.140000 ;
-      RECT 5.135000  2.580000 5.905000 2.860000 ;
-    LAYER met3 ;
-      RECT -0.715000  1.030000 0.065000 1.350000 ;
-      RECT  1.885000  1.025000 2.665000 1.355000 ;
-      RECT  5.130000 -0.165000 5.910000 0.165000 ;
-      RECT  5.130000  2.555000 5.910000 2.885000 ;
-    LAYER met4 ;
-      RECT 4.930000 -0.895000 6.110000 0.285000 ;
-      RECT 4.930000  2.435000 6.110000 3.615000 ;
-    LAYER via ;
-      RECT 2.050000  1.060000 2.310000 1.320000 ;
-      RECT 2.370000  1.060000 2.630000 1.320000 ;
-      RECT 5.230000 -0.130000 5.490000 0.130000 ;
-      RECT 5.230000  2.590000 5.490000 2.850000 ;
-      RECT 5.550000 -0.130000 5.810000 0.130000 ;
-      RECT 5.550000  2.590000 5.810000 2.850000 ;
-    LAYER via2 ;
-      RECT 1.935000  1.050000 2.215000 1.330000 ;
-      RECT 2.335000  1.050000 2.615000 1.330000 ;
-      RECT 5.180000 -0.140000 5.460000 0.140000 ;
-      RECT 5.180000  2.580000 5.460000 2.860000 ;
-      RECT 5.580000 -0.140000 5.860000 0.140000 ;
-      RECT 5.580000  2.580000 5.860000 2.860000 ;
-    LAYER via3 ;
-      RECT -0.685000  1.030000 -0.365000 1.350000 ;
-      RECT -0.285000  1.030000  0.035000 1.350000 ;
-      RECT  1.915000  1.030000  2.235000 1.350000 ;
-      RECT  2.315000  1.030000  2.635000 1.350000 ;
-      RECT  5.160000 -0.160000  5.480000 0.160000 ;
-      RECT  5.160000  2.560000  5.480000 2.880000 ;
-      RECT  5.560000 -0.160000  5.880000 0.160000 ;
-      RECT  5.560000  2.560000  5.880000 2.880000 ;
-  END
-END sky130_fd_sc_hd__probec_p_8
-MACRO sky130_fd_sc_hd__sdfbbp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sdfbbp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  14.26000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.825000 1.325000 4.025000 2.375000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 13.915000 0.255000 14.175000 0.825000 ;
-        RECT 13.915000 1.605000 14.175000 2.465000 ;
-        RECT 13.965000 0.825000 14.175000 1.605000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 12.500000 0.255000 12.785000 0.715000 ;
-        RECT 12.500000 1.630000 12.785000 2.465000 ;
-        RECT 12.605000 0.715000 12.785000 1.630000 ;
-    END
-  END Q_N
-  PIN RESET_B
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 11.535000 1.095000 11.990000 1.325000 ;
-    END
-  END RESET_B
-  PIN SCD
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.440000 1.025000 1.720000 1.685000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.960000 0.345000 2.180000 0.845000 ;
-        RECT 1.960000 0.845000 2.415000 1.015000 ;
-        RECT 1.960000 1.015000 2.180000 1.695000 ;
-    END
-  END SCE
-  PIN SET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.885000 0.735000 6.295000 0.965000 ;
-        RECT 5.885000 0.965000 6.215000 1.065000 ;
-      LAYER mcon ;
-        RECT 6.125000 0.765000 6.295000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 9.755000 0.735000 10.130000 1.065000 ;
-      LAYER mcon ;
-        RECT 9.805000 0.765000 9.975000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 6.065000 0.735000  6.355000 0.780000 ;
-        RECT 6.065000 0.780000 10.035000 0.920000 ;
-        RECT 6.065000 0.920000  6.355000 0.965000 ;
-        RECT 9.745000 0.735000 10.035000 0.780000 ;
-        RECT 9.745000 0.920000 10.035000 0.965000 ;
-    END
-  END SET_B
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.975000 0.435000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 14.260000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 14.450000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 14.260000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 14.260000 0.085000 ;
-      RECT  0.000000  2.635000 14.260000 2.805000 ;
-      RECT  0.170000  0.345000  0.345000 0.635000 ;
-      RECT  0.170000  0.635000  0.835000 0.805000 ;
-      RECT  0.170000  1.795000  0.835000 1.965000 ;
-      RECT  0.170000  1.965000  0.345000 2.465000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.515000  2.135000  0.845000 2.635000 ;
-      RECT  0.605000  0.805000  0.835000 1.795000 ;
-      RECT  1.015000  0.345000  1.240000 2.465000 ;
-      RECT  1.455000  0.085000  1.705000 0.635000 ;
-      RECT  1.455000  1.885000  1.785000 2.635000 ;
-      RECT  2.235000  1.875000  2.565000 2.385000 ;
-      RECT  2.350000  0.265000  2.755000 0.595000 ;
-      RECT  2.350000  1.185000  3.075000 1.365000 ;
-      RECT  2.350000  1.365000  2.565000 1.875000 ;
-      RECT  2.585000  0.595000  2.755000 1.075000 ;
-      RECT  2.585000  1.075000  3.075000 1.185000 ;
-      RECT  2.745000  1.575000  3.645000 1.745000 ;
-      RECT  2.745000  1.745000  3.065000 1.905000 ;
-      RECT  2.895000  1.905000  3.065000 2.465000 ;
-      RECT  2.925000  0.305000  3.125000 0.625000 ;
-      RECT  2.925000  0.625000  3.645000 0.765000 ;
-      RECT  2.925000  0.765000  3.770000 0.795000 ;
-      RECT  3.310000  2.215000  3.640000 2.635000 ;
-      RECT  3.370000  0.085000  3.700000 0.445000 ;
-      RECT  3.475000  0.795000  3.770000 1.095000 ;
-      RECT  3.475000  1.095000  3.645000 1.575000 ;
-      RECT  4.230000  0.305000  4.455000 2.465000 ;
-      RECT  4.625000  0.705000  4.845000 1.575000 ;
-      RECT  4.625000  1.575000  5.125000 1.955000 ;
-      RECT  4.635000  2.250000  5.465000 2.420000 ;
-      RECT  4.700000  0.265000  5.715000 0.465000 ;
-      RECT  5.025000  0.645000  5.375000 1.015000 ;
-      RECT  5.295000  1.195000  5.715000 1.235000 ;
-      RECT  5.295000  1.235000  6.645000 1.405000 ;
-      RECT  5.295000  1.405000  5.465000 2.250000 ;
-      RECT  5.545000  0.465000  5.715000 1.195000 ;
-      RECT  5.635000  1.575000  5.885000 1.785000 ;
-      RECT  5.635000  1.785000  6.985000 2.035000 ;
-      RECT  5.705000  2.205000  6.085000 2.635000 ;
-      RECT  5.885000  0.085000  6.055000 0.525000 ;
-      RECT  6.225000  0.255000  7.395000 0.425000 ;
-      RECT  6.225000  0.425000  6.555000 0.465000 ;
-      RECT  6.385000  2.035000  6.555000 2.375000 ;
-      RECT  6.395000  1.405000  6.645000 1.485000 ;
-      RECT  6.425000  1.155000  6.645000 1.235000 ;
-      RECT  6.700000  0.595000  7.030000 0.765000 ;
-      RECT  6.815000  0.765000  7.030000 0.895000 ;
-      RECT  6.815000  0.895000  8.125000 1.065000 ;
-      RECT  6.815000  1.065000  6.985000 1.785000 ;
-      RECT  7.155000  1.235000  7.485000 1.415000 ;
-      RECT  7.155000  1.415000  8.160000 1.655000 ;
-      RECT  7.175000  1.915000  7.505000 2.635000 ;
-      RECT  7.200000  0.425000  7.395000 0.715000 ;
-      RECT  7.640000  0.085000  7.975000 0.465000 ;
-      RECT  7.795000  1.065000  8.125000 1.235000 ;
-      RECT  8.360000  1.575000  8.595000 1.985000 ;
-      RECT  8.420000  0.705000  8.705000 1.125000 ;
-      RECT  8.420000  1.125000  9.040000 1.305000 ;
-      RECT  8.550000  2.250000  9.380000 2.420000 ;
-      RECT  8.615000  0.265000  9.380000 0.465000 ;
-      RECT  8.835000  1.305000  9.040000 1.905000 ;
-      RECT  9.210000  0.465000  9.380000 1.235000 ;
-      RECT  9.210000  1.235000 10.560000 1.405000 ;
-      RECT  9.210000  1.405000  9.380000 2.250000 ;
-      RECT  9.550000  1.575000  9.800000 1.915000 ;
-      RECT  9.550000  1.915000 12.330000 2.085000 ;
-      RECT  9.560000  0.085000  9.820000 0.525000 ;
-      RECT  9.620000  2.255000 10.000000 2.635000 ;
-      RECT 10.080000  0.255000 11.250000 0.425000 ;
-      RECT 10.080000  0.425000 10.430000 0.465000 ;
-      RECT 10.240000  2.085000 10.410000 2.375000 ;
-      RECT 10.340000  1.075000 10.560000 1.235000 ;
-      RECT 10.575000  0.645000 10.905000 0.815000 ;
-      RECT 10.730000  0.815000 10.905000 1.915000 ;
-      RECT 10.940000  2.255000 12.330000 2.635000 ;
-      RECT 11.075000  0.425000 11.250000 0.585000 ;
-      RECT 11.080000  0.755000 11.765000 0.925000 ;
-      RECT 11.080000  0.925000 11.355000 1.575000 ;
-      RECT 11.080000  1.575000 11.855000 1.745000 ;
-      RECT 11.565000  0.265000 11.765000 0.755000 ;
-      RECT 12.000000  0.085000 12.330000 0.805000 ;
-      RECT 12.160000  0.995000 12.425000 1.325000 ;
-      RECT 12.160000  1.325000 12.330000 1.915000 ;
-      RECT 12.960000  0.255000 13.275000 0.995000 ;
-      RECT 12.960000  0.995000 13.795000 1.325000 ;
-      RECT 12.960000  1.325000 13.275000 2.415000 ;
-      RECT 13.450000  1.765000 13.745000 2.635000 ;
-      RECT 13.455000  0.085000 13.745000 0.545000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  1.785000  0.775000 1.955000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  0.765000  1.235000 0.935000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  1.105000  3.075000 1.275000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  1.105000  4.455000 1.275000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  1.785000  4.915000 1.955000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  0.765000  5.375000 0.935000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  1.445000  8.135000 1.615000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  1.105000  8.595000 1.275000 ;
-      RECT  8.425000  1.785000  8.595000 1.955000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  1.445000 11.355000 1.615000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-      RECT 12.105000  2.635000 12.275000 2.805000 ;
-      RECT 12.565000 -0.085000 12.735000 0.085000 ;
-      RECT 12.565000  2.635000 12.735000 2.805000 ;
-      RECT 13.025000 -0.085000 13.195000 0.085000 ;
-      RECT 13.025000  2.635000 13.195000 2.805000 ;
-      RECT 13.485000 -0.085000 13.655000 0.085000 ;
-      RECT 13.485000  2.635000 13.655000 2.805000 ;
-      RECT 13.945000 -0.085000 14.115000 0.085000 ;
-      RECT 13.945000  2.635000 14.115000 2.805000 ;
-    LAYER met1 ;
-      RECT  0.545000 1.755000  0.835000 1.800000 ;
-      RECT  0.545000 1.800000  8.655000 1.940000 ;
-      RECT  0.545000 1.940000  0.835000 1.985000 ;
-      RECT  1.005000 0.735000  1.295000 0.780000 ;
-      RECT  1.005000 0.780000  5.435000 0.920000 ;
-      RECT  1.005000 0.920000  1.295000 0.965000 ;
-      RECT  2.845000 1.075000  3.135000 1.120000 ;
-      RECT  2.845000 1.120000  4.515000 1.260000 ;
-      RECT  2.845000 1.260000  3.135000 1.305000 ;
-      RECT  4.225000 1.075000  4.515000 1.120000 ;
-      RECT  4.225000 1.260000  4.515000 1.305000 ;
-      RECT  4.685000 1.755000  4.975000 1.800000 ;
-      RECT  4.685000 1.940000  4.975000 1.985000 ;
-      RECT  5.145000 0.735000  5.435000 0.780000 ;
-      RECT  5.145000 0.920000  5.435000 0.965000 ;
-      RECT  5.220000 0.965000  5.435000 1.120000 ;
-      RECT  5.220000 1.120000  8.655000 1.260000 ;
-      RECT  7.905000 1.415000  8.195000 1.460000 ;
-      RECT  7.905000 1.460000 11.415000 1.600000 ;
-      RECT  7.905000 1.600000  8.195000 1.645000 ;
-      RECT  8.365000 1.075000  8.655000 1.120000 ;
-      RECT  8.365000 1.260000  8.655000 1.305000 ;
-      RECT  8.365000 1.755000  8.655000 1.800000 ;
-      RECT  8.365000 1.940000  8.655000 1.985000 ;
-      RECT 11.125000 1.415000 11.415000 1.460000 ;
-      RECT 11.125000 1.600000 11.415000 1.645000 ;
-  END
-END sky130_fd_sc_hd__sdfbbp_1
-MACRO sky130_fd_sc_hd__a32o_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a32o_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.820000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.280000 1.075000 5.075000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.335000 1.075000 4.030000 1.325000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.210000 1.075000 3.105000 1.295000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.630000 1.075000 6.780000 1.625000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.030000 1.075000 7.710000 1.295000 ;
-        RECT 7.030000 1.295000 7.225000 1.635000 ;
-    END
-  END B2
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.120000 0.635000 1.605000 0.805000 ;
-        RECT 0.120000 0.805000 0.340000 1.495000 ;
-        RECT 0.120000 1.495000 1.605000 1.665000 ;
-        RECT 0.595000 0.255000 0.765000 0.635000 ;
-        RECT 0.595000 1.665000 0.765000 2.465000 ;
-        RECT 1.435000 0.255000 1.605000 0.635000 ;
-        RECT 1.435000 1.665000 1.605000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.820000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.010000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.820000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.820000 0.085000 ;
-      RECT 0.000000  2.635000 7.820000 2.805000 ;
-      RECT 0.095000  0.085000 0.425000 0.465000 ;
-      RECT 0.095000  1.915000 0.425000 2.635000 ;
-      RECT 0.570000  0.995000 1.970000 1.325000 ;
-      RECT 0.935000  0.085000 1.265000 0.465000 ;
-      RECT 0.935000  1.915000 1.265000 2.635000 ;
-      RECT 1.775000  0.085000 2.105000 0.465000 ;
-      RECT 1.775000  1.915000 2.105000 2.635000 ;
-      RECT 1.800000  1.325000 1.970000 1.495000 ;
-      RECT 1.800000  1.495000 5.450000 1.665000 ;
-      RECT 2.275000  0.255000 2.445000 0.655000 ;
-      RECT 2.275000  0.655000 3.885000 0.825000 ;
-      RECT 2.275000  1.915000 5.065000 2.085000 ;
-      RECT 2.275000  2.085000 2.445000 2.465000 ;
-      RECT 2.615000  0.085000 2.945000 0.465000 ;
-      RECT 2.615000  2.255000 2.945000 2.635000 ;
-      RECT 3.135000  0.295000 5.145000 0.465000 ;
-      RECT 3.215000  2.085000 3.385000 2.465000 ;
-      RECT 3.555000  2.255000 3.885000 2.635000 ;
-      RECT 4.055000  2.085000 4.225000 2.465000 ;
-      RECT 4.395000  0.635000 6.425000 0.805000 ;
-      RECT 4.395000  2.255000 4.725000 2.635000 ;
-      RECT 4.895000  2.085000 5.065000 2.255000 ;
-      RECT 4.895000  2.255000 7.725000 2.425000 ;
-      RECT 5.280000  0.805000 5.450000 1.495000 ;
-      RECT 5.280000  1.665000 5.450000 1.905000 ;
-      RECT 5.280000  1.905000 6.200000 1.915000 ;
-      RECT 5.280000  1.915000 7.305000 2.075000 ;
-      RECT 5.670000  0.295000 6.805000 0.465000 ;
-      RECT 6.135000  2.075000 7.305000 2.085000 ;
-      RECT 6.635000  0.255000 6.805000 0.295000 ;
-      RECT 6.635000  0.465000 6.805000 0.645000 ;
-      RECT 6.635000  0.645000 7.645000 0.815000 ;
-      RECT 6.975000  0.085000 7.305000 0.465000 ;
-      RECT 7.475000  0.255000 7.645000 0.645000 ;
-      RECT 7.475000  1.755000 7.725000 2.255000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a32o_4
-MACRO sky130_fd_sc_hd__a32o_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a32o_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.990000 0.665000 2.280000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.530000 0.665000 1.800000 1.325000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.070000 0.995000 1.320000 1.325000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.450000 0.660000 2.870000 1.325000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.180000 0.995000 3.530000 1.325000 ;
-        RECT 3.325000 1.325000 3.530000 1.615000 ;
-    END
-  END B2
-  PIN X
-    ANTENNADIFFAREA  0.544500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.300000 0.425000 0.560000 ;
-        RECT 0.090000 0.560000 0.345000 1.915000 ;
-        RECT 0.090000 1.915000 0.425000 2.425000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.570000  0.995000 0.875000 1.325000 ;
-      RECT 0.595000  0.085000 0.925000 0.485000 ;
-      RECT 0.675000  1.835000 1.005000 2.635000 ;
-      RECT 0.705000  0.655000 1.265000 0.825000 ;
-      RECT 0.705000  0.825000 0.875000 0.995000 ;
-      RECT 0.705000  1.325000 0.875000 1.495000 ;
-      RECT 0.705000  1.495000 3.075000 1.665000 ;
-      RECT 1.095000  0.315000 2.710000 0.485000 ;
-      RECT 1.095000  0.485000 1.265000 0.655000 ;
-      RECT 1.250000  1.875000 2.675000 2.045000 ;
-      RECT 1.250000  2.045000 1.535000 2.465000 ;
-      RECT 1.790000  2.215000 2.120000 2.635000 ;
-      RECT 2.345000  2.045000 2.675000 2.295000 ;
-      RECT 2.345000  2.295000 3.505000 2.465000 ;
-      RECT 2.905000  1.665000 3.075000 2.125000 ;
-      RECT 3.255000  0.085000 3.585000 0.805000 ;
-      RECT 3.335000  1.795000 3.505000 2.295000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a32o_1
-MACRO sky130_fd_sc_hd__a32o_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a32o_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.685000 0.955000 2.985000 1.325000 ;
-        RECT 2.755000 0.415000 3.105000 0.610000 ;
-        RECT 2.755000 0.610000 2.985000 0.955000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.165000 0.995000 3.545000 1.325000 ;
-        RECT 3.305000 0.425000 3.545000 0.995000 ;
-        RECT 3.305000 1.325000 3.545000 1.625000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.815000 0.995000 4.055000 1.630000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.085000 1.075000 2.515000 1.245000 ;
-        RECT 2.345000 1.245000 2.515000 1.445000 ;
-        RECT 2.345000 1.445000 2.550000 1.615000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.115000 0.745000 1.530000 1.275000 ;
-    END
-  END B2
-  PIN X
-    ANTENNADIFFAREA  0.695500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.135000 0.655000 0.845000 0.825000 ;
-        RECT 0.135000 0.825000 0.345000 1.785000 ;
-        RECT 0.135000 1.785000 1.185000 1.955000 ;
-        RECT 0.135000 1.955000 0.345000 2.465000 ;
-        RECT 1.015000 1.955000 1.185000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.090000  0.085000 0.425000 0.465000 ;
-      RECT 0.515000  2.125000 0.845000 2.635000 ;
-      RECT 0.535000  0.995000 0.705000 1.445000 ;
-      RECT 0.535000  1.445000 2.125000 1.615000 ;
-      RECT 0.935000  0.085000 1.640000 0.445000 ;
-      RECT 1.535000  1.785000 1.705000 2.295000 ;
-      RECT 1.535000  2.295000 2.545000 2.465000 ;
-      RECT 1.700000  0.615000 2.585000 0.785000 ;
-      RECT 1.700000  0.785000 1.890000 1.445000 ;
-      RECT 1.875000  1.615000 2.125000 1.945000 ;
-      RECT 1.875000  1.945000 2.205000 2.115000 ;
-      RECT 2.255000  0.275000 2.585000 0.615000 ;
-      RECT 2.375000  1.795000 3.545000 1.965000 ;
-      RECT 2.375000  1.965000 2.545000 2.295000 ;
-      RECT 2.715000  2.140000 3.045000 2.635000 ;
-      RECT 3.375000  1.965000 3.545000 2.465000 ;
-      RECT 3.715000  0.085000 4.050000 0.805000 ;
-      RECT 3.715000  1.915000 4.050000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a32o_2
-MACRO sky130_fd_sc_hd__and2b_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__and2b_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.150000 0.765000 0.450000 1.615000 ;
-    END
-  END A_N
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.505000 1.645000 2.200000 1.955000 ;
-    END
-  END B
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.375000 1.580000 2.680000 2.365000 ;
-        RECT 2.445000 0.255000 2.680000 0.775000 ;
-        RECT 2.505000 0.775000 2.680000 1.580000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.095000  0.085000 0.425000 0.590000 ;
-      RECT 0.175000  1.785000 0.855000 2.015000 ;
-      RECT 0.175000  2.015000 0.345000 2.445000 ;
-      RECT 0.515000  2.185000 0.845000 2.635000 ;
-      RECT 0.595000  0.280000 0.835000 0.655000 ;
-      RECT 0.620000  0.655000 0.835000 0.805000 ;
-      RECT 0.620000  0.805000 1.175000 1.135000 ;
-      RECT 0.620000  1.135000 0.855000 1.785000 ;
-      RECT 1.045000  1.305000 2.335000 1.325000 ;
-      RECT 1.045000  1.325000 1.905000 1.475000 ;
-      RECT 1.045000  1.475000 1.330000 2.420000 ;
-      RECT 1.115000  0.270000 1.285000 0.415000 ;
-      RECT 1.115000  0.415000 1.515000 0.610000 ;
-      RECT 1.345000  0.610000 1.515000 0.945000 ;
-      RECT 1.345000  0.945000 2.335000 1.305000 ;
-      RECT 1.510000  2.165000 2.195000 2.635000 ;
-      RECT 1.875000  0.085000 2.275000 0.580000 ;
-      RECT 2.865000  0.085000 3.135000 0.720000 ;
-      RECT 2.865000  1.680000 3.135000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__and2b_2
-MACRO sky130_fd_sc_hd__and2b_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__and2b_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.145000 0.765000 0.445000 1.615000 ;
-    END
-  END A_N
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.480000 1.645000 2.175000 1.955000 ;
-    END
-  END B
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.350000 1.580000 2.655000 2.365000 ;
-        RECT 2.415000 0.255000 2.655000 0.775000 ;
-        RECT 2.480000 0.775000 2.655000 1.580000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.090000  0.085000 0.425000 0.590000 ;
-      RECT 0.175000  1.785000 0.850000 2.015000 ;
-      RECT 0.175000  2.015000 0.345000 2.445000 ;
-      RECT 0.515000  2.185000 0.845000 2.635000 ;
-      RECT 0.595000  0.280000 0.835000 0.655000 ;
-      RECT 0.615000  0.655000 0.835000 0.805000 ;
-      RECT 0.615000  0.805000 1.150000 1.135000 ;
-      RECT 0.615000  1.135000 0.850000 1.785000 ;
-      RECT 1.020000  1.305000 2.305000 1.325000 ;
-      RECT 1.020000  1.325000 1.880000 1.475000 ;
-      RECT 1.020000  1.475000 1.305000 2.420000 ;
-      RECT 1.115000  0.270000 1.285000 0.415000 ;
-      RECT 1.115000  0.415000 1.490000 0.610000 ;
-      RECT 1.320000  0.610000 1.490000 0.945000 ;
-      RECT 1.320000  0.945000 2.305000 1.305000 ;
-      RECT 1.485000  2.165000 2.170000 2.635000 ;
-      RECT 1.850000  0.085000 2.245000 0.580000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__and2b_1
-MACRO sky130_fd_sc_hd__and2b_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__and2b_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.900000 0.625000 3.155000 0.995000 ;
-        RECT 2.900000 0.995000 3.205000 1.325000 ;
-        RECT 2.900000 1.325000 3.155000 1.745000 ;
-    END
-  END A_N
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.610000 0.995000 0.975000 1.325000 ;
-    END
-  END B
-  PIN X
-    ANTENNADIFFAREA  0.934000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.485000 1.535000 2.730000 1.745000 ;
-        RECT 1.525000 0.495000 1.715000 0.615000 ;
-        RECT 1.525000 0.615000 2.730000 0.825000 ;
-        RECT 2.440000 0.825000 2.730000 1.535000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.090000  0.255000 0.425000 0.615000 ;
-      RECT 0.090000  0.615000 1.355000 0.805000 ;
-      RECT 0.090000  2.255000 0.425000 2.635000 ;
-      RECT 0.165000  0.995000 0.425000 1.325000 ;
-      RECT 0.165000  1.325000 0.335000 1.915000 ;
-      RECT 0.165000  1.915000 3.505000 2.085000 ;
-      RECT 0.515000  1.500000 1.315000 1.745000 ;
-      RECT 0.955000  0.085000 1.285000 0.445000 ;
-      RECT 0.990000  2.275000 1.320000 2.635000 ;
-      RECT 1.110000  1.435000 1.320000 1.485000 ;
-      RECT 1.110000  1.485000 1.315000 1.500000 ;
-      RECT 1.145000  0.805000 1.355000 0.995000 ;
-      RECT 1.145000  0.995000 2.260000 1.355000 ;
-      RECT 1.145000  1.355000 1.320000 1.435000 ;
-      RECT 1.885000  0.085000 2.215000 0.445000 ;
-      RECT 1.905000  2.275000 2.235000 2.635000 ;
-      RECT 2.745000  0.085000 3.075000 0.445000 ;
-      RECT 2.745000  2.275000 3.075000 2.635000 ;
-      RECT 3.330000  0.495000 3.500000 0.675000 ;
-      RECT 3.330000  0.675000 3.545000 0.845000 ;
-      RECT 3.335000  1.530000 3.545000 1.700000 ;
-      RECT 3.335000  1.700000 3.505000 1.915000 ;
-      RECT 3.375000  0.845000 3.545000 1.530000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__and2b_4
-MACRO sky130_fd_sc_hd__bufinv_8
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__bufinv_8 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.440000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.505000 1.275000 ;
-    END
-  END A
-  PIN Y
-    ANTENNADIFFAREA  1.782000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.715000 0.260000 3.045000 0.735000 ;
-        RECT 2.715000 0.735000 6.355000 0.905000 ;
-        RECT 2.715000 1.445000 6.355000 1.615000 ;
-        RECT 2.715000 1.615000 3.045000 2.465000 ;
-        RECT 3.555000 0.260000 3.885000 0.735000 ;
-        RECT 3.555000 1.615000 3.885000 2.465000 ;
-        RECT 4.395000 0.260000 4.725000 0.735000 ;
-        RECT 4.395000 1.615000 4.725000 2.465000 ;
-        RECT 5.235000 0.260000 5.565000 0.735000 ;
-        RECT 5.235000 1.615000 5.565000 2.465000 ;
-        RECT 5.970000 0.905000 6.355000 1.445000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 6.440000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.630000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.440000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.440000 0.085000 ;
-      RECT 0.000000  2.635000 6.440000 2.805000 ;
-      RECT 0.175000  0.085000 0.345000 0.905000 ;
-      RECT 0.175000  1.445000 0.345000 2.635000 ;
-      RECT 0.515000  0.260000 0.845000 0.905000 ;
-      RECT 0.515000  1.545000 0.845000 2.465000 ;
-      RECT 0.675000  0.905000 0.845000 1.075000 ;
-      RECT 0.675000  1.075000 2.205000 1.275000 ;
-      RECT 0.675000  1.275000 0.845000 1.545000 ;
-      RECT 1.035000  0.260000 1.365000 0.735000 ;
-      RECT 1.035000  0.735000 2.545000 0.905000 ;
-      RECT 1.035000  1.445000 2.545000 1.615000 ;
-      RECT 1.035000  1.615000 1.365000 2.465000 ;
-      RECT 1.535000  0.085000 1.705000 0.565000 ;
-      RECT 1.535000  1.785000 1.705000 2.635000 ;
-      RECT 1.875000  0.260000 2.205000 0.735000 ;
-      RECT 1.875000  1.615000 2.205000 2.465000 ;
-      RECT 2.375000  0.085000 2.545000 0.565000 ;
-      RECT 2.375000  0.905000 2.545000 1.075000 ;
-      RECT 2.375000  1.075000 5.760000 1.275000 ;
-      RECT 2.375000  1.275000 2.545000 1.445000 ;
-      RECT 2.375000  1.785000 2.545000 2.635000 ;
-      RECT 3.215000  0.085000 3.385000 0.565000 ;
-      RECT 3.215000  1.835000 3.385000 2.635000 ;
-      RECT 4.055000  0.085000 4.225000 0.565000 ;
-      RECT 4.055000  1.835000 4.225000 2.635000 ;
-      RECT 4.895000  0.085000 5.065000 0.565000 ;
-      RECT 4.895000  1.835000 5.065000 2.635000 ;
-      RECT 5.735000  0.085000 5.905000 0.565000 ;
-      RECT 5.735000  1.835000 5.905000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-  END
-END sky130_fd_sc_hd__bufinv_8
-MACRO sky130_fd_sc_hd__bufinv_16
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__bufinv_16 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  11.04000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.742500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 1.075000 1.265000 1.275000 ;
-    END
-  END A
-  PIN Y
-    ANTENNADIFFAREA  3.564000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT  4.295000 0.255000  4.545000 0.260000 ;
-        RECT  4.295000 0.260000  4.625000 0.735000 ;
-        RECT  4.295000 0.735000 10.955000 0.905000 ;
-        RECT  4.295000 1.445000 10.955000 1.615000 ;
-        RECT  4.295000 1.615000  4.625000 2.465000 ;
-        RECT  5.135000 0.260000  5.465000 0.735000 ;
-        RECT  5.135000 1.615000  5.465000 2.465000 ;
-        RECT  5.215000 0.255000  5.385000 0.260000 ;
-        RECT  5.975000 0.260000  6.305000 0.735000 ;
-        RECT  5.975000 1.615000  6.305000 2.465000 ;
-        RECT  6.055000 0.255000  6.225000 0.260000 ;
-        RECT  6.815000 0.260000  7.145000 0.735000 ;
-        RECT  6.815000 1.615000  7.145000 2.465000 ;
-        RECT  7.655000 0.260000  7.985000 0.735000 ;
-        RECT  7.655000 1.615000  7.985000 2.465000 ;
-        RECT  8.495000 0.260000  8.825000 0.735000 ;
-        RECT  8.495000 1.615000  8.825000 2.465000 ;
-        RECT  9.335000 0.260000  9.665000 0.735000 ;
-        RECT  9.335000 1.615000  9.665000 2.465000 ;
-        RECT 10.175000 0.260000 10.505000 0.735000 ;
-        RECT 10.175000 1.615000 10.505000 2.465000 ;
-        RECT 10.680000 0.905000 10.955000 1.445000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 11.040000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 11.230000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 11.040000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 11.040000 0.085000 ;
-      RECT  0.000000  2.635000 11.040000 2.805000 ;
-      RECT  0.095000  0.260000  0.425000 0.735000 ;
-      RECT  0.095000  0.735000  1.605000 0.905000 ;
-      RECT  0.095000  1.445000  1.605000 1.615000 ;
-      RECT  0.095000  1.615000  0.425000 2.465000 ;
-      RECT  0.595000  0.085000  0.765000 0.565000 ;
-      RECT  0.595000  1.785000  0.765000 2.635000 ;
-      RECT  0.935000  0.260000  1.265000 0.735000 ;
-      RECT  0.935000  1.615000  1.265000 2.465000 ;
-      RECT  1.435000  0.085000  1.605000 0.565000 ;
-      RECT  1.435000  0.905000  1.605000 1.075000 ;
-      RECT  1.435000  1.075000  3.745000 1.275000 ;
-      RECT  1.435000  1.275000  1.605000 1.445000 ;
-      RECT  1.435000  1.785000  1.605000 2.635000 ;
-      RECT  1.775000  0.260000  2.105000 0.735000 ;
-      RECT  1.775000  0.735000  4.125000 0.905000 ;
-      RECT  1.775000  1.445000  4.125000 1.615000 ;
-      RECT  1.775000  1.615000  2.105000 2.465000 ;
-      RECT  2.275000  0.085000  2.445000 0.565000 ;
-      RECT  2.275000  1.835000  2.445000 2.635000 ;
-      RECT  2.615000  0.260000  2.945000 0.735000 ;
-      RECT  2.615000  1.615000  2.945000 2.465000 ;
-      RECT  3.115000  0.085000  3.285000 0.565000 ;
-      RECT  3.115000  1.835000  3.285000 2.635000 ;
-      RECT  3.455000  0.260000  3.785000 0.735000 ;
-      RECT  3.455000  1.615000  3.785000 2.465000 ;
-      RECT  3.950000  0.905000  4.125000 1.075000 ;
-      RECT  3.950000  1.075000 10.510000 1.275000 ;
-      RECT  3.950000  1.275000  4.125000 1.445000 ;
-      RECT  3.955000  0.085000  4.125000 0.565000 ;
-      RECT  3.955000  1.835000  4.125000 2.635000 ;
-      RECT  4.795000  0.085000  4.965000 0.565000 ;
-      RECT  4.795000  1.835000  4.965000 2.635000 ;
-      RECT  5.635000  0.085000  5.805000 0.565000 ;
-      RECT  5.635000  1.835000  5.805000 2.635000 ;
-      RECT  6.475000  0.085000  6.645000 0.565000 ;
-      RECT  6.475000  1.835000  6.645000 2.635000 ;
-      RECT  7.315000  0.085000  7.485000 0.565000 ;
-      RECT  7.315000  1.835000  7.485000 2.635000 ;
-      RECT  8.155000  0.085000  8.325000 0.565000 ;
-      RECT  8.155000  1.835000  8.325000 2.635000 ;
-      RECT  8.995000  0.085000  9.165000 0.565000 ;
-      RECT  8.995000  1.835000  9.165000 2.635000 ;
-      RECT  9.835000  0.085000 10.005000 0.565000 ;
-      RECT  9.835000  1.835000 10.005000 2.635000 ;
-      RECT 10.675000  0.085000 10.845000 0.565000 ;
-      RECT 10.675000  1.835000 10.845000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-  END
-END sky130_fd_sc_hd__bufinv_16
-MACRO sky130_fd_sc_hd__a21o_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a21o_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.240000 0.365000 2.620000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.810000 0.750000 3.125000 1.325000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.465000 0.995000 1.790000 1.410000 ;
-    END
-  END B1
-  PIN X
-    ANTENNADIFFAREA  0.462000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.555000 0.635000 0.955000 0.825000 ;
-        RECT 0.555000 0.825000 0.785000 2.465000 ;
-        RECT 0.765000 0.255000 0.955000 0.635000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.095000  1.665000 0.385000 2.635000 ;
-      RECT 0.265000  0.085000 0.595000 0.465000 ;
-      RECT 0.955000  0.995000 1.295000 1.690000 ;
-      RECT 0.955000  1.690000 1.790000 1.920000 ;
-      RECT 0.955000  2.220000 1.285000 2.635000 ;
-      RECT 1.125000  0.085000 1.455000 0.445000 ;
-      RECT 1.125000  0.655000 1.865000 0.825000 ;
-      RECT 1.125000  0.825000 1.295000 0.995000 ;
-      RECT 1.475000  1.920000 1.790000 2.465000 ;
-      RECT 1.675000  0.255000 1.865000 0.655000 ;
-      RECT 1.960000  1.670000 3.075000 1.935000 ;
-      RECT 1.960000  1.935000 2.185000 2.465000 ;
-      RECT 2.355000  2.125000 2.685000 2.635000 ;
-      RECT 2.805000  0.085000 3.135000 0.565000 ;
-      RECT 2.855000  1.935000 3.075000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a21o_2
-MACRO sky130_fd_sc_hd__a21o_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a21o_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.660000 1.015000 2.185000 1.325000 ;
-        RECT 1.955000 0.375000 2.185000 1.015000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.365000 0.995000 2.665000 1.325000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.015000 1.015000 1.480000 1.325000 ;
-    END
-  END B1
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 0.265000 0.355000 2.455000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.525000  1.905000 0.865000 2.635000 ;
-      RECT 0.545000  0.635000 1.775000 0.835000 ;
-      RECT 0.545000  0.835000 0.835000 1.505000 ;
-      RECT 0.545000  1.505000 1.315000 1.725000 ;
-      RECT 0.615000  0.085000 1.285000 0.455000 ;
-      RECT 1.045000  1.725000 1.315000 2.455000 ;
-      RECT 1.465000  0.265000 1.775000 0.635000 ;
-      RECT 1.495000  1.505000 2.655000 1.745000 ;
-      RECT 1.495000  1.745000 1.725000 2.455000 ;
-      RECT 1.895000  1.925000 2.225000 2.635000 ;
-      RECT 2.365000  0.085000 2.655000 0.815000 ;
-      RECT 2.395000  1.745000 2.655000 2.455000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a21o_1
-MACRO sky130_fd_sc_hd__a21o_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a21o_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.520000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.990000 1.010000 4.515000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.425000 1.010000 3.820000 1.275000 ;
-        RECT 3.645000 1.275000 3.820000 1.510000 ;
-        RECT 3.645000 1.510000 4.935000 1.680000 ;
-        RECT 4.685000 1.055000 5.100000 1.290000 ;
-        RECT 4.685000 1.290000 4.935000 1.510000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.395000 0.995000 2.705000 1.525000 ;
-    END
-  END B1
-  PIN X
-    ANTENNADIFFAREA  0.924000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.145000 0.615000 1.735000 0.785000 ;
-        RECT 0.145000 0.785000 0.630000 1.585000 ;
-        RECT 0.145000 1.585000 1.735000 1.755000 ;
-        RECT 0.625000 1.755000 0.795000 2.185000 ;
-        RECT 1.485000 1.755000 1.735000 2.185000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.520000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.710000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.520000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.520000 0.085000 ;
-      RECT 0.000000  2.635000 5.520000 2.805000 ;
-      RECT 0.105000  0.085000 0.445000 0.445000 ;
-      RECT 0.115000  1.935000 0.445000 2.635000 ;
-      RECT 0.800000  0.995000 2.205000 1.325000 ;
-      RECT 0.975000  0.085000 1.305000 0.445000 ;
-      RECT 0.975000  1.935000 1.305000 2.635000 ;
-      RECT 1.910000  0.085000 2.685000 0.445000 ;
-      RECT 1.915000  1.515000 2.165000 2.635000 ;
-      RECT 2.035000  0.615000 3.045000 0.670000 ;
-      RECT 2.035000  0.670000 4.365000 0.785000 ;
-      RECT 2.035000  0.785000 2.205000 0.995000 ;
-      RECT 2.455000  1.695000 2.625000 2.295000 ;
-      RECT 2.455000  2.295000 3.465000 2.465000 ;
-      RECT 2.875000  0.255000 3.045000 0.615000 ;
-      RECT 2.875000  0.785000 4.365000 0.840000 ;
-      RECT 2.875000  0.840000 3.045000 2.125000 ;
-      RECT 3.255000  0.085000 3.585000 0.445000 ;
-      RECT 3.285000  1.445000 3.465000 1.850000 ;
-      RECT 3.285000  1.850000 5.360000 2.020000 ;
-      RECT 3.285000  2.020000 3.465000 2.295000 ;
-      RECT 3.635000  2.275000 3.965000 2.635000 ;
-      RECT 4.085000  0.405000 4.365000 0.670000 ;
-      RECT 4.135000  2.020000 4.305000 2.465000 ;
-      RECT 4.475000  2.275000 4.805000 2.635000 ;
-      RECT 4.945000  0.085000 5.225000 0.885000 ;
-      RECT 5.030000  2.020000 5.360000 2.395000 ;
-      RECT 5.105000  1.460000 5.360000 1.850000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a21o_4
-MACRO sky130_fd_sc_hd__nand4b_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nand4b_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  8.740000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.110000 1.075000 0.440000 1.275000 ;
-    END
-  END A_N
-  PIN B
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.930000 1.075000 4.590000 1.275000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.790000 1.075000 6.510000 1.275000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.015000 1.075000 8.655000 1.275000 ;
-    END
-  END D
-  PIN Y
-    ANTENNADIFFAREA  2.511000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.455000 0.635000 2.640000 0.905000 ;
-        RECT 1.455000 1.445000 8.185000 1.665000 ;
-        RECT 1.455000 1.665000 1.785000 2.465000 ;
-        RECT 2.295000 1.665000 2.625000 2.465000 ;
-        RECT 2.375000 0.905000 2.640000 1.445000 ;
-        RECT 3.135000 1.665000 3.465000 2.465000 ;
-        RECT 3.975000 1.665000 4.305000 2.465000 ;
-        RECT 5.335000 1.665000 5.665000 2.465000 ;
-        RECT 6.175000 1.665000 6.505000 2.465000 ;
-        RECT 7.015000 1.665000 7.345000 2.465000 ;
-        RECT 7.855000 1.665000 8.185000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 8.740000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.930000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 8.740000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 8.740000 0.085000 ;
-      RECT 0.000000  2.635000 8.740000 2.805000 ;
-      RECT 0.090000  0.255000 0.425000 0.735000 ;
-      RECT 0.090000  0.735000 0.805000 0.905000 ;
-      RECT 0.090000  1.495000 0.805000 1.665000 ;
-      RECT 0.090000  1.665000 0.425000 2.465000 ;
-      RECT 0.595000  0.085000 0.845000 0.545000 ;
-      RECT 0.595000  1.835000 1.285000 2.635000 ;
-      RECT 0.610000  0.905000 0.805000 1.075000 ;
-      RECT 0.610000  1.075000 2.205000 1.275000 ;
-      RECT 0.610000  1.275000 0.805000 1.495000 ;
-      RECT 0.995000  1.495000 1.285000 1.835000 ;
-      RECT 1.035000  0.255000 4.725000 0.465000 ;
-      RECT 1.035000  0.465000 1.285000 0.905000 ;
-      RECT 1.955000  1.835000 2.125000 2.635000 ;
-      RECT 2.795000  1.835000 2.965000 2.635000 ;
-      RECT 3.135000  0.635000 6.505000 0.905000 ;
-      RECT 3.635000  1.835000 3.805000 2.635000 ;
-      RECT 4.475000  1.835000 5.165000 2.635000 ;
-      RECT 4.915000  0.255000 6.925000 0.465000 ;
-      RECT 5.835000  1.835000 6.005000 2.635000 ;
-      RECT 6.675000  0.465000 6.925000 0.735000 ;
-      RECT 6.675000  0.735000 8.610000 0.905000 ;
-      RECT 6.675000  1.835000 6.845000 2.635000 ;
-      RECT 7.095000  0.085000 7.265000 0.545000 ;
-      RECT 7.435000  0.255000 7.765000 0.735000 ;
-      RECT 7.515000  1.835000 7.685000 2.635000 ;
-      RECT 7.935000  0.085000 8.105000 0.545000 ;
-      RECT 8.275000  0.255000 8.610000 0.735000 ;
-      RECT 8.355000  1.445000 8.610000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nand4b_4
-MACRO sky130_fd_sc_hd__nand4b_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nand4b_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.520000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.995000 0.330000 1.615000 ;
-    END
-  END A_N
-  PIN B
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.010000 1.075000 3.100000 1.275000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.360000 1.075000 4.450000 1.275000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.620000 1.075000 5.430000 1.275000 ;
-    END
-  END D
-  PIN Y
-    ANTENNADIFFAREA  1.255500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.455000 0.635000 1.785000 0.825000 ;
-        RECT 1.455000 1.445000 4.865000 1.665000 ;
-        RECT 1.455000 1.665000 1.785000 2.465000 ;
-        RECT 1.550000 0.825000 1.785000 1.445000 ;
-        RECT 2.295000 1.665000 2.625000 2.465000 ;
-        RECT 3.605000 1.665000 3.935000 2.465000 ;
-        RECT 4.535000 1.665000 4.865000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.520000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.710000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.520000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.520000 0.085000 ;
-      RECT 0.000000  2.635000 5.520000 2.805000 ;
-      RECT 0.090000  0.255000 0.345000 0.635000 ;
-      RECT 0.090000  0.635000 0.670000 0.805000 ;
-      RECT 0.090000  1.915000 0.670000 2.085000 ;
-      RECT 0.090000  2.085000 0.345000 2.465000 ;
-      RECT 0.500000  0.805000 0.670000 1.075000 ;
-      RECT 0.500000  1.075000 1.380000 1.245000 ;
-      RECT 0.500000  1.245000 0.670000 1.915000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.255000 1.285000 2.635000 ;
-      RECT 1.035000  0.255000 2.125000 0.465000 ;
-      RECT 1.035000  0.465000 1.285000 0.905000 ;
-      RECT 1.035000  1.445000 1.285000 2.255000 ;
-      RECT 1.955000  0.465000 2.125000 0.635000 ;
-      RECT 1.955000  0.635000 3.045000 0.905000 ;
-      RECT 1.955000  1.835000 2.125000 2.635000 ;
-      RECT 2.295000  0.255000 3.985000 0.465000 ;
-      RECT 2.795000  1.835000 3.435000 2.635000 ;
-      RECT 3.235000  0.635000 4.455000 0.715000 ;
-      RECT 3.235000  0.715000 5.340000 0.905000 ;
-      RECT 4.105000  1.835000 4.365000 2.635000 ;
-      RECT 4.155000  0.255000 4.415000 0.615000 ;
-      RECT 4.155000  0.615000 4.455000 0.635000 ;
-      RECT 4.665000  0.085000 4.835000 0.545000 ;
-      RECT 5.005000  0.255000 5.340000 0.715000 ;
-      RECT 5.035000  1.495000 5.430000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nand4b_2
-MACRO sky130_fd_sc_hd__nand4b_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nand4b_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.425000 0.995000 0.775000 1.325000 ;
-    END
-  END A_N
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.925000 0.765000 2.185000 1.325000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.505000 0.765000 1.755000 1.325000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.965000 0.995000 1.235000 1.325000 ;
-    END
-  END D
-  PIN Y
-    ANTENNADIFFAREA  0.887500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.130000 1.495000 3.135000 1.665000 ;
-        RECT 1.130000 1.665000 1.460000 2.465000 ;
-        RECT 2.085000 1.665000 2.415000 2.465000 ;
-        RECT 2.695000 0.255000 3.135000 0.825000 ;
-        RECT 2.925000 0.825000 3.135000 1.495000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.085000  0.445000 0.475000 0.655000 ;
-      RECT 0.085000  0.655000 1.335000 0.825000 ;
-      RECT 0.085000  0.825000 0.255000 1.595000 ;
-      RECT 0.085000  1.595000 0.510000 1.925000 ;
-      RECT 0.655000  0.085000 0.985000 0.485000 ;
-      RECT 0.710000  1.495000 0.960000 2.635000 ;
-      RECT 1.155000  0.425000 2.525000 0.595000 ;
-      RECT 1.155000  0.595000 1.335000 0.655000 ;
-      RECT 1.630000  1.835000 1.915000 2.635000 ;
-      RECT 2.355000  0.595000 2.525000 0.995000 ;
-      RECT 2.355000  0.995000 2.755000 1.325000 ;
-      RECT 2.705000  1.835000 2.920000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nand4b_1
-MACRO sky130_fd_sc_hd__xor2_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__xor2_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.980000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.545000 1.075000 0.875000 1.275000 ;
-        RECT 0.705000 1.275000 0.875000 1.445000 ;
-        RECT 0.705000 1.445000 1.880000 1.615000 ;
-        RECT 1.710000 1.075000 3.230000 1.275000 ;
-        RECT 1.710000 1.275000 1.880000 1.445000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.045000 1.075000 1.540000 1.275000 ;
-      LAYER mcon ;
-        RECT 1.065000 1.105000 1.235000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 3.420000 1.075000 4.090000 1.275000 ;
-      LAYER mcon ;
-        RECT 3.825000 1.105000 3.995000 1.275000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 1.005000 1.075000 1.295000 1.120000 ;
-        RECT 1.005000 1.120000 4.055000 1.260000 ;
-        RECT 1.005000 1.260000 1.295000 1.305000 ;
-        RECT 3.765000 1.075000 4.055000 1.120000 ;
-        RECT 3.765000 1.260000 4.055000 1.305000 ;
-    END
-  END B
-  PIN X
-    ANTENNADIFFAREA  0.656750 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.625000 0.645000 3.955000 0.725000 ;
-        RECT 3.625000 0.725000 5.895000 0.905000 ;
-        RECT 4.985000 0.645000 5.315000 0.725000 ;
-        RECT 5.025000 1.415000 5.895000 1.625000 ;
-        RECT 5.025000 1.625000 5.275000 2.125000 ;
-        RECT 5.485000 0.905000 5.895000 1.415000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.980000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.170000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.980000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.980000 0.085000 ;
-      RECT 0.000000  2.635000 5.980000 2.805000 ;
-      RECT 0.120000  0.725000 1.700000 0.905000 ;
-      RECT 0.120000  0.905000 0.290000 1.785000 ;
-      RECT 0.120000  1.785000 2.220000 1.955000 ;
-      RECT 0.120000  2.135000 0.400000 2.465000 ;
-      RECT 0.145000  2.125000 0.315000 2.135000 ;
-      RECT 0.190000  0.085000 0.360000 0.555000 ;
-      RECT 0.530000  0.255000 0.860000 0.725000 ;
-      RECT 0.570000  2.135000 0.820000 2.635000 ;
-      RECT 0.990000  2.135000 1.240000 2.295000 ;
-      RECT 0.990000  2.295000 2.080000 2.465000 ;
-      RECT 1.030000  0.085000 1.200000 0.555000 ;
-      RECT 1.065000  2.125000 1.235000 2.135000 ;
-      RECT 1.370000  0.255000 1.700000 0.725000 ;
-      RECT 1.410000  1.955000 1.660000 2.125000 ;
-      RECT 1.830000  2.135000 2.080000 2.295000 ;
-      RECT 1.870000  0.085000 2.040000 0.555000 ;
-      RECT 2.050000  1.445000 4.785000 1.615000 ;
-      RECT 2.050000  1.615000 2.220000 1.785000 ;
-      RECT 2.285000  2.125000 2.600000 2.465000 ;
-      RECT 2.310000  0.255000 2.640000 0.725000 ;
-      RECT 2.310000  0.725000 3.400000 0.905000 ;
-      RECT 2.390000  1.785000 4.855000 1.955000 ;
-      RECT 2.390000  1.955000 2.600000 2.125000 ;
-      RECT 2.770000  2.135000 3.020000 2.635000 ;
-      RECT 2.810000  0.085000 2.980000 0.555000 ;
-      RECT 3.150000  0.255000 4.380000 0.475000 ;
-      RECT 3.150000  0.475000 3.400000 0.725000 ;
-      RECT 3.190000  1.955000 3.440000 2.465000 ;
-      RECT 3.610000  2.135000 3.915000 2.635000 ;
-      RECT 4.085000  1.955000 4.855000 2.295000 ;
-      RECT 4.085000  2.295000 5.695000 2.465000 ;
-      RECT 4.615000  1.075000 5.275000 1.245000 ;
-      RECT 4.615000  1.245000 4.785000 1.445000 ;
-      RECT 4.645000  0.085000 4.815000 0.555000 ;
-      RECT 5.445000  1.795000 5.695000 2.295000 ;
-      RECT 5.485000  0.085000 5.655000 0.555000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.085000 2.095000 0.375000 2.140000 ;
-      RECT 0.085000 2.140000 1.295000 2.280000 ;
-      RECT 0.085000 2.280000 0.375000 2.325000 ;
-      RECT 1.005000 2.095000 1.295000 2.140000 ;
-      RECT 1.005000 2.280000 1.295000 2.325000 ;
-  END
-END sky130_fd_sc_hd__xor2_2
-MACRO sky130_fd_sc_hd__xor2_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__xor2_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  10.12000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  1.980000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.425000 1.075000 2.800000 1.275000 ;
-        RECT 2.630000 1.275000 2.800000 1.445000 ;
-        RECT 2.630000 1.445000 6.165000 1.615000 ;
-        RECT 5.995000 1.075000 7.370000 1.275000 ;
-        RECT 5.995000 1.275000 6.165000 1.445000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  1.980000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.970000 1.075000 5.000000 1.105000 ;
-        RECT 2.970000 1.105000 5.740000 1.275000 ;
-    END
-  END B
-  PIN X
-    ANTENNADIFFAREA  1.524450 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.165000 0.645000 5.580000 0.905000 ;
-        RECT 5.150000 0.905000 5.580000 0.935000 ;
-      LAYER mcon ;
-        RECT 5.205000 0.765000 5.375000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 7.850000 0.725000  8.630000 0.735000 ;
-        RECT 7.850000 0.735000 10.035000 0.905000 ;
-        RECT 7.850000 0.905000  8.305000 0.935000 ;
-        RECT 7.880000 1.445000 10.035000 1.625000 ;
-        RECT 7.880000 1.625000  9.010000 1.665000 ;
-        RECT 7.880000 1.665000  8.170000 2.125000 ;
-        RECT 8.300000 0.255000  8.630000 0.725000 ;
-        RECT 8.760000 1.665000  9.010000 2.125000 ;
-        RECT 9.140000 0.255000  9.470000 0.735000 ;
-        RECT 9.600000 1.625000 10.035000 2.465000 ;
-        RECT 9.735000 0.905000 10.035000 1.445000 ;
-      LAYER mcon ;
-        RECT 7.965000 0.765000 8.135000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 5.145000 0.735000 5.435000 0.780000 ;
-        RECT 5.145000 0.780000 8.195000 0.920000 ;
-        RECT 5.145000 0.920000 5.435000 0.965000 ;
-        RECT 7.905000 0.735000 8.195000 0.780000 ;
-        RECT 7.905000 0.920000 8.195000 0.965000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 10.120000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 10.310000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 10.120000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 10.120000 0.085000 ;
-      RECT 0.000000  2.635000 10.120000 2.805000 ;
-      RECT 0.085000  0.085000  0.360000 0.565000 ;
-      RECT 0.085000  0.735000  3.380000 0.905000 ;
-      RECT 0.085000  0.905000  0.255000 1.445000 ;
-      RECT 0.085000  1.445000  2.420000 1.615000 ;
-      RECT 0.085000  1.785000  2.080000 2.005000 ;
-      RECT 0.085000  2.005000  0.400000 2.465000 ;
-      RECT 0.530000  0.255000  0.860000 0.725000 ;
-      RECT 0.530000  0.725000  3.380000 0.735000 ;
-      RECT 0.570000  2.175000  0.820000 2.635000 ;
-      RECT 0.990000  2.005000  1.240000 2.465000 ;
-      RECT 1.030000  0.085000  1.200000 0.555000 ;
-      RECT 1.370000  0.255000  1.700000 0.725000 ;
-      RECT 1.410000  2.175000  1.660000 2.635000 ;
-      RECT 1.830000  2.005000  2.080000 2.295000 ;
-      RECT 1.830000  2.295000  3.760000 2.465000 ;
-      RECT 1.870000  0.085000  2.040000 0.555000 ;
-      RECT 2.210000  0.255000  2.540000 0.725000 ;
-      RECT 2.250000  1.615000  2.420000 1.785000 ;
-      RECT 2.250000  1.785000  3.340000 1.955000 ;
-      RECT 2.250000  1.955000  2.500000 2.125000 ;
-      RECT 2.670000  2.125000  2.920000 2.295000 ;
-      RECT 2.710000  0.085000  2.880000 0.555000 ;
-      RECT 3.050000  0.255000  3.380000 0.725000 ;
-      RECT 3.090000  1.955000  3.340000 2.125000 ;
-      RECT 3.510000  1.795000  3.760000 2.295000 ;
-      RECT 3.550000  0.085000  3.820000 0.895000 ;
-      RECT 3.990000  0.255000  6.000000 0.475000 ;
-      RECT 4.030000  1.785000  7.640000 2.005000 ;
-      RECT 4.030000  2.005000  4.280000 2.465000 ;
-      RECT 4.450000  2.175000  4.700000 2.635000 ;
-      RECT 4.870000  2.005000  5.120000 2.465000 ;
-      RECT 5.290000  2.175000  5.540000 2.635000 ;
-      RECT 5.710000  2.005000  5.960000 2.465000 ;
-      RECT 5.750000  0.475000  6.000000 0.725000 ;
-      RECT 5.750000  0.725000  7.680000 0.905000 ;
-      RECT 6.130000  2.175000  6.380000 2.635000 ;
-      RECT 6.170000  0.085000  6.340000 0.555000 ;
-      RECT 6.510000  0.255000  6.840000 0.725000 ;
-      RECT 6.550000  1.455000  6.800000 1.785000 ;
-      RECT 6.550000  2.005000  6.800000 2.465000 ;
-      RECT 6.970000  2.175000  7.220000 2.635000 ;
-      RECT 7.010000  0.085000  7.180000 0.555000 ;
-      RECT 7.260000  1.445000  7.710000 1.615000 ;
-      RECT 7.350000  0.255000  7.680000 0.725000 ;
-      RECT 7.390000  2.005000  7.640000 2.295000 ;
-      RECT 7.390000  2.295000  9.430000 2.465000 ;
-      RECT 7.540000  1.105000  9.565000 1.275000 ;
-      RECT 7.540000  1.275000  7.710000 1.445000 ;
-      RECT 7.960000  0.085000  8.130000 0.555000 ;
-      RECT 8.340000  1.835000  8.590000 2.295000 ;
-      RECT 8.540000  1.075000  9.565000 1.105000 ;
-      RECT 8.800000  0.085000  8.970000 0.555000 ;
-      RECT 9.180000  1.795000  9.430000 2.295000 ;
-      RECT 9.640000  0.085000  9.810000 0.555000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  1.445000 2.155000 1.615000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  1.445000 7.675000 1.615000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-      RECT 9.805000 -0.085000 9.975000 0.085000 ;
-      RECT 9.805000  2.635000 9.975000 2.805000 ;
-    LAYER met1 ;
-      RECT 1.925000 1.415000 2.215000 1.460000 ;
-      RECT 1.925000 1.460000 7.735000 1.600000 ;
-      RECT 1.925000 1.600000 2.215000 1.645000 ;
-      RECT 7.445000 1.415000 7.735000 1.460000 ;
-      RECT 7.445000 1.600000 7.735000 1.645000 ;
-  END
-END sky130_fd_sc_hd__xor2_4
-MACRO sky130_fd_sc_hd__xor2_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__xor2_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.840000 1.075000 1.390000 1.275000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.425000 0.995000 0.670000 1.445000 ;
-        RECT 0.425000 1.445000 1.730000 1.615000 ;
-        RECT 1.560000 1.075000 1.935000 1.245000 ;
-        RECT 1.560000 1.245000 1.730000 1.445000 ;
-    END
-  END B
-  PIN X
-    ANTENNADIFFAREA  0.800500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.720000 0.315000 2.675000 0.485000 ;
-        RECT 2.505000 0.485000 2.675000 1.365000 ;
-        RECT 2.505000 1.365000 3.135000 1.535000 ;
-        RECT 2.815000 1.535000 3.135000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.085000  0.655000 2.335000 0.825000 ;
-      RECT 0.085000  0.825000 0.255000 1.785000 ;
-      RECT 0.085000  1.785000 0.465000 2.465000 ;
-      RECT 0.135000  0.085000 0.465000 0.475000 ;
-      RECT 0.635000  0.335000 0.805000 0.655000 ;
-      RECT 0.975000  0.085000 1.305000 0.475000 ;
-      RECT 1.055000  1.785000 1.225000 2.635000 ;
-      RECT 1.395000  1.785000 2.635000 1.955000 ;
-      RECT 1.395000  1.955000 1.725000 2.465000 ;
-      RECT 1.895000  2.125000 2.065000 2.635000 ;
-      RECT 2.105000  0.825000 2.335000 1.325000 ;
-      RECT 2.235000  1.955000 2.635000 2.465000 ;
-      RECT 2.845000  0.085000 3.135000 0.920000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__xor2_1
-MACRO sky130_fd_sc_hd__o221ai_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o221ai_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.520000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.430000 1.075000 3.760000 1.445000 ;
-        RECT 3.430000 1.445000 4.815000 1.615000 ;
-        RECT 4.645000 1.075000 5.435000 1.275000 ;
-        RECT 4.645000 1.275000 4.815000 1.445000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.980000 1.075000 4.475000 1.275000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.020000 1.075000 2.035000 1.445000 ;
-        RECT 1.020000 1.445000 3.260000 1.615000 ;
-        RECT 2.930000 1.075000 3.260000 1.445000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.205000 1.075000 2.760000 1.275000 ;
-    END
-  END B2
-  PIN C1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.435000 1.275000 ;
-    END
-  END C1
-  PIN Y
-    ANTENNADIFFAREA  0.985500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.520000 0.645000 0.850000 0.865000 ;
-        RECT 0.560000 1.445000 0.850000 1.785000 ;
-        RECT 0.560000 1.785000 4.350000 1.955000 ;
-        RECT 0.560000 1.955000 0.810000 2.465000 ;
-        RECT 0.605000 0.865000 0.850000 1.445000 ;
-        RECT 2.340000 1.955000 2.590000 2.125000 ;
-        RECT 4.100000 1.955000 4.350000 2.125000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.520000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.710000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.520000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.520000 0.085000 ;
-      RECT 0.000000  2.635000 5.520000 2.805000 ;
-      RECT 0.100000  0.255000 1.270000 0.475000 ;
-      RECT 0.100000  0.475000 0.350000 0.895000 ;
-      RECT 0.140000  1.455000 0.390000 2.635000 ;
-      RECT 0.980000  2.125000 1.750000 2.635000 ;
-      RECT 1.020000  0.475000 1.270000 0.645000 ;
-      RECT 1.020000  0.645000 3.050000 0.905000 ;
-      RECT 1.460000  0.255000 3.550000 0.475000 ;
-      RECT 1.920000  2.125000 2.170000 2.295000 ;
-      RECT 1.920000  2.295000 3.010000 2.465000 ;
-      RECT 2.760000  2.125000 3.010000 2.295000 ;
-      RECT 3.180000  2.125000 3.510000 2.635000 ;
-      RECT 3.220000  0.475000 3.550000 0.735000 ;
-      RECT 3.220000  0.735000 5.230000 0.905000 ;
-      RECT 3.680000  2.125000 3.930000 2.295000 ;
-      RECT 3.680000  2.295000 4.770000 2.465000 ;
-      RECT 3.720000  0.085000 3.890000 0.555000 ;
-      RECT 4.060000  0.255000 4.390000 0.725000 ;
-      RECT 4.060000  0.725000 5.230000 0.735000 ;
-      RECT 4.520000  1.785000 4.770000 2.295000 ;
-      RECT 4.560000  0.085000 4.730000 0.555000 ;
-      RECT 4.900000  0.255000 5.230000 0.725000 ;
-      RECT 4.985000  1.455000 5.190000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o221ai_2
-MACRO sky130_fd_sc_hd__o221ai_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o221ai_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.675000 1.075000 3.135000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.165000 1.075000 2.505000 1.245000 ;
-        RECT 2.295000 1.245000 2.505000 1.445000 ;
-        RECT 2.295000 1.445000 2.675000 1.615000 ;
-        RECT 2.465000 1.615000 2.675000 2.405000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.010000 0.995000 1.355000 1.325000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.525000 0.995000 1.985000 1.325000 ;
-    END
-  END B2
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.465000 1.325000 ;
-    END
-  END C1
-  PIN Y
-    ANTENNADIFFAREA  0.899000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.365000 0.345000 0.645000 ;
-        RECT 0.085000 0.645000 0.840000 0.825000 ;
-        RECT 0.085000 1.495000 2.125000 1.705000 ;
-        RECT 0.085000 1.705000 0.365000 2.465000 ;
-        RECT 0.635000 0.825000 0.840000 1.495000 ;
-        RECT 1.735000 1.705000 2.125000 1.785000 ;
-        RECT 1.735000 1.785000 2.245000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.515000  0.305000 1.775000 0.475000 ;
-      RECT 0.550000  1.875000 1.340000 2.635000 ;
-      RECT 1.010000  0.645000 2.220000 0.695000 ;
-      RECT 1.010000  0.695000 3.135000 0.825000 ;
-      RECT 1.945000  0.280000 2.220000 0.645000 ;
-      RECT 2.105000  0.825000 3.135000 0.865000 ;
-      RECT 2.455000  0.085000 2.625000 0.525000 ;
-      RECT 2.795000  0.280000 3.135000 0.695000 ;
-      RECT 2.875000  1.455000 3.135000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o221ai_1
-MACRO sky130_fd_sc_hd__o221ai_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o221ai_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.660000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.965000 1.075000 6.295000 1.445000 ;
-        RECT 5.965000 1.445000 8.420000 1.615000 ;
-        RECT 8.155000 1.075000 9.575000 1.275000 ;
-        RECT 8.155000 1.275000 8.420000 1.445000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.475000 1.075000 7.885000 1.275000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.360000 1.075000 4.505000 1.275000 ;
-        RECT 4.335000 1.275000 4.505000 1.495000 ;
-        RECT 4.335000 1.495000 5.795000 1.665000 ;
-        RECT 5.465000 1.075000 5.795000 1.495000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.675000 0.995000 5.285000 1.325000 ;
-    END
-  END B2
-  PIN C1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 1.075000 1.750000 1.275000 ;
-    END
-  END C1
-  PIN Y
-    ANTENNADIFFAREA  1.971000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.535000 0.645000 2.125000 0.865000 ;
-        RECT 0.575000 1.445000 4.165000 1.615000 ;
-        RECT 0.575000 1.615000 0.825000 2.465000 ;
-        RECT 1.415000 1.615000 2.125000 1.955000 ;
-        RECT 1.415000 1.955000 1.665000 2.465000 ;
-        RECT 1.920000 0.865000 2.125000 1.445000 ;
-        RECT 3.995000 1.615000 4.165000 1.835000 ;
-        RECT 3.995000 1.835000 7.725000 1.955000 ;
-        RECT 3.995000 1.955000 6.885000 2.005000 ;
-        RECT 3.995000 2.005000 4.285000 2.125000 ;
-        RECT 4.875000 2.005000 5.085000 2.125000 ;
-        RECT 5.965000 1.785000 7.725000 1.835000 ;
-        RECT 6.675000 2.005000 6.885000 2.125000 ;
-        RECT 7.475000 1.955000 7.725000 2.125000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.660000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.850000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.660000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.660000 0.085000 ;
-      RECT 0.000000  2.635000 9.660000 2.805000 ;
-      RECT 0.115000  0.255000 5.585000 0.475000 ;
-      RECT 0.115000  0.475000 0.365000 0.895000 ;
-      RECT 0.155000  1.485000 0.405000 2.635000 ;
-      RECT 0.995000  1.825000 1.245000 2.635000 ;
-      RECT 1.835000  2.125000 2.605000 2.635000 ;
-      RECT 2.315000  0.645000 6.085000 0.735000 ;
-      RECT 2.315000  0.735000 9.445000 0.820000 ;
-      RECT 2.775000  1.785000 3.825000 1.955000 ;
-      RECT 2.775000  1.955000 3.025000 2.465000 ;
-      RECT 3.195000  2.125000 3.445000 2.635000 ;
-      RECT 3.615000  1.955000 3.825000 2.295000 ;
-      RECT 3.615000  2.295000 5.585000 2.465000 ;
-      RECT 4.455000  2.175000 4.705000 2.295000 ;
-      RECT 5.255000  2.175000 5.585000 2.295000 ;
-      RECT 5.465000  0.820000 9.445000 0.905000 ;
-      RECT 5.755000  0.255000 6.085000 0.645000 ;
-      RECT 5.755000  2.175000 6.005000 2.635000 ;
-      RECT 6.175000  2.175000 6.505000 2.295000 ;
-      RECT 6.175000  2.295000 8.145000 2.465000 ;
-      RECT 6.255000  0.085000 6.425000 0.555000 ;
-      RECT 6.595000  0.255000 6.925000 0.725000 ;
-      RECT 6.595000  0.725000 7.765000 0.735000 ;
-      RECT 7.055000  2.125000 7.305000 2.295000 ;
-      RECT 7.095000  0.085000 7.265000 0.555000 ;
-      RECT 7.435000  0.255000 7.765000 0.725000 ;
-      RECT 7.895000  1.785000 8.985000 1.955000 ;
-      RECT 7.895000  1.955000 8.145000 2.295000 ;
-      RECT 7.935000  0.085000 8.105000 0.555000 ;
-      RECT 8.275000  0.255000 8.605000 0.725000 ;
-      RECT 8.275000  0.725000 9.445000 0.735000 ;
-      RECT 8.315000  2.125000 8.565000 2.635000 ;
-      RECT 8.735000  1.445000 8.985000 1.785000 ;
-      RECT 8.735000  1.955000 8.985000 2.465000 ;
-      RECT 8.775000  0.085000 8.945000 0.555000 ;
-      RECT 9.115000  0.255000 9.445000 0.725000 ;
-      RECT 9.155000  1.445000 9.405000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o221ai_4
-MACRO sky130_fd_sc_hd__o41a_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o41a_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.485000 1.075000 3.995000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.905000 1.075000 3.275000 2.390000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.405000 1.075000 2.735000 2.390000 ;
-    END
-  END A3
-  PIN A4
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.865000 1.075000 2.195000 2.390000 ;
-    END
-  END A4
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.275000 1.075000 1.695000 1.285000 ;
-    END
-  END B1
-  PIN X
-    ANTENNADIFFAREA  0.672000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.255000 0.425000 0.885000 ;
-        RECT 0.085000 0.885000 0.355000 1.455000 ;
-        RECT 0.085000 1.455000 0.610000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.525000  1.075000 1.105000 1.285000 ;
-      RECT 0.715000  0.085000 0.885000 0.545000 ;
-      RECT 0.735000  0.715000 1.485000 0.905000 ;
-      RECT 0.735000  0.905000 1.105000 1.075000 ;
-      RECT 0.845000  1.285000 1.105000 1.455000 ;
-      RECT 0.845000  1.455000 1.595000 1.745000 ;
-      RECT 0.845000  1.915000 1.175000 2.635000 ;
-      RECT 1.155000  0.270000 1.485000 0.715000 ;
-      RECT 1.345000  1.745000 1.595000 2.465000 ;
-      RECT 1.655000  0.415000 1.825000 0.735000 ;
-      RECT 1.655000  0.735000 3.955000 0.905000 ;
-      RECT 2.050000  0.085000 2.380000 0.545000 ;
-      RECT 2.580000  0.255000 2.910000 0.735000 ;
-      RECT 3.125000  0.085000 3.455000 0.545000 ;
-      RECT 3.605000  1.515000 3.935000 2.635000 ;
-      RECT 3.625000  0.255000 3.955000 0.735000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o41a_1
-MACRO sky130_fd_sc_hd__o41a_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o41a_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.600000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.825000 1.075000 4.515000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.325000 1.075000 3.655000 2.335000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.825000 1.075000 3.155000 2.340000 ;
-    END
-  END A3
-  PIN A4
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.325000 1.075000 2.655000 2.340000 ;
-    END
-  END A4
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.775000 1.075000 2.155000 1.325000 ;
-    END
-  END B1
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 0.255000 0.845000 0.880000 ;
-        RECT 0.515000 0.880000 0.790000 1.495000 ;
-        RECT 0.515000 1.495000 0.845000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.600000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.790000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.600000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.600000 0.085000 ;
-      RECT 0.000000  2.635000 4.600000 2.805000 ;
-      RECT 0.085000  0.085000 0.345000 0.885000 ;
-      RECT 0.085000  1.495000 0.345000 2.635000 ;
-      RECT 0.960000  1.075000 1.600000 1.325000 ;
-      RECT 1.015000  0.085000 1.260000 0.885000 ;
-      RECT 1.015000  1.495000 1.185000 1.835000 ;
-      RECT 1.015000  1.835000 1.525000 2.635000 ;
-      RECT 1.355000  1.325000 1.600000 1.495000 ;
-      RECT 1.355000  1.495000 2.145000 1.665000 ;
-      RECT 1.430000  0.255000 1.785000 0.850000 ;
-      RECT 1.430000  0.850000 1.600000 1.075000 ;
-      RECT 1.695000  1.665000 2.145000 2.465000 ;
-      RECT 1.985000  0.255000 2.315000 0.715000 ;
-      RECT 1.985000  0.715000 4.395000 0.905000 ;
-      RECT 2.485000  0.085000 2.750000 0.545000 ;
-      RECT 2.955000  0.255000 3.285000 0.715000 ;
-      RECT 3.505000  0.085000 3.775000 0.545000 ;
-      RECT 4.065000  0.255000 4.395000 0.715000 ;
-      RECT 4.065000  1.495000 4.395000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o41a_2
-MACRO sky130_fd_sc_hd__o41a_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o41a_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.820000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.650000 1.075000 7.735000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.150000 1.075000 6.360000 1.275000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.330000 1.075000 4.960000 1.275000 ;
-    END
-  END A3
-  PIN A4
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.410000 1.075000 4.040000 1.275000 ;
-    END
-  END A4
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.835000 1.075000 3.165000 1.275000 ;
-    END
-  END B1
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.715000 1.685000 0.905000 ;
-        RECT 0.085000 0.905000 0.345000 1.465000 ;
-        RECT 0.085000 1.465000 1.685000 1.665000 ;
-        RECT 0.515000 0.255000 0.845000 0.715000 ;
-        RECT 0.515000 1.665000 0.845000 2.465000 ;
-        RECT 1.355000 0.255000 1.685000 0.715000 ;
-        RECT 1.355000 1.665000 1.685000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.820000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.010000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.820000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.820000 0.085000 ;
-      RECT 0.000000  2.635000 7.820000 2.805000 ;
-      RECT 0.085000  0.085000 0.345000 0.545000 ;
-      RECT 0.085000  1.835000 0.345000 2.635000 ;
-      RECT 0.515000  1.075000 2.665000 1.245000 ;
-      RECT 0.515000  1.245000 2.545000 1.295000 ;
-      RECT 1.015000  0.085000 1.185000 0.545000 ;
-      RECT 1.015000  1.835000 1.185000 2.635000 ;
-      RECT 1.855000  0.085000 2.105000 0.885000 ;
-      RECT 1.855000  1.465000 2.025000 2.635000 ;
-      RECT 2.195000  1.295000 2.545000 1.445000 ;
-      RECT 2.195000  1.445000 3.825000 1.615000 ;
-      RECT 2.195000  1.615000 2.545000 2.465000 ;
-      RECT 2.295000  0.255000 3.485000 0.465000 ;
-      RECT 2.295000  0.635000 3.045000 0.905000 ;
-      RECT 2.295000  0.905000 2.665000 1.075000 ;
-      RECT 2.715000  1.835000 2.965000 2.635000 ;
-      RECT 3.135000  1.835000 3.405000 2.295000 ;
-      RECT 3.135000  2.295000 4.325000 2.465000 ;
-      RECT 3.235000  0.465000 3.485000 0.735000 ;
-      RECT 3.235000  0.735000 7.595000 0.905000 ;
-      RECT 3.575000  1.615000 3.825000 2.125000 ;
-      RECT 3.655000  0.085000 3.875000 0.545000 ;
-      RECT 3.995000  1.445000 5.165000 1.615000 ;
-      RECT 3.995000  1.615000 4.325000 2.295000 ;
-      RECT 4.075000  0.255000 4.245000 0.735000 ;
-      RECT 4.445000  0.085000 4.715000 0.545000 ;
-      RECT 4.495000  1.785000 4.665000 2.295000 ;
-      RECT 4.495000  2.295000 6.145000 2.465000 ;
-      RECT 4.835000  1.615000 5.165000 2.115000 ;
-      RECT 4.915000  0.255000 5.085000 0.735000 ;
-      RECT 5.305000  0.085000 5.915000 0.545000 ;
-      RECT 5.395000  1.445000 7.595000 1.615000 ;
-      RECT 5.395000  1.615000 5.645000 2.115000 ;
-      RECT 5.815000  1.785000 6.145000 2.295000 ;
-      RECT 6.240000  0.255000 6.410000 0.735000 ;
-      RECT 6.315000  1.615000 6.485000 2.455000 ;
-      RECT 6.655000  1.785000 6.985000 2.635000 ;
-      RECT 6.685000  0.085000 6.955000 0.545000 ;
-      RECT 7.265000  0.255000 7.595000 0.735000 ;
-      RECT 7.265000  1.615000 7.595000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o41a_4
-MACRO sky130_fd_sc_hd__and4bb_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__and4bb_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.600000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.425000 1.625000 0.775000 1.955000 ;
-    END
-  END A_N
-  PIN B_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.605000 0.765000 0.815000 0.945000 ;
-        RECT 0.605000 0.945000 1.225000 1.115000 ;
-    END
-  END B_N
-  PIN C
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.895000 0.415000 3.080000 0.995000 ;
-        RECT 2.895000 0.995000 3.125000 1.325000 ;
-        RECT 2.895000 1.325000 3.080000 1.635000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.350000 0.420000 3.545000 0.995000 ;
-        RECT 3.350000 0.995000 3.605000 1.325000 ;
-        RECT 3.350000 1.325000 3.545000 1.635000 ;
-    END
-  END D
-  PIN X
-    ANTENNADIFFAREA  0.425400 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.255000 0.255000 4.515000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.600000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.790000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.600000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.600000 0.085000 ;
-      RECT 0.000000  2.635000 4.600000 2.805000 ;
-      RECT 0.085000  0.255000 0.345000 0.585000 ;
-      RECT 0.085000  0.585000 0.255000 1.285000 ;
-      RECT 0.085000  1.285000 1.215000 1.455000 ;
-      RECT 0.085000  1.455000 0.255000 2.135000 ;
-      RECT 0.085000  2.135000 0.345000 2.465000 ;
-      RECT 0.655000  0.085000 0.985000 0.465000 ;
-      RECT 0.655000  2.255000 0.985000 2.635000 ;
-      RECT 1.045000  1.455000 1.215000 1.575000 ;
-      RECT 1.045000  1.575000 1.625000 1.745000 ;
-      RECT 1.165000  0.255000 2.645000 0.425000 ;
-      RECT 1.165000  0.425000 1.565000 0.755000 ;
-      RECT 1.225000  1.915000 1.965000 2.085000 ;
-      RECT 1.225000  2.085000 1.415000 2.465000 ;
-      RECT 1.395000  0.755000 1.565000 1.235000 ;
-      RECT 1.395000  1.235000 1.965000 1.405000 ;
-      RECT 1.665000  2.255000 1.995000 2.635000 ;
-      RECT 1.755000  0.595000 2.305000 0.925000 ;
-      RECT 1.795000  1.405000 1.965000 1.915000 ;
-      RECT 2.135000  0.925000 2.305000 1.915000 ;
-      RECT 2.135000  1.915000 4.085000 2.085000 ;
-      RECT 2.205000  2.085000 2.375000 2.465000 ;
-      RECT 2.475000  0.425000 2.645000 1.325000 ;
-      RECT 2.570000  2.255000 2.900000 2.635000 ;
-      RECT 3.160000  2.085000 3.330000 2.465000 ;
-      RECT 3.755000  0.085000 4.085000 0.465000 ;
-      RECT 3.755000  2.255000 4.085000 2.635000 ;
-      RECT 3.915000  0.995000 4.085000 1.915000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-  END
-END sky130_fd_sc_hd__and4bb_1
-MACRO sky130_fd_sc_hd__and4bb_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__and4bb_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.980000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.485000 0.995000 5.845000 1.620000 ;
-    END
-  END A_N
-  PIN B_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.430000 0.765000 0.780000 1.635000 ;
-    END
-  END B_N
-  PIN C
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.250000 0.755000 3.545000 1.325000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.680000 0.995000 3.080000 1.325000 ;
-    END
-  END D
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.960000 0.650000 2.080000 0.820000 ;
-        RECT 0.960000 0.820000 1.240000 1.545000 ;
-        RECT 0.960000 1.545000 2.160000 1.715000 ;
-        RECT 1.070000 0.255000 1.240000 0.650000 ;
-        RECT 1.910000 0.255000 2.080000 0.650000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.980000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.170000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.980000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.980000 0.085000 ;
-      RECT 0.000000  2.635000 5.980000 2.805000 ;
-      RECT 0.085000  0.255000 0.345000 0.585000 ;
-      RECT 0.085000  0.585000 0.260000 1.915000 ;
-      RECT 0.085000  1.915000 4.490000 2.085000 ;
-      RECT 0.085000  2.085000 0.345000 2.465000 ;
-      RECT 0.515000  2.255000 0.845000 2.635000 ;
-      RECT 0.570000  0.085000 0.900000 0.470000 ;
-      RECT 1.410000  0.085000 1.740000 0.470000 ;
-      RECT 1.410000  1.075000 2.500000 1.245000 ;
-      RECT 1.410000  2.255000 1.740000 2.635000 ;
-      RECT 2.250000  2.255000 2.580000 2.635000 ;
-      RECT 2.270000  0.085000 2.600000 0.445000 ;
-      RECT 2.330000  0.615000 2.940000 0.785000 ;
-      RECT 2.330000  0.785000 2.500000 1.075000 ;
-      RECT 2.330000  1.245000 2.500000 1.545000 ;
-      RECT 2.330000  1.545000 4.150000 1.715000 ;
-      RECT 2.770000  0.300000 4.610000 0.470000 ;
-      RECT 2.770000  0.470000 2.940000 0.615000 ;
-      RECT 3.330000  2.255000 3.660000 2.635000 ;
-      RECT 3.730000  0.995000 3.900000 1.155000 ;
-      RECT 3.730000  1.155000 4.490000 1.325000 ;
-      RECT 4.255000  0.470000 4.610000 0.810000 ;
-      RECT 4.320000  1.325000 4.490000 1.915000 ;
-      RECT 4.360000  2.255000 5.370000 2.635000 ;
-      RECT 4.950000  0.655000 5.805000 0.825000 ;
-      RECT 4.950000  0.825000 5.120000 1.915000 ;
-      RECT 4.950000  1.915000 5.805000 2.085000 ;
-      RECT 4.975000  0.085000 5.305000 0.465000 ;
-      RECT 5.635000  0.255000 5.805000 0.655000 ;
-      RECT 5.635000  2.085000 5.805000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-  END
-END sky130_fd_sc_hd__and4bb_4
-MACRO sky130_fd_sc_hd__and4bb_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__and4bb_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.600000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.150000 0.995000 0.330000 1.635000 ;
-    END
-  END A_N
-  PIN B_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.825000 0.765000 4.175000 1.305000 ;
-    END
-  END B_N
-  PIN C
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.910000 0.420000 3.175000 1.275000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.350000 0.425000 3.655000 1.405000 ;
-    END
-  END D
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.990000 1.545000 1.320000 1.715000 ;
-        RECT 1.015000 0.255000 1.240000 1.545000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.600000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.790000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.600000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.600000 0.085000 ;
-      RECT 0.000000  2.635000 4.600000 2.805000 ;
-      RECT 0.175000  0.255000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 0.670000 0.805000 ;
-      RECT 0.175000  1.885000 1.925000 2.055000 ;
-      RECT 0.175000  2.055000 0.345000 2.465000 ;
-      RECT 0.500000  0.805000 0.670000 1.885000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.255000 0.845000 2.635000 ;
-      RECT 1.410000  0.085000 1.740000 0.465000 ;
-      RECT 1.415000  0.635000 2.405000 0.805000 ;
-      RECT 1.415000  0.805000 1.585000 1.325000 ;
-      RECT 1.490000  2.255000 2.160000 2.635000 ;
-      RECT 1.755000  0.995000 2.065000 1.325000 ;
-      RECT 1.755000  1.325000 1.925000 1.885000 ;
-      RECT 2.010000  0.255000 2.180000 0.635000 ;
-      RECT 2.235000  0.805000 2.405000 1.915000 ;
-      RECT 2.235000  1.915000 3.415000 2.085000 ;
-      RECT 2.395000  2.085000 2.565000 2.465000 ;
-      RECT 2.575000  1.400000 2.745000 1.575000 ;
-      RECT 2.575000  1.575000 3.755000 1.745000 ;
-      RECT 2.735000  2.255000 3.075000 2.635000 ;
-      RECT 3.245000  2.085000 3.415000 2.465000 ;
-      RECT 3.585000  1.745000 3.755000 1.915000 ;
-      RECT 3.585000  1.915000 4.515000 2.085000 ;
-      RECT 3.755000  2.255000 4.085000 2.635000 ;
-      RECT 3.835000  0.085000 4.085000 0.585000 ;
-      RECT 4.255000  0.255000 4.515000 0.585000 ;
-      RECT 4.255000  2.085000 4.515000 2.465000 ;
-      RECT 4.345000  0.585000 4.515000 1.915000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-  END
-END sky130_fd_sc_hd__and4bb_2
-MACRO sky130_fd_sc_hd__dfrtn_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dfrtn_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.200000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.355000 1.665000 1.680000 2.450000 ;
-        RECT 1.415000 0.615000 1.875000 1.665000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 8.855000 0.265000 9.110000 0.795000 ;
-        RECT 8.855000 1.445000 9.110000 2.325000 ;
-        RECT 8.900000 0.795000 9.110000 1.445000 ;
-    END
-  END Q
-  PIN RESET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.805000 0.765000 4.595000 1.015000 ;
-      LAYER mcon ;
-        RECT 4.165000 0.765000 4.335000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 7.105000 1.035000 7.645000 1.405000 ;
-        RECT 7.405000 0.635000 7.645000 1.035000 ;
-      LAYER mcon ;
-        RECT 7.105000 1.080000 7.275000 1.250000 ;
-        RECT 7.405000 0.765000 7.575000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 3.745000 0.735000 4.395000 0.780000 ;
-        RECT 3.745000 0.780000 7.635000 0.920000 ;
-        RECT 3.745000 0.920000 4.395000 0.965000 ;
-        RECT 7.045000 0.920000 7.635000 0.965000 ;
-        RECT 7.045000 0.965000 7.335000 1.280000 ;
-        RECT 7.345000 0.735000 7.635000 0.780000 ;
-    END
-  END RESET_B
-  PIN CLK_N
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.975000 0.440000 1.625000 ;
-    END
-  END CLK_N
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.200000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.390000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.200000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.200000 0.085000 ;
-      RECT 0.000000  2.635000 9.200000 2.805000 ;
-      RECT 0.090000  0.345000 0.345000 0.635000 ;
-      RECT 0.090000  0.635000 0.840000 0.805000 ;
-      RECT 0.090000  1.795000 0.840000 1.965000 ;
-      RECT 0.090000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.840000 1.795000 ;
-      RECT 1.015000  0.345000 1.185000 2.465000 ;
-      RECT 1.545000  0.085000 1.875000 0.445000 ;
-      RECT 1.850000  2.175000 2.100000 2.635000 ;
-      RECT 2.045000  0.305000 2.540000 0.475000 ;
-      RECT 2.045000  0.475000 2.215000 1.835000 ;
-      RECT 2.045000  1.835000 2.440000 2.005000 ;
-      RECT 2.270000  2.005000 2.440000 2.135000 ;
-      RECT 2.270000  2.135000 2.520000 2.465000 ;
-      RECT 2.385000  0.765000 2.735000 1.385000 ;
-      RECT 2.610000  1.575000 3.075000 1.965000 ;
-      RECT 2.735000  2.135000 3.415000 2.465000 ;
-      RECT 2.745000  0.305000 3.600000 0.475000 ;
-      RECT 2.905000  0.765000 3.260000 0.985000 ;
-      RECT 2.905000  0.985000 3.075000 1.575000 ;
-      RECT 3.245000  1.185000 4.935000 1.355000 ;
-      RECT 3.245000  1.355000 3.415000 2.135000 ;
-      RECT 3.430000  0.475000 3.600000 1.185000 ;
-      RECT 3.585000  1.865000 4.660000 2.035000 ;
-      RECT 3.585000  2.035000 3.755000 2.375000 ;
-      RECT 3.775000  1.525000 5.275000 1.695000 ;
-      RECT 3.990000  2.205000 4.320000 2.635000 ;
-      RECT 4.475000  0.085000 4.805000 0.545000 ;
-      RECT 4.490000  2.035000 4.660000 2.375000 ;
-      RECT 4.765000  1.005000 4.935000 1.185000 ;
-      RECT 4.955000  2.175000 5.325000 2.635000 ;
-      RECT 5.015000  0.275000 5.365000 0.445000 ;
-      RECT 5.015000  0.445000 5.275000 0.835000 ;
-      RECT 5.105000  0.835000 5.275000 1.525000 ;
-      RECT 5.105000  1.695000 5.275000 1.835000 ;
-      RECT 5.105000  1.835000 5.665000 2.005000 ;
-      RECT 5.465000  0.705000 5.675000 1.495000 ;
-      RECT 5.465000  1.495000 6.140000 1.655000 ;
-      RECT 5.465000  1.655000 6.430000 1.665000 ;
-      RECT 5.495000  2.005000 5.665000 2.465000 ;
-      RECT 5.585000  0.255000 6.535000 0.535000 ;
-      RECT 5.845000  0.705000 6.195000 1.325000 ;
-      RECT 5.900000  2.125000 6.770000 2.465000 ;
-      RECT 5.970000  1.665000 6.430000 1.955000 ;
-      RECT 6.365000  0.535000 6.535000 1.315000 ;
-      RECT 6.365000  1.315000 6.770000 1.485000 ;
-      RECT 6.600000  1.485000 6.770000 1.575000 ;
-      RECT 6.600000  1.575000 7.820000 1.745000 ;
-      RECT 6.600000  1.745000 6.770000 2.125000 ;
-      RECT 6.705000  0.085000 6.895000 0.525000 ;
-      RECT 6.705000  0.695000 7.235000 0.865000 ;
-      RECT 6.705000  0.865000 6.925000 1.145000 ;
-      RECT 6.940000  2.175000 7.190000 2.635000 ;
-      RECT 7.065000  0.295000 8.135000 0.465000 ;
-      RECT 7.065000  0.465000 7.235000 0.695000 ;
-      RECT 7.360000  1.915000 8.160000 2.085000 ;
-      RECT 7.360000  2.085000 7.530000 2.375000 ;
-      RECT 7.710000  2.255000 8.040000 2.635000 ;
-      RECT 7.815000  0.465000 8.135000 0.820000 ;
-      RECT 7.815000  0.820000 8.140000 0.995000 ;
-      RECT 7.815000  0.995000 8.730000 1.295000 ;
-      RECT 7.990000  1.295000 8.730000 1.325000 ;
-      RECT 7.990000  1.325000 8.160000 1.915000 ;
-      RECT 8.380000  0.085000 8.685000 0.545000 ;
-      RECT 8.380000  1.495000 8.685000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.655000  1.785000 0.825000 1.955000 ;
-      RECT 1.015000  1.105000 1.185000 1.275000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  1.105000 2.615000 1.275000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  1.785000 3.075000 1.955000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.025000  1.105000 6.195000 1.275000 ;
-      RECT 6.025000  1.785000 6.195000 1.955000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.595000 1.755000 0.885000 1.800000 ;
-      RECT 0.595000 1.800000 6.255000 1.940000 ;
-      RECT 0.595000 1.940000 0.885000 1.985000 ;
-      RECT 0.955000 1.075000 1.245000 1.120000 ;
-      RECT 0.955000 1.120000 6.255000 1.260000 ;
-      RECT 0.955000 1.260000 1.245000 1.305000 ;
-      RECT 2.385000 1.075000 2.675000 1.120000 ;
-      RECT 2.385000 1.260000 2.675000 1.305000 ;
-      RECT 2.845000 1.755000 3.135000 1.800000 ;
-      RECT 2.845000 1.940000 3.135000 1.985000 ;
-      RECT 5.965000 1.075000 6.255000 1.120000 ;
-      RECT 5.965000 1.260000 6.255000 1.305000 ;
-      RECT 5.965000 1.755000 6.255000 1.800000 ;
-      RECT 5.965000 1.940000 6.255000 1.985000 ;
-  END
-END sky130_fd_sc_hd__dfrtn_1
-MACRO sky130_fd_sc_hd__or4b_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__or4b_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.430000 0.995000 2.810000 1.325000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.610000 2.125000 2.660000 2.415000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.520000 0.995000 2.260000 1.615000 ;
-    END
-  END C
-  PIN D_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.755000 0.425000 1.325000 ;
-    END
-  END D_N
-  PIN X
-    ANTENNADIFFAREA  0.453750 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.320000 0.415000 3.595000 0.760000 ;
-        RECT 3.320000 1.495000 3.595000 2.465000 ;
-        RECT 3.425000 0.760000 3.595000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.085000  0.085000 0.425000 0.585000 ;
-      RECT 0.085000  1.560000 0.425000 2.635000 ;
-      RECT 0.595000  0.305000 0.840000 0.995000 ;
-      RECT 0.595000  0.995000 1.250000 1.325000 ;
-      RECT 0.595000  1.325000 0.835000 1.920000 ;
-      RECT 1.030000  1.495000 1.350000 1.785000 ;
-      RECT 1.030000  1.785000 2.660000 1.955000 ;
-      RECT 1.035000  0.085000 1.365000 0.585000 ;
-      RECT 1.565000  0.305000 1.735000 0.655000 ;
-      RECT 1.565000  0.655000 3.150000 0.825000 ;
-      RECT 1.910000  0.085000 2.240000 0.485000 ;
-      RECT 2.410000  0.305000 2.580000 0.655000 ;
-      RECT 2.490000  1.495000 3.150000 1.665000 ;
-      RECT 2.490000  1.665000 2.660000 1.785000 ;
-      RECT 2.750000  0.085000 3.130000 0.485000 ;
-      RECT 2.830000  1.835000 3.110000 2.635000 ;
-      RECT 2.980000  0.825000 3.150000 0.995000 ;
-      RECT 2.980000  0.995000 3.255000 1.325000 ;
-      RECT 2.980000  1.325000 3.150000 1.495000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__or4b_1
-MACRO sky130_fd_sc_hd__or4b_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__or4b_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.755000 1.075000 2.320000 1.275000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.985000 2.125000 2.670000 2.415000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.550000 1.075000 3.550000 1.275000 ;
-    END
-  END C
-  PIN D_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.425000 1.435000 ;
-    END
-  END D_N
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.935000 0.675000 1.250000 0.680000 ;
-        RECT 0.935000 0.680000 1.245000 0.790000 ;
-        RECT 0.935000 0.790000 1.105000 1.495000 ;
-        RECT 0.935000 1.495000 1.250000 1.825000 ;
-        RECT 0.970000 0.260000 1.250000 0.675000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.085000  0.325000 0.350000 0.735000 ;
-      RECT 0.085000  0.735000 0.765000 0.905000 ;
-      RECT 0.085000  1.605000 0.765000 1.890000 ;
-      RECT 0.510000  1.890000 0.765000 1.995000 ;
-      RECT 0.510000  1.995000 1.715000 2.165000 ;
-      RECT 0.515000  2.335000 0.845000 2.635000 ;
-      RECT 0.595000  0.905000 0.765000 1.605000 ;
-      RECT 0.630000  0.085000 0.800000 0.565000 ;
-      RECT 1.290000  0.995000 1.585000 1.325000 ;
-      RECT 1.415000  0.735000 3.055000 0.905000 ;
-      RECT 1.415000  0.905000 1.585000 0.995000 ;
-      RECT 1.415000  1.325000 1.585000 1.355000 ;
-      RECT 1.415000  1.355000 1.600000 1.370000 ;
-      RECT 1.415000  1.370000 1.610000 1.380000 ;
-      RECT 1.415000  1.380000 1.620000 1.390000 ;
-      RECT 1.415000  1.390000 1.625000 1.400000 ;
-      RECT 1.415000  1.400000 1.630000 1.410000 ;
-      RECT 1.415000  1.410000 1.645000 1.420000 ;
-      RECT 1.415000  1.420000 1.655000 1.425000 ;
-      RECT 1.415000  1.425000 1.665000 1.445000 ;
-      RECT 1.415000  1.445000 3.560000 1.450000 ;
-      RECT 1.420000  1.450000 3.560000 1.615000 ;
-      RECT 1.435000  0.085000 1.815000 0.485000 ;
-      RECT 1.440000  1.785000 3.030000 1.955000 ;
-      RECT 1.440000  1.955000 1.715000 1.995000 ;
-      RECT 1.480000  2.335000 1.815000 2.635000 ;
-      RECT 1.985000  0.305000 2.155000 0.735000 ;
-      RECT 2.385000  0.085000 2.715000 0.485000 ;
-      RECT 2.860000  1.955000 3.030000 2.215000 ;
-      RECT 2.860000  2.215000 3.345000 2.385000 ;
-      RECT 2.885000  0.305000 3.055000 0.735000 ;
-      RECT 3.225000  0.085000 3.555000 0.585000 ;
-      RECT 3.225000  1.615000 3.560000 1.815000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__or4b_2
-MACRO sky130_fd_sc_hd__or4b_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__or4b_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.060000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.755000 0.995000 2.925000 1.445000 ;
-        RECT 2.755000 1.445000 3.190000 1.615000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.195000 0.995000 2.525000 1.450000 ;
-        RECT 2.335000 1.450000 2.525000 1.785000 ;
-        RECT 2.335000 1.785000 2.635000 2.375000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.795000 0.995000 1.965000 1.620000 ;
-        RECT 1.795000 1.620000 2.155000 2.375000 ;
-    END
-  END C
-  PIN D_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.105000 0.995000 0.445000 1.955000 ;
-    END
-  END D_N
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.395000 1.455000 4.965000 1.625000 ;
-        RECT 3.395000 1.625000 3.645000 2.465000 ;
-        RECT 3.435000 0.255000 3.685000 0.725000 ;
-        RECT 3.435000 0.725000 4.965000 0.905000 ;
-        RECT 4.195000 0.255000 4.525000 0.725000 ;
-        RECT 4.235000 1.625000 4.485000 2.465000 ;
-        RECT 4.725000 0.905000 4.965000 1.455000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.060000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.250000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.060000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.060000 0.085000 ;
-      RECT 0.000000  2.635000 5.060000 2.805000 ;
-      RECT 0.085000  0.085000 0.345000 0.825000 ;
-      RECT 0.085000  2.135000 0.365000 2.635000 ;
-      RECT 0.595000  0.435000 0.785000 0.905000 ;
-      RECT 0.595000  2.065000 0.785000 2.455000 ;
-      RECT 0.615000  0.905000 0.785000 0.995000 ;
-      RECT 0.615000  0.995000 1.215000 1.325000 ;
-      RECT 0.615000  1.325000 0.785000 2.065000 ;
-      RECT 1.035000  0.085000 1.285000 0.585000 ;
-      RECT 1.035000  1.575000 1.625000 1.745000 ;
-      RECT 1.035000  1.745000 1.365000 2.450000 ;
-      RECT 1.455000  0.655000 3.265000 0.825000 ;
-      RECT 1.455000  0.825000 1.625000 1.575000 ;
-      RECT 1.615000  0.305000 1.785000 0.655000 ;
-      RECT 1.985000  0.085000 2.315000 0.485000 ;
-      RECT 2.485000  0.305000 2.655000 0.655000 ;
-      RECT 2.875000  0.085000 3.255000 0.485000 ;
-      RECT 2.920000  1.795000 3.170000 2.635000 ;
-      RECT 3.095000  0.825000 3.265000 1.075000 ;
-      RECT 3.095000  1.075000 4.555000 1.245000 ;
-      RECT 3.815000  1.795000 4.065000 2.635000 ;
-      RECT 3.855000  0.085000 4.025000 0.555000 ;
-      RECT 4.655000  1.795000 4.905000 2.635000 ;
-      RECT 4.695000  0.085000 4.865000 0.555000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-  END
-END sky130_fd_sc_hd__or4b_4
-MACRO sky130_fd_sc_hd__a221o_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a221o_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.820000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.855000 1.075000 3.190000 1.105000 ;
-        RECT 2.855000 1.105000 4.060000 1.285000 ;
-        RECT 3.710000 1.075000 4.060000 1.105000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.265000 1.075000 2.680000 1.285000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.235000 1.075000 6.035000 1.285000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.270000 1.075000 7.280000 1.285000 ;
-    END
-  END B2
-  PIN C1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.230000 1.075000 4.725000 1.285000 ;
-    END
-  END C1
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 0.735000 1.685000 0.905000 ;
-        RECT 0.095000 0.905000 0.325000 1.455000 ;
-        RECT 0.095000 1.455000 1.645000 1.625000 ;
-        RECT 0.515000 0.255000 0.845000 0.725000 ;
-        RECT 0.515000 0.725000 1.685000 0.735000 ;
-        RECT 0.555000 1.625000 0.805000 2.465000 ;
-        RECT 1.355000 0.255000 1.685000 0.725000 ;
-        RECT 1.395000 1.625000 1.645000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.820000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.155000 -0.085000 0.325000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.010000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.820000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.820000 0.085000 ;
-      RECT 0.000000  2.635000 7.820000 2.805000 ;
-      RECT 0.155000  1.795000 0.385000 2.635000 ;
-      RECT 0.175000  0.085000 0.345000 0.555000 ;
-      RECT 0.495000  1.075000 1.845000 1.115000 ;
-      RECT 0.495000  1.115000 1.985000 1.285000 ;
-      RECT 0.975000  1.795000 1.225000 2.635000 ;
-      RECT 1.015000  0.085000 1.185000 0.555000 ;
-      RECT 1.815000  1.285000 1.985000 1.455000 ;
-      RECT 1.815000  1.455000 5.065000 1.625000 ;
-      RECT 1.815000  1.795000 2.065000 2.635000 ;
-      RECT 1.855000  0.085000 2.025000 0.555000 ;
-      RECT 1.855000  0.735000 2.525000 0.905000 ;
-      RECT 1.945000  0.905000 2.165000 0.935000 ;
-      RECT 2.195000  0.255000 2.525000 0.735000 ;
-      RECT 2.235000  1.795000 4.230000 1.875000 ;
-      RECT 2.235000  1.875000 5.575000 1.965000 ;
-      RECT 2.235000  1.965000 2.485000 2.465000 ;
-      RECT 2.655000  2.135000 2.905000 2.635000 ;
-      RECT 2.695000  0.085000 2.865000 0.895000 ;
-      RECT 3.075000  1.965000 3.330000 2.465000 ;
-      RECT 3.080000  0.305000 4.305000 0.475000 ;
-      RECT 3.190000  0.735000 3.885000 0.905000 ;
-      RECT 3.315000  0.905000 3.610000 0.935000 ;
-      RECT 3.500000  2.135000 3.750000 2.635000 ;
-      RECT 3.550000  0.645000 3.885000 0.735000 ;
-      RECT 3.940000  2.215000 6.385000 2.295000 ;
-      RECT 3.940000  2.295000 7.225000 2.465000 ;
-      RECT 4.055000  0.475000 4.305000 0.725000 ;
-      RECT 4.055000  0.725000 5.065000 0.905000 ;
-      RECT 4.060000  1.965000 5.575000 2.045000 ;
-      RECT 4.405000  1.625000 4.735000 1.705000 ;
-      RECT 4.475000  0.085000 4.645000 0.555000 ;
-      RECT 4.815000  0.255000 5.985000 0.475000 ;
-      RECT 4.815000  0.475000 5.065000 0.725000 ;
-      RECT 4.895000  0.905000 5.065000 1.455000 ;
-      RECT 5.235000  0.645000 6.505000 0.725000 ;
-      RECT 5.235000  0.725000 7.345000 0.905000 ;
-      RECT 5.245000  1.455000 6.805000 1.625000 ;
-      RECT 5.245000  1.625000 5.575000 1.875000 ;
-      RECT 5.745000  1.795000 6.385000 2.215000 ;
-      RECT 6.555000  1.625000 6.805000 2.125000 ;
-      RECT 6.675000  0.085000 6.845000 0.555000 ;
-      RECT 6.975000  1.785000 7.225000 2.295000 ;
-      RECT 7.015000  0.255000 7.345000 0.725000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 1.995000  0.765000 2.165000 0.935000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.400000  0.765000 3.570000 0.935000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-    LAYER met1 ;
-      RECT 1.935000 0.735000 2.225000 0.780000 ;
-      RECT 1.935000 0.780000 3.630000 0.920000 ;
-      RECT 1.935000 0.920000 2.225000 0.965000 ;
-      RECT 3.340000 0.735000 3.630000 0.780000 ;
-      RECT 3.340000 0.920000 3.630000 0.965000 ;
-  END
-END sky130_fd_sc_hd__a221o_4
-MACRO sky130_fd_sc_hd__a221o_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a221o_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.970000 0.675000 2.255000 1.075000 ;
-        RECT 1.970000 1.075000 2.300000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.470000 1.075000 2.835000 1.275000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.225000 1.075000 1.700000 1.275000 ;
-        RECT 1.420000 0.675000 1.700000 1.075000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.610000 1.075000 1.055000 1.275000 ;
-    END
-  END B2
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.440000 1.285000 ;
-    END
-  END C1
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.320000 0.255000 3.575000 0.585000 ;
-        RECT 3.320000 1.795000 3.575000 2.465000 ;
-        RECT 3.390000 0.585000 3.575000 0.665000 ;
-        RECT 3.405000 0.665000 3.575000 1.795000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.175000  0.255000 0.345000 0.735000 ;
-      RECT 0.175000  0.735000 1.240000 0.905000 ;
-      RECT 0.175000  1.455000 3.235000 1.625000 ;
-      RECT 0.175000  1.625000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.565000 ;
-      RECT 0.515000  1.795000 0.845000 2.295000 ;
-      RECT 0.515000  2.295000 1.685000 2.465000 ;
-      RECT 1.015000  1.795000 2.650000 2.035000 ;
-      RECT 1.015000  2.035000 1.245000 2.125000 ;
-      RECT 1.070000  0.255000 2.605000 0.505000 ;
-      RECT 1.070000  0.505000 1.240000 0.735000 ;
-      RECT 1.355000  2.255000 1.685000 2.295000 ;
-      RECT 1.875000  2.215000 2.230000 2.635000 ;
-      RECT 2.400000  2.035000 2.650000 2.465000 ;
-      RECT 2.435000  0.505000 2.605000 0.735000 ;
-      RECT 2.435000  0.735000 3.235000 0.905000 ;
-      RECT 2.775000  0.085000 3.105000 0.565000 ;
-      RECT 2.820000  1.875000 3.150000 2.635000 ;
-      RECT 3.065000  0.905000 3.235000 1.455000 ;
-      RECT 3.745000  0.085000 3.915000 0.980000 ;
-      RECT 3.745000  1.445000 3.915000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a221o_2
-MACRO sky130_fd_sc_hd__a221o_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a221o_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.970000 0.675000 2.255000 1.075000 ;
-        RECT 1.970000 1.075000 2.300000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.470000 1.075000 2.835000 1.275000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.225000 1.075000 1.700000 1.275000 ;
-        RECT 1.420000 0.675000 1.700000 1.075000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.610000 1.075000 1.055000 1.275000 ;
-    END
-  END B2
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 1.075000 0.440000 1.285000 ;
-    END
-  END C1
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.320000 0.255000 3.575000 0.585000 ;
-        RECT 3.320000 1.795000 3.575000 2.465000 ;
-        RECT 3.390000 0.585000 3.575000 0.665000 ;
-        RECT 3.405000 0.665000 3.575000 1.795000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.175000  0.255000 0.345000 0.735000 ;
-      RECT 0.175000  0.735000 1.240000 0.905000 ;
-      RECT 0.175000  1.455000 3.235000 1.625000 ;
-      RECT 0.175000  1.625000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.565000 ;
-      RECT 0.515000  1.795000 0.845000 2.295000 ;
-      RECT 0.515000  2.295000 1.685000 2.465000 ;
-      RECT 1.015000  1.795000 2.650000 2.035000 ;
-      RECT 1.015000  2.035000 1.245000 2.125000 ;
-      RECT 1.070000  0.255000 2.605000 0.505000 ;
-      RECT 1.070000  0.505000 1.240000 0.735000 ;
-      RECT 1.355000  2.255000 1.685000 2.295000 ;
-      RECT 1.875000  2.215000 2.230000 2.635000 ;
-      RECT 2.400000  2.035000 2.650000 2.465000 ;
-      RECT 2.435000  0.505000 2.605000 0.735000 ;
-      RECT 2.435000  0.735000 3.235000 0.905000 ;
-      RECT 2.775000  0.085000 3.105000 0.565000 ;
-      RECT 2.820000  1.875000 3.150000 2.635000 ;
-      RECT 3.065000  0.905000 3.235000 1.455000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a221o_1
-MACRO sky130_fd_sc_hd__xnor2_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__xnor2_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.930000 1.075000 1.625000 1.275000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.425000 0.995000 0.670000 1.445000 ;
-        RECT 0.425000 1.445000 1.965000 1.615000 ;
-        RECT 1.795000 1.075000 2.395000 1.245000 ;
-        RECT 1.795000 1.245000 1.965000 1.445000 ;
-    END
-  END B
-  PIN Y
-    ANTENNADIFFAREA  0.525000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.265000 2.125000 2.645000 2.295000 ;
-        RECT 2.475000 1.755000 3.135000 1.955000 ;
-        RECT 2.475000 1.955000 2.645000 2.125000 ;
-        RECT 2.815000 0.345000 3.135000 0.825000 ;
-        RECT 2.965000 0.825000 3.135000 1.755000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.085000  0.280000 0.550000 0.825000 ;
-      RECT 0.085000  0.825000 0.255000 1.785000 ;
-      RECT 0.085000  1.785000 2.305000 1.955000 ;
-      RECT 0.085000  2.125000 0.385000 2.635000 ;
-      RECT 0.555000  1.955000 0.885000 2.465000 ;
-      RECT 1.055000  0.085000 1.225000 0.905000 ;
-      RECT 1.055000  2.125000 1.685000 2.635000 ;
-      RECT 1.395000  0.255000 1.725000 0.735000 ;
-      RECT 1.395000  0.735000 2.645000 0.825000 ;
-      RECT 1.395000  0.825000 2.305000 0.905000 ;
-      RECT 1.895000  0.085000 2.245000 0.475000 ;
-      RECT 2.135000  0.655000 2.645000 0.735000 ;
-      RECT 2.135000  1.415000 2.795000 1.585000 ;
-      RECT 2.135000  1.585000 2.305000 1.785000 ;
-      RECT 2.415000  0.255000 2.645000 0.655000 ;
-      RECT 2.625000  0.995000 2.795000 1.415000 ;
-      RECT 2.815000  2.125000 3.115000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__xnor2_1
-MACRO sky130_fd_sc_hd__xnor2_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__xnor2_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.980000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.255000 1.075000 2.705000 1.275000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.485000 1.075000 0.960000 1.285000 ;
-        RECT 0.790000 1.285000 0.960000 1.445000 ;
-        RECT 0.790000 1.445000 3.100000 1.615000 ;
-        RECT 2.930000 1.075000 3.955000 1.285000 ;
-        RECT 2.930000 1.285000 3.100000 1.445000 ;
-    END
-  END B
-  PIN Y
-    ANTENNADIFFAREA  0.913000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.725000 1.795000 5.295000 1.965000 ;
-        RECT 3.725000 1.965000 3.935000 2.125000 ;
-        RECT 4.585000 0.305000 5.895000 0.475000 ;
-        RECT 5.045000 1.415000 5.895000 1.625000 ;
-        RECT 5.045000 1.625000 5.295000 1.795000 ;
-        RECT 5.045000 1.965000 5.295000 2.125000 ;
-        RECT 5.505000 0.475000 5.895000 1.415000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.980000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.170000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.980000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.980000 0.085000 ;
-      RECT 0.000000  2.635000 5.980000 2.805000 ;
-      RECT 0.085000  0.645000 0.860000 0.895000 ;
-      RECT 0.085000  0.895000 0.315000 1.785000 ;
-      RECT 0.085000  1.785000 3.480000 1.955000 ;
-      RECT 0.085000  1.955000 2.080000 1.965000 ;
-      RECT 0.085000  1.965000 0.400000 2.465000 ;
-      RECT 0.105000  0.255000 1.280000 0.475000 ;
-      RECT 0.570000  2.135000 0.820000 2.635000 ;
-      RECT 0.990000  1.965000 1.240000 2.465000 ;
-      RECT 1.030000  0.475000 1.280000 0.725000 ;
-      RECT 1.030000  0.725000 2.120000 0.905000 ;
-      RECT 1.410000  2.135000 1.660000 2.635000 ;
-      RECT 1.450000  0.085000 1.620000 0.555000 ;
-      RECT 1.790000  0.255000 2.120000 0.725000 ;
-      RECT 1.830000  1.965000 2.080000 2.465000 ;
-      RECT 2.390000  2.125000 2.640000 2.465000 ;
-      RECT 2.430000  0.085000 2.600000 0.905000 ;
-      RECT 2.770000  0.255000 3.100000 0.725000 ;
-      RECT 2.770000  0.725000 5.335000 0.905000 ;
-      RECT 2.810000  2.135000 3.060000 2.635000 ;
-      RECT 3.230000  2.125000 3.555000 2.295000 ;
-      RECT 3.230000  2.295000 4.355000 2.465000 ;
-      RECT 3.270000  0.085000 3.440000 0.555000 ;
-      RECT 3.310000  1.455000 4.805000 1.625000 ;
-      RECT 3.310000  1.625000 3.480000 1.785000 ;
-      RECT 3.610000  0.255000 3.975000 0.725000 ;
-      RECT 4.105000  2.135000 4.355000 2.295000 ;
-      RECT 4.145000  0.085000 4.315000 0.555000 ;
-      RECT 4.625000  2.135000 4.875000 2.635000 ;
-      RECT 4.635000  1.075000 5.295000 1.245000 ;
-      RECT 4.635000  1.245000 4.805000 1.455000 ;
-      RECT 5.005000  0.645000 5.335000 0.725000 ;
-      RECT 5.465000  1.795000 5.895000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.465000  2.125000 2.635000 2.295000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.385000  2.125000 3.555000 2.295000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-    LAYER met1 ;
-      RECT 2.405000 2.095000 2.695000 2.140000 ;
-      RECT 2.405000 2.140000 3.615000 2.280000 ;
-      RECT 2.405000 2.280000 2.695000 2.325000 ;
-      RECT 3.325000 2.095000 3.615000 2.140000 ;
-      RECT 3.325000 2.280000 3.615000 2.325000 ;
-  END
-END sky130_fd_sc_hd__xnor2_2
-MACRO sky130_fd_sc_hd__xnor2_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__xnor2_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  10.12000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  1.980000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.175000 1.075000 5.390000 1.275000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  1.980000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.490000 1.075000 1.855000 1.275000 ;
-        RECT 1.685000 1.275000 1.855000 1.445000 ;
-        RECT 1.685000 1.445000 5.730000 1.615000 ;
-        RECT 5.560000 1.075000 7.430000 1.275000 ;
-        RECT 5.560000 1.275000 5.730000 1.445000 ;
-    END
-  END B
-  PIN Y
-    ANTENNADIFFAREA  1.721000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.160000 1.785000  8.250000 2.045000 ;
-        RECT 7.960000 1.445000 10.035000 1.665000 ;
-        RECT 7.960000 1.665000  8.250000 1.785000 ;
-        RECT 7.960000 2.045000  8.250000 2.465000 ;
-        RECT 8.380000 0.645000 10.035000 0.905000 ;
-        RECT 8.840000 1.665000  9.090000 2.465000 ;
-        RECT 9.680000 1.665000 10.035000 2.465000 ;
-        RECT 9.815000 0.905000 10.035000 1.445000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 10.120000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 10.310000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 10.120000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 10.120000 0.085000 ;
-      RECT 0.000000  2.635000 10.120000 2.805000 ;
-      RECT 0.085000  0.645000  1.760000 0.905000 ;
-      RECT 0.085000  0.905000  0.320000 1.445000 ;
-      RECT 0.085000  1.445000  1.300000 1.615000 ;
-      RECT 0.085000  1.615000  0.460000 2.465000 ;
-      RECT 0.170000  0.255000  2.180000 0.475000 ;
-      RECT 0.630000  1.835000  0.880000 2.635000 ;
-      RECT 1.050000  1.615000  1.300000 1.785000 ;
-      RECT 1.050000  1.785000  3.820000 2.005000 ;
-      RECT 1.050000  2.005000  1.300000 2.465000 ;
-      RECT 1.470000  2.175000  1.720000 2.635000 ;
-      RECT 1.890000  2.005000  2.140000 2.465000 ;
-      RECT 1.930000  0.475000  2.180000 0.725000 ;
-      RECT 1.930000  0.725000  3.860000 0.905000 ;
-      RECT 2.310000  2.175000  2.560000 2.635000 ;
-      RECT 2.350000  0.085000  2.520000 0.555000 ;
-      RECT 2.690000  0.255000  3.020000 0.725000 ;
-      RECT 2.730000  2.005000  2.980000 2.465000 ;
-      RECT 3.150000  2.175000  3.400000 2.635000 ;
-      RECT 3.190000  0.085000  3.360000 0.555000 ;
-      RECT 3.530000  0.255000  3.860000 0.725000 ;
-      RECT 3.570000  2.005000  3.820000 2.465000 ;
-      RECT 4.035000  0.085000  4.310000 0.905000 ;
-      RECT 4.035000  1.785000  5.990000 2.005000 ;
-      RECT 4.035000  2.005000  4.350000 2.465000 ;
-      RECT 4.480000  0.255000  4.810000 0.725000 ;
-      RECT 4.480000  0.725000  7.430000 0.735000 ;
-      RECT 4.480000  0.735000  8.210000 0.905000 ;
-      RECT 4.520000  2.175000  4.770000 2.635000 ;
-      RECT 4.940000  2.005000  5.190000 2.465000 ;
-      RECT 4.980000  0.085000  5.150000 0.555000 ;
-      RECT 5.320000  0.255000  5.650000 0.725000 ;
-      RECT 5.360000  2.175000  5.610000 2.635000 ;
-      RECT 5.780000  2.005000  5.990000 2.215000 ;
-      RECT 5.780000  2.215000  7.750000 2.465000 ;
-      RECT 5.820000  0.085000  5.990000 0.555000 ;
-      RECT 5.900000  1.445000  7.770000 1.615000 ;
-      RECT 6.160000  0.255000  6.490000 0.725000 ;
-      RECT 6.660000  0.085000  6.830000 0.555000 ;
-      RECT 7.000000  0.255000  7.330000 0.725000 ;
-      RECT 7.500000  0.085000  7.770000 0.555000 ;
-      RECT 7.600000  1.075000  9.645000 1.275000 ;
-      RECT 7.600000  1.275000  7.770000 1.445000 ;
-      RECT 7.960000  0.305000  9.970000 0.475000 ;
-      RECT 7.960000  0.475000  8.210000 0.735000 ;
-      RECT 8.420000  1.835000  8.670000 2.635000 ;
-      RECT 9.260000  1.835000  9.510000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  1.445000 1.235000 1.615000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  1.445000 6.295000 1.615000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-      RECT 9.805000 -0.085000 9.975000 0.085000 ;
-      RECT 9.805000  2.635000 9.975000 2.805000 ;
-    LAYER met1 ;
-      RECT 1.005000 1.415000 1.295000 1.460000 ;
-      RECT 1.005000 1.460000 6.355000 1.600000 ;
-      RECT 1.005000 1.600000 1.295000 1.645000 ;
-      RECT 6.065000 1.415000 6.355000 1.460000 ;
-      RECT 6.065000 1.600000 6.355000 1.645000 ;
-  END
-END sky130_fd_sc_hd__xnor2_4
-MACRO sky130_fd_sc_hd__or3_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__or3_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.605000 0.995000 1.430000 1.325000 ;
-        RECT 0.605000 1.325000 0.830000 1.615000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 2.125000 1.280000 2.415000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.435000 1.325000 ;
-    END
-  END C
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.940000 0.415000 2.215000 0.760000 ;
-        RECT 1.940000 1.495000 2.215000 2.465000 ;
-        RECT 2.045000 0.760000 2.215000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.105000  0.305000 0.360000 0.655000 ;
-      RECT 0.105000  0.655000 1.770000 0.825000 ;
-      RECT 0.105000  1.495000 0.435000 1.785000 ;
-      RECT 0.105000  1.785000 1.270000 1.955000 ;
-      RECT 0.530000  0.085000 0.860000 0.485000 ;
-      RECT 1.030000  0.305000 1.200000 0.655000 ;
-      RECT 1.100000  1.495000 1.770000 1.665000 ;
-      RECT 1.100000  1.665000 1.270000 1.785000 ;
-      RECT 1.370000  0.085000 1.750000 0.485000 ;
-      RECT 1.450000  1.835000 1.730000 2.635000 ;
-      RECT 1.600000  0.825000 1.770000 0.995000 ;
-      RECT 1.600000  0.995000 1.875000 1.325000 ;
-      RECT 1.600000  1.325000 1.770000 1.495000 ;
-      RECT 2.385000  0.085000 2.675000 0.915000 ;
-      RECT 2.385000  1.430000 2.675000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__or3_2
-MACRO sky130_fd_sc_hd__or3_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__or3_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.300000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.600000 0.995000 1.425000 1.325000 ;
-        RECT 0.600000 1.325000 0.795000 1.615000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 2.125000 1.275000 2.415000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.430000 1.325000 ;
-    END
-  END C
-  PIN X
-    ANTENNADIFFAREA  0.462000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.935000 0.415000 2.210000 0.760000 ;
-        RECT 1.935000 1.495000 2.210000 2.465000 ;
-        RECT 2.040000 0.760000 2.210000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.300000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.140000 -0.085000 0.310000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.490000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.300000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.300000 0.085000 ;
-      RECT 0.000000  2.635000 2.300000 2.805000 ;
-      RECT 0.100000  0.305000 0.355000 0.655000 ;
-      RECT 0.100000  0.655000 1.765000 0.825000 ;
-      RECT 0.105000  1.495000 0.430000 1.785000 ;
-      RECT 0.105000  1.785000 1.275000 1.955000 ;
-      RECT 0.525000  0.085000 0.855000 0.485000 ;
-      RECT 1.025000  0.305000 1.195000 0.655000 ;
-      RECT 1.105000  1.495000 1.765000 1.665000 ;
-      RECT 1.105000  1.665000 1.275000 1.785000 ;
-      RECT 1.365000  0.085000 1.745000 0.485000 ;
-      RECT 1.445000  1.835000 1.725000 2.635000 ;
-      RECT 1.595000  0.825000 1.765000 0.995000 ;
-      RECT 1.595000  0.995000 1.870000 1.325000 ;
-      RECT 1.595000  1.325000 1.765000 1.495000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-  END
-END sky130_fd_sc_hd__or3_1
-MACRO sky130_fd_sc_hd__or3_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__or3_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.225000 1.075000 1.700000 1.325000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.595000 1.075000 1.055000 1.325000 ;
-        RECT 0.595000 1.325000 0.830000 2.050000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.425000 1.325000 ;
-    END
-  END C
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.305000 0.265000 2.635000 0.735000 ;
-        RECT 2.305000 0.735000 4.055000 0.905000 ;
-        RECT 2.345000 1.455000 4.055000 1.625000 ;
-        RECT 2.345000 1.625000 2.595000 2.465000 ;
-        RECT 3.145000 0.265000 3.475000 0.735000 ;
-        RECT 3.185000 1.625000 3.435000 2.465000 ;
-        RECT 3.765000 0.905000 4.055000 1.455000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.085000  0.255000 0.425000 0.725000 ;
-      RECT 0.085000  0.725000 2.090000 0.905000 ;
-      RECT 0.085000  1.495000 0.425000 2.295000 ;
-      RECT 0.085000  2.295000 1.265000 2.465000 ;
-      RECT 0.595000  0.085000 0.765000 0.555000 ;
-      RECT 0.935000  0.255000 1.265000 0.725000 ;
-      RECT 1.000000  1.495000 2.090000 1.665000 ;
-      RECT 1.000000  1.665000 1.265000 2.295000 ;
-      RECT 1.435000  0.085000 2.135000 0.555000 ;
-      RECT 1.435000  1.835000 2.135000 2.635000 ;
-      RECT 1.870000  0.905000 2.090000 1.075000 ;
-      RECT 1.870000  1.075000 3.595000 1.245000 ;
-      RECT 1.870000  1.245000 2.090000 1.495000 ;
-      RECT 2.765000  1.795000 3.015000 2.635000 ;
-      RECT 2.805000  0.085000 2.975000 0.555000 ;
-      RECT 3.605000  1.795000 3.855000 2.635000 ;
-      RECT 3.645000  0.085000 3.815000 0.555000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__or3_4
-MACRO sky130_fd_sc_hd__nand3b_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nand3b_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.425000 0.995000 0.775000 1.325000 ;
-    END
-  END A_N
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.425000 0.995000 1.755000 1.325000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.965000 0.995000 1.235000 1.325000 ;
-    END
-  END C
-  PIN Y
-    ANTENNADIFFAREA  0.732000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.130000 1.495000 2.675000 1.665000 ;
-        RECT 1.130000 1.665000 1.460000 2.465000 ;
-        RECT 2.085000 0.255000 2.675000 0.485000 ;
-        RECT 2.085000 1.665000 2.675000 2.465000 ;
-        RECT 2.385000 0.485000 2.675000 1.495000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.085000  0.445000 0.510000 0.655000 ;
-      RECT 0.085000  0.655000 2.215000 0.825000 ;
-      RECT 0.085000  0.825000 0.255000 1.595000 ;
-      RECT 0.085000  1.595000 0.510000 1.925000 ;
-      RECT 0.710000  0.085000 1.040000 0.485000 ;
-      RECT 0.710000  1.495000 0.960000 2.635000 ;
-      RECT 1.630000  1.835000 1.915000 2.635000 ;
-      RECT 2.045000  0.825000 2.215000 1.325000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nand3b_1
-MACRO sky130_fd_sc_hd__nand3b_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nand3b_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.360000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.430000 1.075000 0.780000 1.275000 ;
-    END
-  END A_N
-  PIN B
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.270000 1.075000 4.480000 1.275000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.790000 1.075000 6.500000 1.275000 ;
-    END
-  END C
-  PIN Y
-    ANTENNADIFFAREA  1.971000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.455000 0.635000 2.965000 0.905000 ;
-        RECT 1.455000 1.445000 6.505000 1.665000 ;
-        RECT 1.455000 1.665000 1.785000 2.465000 ;
-        RECT 2.295000 1.665000 3.465000 2.005000 ;
-        RECT 2.295000 2.005000 2.625000 2.465000 ;
-        RECT 2.795000 0.905000 2.965000 1.075000 ;
-        RECT 2.795000 1.075000 3.100000 1.445000 ;
-        RECT 3.135000 2.005000 3.465000 2.465000 ;
-        RECT 3.975000 1.665000 4.305000 2.465000 ;
-        RECT 5.335000 1.665000 5.665000 2.465000 ;
-        RECT 6.175000 1.665000 6.505000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.360000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.550000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.360000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.360000 0.085000 ;
-      RECT 0.000000  2.635000 7.360000 2.805000 ;
-      RECT 0.085000  0.255000 0.425000 0.715000 ;
-      RECT 0.085000  0.715000 1.285000 0.905000 ;
-      RECT 0.085000  0.905000 0.260000 1.445000 ;
-      RECT 0.085000  1.445000 0.425000 2.465000 ;
-      RECT 0.595000  0.085000 0.845000 0.545000 ;
-      RECT 0.595000  1.445000 1.285000 2.635000 ;
-      RECT 1.005000  0.905000 1.285000 1.075000 ;
-      RECT 1.005000  1.075000 2.625000 1.275000 ;
-      RECT 1.035000  0.255000 4.725000 0.465000 ;
-      RECT 1.955000  1.835000 2.125000 2.635000 ;
-      RECT 2.795000  2.175000 2.965000 2.635000 ;
-      RECT 3.135000  0.635000 4.725000 0.715000 ;
-      RECT 3.135000  0.715000 6.505000 0.905000 ;
-      RECT 3.635000  1.835000 3.805000 2.635000 ;
-      RECT 4.475000  1.835000 5.165000 2.635000 ;
-      RECT 4.915000  0.085000 5.165000 0.545000 ;
-      RECT 5.335000  0.255000 5.665000 0.715000 ;
-      RECT 5.835000  0.085000 6.005000 0.545000 ;
-      RECT 5.835000  1.835000 6.005000 2.635000 ;
-      RECT 6.175000  0.255000 6.505000 0.715000 ;
-      RECT 6.675000  0.085000 7.005000 0.905000 ;
-      RECT 6.675000  1.445000 7.005000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nand3b_4
-MACRO sky130_fd_sc_hd__nand3b_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nand3b_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.430000 1.075000 0.780000 1.275000 ;
-    END
-  END A_N
-  PIN B
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.950000 1.075000 3.140000 1.275000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.060000 1.075000 1.740000 1.275000 ;
-    END
-  END C
-  PIN Y
-    ANTENNADIFFAREA  0.985500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.060000 1.785000 4.050000 1.955000 ;
-        RECT 1.060000 1.955000 2.230000 2.005000 ;
-        RECT 1.060000 2.005000 1.390000 2.465000 ;
-        RECT 1.900000 2.005000 2.230000 2.465000 ;
-        RECT 3.260000 0.635000 4.050000 0.905000 ;
-        RECT 3.260000 1.955000 4.050000 2.005000 ;
-        RECT 3.260000 2.005000 3.510000 2.465000 ;
-        RECT 3.850000 0.905000 4.050000 1.785000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.090000  0.255000 0.410000 0.655000 ;
-      RECT 0.090000  0.655000 0.260000 1.445000 ;
-      RECT 0.090000  1.445000 3.650000 1.615000 ;
-      RECT 0.090000  1.615000 0.260000 2.065000 ;
-      RECT 0.090000  2.065000 0.410000 2.465000 ;
-      RECT 0.580000  0.085000 0.890000 0.905000 ;
-      RECT 0.580000  1.835000 0.890000 2.635000 ;
-      RECT 1.060000  0.255000 1.390000 0.715000 ;
-      RECT 1.060000  0.715000 2.750000 0.905000 ;
-      RECT 1.560000  0.085000 1.810000 0.545000 ;
-      RECT 1.560000  2.175000 1.730000 2.635000 ;
-      RECT 2.000000  0.255000 4.050000 0.465000 ;
-      RECT 2.000000  0.635000 2.750000 0.715000 ;
-      RECT 2.400000  2.175000 2.650000 2.635000 ;
-      RECT 2.840000  2.175000 3.090000 2.635000 ;
-      RECT 2.920000  0.465000 3.090000 0.905000 ;
-      RECT 3.320000  1.075000 3.650000 1.445000 ;
-      RECT 3.760000  2.175000 4.050000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nand3b_2
-MACRO sky130_fd_sc_hd__clkdlybuf4s50_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__clkdlybuf4s50_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.213000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.480000 1.285000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.390500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.185000 0.270000 3.625000 0.640000 ;
-        RECT 3.185000 1.530000 3.625000 2.465000 ;
-        RECT 3.345000 0.640000 3.625000 1.530000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.085000  0.270000 0.415000 0.735000 ;
-      RECT 0.085000  0.735000 1.270000 0.905000 ;
-      RECT 0.085000  1.455000 1.270000 1.630000 ;
-      RECT 0.085000  1.630000 0.430000 2.465000 ;
-      RECT 0.585000  0.085000 0.915000 0.565000 ;
-      RECT 0.600000  1.800000 0.930000 2.635000 ;
-      RECT 0.765000  1.075000 1.435000 1.245000 ;
-      RECT 0.850000  0.905000 1.270000 1.075000 ;
-      RECT 0.850000  1.245000 1.270000 1.455000 ;
-      RECT 1.390000  1.785000 1.795000 2.465000 ;
-      RECT 1.440000  0.270000 1.795000 0.900000 ;
-      RECT 1.625000  0.900000 1.795000 1.075000 ;
-      RECT 1.625000  1.075000 2.305000 1.245000 ;
-      RECT 1.625000  1.245000 1.795000 1.785000 ;
-      RECT 1.985000  0.270000 2.235000 0.735000 ;
-      RECT 1.985000  0.735000 2.645000 0.905000 ;
-      RECT 1.985000  1.460000 2.645000 1.630000 ;
-      RECT 1.985000  1.630000 2.235000 2.465000 ;
-      RECT 2.475000  0.905000 2.645000 0.995000 ;
-      RECT 2.475000  0.995000 3.175000 1.325000 ;
-      RECT 2.475000  1.325000 2.645000 1.460000 ;
-      RECT 2.685000  0.085000 3.015000 0.565000 ;
-      RECT 2.685000  1.800000 3.015000 2.635000 ;
-      RECT 3.795000  0.085000 4.055000 0.635000 ;
-      RECT 3.795000  1.800000 4.055000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__clkdlybuf4s50_2
-MACRO sky130_fd_sc_hd__clkdlybuf4s50_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__clkdlybuf4s50_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.213000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.535000 1.290000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.504100 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.190000 0.255000 3.595000 0.640000 ;
-        RECT 3.190000 1.690000 3.595000 2.465000 ;
-        RECT 3.345000 0.640000 3.595000 1.690000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.085000  0.255000 0.415000 0.735000 ;
-      RECT 0.085000  0.735000 1.055000 0.905000 ;
-      RECT 0.085000  1.460000 1.055000 1.630000 ;
-      RECT 0.085000  1.630000 0.430000 2.465000 ;
-      RECT 0.585000  0.085000 0.915000 0.565000 ;
-      RECT 0.600000  1.800000 0.930000 2.635000 ;
-      RECT 0.705000  0.905000 1.055000 1.025000 ;
-      RECT 0.705000  1.025000 1.135000 1.315000 ;
-      RECT 0.705000  1.315000 1.055000 1.460000 ;
-      RECT 1.380000  0.255000 1.730000 1.070000 ;
-      RECT 1.380000  1.070000 2.240000 1.320000 ;
-      RECT 1.380000  1.320000 1.730000 2.465000 ;
-      RECT 1.990000  0.255000 2.240000 0.730000 ;
-      RECT 1.990000  0.730000 2.580000 0.900000 ;
-      RECT 1.990000  1.495000 2.580000 1.665000 ;
-      RECT 1.990000  1.665000 2.240000 2.465000 ;
-      RECT 2.410000  0.900000 2.580000 0.995000 ;
-      RECT 2.410000  0.995000 3.175000 1.325000 ;
-      RECT 2.410000  1.325000 2.580000 1.495000 ;
-      RECT 2.690000  0.085000 3.020000 0.600000 ;
-      RECT 2.690000  1.835000 3.020000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__clkdlybuf4s50_1
-MACRO sky130_fd_sc_hd__fah_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__fah_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  12.42000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.492000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.950000 1.075000 1.440000 1.275000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.691500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.990000 1.075000 2.495000 1.275000 ;
-        RECT 1.990000 1.275000 2.190000 1.410000 ;
-        RECT 2.015000 1.410000 2.190000 1.725000 ;
-      LAYER mcon ;
-        RECT 1.990000 1.105000 2.160000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 5.675000 0.995000 5.925000 1.325000 ;
-      LAYER mcon ;
-        RECT 5.680000 1.105000 5.850000 1.275000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 1.930000 1.075000 2.220000 1.120000 ;
-        RECT 1.930000 1.120000 5.910000 1.260000 ;
-        RECT 1.930000 1.260000 2.220000 1.305000 ;
-        RECT 5.620000 1.075000 5.910000 1.120000 ;
-        RECT 5.620000 1.260000 5.910000 1.305000 ;
-    END
-  END B
-  PIN CI
-    ANTENNAGATEAREA  0.246000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 9.475000 1.075000  9.865000 1.325000 ;
-        RECT 9.690000 0.735000 10.010000 0.935000 ;
-        RECT 9.690000 0.935000  9.865000 1.075000 ;
-    END
-  END CI
-  PIN COUT
-    ANTENNADIFFAREA  0.435500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 10.870000 0.270000 11.310000 0.825000 ;
-        RECT 10.870000 0.825000 11.040000 1.495000 ;
-        RECT 10.870000 1.495000 11.390000 2.465000 ;
-    END
-  END COUT
-  PIN SUM
-    ANTENNADIFFAREA  0.506000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 11.980000 0.255000 12.335000 0.825000 ;
-        RECT 11.985000 1.785000 12.335000 2.465000 ;
-        RECT 12.110000 0.825000 12.335000 1.785000 ;
-    END
-  END SUM
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 12.420000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 12.610000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 12.420000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 12.420000 0.085000 ;
-      RECT  0.000000  2.635000 12.420000 2.805000 ;
-      RECT  0.085000  0.255000  0.425000 0.805000 ;
-      RECT  0.085000  0.805000  0.255000 1.500000 ;
-      RECT  0.085000  1.500000  0.445000 1.895000 ;
-      RECT  0.085000  1.895000  2.805000 2.065000 ;
-      RECT  0.085000  2.065000  0.395000 2.465000 ;
-      RECT  0.425000  0.995000  0.780000 1.325000 ;
-      RECT  0.565000  2.260000  0.930000 2.635000 ;
-      RECT  0.595000  0.085000  0.765000 0.545000 ;
-      RECT  0.595000  0.735000  1.320000 0.905000 ;
-      RECT  0.595000  0.905000  0.780000 0.995000 ;
-      RECT  0.610000  1.325000  0.780000 1.380000 ;
-      RECT  0.610000  1.380000  0.815000 1.445000 ;
-      RECT  0.610000  1.445000  1.315000 1.455000 ;
-      RECT  0.615000  1.455000  1.315000 1.615000 ;
-      RECT  0.985000  1.615000  1.315000 1.715000 ;
-      RECT  0.990000  0.255000  1.320000 0.735000 ;
-      RECT  1.490000  1.445000  1.820000 1.500000 ;
-      RECT  1.490000  1.500000  1.840000 1.725000 ;
-      RECT  1.500000  0.255000  1.840000 0.715000 ;
-      RECT  1.500000  0.715000  2.520000 0.885000 ;
-      RECT  1.500000  0.885000  1.820000 0.905000 ;
-      RECT  1.615000  0.905000  1.820000 1.445000 ;
-      RECT  2.010000  0.085000  2.180000 0.545000 ;
-      RECT  2.065000  2.235000  2.395000 2.635000 ;
-      RECT  2.350000  0.255000  4.840000 0.425000 ;
-      RECT  2.350000  0.425000  2.520000 0.715000 ;
-      RECT  2.360000  1.445000  2.860000 1.715000 ;
-      RECT  2.635000  2.065000  2.805000 2.295000 ;
-      RECT  2.635000  2.295000  4.950000 2.465000 ;
-      RECT  2.690000  0.595000  2.860000 1.445000 ;
-      RECT  3.030000  0.425000  4.840000 0.465000 ;
-      RECT  3.030000  0.465000  3.200000 1.955000 ;
-      RECT  3.030000  1.955000  4.320000 2.125000 ;
-      RECT  3.370000  0.635000  3.900000 0.805000 ;
-      RECT  3.370000  0.805000  3.540000 1.455000 ;
-      RECT  3.370000  1.455000  3.815000 1.785000 ;
-      RECT  3.985000  1.785000  4.320000 1.955000 ;
-      RECT  4.070000  0.645000  4.400000 0.735000 ;
-      RECT  4.070000  0.735000  4.560000 0.755000 ;
-      RECT  4.070000  0.755000  5.170000 0.780000 ;
-      RECT  4.070000  0.780000  5.155000 0.805000 ;
-      RECT  4.070000  0.805000  5.145000 0.905000 ;
-      RECT  4.070000  1.075000  4.400000 1.160000 ;
-      RECT  4.070000  1.160000  4.535000 1.615000 ;
-      RECT  4.480000  0.905000  5.145000 0.925000 ;
-      RECT  4.650000  0.465000  4.840000 0.585000 ;
-      RECT  4.705000  0.925000  4.875000 2.295000 ;
-      RECT  4.925000  0.735000  5.180000 0.740000 ;
-      RECT  4.925000  0.740000  5.170000 0.755000 ;
-      RECT  4.950000  0.715000  5.180000 0.735000 ;
-      RECT  4.980000  0.690000  5.180000 0.715000 ;
-      RECT  5.000000  0.655000  5.180000 0.690000 ;
-      RECT  5.010000  0.255000  6.100000 0.425000 ;
-      RECT  5.010000  0.425000  5.180000 0.655000 ;
-      RECT  5.125000  1.150000  5.505000 1.320000 ;
-      RECT  5.125000  1.320000  5.295000 2.295000 ;
-      RECT  5.125000  2.295000  7.560000 2.465000 ;
-      RECT  5.320000  0.865000  5.520000 0.925000 ;
-      RECT  5.320000  0.925000  5.505000 1.150000 ;
-      RECT  5.335000  0.840000  5.520000 0.865000 ;
-      RECT  5.350000  0.595000  5.520000 0.840000 ;
-      RECT  5.475000  1.700000  5.875000 2.030000 ;
-      RECT  5.750000  0.425000  6.100000 0.565000 ;
-      RECT  6.105000  0.740000  6.435000 1.275000 ;
-      RECT  6.105000  1.445000  6.460000 1.615000 ;
-      RECT  6.270000  0.255000  9.735000 0.425000 ;
-      RECT  6.270000  0.425000  6.600000 0.570000 ;
-      RECT  6.290000  1.615000  6.460000 1.955000 ;
-      RECT  6.290000  1.955000  7.220000 2.125000 ;
-      RECT  6.610000  0.755000  6.940000 0.925000 ;
-      RECT  6.610000  0.925000  6.880000 1.275000 ;
-      RECT  6.710000  1.275000  6.880000 1.785000 ;
-      RECT  6.770000  0.595000  6.940000 0.755000 ;
-      RECT  7.050000  1.060000  7.280000 1.130000 ;
-      RECT  7.050000  1.130000  7.245000 1.175000 ;
-      RECT  7.050000  1.175000  7.220000 1.955000 ;
-      RECT  7.065000  1.045000  7.280000 1.060000 ;
-      RECT  7.090000  1.010000  7.280000 1.045000 ;
-      RECT  7.110000  0.595000  7.445000 0.765000 ;
-      RECT  7.110000  0.765000  7.280000 1.010000 ;
-      RECT  7.390000  1.275000  7.620000 1.375000 ;
-      RECT  7.390000  1.375000  7.595000 1.400000 ;
-      RECT  7.390000  1.400000  7.575000 1.425000 ;
-      RECT  7.390000  1.425000  7.560000 2.295000 ;
-      RECT  7.450000  0.995000  7.620000 1.275000 ;
-      RECT  7.705000  0.425000  7.960000 0.825000 ;
-      RECT  7.730000  1.510000  7.960000 2.295000 ;
-      RECT  7.730000  2.295000  9.655000 2.465000 ;
-      RECT  7.790000  0.825000  7.960000 1.510000 ;
-      RECT  8.145000  1.955000  9.250000 2.125000 ;
-      RECT  8.155000  0.595000  8.405000 0.925000 ;
-      RECT  8.225000  0.925000  8.405000 1.445000 ;
-      RECT  8.225000  1.445000  8.910000 1.785000 ;
-      RECT  8.575000  0.595000  8.745000 1.105000 ;
-      RECT  8.575000  1.105000  9.250000 1.275000 ;
-      RECT  8.920000  0.685000  9.300000 0.935000 ;
-      RECT  9.080000  1.275000  9.250000 1.955000 ;
-      RECT  9.400000  0.425000  9.735000 0.515000 ;
-      RECT  9.420000  1.495000 10.350000 1.705000 ;
-      RECT  9.420000  1.705000  9.655000 2.295000 ;
-      RECT  9.840000  2.275000 10.175000 2.635000 ;
-      RECT  9.905000  0.085000 10.075000 0.565000 ;
-      RECT 10.180000  0.995000 10.350000 1.495000 ;
-      RECT 10.245000  0.285000 10.690000 0.825000 ;
-      RECT 10.345000  1.875000 10.690000 2.465000 ;
-      RECT 10.520000  0.825000 10.690000 1.875000 ;
-      RECT 11.210000  0.995000 11.460000 1.325000 ;
-      RECT 11.480000  0.085000 11.810000 0.825000 ;
-      RECT 11.560000  1.785000 11.815000 2.635000 ;
-      RECT 11.630000  0.995000 11.940000 1.615000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.450000  1.445000  2.620000 1.615000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.370000  0.765000  3.540000 0.935000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.365000  1.445000  4.535000 1.615000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.570000  1.785000  5.740000 1.955000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.150000  0.765000  6.320000 0.935000 ;
-      RECT  6.150000  1.445000  6.320000 1.615000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  6.610000  1.105000  6.780000 1.275000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.460000  1.445000  8.630000 1.615000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  8.920000  0.765000  9.090000 0.935000 ;
-      RECT  9.080000  1.785000  9.250000 1.955000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.520000  1.785000 10.690000 1.955000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.220000  1.105000 11.390000 1.275000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-      RECT 11.680000  1.445000 11.850000 1.615000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-      RECT 12.105000  2.635000 12.275000 2.805000 ;
-    LAYER met1 ;
-      RECT  2.390000 1.415000  2.680000 1.460000 ;
-      RECT  2.390000 1.460000  6.380000 1.600000 ;
-      RECT  2.390000 1.600000  2.680000 1.645000 ;
-      RECT  3.310000 0.735000  3.600000 0.780000 ;
-      RECT  3.310000 0.780000  9.150000 0.920000 ;
-      RECT  3.310000 0.920000  3.600000 0.965000 ;
-      RECT  3.925000 1.755000  4.215000 1.800000 ;
-      RECT  3.925000 1.800000  5.800000 1.940000 ;
-      RECT  3.925000 1.940000  4.215000 1.985000 ;
-      RECT  4.305000 1.415000  4.595000 1.460000 ;
-      RECT  4.305000 1.600000  4.595000 1.645000 ;
-      RECT  5.510000 1.755000  5.800000 1.800000 ;
-      RECT  5.510000 1.940000  5.800000 1.985000 ;
-      RECT  6.090000 0.735000  6.380000 0.780000 ;
-      RECT  6.090000 0.920000  6.380000 0.965000 ;
-      RECT  6.090000 1.415000  6.380000 1.460000 ;
-      RECT  6.090000 1.600000  6.380000 1.645000 ;
-      RECT  6.550000 1.075000  6.840000 1.120000 ;
-      RECT  6.550000 1.120000 11.450000 1.260000 ;
-      RECT  6.550000 1.260000  6.840000 1.305000 ;
-      RECT  8.400000 1.415000  8.690000 1.460000 ;
-      RECT  8.400000 1.460000 11.910000 1.600000 ;
-      RECT  8.400000 1.600000  8.690000 1.645000 ;
-      RECT  8.860000 0.735000  9.150000 0.780000 ;
-      RECT  8.860000 0.920000  9.150000 0.965000 ;
-      RECT  9.020000 1.755000  9.310000 1.800000 ;
-      RECT  9.020000 1.800000 10.750000 1.940000 ;
-      RECT  9.020000 1.940000  9.310000 1.985000 ;
-      RECT 10.460000 1.755000 10.750000 1.800000 ;
-      RECT 10.460000 1.940000 10.750000 1.985000 ;
-      RECT 11.160000 1.075000 11.450000 1.120000 ;
-      RECT 11.160000 1.260000 11.450000 1.305000 ;
-      RECT 11.620000 1.415000 11.910000 1.460000 ;
-      RECT 11.620000 1.600000 11.910000 1.645000 ;
-  END
-END sky130_fd_sc_hd__fah_1
-MACRO sky130_fd_sc_hd__a222oi_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a222oi_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.246000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.615000 1.000000 2.925000 1.330000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.246000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.095000 1.000000 3.435000 1.330000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.246000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.135000 1.000000 2.445000 1.330000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.246000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.655000 1.000000 1.965000 1.330000 ;
-    END
-  END B2
-  PIN C1
-    ANTENNAGATEAREA  0.246000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.000000 0.545000 1.315000 ;
-    END
-  END C1
-  PIN C2
-    ANTENNAGATEAREA  0.246000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.715000 1.000000 1.085000 1.315000 ;
-    END
-  END C2
-  PIN Y
-    ANTENNADIFFAREA  0.897600 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 0.255000 0.425000 0.645000 ;
-        RECT 0.095000 0.645000 2.645000 0.815000 ;
-        RECT 0.095000 1.485000 0.425000 1.500000 ;
-        RECT 0.095000 1.500000 1.425000 1.670000 ;
-        RECT 0.095000 1.670000 0.425000 1.680000 ;
-        RECT 0.095000 1.680000 0.345000 2.255000 ;
-        RECT 0.095000 2.255000 0.425000 2.465000 ;
-        RECT 1.015000 1.670000 1.185000 1.830000 ;
-        RECT 1.255000 0.815000 1.480000 1.330000 ;
-        RECT 1.255000 1.330000 1.425000 1.500000 ;
-        RECT 2.315000 0.295000 2.645000 0.645000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.000000 0.000000 3.680000 0.240000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.515000  1.875000 0.845000 2.075000 ;
-      RECT 0.595000  2.075000 0.765000 2.295000 ;
-      RECT 0.595000  2.295000 2.185000 2.465000 ;
-      RECT 0.875000  0.085000 1.605000 0.465000 ;
-      RECT 1.515000  1.825000 2.015000 1.965000 ;
-      RECT 1.515000  1.965000 1.970000 1.970000 ;
-      RECT 1.515000  1.970000 1.935000 1.980000 ;
-      RECT 1.515000  1.980000 1.915000 1.995000 ;
-      RECT 1.845000  1.655000 3.595000 1.670000 ;
-      RECT 1.845000  1.670000 2.685000 1.735000 ;
-      RECT 1.845000  1.735000 2.605000 1.825000 ;
-      RECT 2.015000  2.135000 2.185000 2.295000 ;
-      RECT 2.355000  1.500000 3.595000 1.655000 ;
-      RECT 2.355000  1.825000 2.605000 2.255000 ;
-      RECT 2.355000  2.255000 2.685000 2.465000 ;
-      RECT 2.775000  1.905000 3.105000 2.075000 ;
-      RECT 2.855000  2.075000 3.025000 2.635000 ;
-      RECT 3.220000  1.670000 3.595000 1.735000 ;
-      RECT 3.255000  0.085000 3.585000 0.815000 ;
-      RECT 3.255000  2.255000 3.595000 2.465000 ;
-      RECT 3.335000  1.735000 3.595000 2.255000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a222oi_1
-MACRO sky130_fd_sc_hd__lpflow_isobufsrc_16
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_isobufsrc_16 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  16.56000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.255000 0.315000 0.995000 ;
-        RECT 0.085000 0.995000 0.665000 1.325000 ;
-    END
-  END A
-  PIN SLEEP
-    ANTENNAGATEAREA  3.960000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 9.450000 1.075000 15.650000 1.285000 ;
-    END
-  END SLEEP
-  PIN X
-    ANTENNADIFFAREA  4.968000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT  2.925000 0.255000  3.255000 0.725000 ;
-        RECT  2.925000 0.725000 16.475000 0.905000 ;
-        RECT  3.765000 0.255000  4.095000 0.725000 ;
-        RECT  4.605000 0.255000  4.935000 0.725000 ;
-        RECT  5.445000 0.255000  5.775000 0.725000 ;
-        RECT  6.285000 0.255000  6.615000 0.725000 ;
-        RECT  7.125000 0.255000  7.455000 0.725000 ;
-        RECT  7.965000 0.255000  8.295000 0.725000 ;
-        RECT  8.805000 0.255000  9.135000 0.725000 ;
-        RECT  9.645000 0.255000  9.975000 0.725000 ;
-        RECT  9.685000 1.455000 16.475000 1.625000 ;
-        RECT  9.685000 1.625000  9.935000 2.125000 ;
-        RECT 10.485000 0.255000 10.815000 0.725000 ;
-        RECT 10.525000 1.625000 10.775000 2.125000 ;
-        RECT 11.325000 0.255000 11.655000 0.725000 ;
-        RECT 11.365000 1.625000 11.615000 2.125000 ;
-        RECT 12.165000 0.255000 12.495000 0.725000 ;
-        RECT 12.205000 1.625000 12.455000 2.125000 ;
-        RECT 13.005000 0.255000 13.335000 0.725000 ;
-        RECT 13.045000 1.625000 13.295000 2.125000 ;
-        RECT 13.845000 0.255000 14.175000 0.725000 ;
-        RECT 13.885000 1.625000 14.135000 2.125000 ;
-        RECT 14.685000 0.255000 15.015000 0.725000 ;
-        RECT 14.725000 1.625000 14.975000 2.125000 ;
-        RECT 15.525000 0.255000 15.855000 0.725000 ;
-        RECT 15.565000 1.625000 15.815000 2.125000 ;
-        RECT 15.820000 0.905000 16.475000 1.455000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 16.560000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 16.750000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 16.560000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 16.560000 0.085000 ;
-      RECT  0.000000  2.635000 16.560000 2.805000 ;
-      RECT  0.300000  1.495000  0.515000 2.635000 ;
-      RECT  0.485000  0.085000  0.815000 0.825000 ;
-      RECT  0.685000  1.495000  1.015000 2.465000 ;
-      RECT  0.835000  1.065000  2.035000 1.075000 ;
-      RECT  0.835000  1.075000  9.280000 1.285000 ;
-      RECT  0.835000  1.285000  1.015000 1.495000 ;
-      RECT  0.985000  0.255000  1.195000 1.065000 ;
-      RECT  1.185000  1.455000  1.355000 2.635000 ;
-      RECT  1.365000  0.085000  1.615000 0.895000 ;
-      RECT  1.525000  1.285000  1.855000 2.465000 ;
-      RECT  1.785000  0.255000  2.035000 1.065000 ;
-      RECT  2.025000  1.455000  2.270000 2.635000 ;
-      RECT  2.205000  0.085000  2.755000 0.905000 ;
-      RECT  2.475000  1.455000  9.515000 1.665000 ;
-      RECT  2.475000  1.665000  2.795000 2.465000 ;
-      RECT  2.965000  1.835000  3.215000 2.635000 ;
-      RECT  3.385000  1.665000  3.635000 2.465000 ;
-      RECT  3.425000  0.085000  3.595000 0.555000 ;
-      RECT  3.805000  1.835000  4.055000 2.635000 ;
-      RECT  4.225000  1.665000  4.475000 2.465000 ;
-      RECT  4.265000  0.085000  4.435000 0.555000 ;
-      RECT  4.645000  1.835000  4.895000 2.635000 ;
-      RECT  5.065000  1.665000  5.315000 2.465000 ;
-      RECT  5.105000  0.085000  5.275000 0.555000 ;
-      RECT  5.485000  1.835000  5.735000 2.635000 ;
-      RECT  5.905000  1.665000  6.155000 2.465000 ;
-      RECT  5.945000  0.085000  6.115000 0.555000 ;
-      RECT  6.325000  1.835000  6.575000 2.635000 ;
-      RECT  6.745000  1.665000  6.995000 2.465000 ;
-      RECT  6.785000  0.085000  6.955000 0.555000 ;
-      RECT  7.165000  1.835000  7.415000 2.635000 ;
-      RECT  7.585000  1.665000  7.835000 2.465000 ;
-      RECT  7.625000  0.085000  7.795000 0.555000 ;
-      RECT  8.005000  1.835000  8.255000 2.635000 ;
-      RECT  8.425000  1.665000  8.675000 2.465000 ;
-      RECT  8.465000  0.085000  8.635000 0.555000 ;
-      RECT  8.845000  1.835000  9.095000 2.635000 ;
-      RECT  9.265000  1.665000  9.515000 2.295000 ;
-      RECT  9.265000  2.295000 16.235000 2.465000 ;
-      RECT  9.305000  0.085000  9.475000 0.555000 ;
-      RECT 10.105000  1.795000 10.355000 2.295000 ;
-      RECT 10.145000  0.085000 10.315000 0.555000 ;
-      RECT 10.945000  1.795000 11.195000 2.295000 ;
-      RECT 10.985000  0.085000 11.155000 0.555000 ;
-      RECT 11.785000  1.795000 12.035000 2.295000 ;
-      RECT 11.825000  0.085000 11.995000 0.555000 ;
-      RECT 12.625000  1.795000 12.875000 2.295000 ;
-      RECT 12.665000  0.085000 12.835000 0.555000 ;
-      RECT 13.465000  1.795000 13.715000 2.295000 ;
-      RECT 13.505000  0.085000 13.675000 0.555000 ;
-      RECT 14.305000  1.795000 14.555000 2.295000 ;
-      RECT 14.345000  0.085000 14.515000 0.555000 ;
-      RECT 15.145000  1.795000 15.395000 2.295000 ;
-      RECT 15.185000  0.085000 15.355000 0.555000 ;
-      RECT 15.985000  1.795000 16.235000 2.295000 ;
-      RECT 16.025000  0.085000 16.295000 0.555000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-      RECT 12.105000  2.635000 12.275000 2.805000 ;
-      RECT 12.565000 -0.085000 12.735000 0.085000 ;
-      RECT 12.565000  2.635000 12.735000 2.805000 ;
-      RECT 13.025000 -0.085000 13.195000 0.085000 ;
-      RECT 13.025000  2.635000 13.195000 2.805000 ;
-      RECT 13.485000 -0.085000 13.655000 0.085000 ;
-      RECT 13.485000  2.635000 13.655000 2.805000 ;
-      RECT 13.945000 -0.085000 14.115000 0.085000 ;
-      RECT 13.945000  2.635000 14.115000 2.805000 ;
-      RECT 14.405000 -0.085000 14.575000 0.085000 ;
-      RECT 14.405000  2.635000 14.575000 2.805000 ;
-      RECT 14.865000 -0.085000 15.035000 0.085000 ;
-      RECT 14.865000  2.635000 15.035000 2.805000 ;
-      RECT 15.325000 -0.085000 15.495000 0.085000 ;
-      RECT 15.325000  2.635000 15.495000 2.805000 ;
-      RECT 15.785000 -0.085000 15.955000 0.085000 ;
-      RECT 15.785000  2.635000 15.955000 2.805000 ;
-      RECT 16.245000 -0.085000 16.415000 0.085000 ;
-      RECT 16.245000  2.635000 16.415000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_isobufsrc_16
-MACRO sky130_fd_sc_hd__lpflow_isobufsrc_8
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_isobufsrc_8 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  8.740000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.255000 0.265000 1.065000 ;
-        RECT 0.085000 1.065000 0.575000 1.285000 ;
-    END
-  END A
-  PIN SLEEP
-    ANTENNAGATEAREA  1.980000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.270000 1.075000 8.010000 1.275000 ;
-    END
-  END SLEEP
-  PIN X
-    ANTENNADIFFAREA  2.484000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.005000 0.255000 2.335000 0.725000 ;
-        RECT 2.005000 0.725000 8.655000 0.905000 ;
-        RECT 2.845000 0.255000 3.175000 0.725000 ;
-        RECT 3.685000 0.255000 4.015000 0.725000 ;
-        RECT 4.525000 0.255000 4.855000 0.725000 ;
-        RECT 5.365000 0.255000 5.695000 0.725000 ;
-        RECT 5.405000 1.445000 8.655000 1.615000 ;
-        RECT 5.405000 1.615000 5.655000 2.125000 ;
-        RECT 6.205000 0.255000 6.535000 0.725000 ;
-        RECT 6.245000 1.615000 6.495000 2.125000 ;
-        RECT 7.045000 0.255000 7.375000 0.725000 ;
-        RECT 7.085000 1.615000 7.335000 2.125000 ;
-        RECT 7.885000 0.255000 8.215000 0.725000 ;
-        RECT 7.925000 1.615000 8.175000 2.125000 ;
-        RECT 8.180000 0.905000 8.655000 1.445000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 8.740000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.930000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 8.740000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 8.740000 0.085000 ;
-      RECT 0.000000  2.635000 8.740000 2.805000 ;
-      RECT 0.195000  1.455000 0.415000 2.635000 ;
-      RECT 0.435000  0.085000 0.655000 0.895000 ;
-      RECT 0.585000  1.455000 0.915000 2.465000 ;
-      RECT 0.745000  1.065000 1.155000 1.075000 ;
-      RECT 0.745000  1.075000 5.000000 1.285000 ;
-      RECT 0.745000  1.285000 0.915000 1.455000 ;
-      RECT 0.825000  0.255000 1.155000 1.065000 ;
-      RECT 1.085000  1.455000 1.330000 2.635000 ;
-      RECT 1.325000  0.085000 1.835000 0.905000 ;
-      RECT 1.555000  1.455000 5.235000 1.665000 ;
-      RECT 1.555000  1.665000 1.875000 2.465000 ;
-      RECT 2.045000  1.835000 2.295000 2.635000 ;
-      RECT 2.465000  1.665000 2.715000 2.465000 ;
-      RECT 2.505000  0.085000 2.675000 0.555000 ;
-      RECT 2.885000  1.835000 3.135000 2.635000 ;
-      RECT 3.305000  1.665000 3.555000 2.465000 ;
-      RECT 3.345000  0.085000 3.515000 0.555000 ;
-      RECT 3.725000  1.835000 3.975000 2.635000 ;
-      RECT 4.145000  1.665000 4.395000 2.465000 ;
-      RECT 4.185000  0.085000 4.355000 0.555000 ;
-      RECT 4.565000  1.835000 4.815000 2.635000 ;
-      RECT 4.985000  1.665000 5.235000 2.295000 ;
-      RECT 4.985000  2.295000 8.595000 2.465000 ;
-      RECT 5.025000  0.085000 5.195000 0.555000 ;
-      RECT 5.825000  1.785000 6.075000 2.295000 ;
-      RECT 5.865000  0.085000 6.035000 0.555000 ;
-      RECT 6.665000  1.785000 6.915000 2.295000 ;
-      RECT 6.705000  0.085000 6.875000 0.555000 ;
-      RECT 7.505000  1.785000 7.755000 2.295000 ;
-      RECT 7.545000  0.085000 7.715000 0.555000 ;
-      RECT 8.345000  1.785000 8.595000 2.295000 ;
-      RECT 8.385000  0.085000 8.655000 0.555000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_isobufsrc_8
-MACRO sky130_fd_sc_hd__lpflow_isobufsrc_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_isobufsrc_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.600000 1.065000 3.125000 1.275000 ;
-        RECT 2.910000 1.275000 3.125000 1.965000 ;
-    END
-  END A
-  PIN SLEEP
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.480000 1.065000 0.920000 1.275000 ;
-    END
-  END SLEEP
-  PIN X
-    ANTENNADIFFAREA  0.621000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.535000 0.255000 0.865000 0.725000 ;
-        RECT 0.535000 0.725000 1.705000 0.895000 ;
-        RECT 1.375000 0.255000 1.705000 0.725000 ;
-        RECT 1.415000 0.895000 1.665000 2.125000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.085000  0.085000 0.365000 0.895000 ;
-      RECT 0.085000  1.445000 1.245000 1.655000 ;
-      RECT 0.085000  1.655000 0.405000 2.465000 ;
-      RECT 0.575000  1.825000 0.825000 2.635000 ;
-      RECT 0.995000  1.655000 1.245000 2.295000 ;
-      RECT 0.995000  2.295000 2.125000 2.465000 ;
-      RECT 1.035000  0.085000 1.205000 0.555000 ;
-      RECT 1.835000  1.445000 2.090000 1.890000 ;
-      RECT 1.835000  1.890000 2.125000 2.295000 ;
-      RECT 1.875000  0.085000 2.045000 0.895000 ;
-      RECT 1.875000  1.075000 2.430000 1.245000 ;
-      RECT 2.215000  0.725000 2.565000 0.895000 ;
-      RECT 2.215000  0.895000 2.430000 1.075000 ;
-      RECT 2.260000  1.245000 2.430000 1.445000 ;
-      RECT 2.260000  1.445000 2.565000 1.615000 ;
-      RECT 2.395000  0.445000 2.565000 0.725000 ;
-      RECT 2.395000  1.615000 2.565000 2.460000 ;
-      RECT 2.775000  0.085000 3.030000 0.845000 ;
-      RECT 2.775000  2.145000 3.025000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_isobufsrc_2
-MACRO sky130_fd_sc_hd__lpflow_isobufsrc_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_isobufsrc_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.300000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.100000 0.725000 0.325000 1.325000 ;
-    END
-  END A
-  PIN SLEEP
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.960000 1.065000 1.325000 1.325000 ;
-    END
-  END SLEEP
-  PIN X
-    ANTENNADIFFAREA  0.435500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.235000 0.255000 1.565000 0.725000 ;
-        RECT 1.235000 0.725000 2.215000 0.895000 ;
-        RECT 1.655000 1.850000 2.215000 2.465000 ;
-        RECT 2.035000 0.895000 2.215000 1.850000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.300000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.490000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.300000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.300000 0.085000 ;
-      RECT 0.000000  2.635000 2.300000 2.805000 ;
-      RECT 0.330000  0.370000 0.675000 0.545000 ;
-      RECT 0.415000  1.510000 1.705000 1.680000 ;
-      RECT 0.415000  1.680000 0.675000 1.905000 ;
-      RECT 0.495000  0.545000 0.675000 1.510000 ;
-      RECT 0.855000  0.085000 1.065000 0.895000 ;
-      RECT 0.875000  1.855000 1.205000 2.635000 ;
-      RECT 1.535000  1.075000 1.865000 1.245000 ;
-      RECT 1.535000  1.245000 1.705000 1.510000 ;
-      RECT 1.735000  0.085000 2.120000 0.555000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_isobufsrc_1
-MACRO sky130_fd_sc_hd__lpflow_isobufsrc_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_isobufsrc_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.060000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.445000 1.075000 4.975000 1.320000 ;
-    END
-  END A
-  PIN SLEEP
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.360000 1.075000 1.800000 1.275000 ;
-    END
-  END SLEEP
-  PIN X
-    ANTENNADIFFAREA  1.242000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.535000 0.255000 0.865000 0.725000 ;
-        RECT 0.535000 0.725000 3.385000 0.905000 ;
-        RECT 1.375000 0.255000 1.705000 0.725000 ;
-        RECT 2.215000 0.255000 2.545000 0.725000 ;
-        RECT 2.295000 0.905000 2.625000 1.445000 ;
-        RECT 2.295000 1.445000 3.305000 1.745000 ;
-        RECT 2.295000 1.745000 2.465000 2.125000 ;
-        RECT 3.055000 0.255000 3.385000 0.725000 ;
-        RECT 3.135000 1.745000 3.305000 2.125000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.060000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.250000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.060000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.060000 0.085000 ;
-      RECT 0.000000  2.635000 5.060000 2.805000 ;
-      RECT 0.085000  0.085000 0.365000 0.905000 ;
-      RECT 0.085000  1.455000 2.125000 1.665000 ;
-      RECT 0.085000  1.665000 0.365000 2.465000 ;
-      RECT 0.535000  1.835000 0.865000 2.635000 ;
-      RECT 1.035000  0.085000 1.205000 0.555000 ;
-      RECT 1.035000  1.665000 1.205000 2.465000 ;
-      RECT 1.375000  1.835000 1.625000 2.635000 ;
-      RECT 1.795000  1.665000 2.125000 2.295000 ;
-      RECT 1.795000  2.295000 3.855000 2.465000 ;
-      RECT 1.875000  0.085000 2.045000 0.555000 ;
-      RECT 2.635000  1.935000 2.965000 2.295000 ;
-      RECT 2.715000  0.085000 2.885000 0.555000 ;
-      RECT 2.795000  1.075000 4.275000 1.275000 ;
-      RECT 3.475000  1.575000 3.855000 2.295000 ;
-      RECT 3.555000  0.085000 3.845000 0.905000 ;
-      RECT 4.025000  0.255000 4.355000 0.815000 ;
-      RECT 4.025000  0.815000 4.275000 1.075000 ;
-      RECT 4.025000  1.275000 4.275000 1.575000 ;
-      RECT 4.025000  1.575000 4.355000 2.465000 ;
-      RECT 4.525000  0.085000 4.815000 0.905000 ;
-      RECT 4.525000  1.495000 4.930000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_isobufsrc_4
-MACRO sky130_fd_sc_hd__dfbbp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dfbbp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  11.96000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.750000 1.005000 2.160000 1.625000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 11.615000 0.255000 11.875000 0.825000 ;
-        RECT 11.615000 1.445000 11.875000 2.465000 ;
-        RECT 11.660000 0.825000 11.875000 1.445000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 10.200000 0.255000 10.485000 0.715000 ;
-        RECT 10.200000 1.630000 10.485000 2.465000 ;
-        RECT 10.280000 0.715000 10.485000 1.630000 ;
-    END
-  END Q_N
-  PIN RESET_B
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 9.315000 1.095000 9.690000 1.325000 ;
-    END
-  END RESET_B
-  PIN SET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.590000 0.735000 4.000000 0.965000 ;
-        RECT 3.590000 0.965000 3.920000 1.065000 ;
-      LAYER mcon ;
-        RECT 3.830000 0.765000 4.000000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 7.460000 0.735000 7.835000 1.065000 ;
-      LAYER mcon ;
-        RECT 7.510000 0.765000 7.680000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 3.770000 0.735000 4.060000 0.780000 ;
-        RECT 3.770000 0.780000 7.740000 0.920000 ;
-        RECT 3.770000 0.920000 4.060000 0.965000 ;
-        RECT 7.450000 0.735000 7.740000 0.780000 ;
-        RECT 7.450000 0.920000 7.740000 0.965000 ;
-    END
-  END SET_B
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.975000 0.440000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 11.960000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 12.150000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 11.960000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 11.960000 0.085000 ;
-      RECT  0.000000  2.635000 11.960000 2.805000 ;
-      RECT  0.085000  0.345000  0.345000 0.635000 ;
-      RECT  0.085000  0.635000  0.840000 0.805000 ;
-      RECT  0.085000  1.795000  0.840000 1.965000 ;
-      RECT  0.085000  1.965000  0.345000 2.465000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.515000  2.135000  0.845000 2.635000 ;
-      RECT  0.610000  0.805000  0.840000 1.795000 ;
-      RECT  1.015000  0.345000  1.240000 2.465000 ;
-      RECT  1.410000  0.635000  2.125000 0.825000 ;
-      RECT  1.410000  0.825000  1.580000 1.795000 ;
-      RECT  1.410000  1.795000  2.125000 1.965000 ;
-      RECT  1.435000  0.085000  1.785000 0.465000 ;
-      RECT  1.435000  2.135000  1.785000 2.635000 ;
-      RECT  1.955000  0.305000  2.125000 0.635000 ;
-      RECT  1.955000  1.965000  2.125000 2.465000 ;
-      RECT  2.330000  0.705000  2.550000 1.575000 ;
-      RECT  2.330000  1.575000  2.830000 1.955000 ;
-      RECT  2.340000  2.250000  3.170000 2.420000 ;
-      RECT  2.405000  0.265000  3.400000 0.465000 ;
-      RECT  2.730000  0.645000  3.060000 1.015000 ;
-      RECT  3.000000  1.195000  3.400000 1.235000 ;
-      RECT  3.000000  1.235000  4.350000 1.405000 ;
-      RECT  3.000000  1.405000  3.170000 2.250000 ;
-      RECT  3.230000  0.465000  3.400000 1.195000 ;
-      RECT  3.340000  1.575000  3.590000 1.785000 ;
-      RECT  3.340000  1.785000  4.690000 2.035000 ;
-      RECT  3.410000  2.205000  3.790000 2.635000 ;
-      RECT  3.570000  0.085000  3.740000 0.525000 ;
-      RECT  3.910000  0.255000  5.080000 0.425000 ;
-      RECT  3.910000  0.425000  4.240000 0.545000 ;
-      RECT  4.090000  2.035000  4.260000 2.375000 ;
-      RECT  4.100000  1.405000  4.350000 1.485000 ;
-      RECT  4.130000  1.155000  4.350000 1.235000 ;
-      RECT  4.410000  0.595000  4.740000 0.765000 ;
-      RECT  4.520000  0.765000  4.740000 0.895000 ;
-      RECT  4.520000  0.895000  5.830000 1.065000 ;
-      RECT  4.520000  1.065000  4.690000 1.785000 ;
-      RECT  4.860000  1.235000  5.190000 1.415000 ;
-      RECT  4.860000  1.415000  5.865000 1.655000 ;
-      RECT  4.880000  1.915000  5.210000 2.635000 ;
-      RECT  4.910000  0.425000  5.080000 0.715000 ;
-      RECT  5.350000  0.085000  5.680000 0.465000 ;
-      RECT  5.500000  1.065000  5.830000 1.235000 ;
-      RECT  6.065000  1.575000  6.300000 1.985000 ;
-      RECT  6.125000  0.705000  6.410000 1.125000 ;
-      RECT  6.125000  1.125000  6.745000 1.305000 ;
-      RECT  6.255000  2.250000  7.085000 2.420000 ;
-      RECT  6.320000  0.265000  7.085000 0.465000 ;
-      RECT  6.540000  1.305000  6.745000 1.905000 ;
-      RECT  6.915000  0.465000  7.085000 1.235000 ;
-      RECT  6.915000  1.235000  8.265000 1.405000 ;
-      RECT  6.915000  1.405000  7.085000 2.250000 ;
-      RECT  7.255000  1.575000  7.505000 1.915000 ;
-      RECT  7.255000  1.915000 10.030000 2.085000 ;
-      RECT  7.265000  0.085000  7.525000 0.525000 ;
-      RECT  7.325000  2.255000  7.705000 2.635000 ;
-      RECT  7.785000  0.255000  8.955000 0.425000 ;
-      RECT  7.785000  0.425000  8.115000 0.545000 ;
-      RECT  7.945000  2.085000  8.115000 2.375000 ;
-      RECT  8.045000  1.075000  8.265000 1.235000 ;
-      RECT  8.285000  0.595000  8.615000 0.780000 ;
-      RECT  8.435000  0.780000  8.615000 1.915000 ;
-      RECT  8.645000  2.255000 10.030000 2.635000 ;
-      RECT  8.785000  0.425000  8.955000 0.585000 ;
-      RECT  8.785000  0.755000  9.475000 0.925000 ;
-      RECT  8.785000  0.925000  9.060000 1.575000 ;
-      RECT  8.785000  1.575000  9.545000 1.745000 ;
-      RECT  9.240000  0.265000  9.475000 0.755000 ;
-      RECT  9.700000  0.085000 10.030000 0.805000 ;
-      RECT  9.860000  0.995000 10.110000 1.325000 ;
-      RECT  9.860000  1.325000 10.030000 1.915000 ;
-      RECT 10.655000  0.255000 10.970000 0.995000 ;
-      RECT 10.655000  0.995000 11.490000 1.325000 ;
-      RECT 10.655000  1.325000 10.970000 2.415000 ;
-      RECT 11.150000  0.085000 11.445000 0.545000 ;
-      RECT 11.150000  1.765000 11.445000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.610000  1.785000  0.780000 1.955000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.070000  0.765000  1.240000 0.935000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.450000  1.785000  2.620000 1.955000 ;
-      RECT  2.890000  0.765000  3.060000 0.935000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  5.670000  1.445000  5.840000 1.615000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.130000  1.105000  6.300000 1.275000 ;
-      RECT  6.130000  1.785000  6.300000 1.955000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  8.890000  1.445000  9.060000 1.615000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.755000 0.840000 1.800000 ;
-      RECT 0.550000 1.800000 6.360000 1.940000 ;
-      RECT 0.550000 1.940000 0.840000 1.985000 ;
-      RECT 1.010000 0.735000 1.300000 0.780000 ;
-      RECT 1.010000 0.780000 3.120000 0.920000 ;
-      RECT 1.010000 0.920000 1.300000 0.965000 ;
-      RECT 2.390000 1.755000 2.680000 1.800000 ;
-      RECT 2.390000 1.940000 2.680000 1.985000 ;
-      RECT 2.830000 0.735000 3.120000 0.780000 ;
-      RECT 2.830000 0.920000 3.120000 0.965000 ;
-      RECT 2.925000 0.965000 3.120000 1.120000 ;
-      RECT 2.925000 1.120000 6.360000 1.260000 ;
-      RECT 5.610000 1.415000 5.900000 1.460000 ;
-      RECT 5.610000 1.460000 9.120000 1.600000 ;
-      RECT 5.610000 1.600000 5.900000 1.645000 ;
-      RECT 6.070000 1.075000 6.360000 1.120000 ;
-      RECT 6.070000 1.260000 6.360000 1.305000 ;
-      RECT 6.070000 1.755000 6.360000 1.800000 ;
-      RECT 6.070000 1.940000 6.360000 1.985000 ;
-      RECT 8.830000 1.415000 9.120000 1.460000 ;
-      RECT 8.830000 1.600000 9.120000 1.645000 ;
-  END
-END sky130_fd_sc_hd__dfbbp_1
-MACRO sky130_fd_sc_hd__a211o_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a211o_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.980000 1.045000 2.450000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.480000 1.045000 1.810000 1.275000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.620000 1.045000 3.070000 1.275000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.260000 1.045000 3.595000 1.275000 ;
-    END
-  END C1
-  PIN X
-    ANTENNADIFFAREA  0.452000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.555000 0.255000 0.775000 0.635000 ;
-        RECT 0.555000 0.635000 0.785000 2.335000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.090000  0.085000 0.385000 0.905000 ;
-      RECT 0.090000  1.490000 0.385000 2.635000 ;
-      RECT 0.945000  0.085000 1.795000 0.445000 ;
-      RECT 1.000000  0.695000 3.585000 0.875000 ;
-      RECT 1.000000  0.875000 1.310000 1.490000 ;
-      RECT 1.000000  1.490000 3.585000 1.660000 ;
-      RECT 1.000000  1.830000 1.255000 2.635000 ;
-      RECT 1.455000  1.840000 2.795000 2.020000 ;
-      RECT 1.455000  2.020000 1.785000 2.465000 ;
-      RECT 1.955000  2.190000 2.230000 2.635000 ;
-      RECT 2.275000  0.275000 2.605000 0.695000 ;
-      RECT 2.465000  2.020000 2.795000 2.465000 ;
-      RECT 2.810000  0.085000 3.085000 0.525000 ;
-      RECT 3.255000  0.275000 3.585000 0.695000 ;
-      RECT 3.255000  1.660000 3.585000 2.325000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a211o_2
-MACRO sky130_fd_sc_hd__a211o_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a211o_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.485000 0.995000 2.060000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.025000 0.995000 1.305000 1.325000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.240000 0.995000 2.675000 1.325000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.855000 0.995000 3.125000 1.325000 ;
-    END
-  END C1
-  PIN X
-    ANTENNADIFFAREA  0.437250 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.265000 0.425000 1.685000 ;
-        RECT 0.090000 1.685000 0.355000 2.455000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.135000 -0.085000 0.305000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.525000  1.915000 0.855000 2.635000 ;
-      RECT 0.600000  0.625000 3.085000 0.815000 ;
-      RECT 0.600000  0.815000 0.825000 1.505000 ;
-      RECT 0.600000  1.505000 3.095000 1.685000 ;
-      RECT 0.605000  0.085000 1.350000 0.455000 ;
-      RECT 1.045000  1.865000 2.235000 2.095000 ;
-      RECT 1.045000  2.095000 1.305000 2.455000 ;
-      RECT 1.475000  2.265000 1.805000 2.635000 ;
-      RECT 1.915000  0.265000 2.170000 0.625000 ;
-      RECT 1.975000  2.095000 2.235000 2.455000 ;
-      RECT 2.350000  0.085000 2.680000 0.455000 ;
-      RECT 2.805000  1.685000 3.095000 2.455000 ;
-      RECT 2.860000  0.265000 3.085000 0.625000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a211o_1
-MACRO sky130_fd_sc_hd__a211o_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a211o_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.440000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.035000 1.020000 5.380000 1.330000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.495000 1.020000 4.825000 1.510000 ;
-        RECT 4.495000 1.510000 5.845000 1.700000 ;
-        RECT 5.635000 1.020000 6.225000 1.320000 ;
-        RECT 5.635000 1.320000 5.845000 1.510000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.540000 0.985000 2.805000 1.325000 ;
-        RECT 2.625000 1.325000 2.805000 1.445000 ;
-        RECT 2.625000 1.445000 4.175000 1.700000 ;
-        RECT 3.845000 0.985000 4.175000 1.445000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.975000 0.985000 3.645000 1.275000 ;
-    END
-  END C1
-  PIN X
-    ANTENNADIFFAREA  0.933750 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.635000 2.025000 0.875000 ;
-        RECT 0.085000 0.875000 0.340000 1.495000 ;
-        RECT 0.085000 1.495000 1.640000 1.705000 ;
-        RECT 0.595000 1.705000 0.780000 2.465000 ;
-        RECT 0.985000 0.255000 1.175000 0.615000 ;
-        RECT 0.985000 0.615000 2.025000 0.635000 ;
-        RECT 1.450000 1.705000 1.640000 2.465000 ;
-        RECT 1.845000 0.255000 2.025000 0.615000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 6.440000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.630000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.440000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.440000 0.085000 ;
-      RECT 0.000000  2.635000 6.440000 2.805000 ;
-      RECT 0.090000  1.875000 0.425000 2.635000 ;
-      RECT 0.485000  0.085000 0.815000 0.465000 ;
-      RECT 0.525000  1.045000 2.370000 1.325000 ;
-      RECT 0.950000  1.875000 1.280000 2.635000 ;
-      RECT 1.345000  0.085000 1.675000 0.445000 ;
-      RECT 1.810000  1.835000 2.060000 2.635000 ;
-      RECT 2.185000  1.325000 2.370000 1.505000 ;
-      RECT 2.185000  1.505000 2.455000 1.675000 ;
-      RECT 2.195000  0.615000 5.490000 0.805000 ;
-      RECT 2.195000  0.805000 2.370000 1.045000 ;
-      RECT 2.220000  0.085000 2.555000 0.445000 ;
-      RECT 2.280000  1.675000 2.455000 1.870000 ;
-      RECT 2.280000  1.870000 3.510000 2.040000 ;
-      RECT 2.320000  2.210000 4.450000 2.465000 ;
-      RECT 2.725000  0.255000 2.970000 0.615000 ;
-      RECT 3.140000  0.085000 3.470000 0.445000 ;
-      RECT 3.640000  0.255000 4.020000 0.615000 ;
-      RECT 4.120000  1.880000 6.345000 2.105000 ;
-      RECT 4.120000  2.105000 4.450000 2.210000 ;
-      RECT 4.190000  0.085000 4.560000 0.445000 ;
-      RECT 4.620000  2.275000 4.950000 2.635000 ;
-      RECT 5.160000  0.275000 5.490000 0.615000 ;
-      RECT 5.160000  2.105000 5.420000 2.465000 ;
-      RECT 5.590000  2.275000 5.920000 2.635000 ;
-      RECT 6.015000  0.085000 6.345000 0.805000 ;
-      RECT 6.015000  1.535000 6.345000 1.880000 ;
-      RECT 6.090000  2.105000 6.345000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a211o_4
-MACRO sky130_fd_sc_hd__o2111a_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o2111a_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.360000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.890000 1.075000 4.485000 1.245000 ;
-        RECT 4.130000 1.245000 4.485000 1.320000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.135000 1.075000 3.600000 1.245000 ;
-        RECT 3.145000 1.245000 3.600000 1.320000 ;
-        RECT 3.305000 1.320000 3.600000 1.490000 ;
-        RECT 3.305000 1.490000 4.825000 1.660000 ;
-        RECT 4.655000 1.075000 4.985000 1.320000 ;
-        RECT 4.655000 1.320000 4.825000 1.490000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.775000 1.075000 2.215000 1.320000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.150000 0.995000 1.395000 1.490000 ;
-        RECT 1.150000 1.490000 2.660000 1.660000 ;
-        RECT 2.445000 1.080000 2.820000 1.320000 ;
-        RECT 2.445000 1.320000 2.660000 1.490000 ;
-        RECT 2.490000 1.075000 2.820000 1.080000 ;
-    END
-  END C1
-  PIN D1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.120000 0.995000 0.340000 1.655000 ;
-    END
-  END D1
-  PIN X
-    ANTENNADIFFAREA  0.962500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.650000 0.255000 5.875000 0.695000 ;
-        RECT 5.650000 0.695000 7.275000 0.865000 ;
-        RECT 5.755000 1.495000 7.275000 1.665000 ;
-        RECT 5.755000 1.665000 5.925000 2.465000 ;
-        RECT 6.545000 0.255000 6.745000 0.695000 ;
-        RECT 6.585000 1.665000 6.775000 2.465000 ;
-        RECT 7.005000 0.865000 7.275000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.360000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.550000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.360000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.360000 0.085000 ;
-      RECT 0.000000  2.635000 7.360000 2.805000 ;
-      RECT 0.090000  1.835000 5.550000 2.000000 ;
-      RECT 0.090000  2.000000 5.065000 2.005000 ;
-      RECT 0.090000  2.005000 0.345000 2.465000 ;
-      RECT 0.100000  0.255000 2.940000 0.485000 ;
-      RECT 0.100000  0.485000 0.345000 0.825000 ;
-      RECT 0.515000  0.655000 0.860000 1.830000 ;
-      RECT 0.515000  1.830000 5.550000 1.835000 ;
-      RECT 0.515000  2.175000 0.845000 2.635000 ;
-      RECT 1.015000  2.005000 1.230000 2.465000 ;
-      RECT 1.400000  2.175000 1.625000 2.635000 ;
-      RECT 1.720000  0.655000 4.795000 0.885000 ;
-      RECT 1.795000  2.005000 2.025000 2.465000 ;
-      RECT 2.195000  2.175000 2.525000 2.635000 ;
-      RECT 2.695000  2.005000 3.285000 2.465000 ;
-      RECT 3.110000  0.085000 3.440000 0.485000 ;
-      RECT 3.610000  0.255000 3.825000 0.655000 ;
-      RECT 3.805000  2.180000 4.135000 2.635000 ;
-      RECT 3.995000  0.085000 4.365000 0.485000 ;
-      RECT 4.535000  0.255000 4.795000 0.655000 ;
-      RECT 4.775000  2.005000 5.065000 2.465000 ;
-      RECT 5.035000  0.085000 5.300000 0.545000 ;
-      RECT 5.245000  2.170000 5.585000 2.635000 ;
-      RECT 5.380000  1.075000 6.760000 1.320000 ;
-      RECT 5.380000  1.320000 5.550000 1.830000 ;
-      RECT 6.075000  0.085000 6.375000 0.525000 ;
-      RECT 6.095000  1.835000 6.415000 2.635000 ;
-      RECT 6.915000  0.085000 7.275000 0.525000 ;
-      RECT 6.945000  1.835000 7.270000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o2111a_4
-MACRO sky130_fd_sc_hd__o2111a_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o2111a_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.705000 1.075000 4.035000 1.660000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.050000 1.075000 3.535000 1.325000 ;
-        RECT 3.350000 1.325000 3.535000 2.415000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.445000 0.390000 2.690000 0.995000 ;
-        RECT 2.445000 0.995000 2.705000 1.325000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.925000 0.390000 2.195000 1.325000 ;
-    END
-  END C1
-  PIN D1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.265000 1.075000 1.745000 1.325000 ;
-        RECT 1.535000 0.390000 1.745000 1.075000 ;
-    END
-  END D1
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 0.255000 0.355000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.525000  0.995000 0.865000 1.325000 ;
-      RECT 0.525000  1.835000 1.335000 2.635000 ;
-      RECT 0.535000  0.085000 0.845000 0.565000 ;
-      RECT 0.695000  0.735000 1.365000 0.905000 ;
-      RECT 0.695000  0.905000 0.865000 0.995000 ;
-      RECT 0.695000  1.325000 0.865000 1.495000 ;
-      RECT 0.695000  1.495000 3.180000 1.665000 ;
-      RECT 1.025000  0.255000 1.365000 0.735000 ;
-      RECT 1.505000  1.665000 1.835000 2.465000 ;
-      RECT 2.020000  1.835000 2.760000 2.635000 ;
-      RECT 2.870000  0.255000 3.160000 0.705000 ;
-      RECT 2.870000  0.705000 4.055000 0.875000 ;
-      RECT 2.930000  1.665000 3.180000 2.465000 ;
-      RECT 3.330000  0.085000 3.620000 0.535000 ;
-      RECT 3.730000  1.835000 4.055000 2.635000 ;
-      RECT 3.790000  0.255000 4.055000 0.705000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o2111a_1
-MACRO sky130_fd_sc_hd__o2111a_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o2111a_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.600000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.830000 1.005000 4.515000 1.315000 ;
-        RECT 4.310000 1.315000 4.515000 2.355000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.300000 0.995000 3.660000 1.325000 ;
-        RECT 3.370000 1.325000 3.660000 2.370000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.680000 1.075000 3.100000 1.615000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.005000 0.255000 2.390000 1.615000 ;
-    END
-  END C1
-  PIN D1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.505000 1.075000 1.835000 1.615000 ;
-    END
-  END D1
-  PIN X
-    ANTENNADIFFAREA  0.462000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 0.255000 0.855000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.600000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.790000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.600000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.600000 0.085000 ;
-      RECT 0.000000  2.635000 4.600000 2.805000 ;
-      RECT 0.135000  0.085000 0.345000 0.885000 ;
-      RECT 0.135000  1.495000 0.345000 2.635000 ;
-      RECT 1.030000  0.715000 1.805000 0.885000 ;
-      RECT 1.030000  0.885000 1.305000 1.785000 ;
-      RECT 1.030000  1.785000 3.195000 2.025000 ;
-      RECT 1.035000  0.085000 1.285000 0.545000 ;
-      RECT 1.035000  2.195000 1.655000 2.635000 ;
-      RECT 1.475000  0.255000 1.805000 0.715000 ;
-      RECT 1.860000  2.025000 2.140000 2.465000 ;
-      RECT 2.325000  2.255000 2.655000 2.635000 ;
-      RECT 2.865000  0.255000 3.195000 0.625000 ;
-      RECT 2.865000  0.625000 4.215000 0.825000 ;
-      RECT 2.865000  2.025000 3.195000 2.465000 ;
-      RECT 3.385000  0.085000 3.715000 0.455000 ;
-      RECT 3.885000  0.255000 4.215000 0.625000 ;
-      RECT 3.885000  1.495000 4.140000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o2111a_2
-MACRO sky130_fd_sc_hd__dlrbp_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlrbp_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  8.280000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.460000 0.955000 1.790000 1.325000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.478500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.680000 0.330000 5.850000 0.665000 ;
-        RECT 5.680000 0.665000 6.150000 0.835000 ;
-        RECT 5.680000 1.495000 6.065000 1.660000 ;
-        RECT 5.680000 1.660000 5.930000 2.465000 ;
-        RECT 5.790000 0.835000 6.150000 0.885000 ;
-        RECT 5.790000 0.885000 6.360000 1.325000 ;
-        RECT 5.790000 1.325000 6.065000 1.495000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.515000 0.255000 7.765000 0.825000 ;
-        RECT 7.515000 1.605000 7.765000 2.465000 ;
-        RECT 7.595000 0.825000 7.765000 1.055000 ;
-        RECT 7.595000 1.055000 8.195000 1.325000 ;
-        RECT 7.595000 1.325000 7.765000 1.605000 ;
-    END
-  END Q_N
-  PIN RESET_B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.400000 0.995000 5.150000 1.325000 ;
-    END
-  END RESET_B
-  PIN GATE
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.985000 0.330000 1.625000 ;
-    END
-  END GATE
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 8.280000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.470000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 8.280000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 8.280000 0.085000 ;
-      RECT 0.000000  2.635000 8.280000 2.805000 ;
-      RECT 0.085000  0.345000 0.345000 0.635000 ;
-      RECT 0.085000  0.635000 0.780000 0.805000 ;
-      RECT 0.085000  1.795000 0.780000 1.965000 ;
-      RECT 0.085000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.780000 1.070000 ;
-      RECT 0.610000  1.070000 0.840000 1.400000 ;
-      RECT 0.610000  1.400000 0.780000 1.795000 ;
-      RECT 1.015000  0.345000 1.185000 1.685000 ;
-      RECT 1.015000  1.685000 1.240000 2.465000 ;
-      RECT 1.455000  1.495000 2.140000 1.665000 ;
-      RECT 1.455000  1.665000 1.785000 2.415000 ;
-      RECT 1.535000  0.345000 1.705000 0.615000 ;
-      RECT 1.535000  0.615000 2.140000 0.765000 ;
-      RECT 1.535000  0.765000 2.340000 0.785000 ;
-      RECT 1.875000  0.085000 2.205000 0.445000 ;
-      RECT 1.955000  1.835000 2.270000 2.635000 ;
-      RECT 1.970000  0.785000 2.340000 1.095000 ;
-      RECT 1.970000  1.095000 2.140000 1.495000 ;
-      RECT 2.470000  1.355000 2.755000 1.685000 ;
-      RECT 2.715000  0.705000 3.095000 1.035000 ;
-      RECT 2.745000  2.255000 3.585000 2.425000 ;
-      RECT 2.770000  0.365000 3.500000 0.535000 ;
-      RECT 2.925000  1.035000 3.095000 1.575000 ;
-      RECT 2.925000  1.575000 3.265000 1.905000 ;
-      RECT 2.925000  1.905000 3.125000 1.995000 ;
-      RECT 3.270000  2.125000 3.585000 2.255000 ;
-      RECT 3.305000  2.075000 3.585000 2.125000 ;
-      RECT 3.330000  0.535000 3.500000 0.995000 ;
-      RECT 3.330000  0.995000 4.200000 1.165000 ;
-      RECT 3.395000  2.015000 3.605000 2.045000 ;
-      RECT 3.395000  2.045000 3.585000 2.075000 ;
-      RECT 3.415000  1.990000 3.605000 2.015000 ;
-      RECT 3.420000  1.975000 3.605000 1.990000 ;
-      RECT 3.430000  1.960000 3.605000 1.975000 ;
-      RECT 3.435000  1.165000 4.200000 1.325000 ;
-      RECT 3.435000  1.325000 3.605000 1.960000 ;
-      RECT 3.740000  0.085000 4.070000 0.530000 ;
-      RECT 3.755000  2.135000 4.600000 2.635000 ;
-      RECT 3.840000  1.535000 5.510000 1.705000 ;
-      RECT 3.840000  1.705000 4.940000 1.865000 ;
-      RECT 4.270000  0.415000 4.570000 0.655000 ;
-      RECT 4.270000  0.655000 5.510000 0.825000 ;
-      RECT 4.770000  1.865000 4.940000 2.435000 ;
-      RECT 5.110000  0.085000 5.490000 0.485000 ;
-      RECT 5.110000  1.875000 5.490000 2.635000 ;
-      RECT 5.320000  0.825000 5.510000 0.995000 ;
-      RECT 5.320000  0.995000 5.620000 1.325000 ;
-      RECT 5.320000  1.325000 5.510000 1.535000 ;
-      RECT 6.020000  0.085000 6.360000 0.465000 ;
-      RECT 6.100000  1.830000 6.360000 2.635000 ;
-      RECT 6.535000  0.255000 6.865000 0.995000 ;
-      RECT 6.535000  0.995000 7.425000 1.325000 ;
-      RECT 6.535000  1.325000 6.870000 2.465000 ;
-      RECT 7.035000  0.085000 7.340000 0.545000 ;
-      RECT 7.045000  1.835000 7.340000 2.635000 ;
-      RECT 7.935000  0.085000 8.195000 0.885000 ;
-      RECT 7.935000  1.495000 8.195000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.610000  1.445000 0.780000 1.615000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.070000  1.785000 1.240000 1.955000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.470000  1.445000 2.640000 1.615000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 2.930000  1.785000 3.100000 1.955000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.415000 0.840000 1.460000 ;
-      RECT 0.550000 1.460000 2.700000 1.600000 ;
-      RECT 0.550000 1.600000 0.840000 1.645000 ;
-      RECT 1.010000 1.755000 1.300000 1.800000 ;
-      RECT 1.010000 1.800000 3.160000 1.940000 ;
-      RECT 1.010000 1.940000 1.300000 1.985000 ;
-      RECT 2.410000 1.415000 2.700000 1.460000 ;
-      RECT 2.410000 1.600000 2.700000 1.645000 ;
-      RECT 2.870000 1.755000 3.160000 1.800000 ;
-      RECT 2.870000 1.940000 3.160000 1.985000 ;
-  END
-END sky130_fd_sc_hd__dlrbp_2
-MACRO sky130_fd_sc_hd__dlrbp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlrbp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.820000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.460000 0.955000 1.790000 1.325000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.060000 0.255000 6.410000 2.465000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.475000 0.255000 7.735000 0.595000 ;
-        RECT 7.475000 1.785000 7.735000 2.465000 ;
-        RECT 7.565000 0.595000 7.735000 1.785000 ;
-    END
-  END Q_N
-  PIN RESET_B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.450000 0.995000 5.435000 1.325000 ;
-    END
-  END RESET_B
-  PIN GATE
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.985000 0.325000 1.625000 ;
-    END
-  END GATE
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.820000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.010000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.820000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.820000 0.085000 ;
-      RECT 0.000000  2.635000 7.820000 2.805000 ;
-      RECT 0.085000  0.345000 0.345000 0.635000 ;
-      RECT 0.085000  0.635000 0.780000 0.805000 ;
-      RECT 0.085000  1.795000 0.780000 1.965000 ;
-      RECT 0.085000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.780000 1.070000 ;
-      RECT 0.610000  1.070000 0.840000 1.400000 ;
-      RECT 0.610000  1.400000 0.780000 1.795000 ;
-      RECT 1.015000  0.345000 1.185000 1.685000 ;
-      RECT 1.015000  1.685000 1.240000 2.465000 ;
-      RECT 1.455000  1.495000 2.140000 1.665000 ;
-      RECT 1.455000  1.665000 1.785000 2.415000 ;
-      RECT 1.535000  0.345000 1.705000 0.615000 ;
-      RECT 1.535000  0.615000 2.140000 0.765000 ;
-      RECT 1.535000  0.765000 2.340000 0.785000 ;
-      RECT 1.875000  0.085000 2.205000 0.445000 ;
-      RECT 1.955000  1.835000 2.270000 2.635000 ;
-      RECT 1.970000  0.785000 2.340000 1.095000 ;
-      RECT 1.970000  1.095000 2.140000 1.495000 ;
-      RECT 2.470000  1.355000 2.755000 1.685000 ;
-      RECT 2.600000  0.765000 3.095000 1.035000 ;
-      RECT 2.745000  2.255000 3.585000 2.425000 ;
-      RECT 2.770000  0.365000 3.500000 0.535000 ;
-      RECT 2.925000  1.035000 3.095000 1.575000 ;
-      RECT 2.925000  1.575000 3.265000 1.905000 ;
-      RECT 2.925000  1.905000 3.130000 1.995000 ;
-      RECT 3.270000  2.125000 3.585000 2.255000 ;
-      RECT 3.305000  2.075000 3.585000 2.125000 ;
-      RECT 3.330000  0.535000 3.500000 0.995000 ;
-      RECT 3.330000  0.995000 4.200000 1.165000 ;
-      RECT 3.395000  2.015000 3.605000 2.045000 ;
-      RECT 3.395000  2.045000 3.585000 2.075000 ;
-      RECT 3.415000  1.990000 3.605000 2.015000 ;
-      RECT 3.420000  1.975000 3.605000 1.990000 ;
-      RECT 3.430000  1.960000 3.605000 1.975000 ;
-      RECT 3.435000  1.165000 4.200000 1.325000 ;
-      RECT 3.435000  1.325000 3.605000 1.960000 ;
-      RECT 3.735000  0.085000 4.070000 0.530000 ;
-      RECT 3.755000  2.135000 4.590000 2.635000 ;
-      RECT 3.840000  1.535000 5.890000 1.765000 ;
-      RECT 3.840000  1.765000 4.950000 1.865000 ;
-      RECT 4.240000  0.255000 4.540000 0.655000 ;
-      RECT 4.240000  0.655000 5.890000 0.825000 ;
-      RECT 4.780000  1.865000 4.950000 2.435000 ;
-      RECT 5.120000  0.085000 5.890000 0.485000 ;
-      RECT 5.120000  1.935000 5.890000 2.635000 ;
-      RECT 5.655000  0.825000 5.890000 1.535000 ;
-      RECT 6.580000  0.255000 6.805000 0.995000 ;
-      RECT 6.580000  0.995000 7.395000 1.325000 ;
-      RECT 6.580000  1.325000 6.830000 2.465000 ;
-      RECT 6.975000  0.085000 7.305000 0.465000 ;
-      RECT 7.010000  1.835000 7.305000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.610000  1.445000 0.780000 1.615000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.070000  1.785000 1.240000 1.955000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.470000  1.445000 2.640000 1.615000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 2.925000  1.785000 3.095000 1.955000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.415000 0.840000 1.460000 ;
-      RECT 0.550000 1.460000 2.700000 1.600000 ;
-      RECT 0.550000 1.600000 0.840000 1.645000 ;
-      RECT 1.010000 1.755000 1.300000 1.800000 ;
-      RECT 1.010000 1.800000 3.155000 1.940000 ;
-      RECT 1.010000 1.940000 1.300000 1.985000 ;
-      RECT 2.410000 1.415000 2.700000 1.460000 ;
-      RECT 2.410000 1.600000 2.700000 1.645000 ;
-      RECT 2.865000 1.755000 3.155000 1.800000 ;
-      RECT 2.865000 1.940000 3.155000 1.985000 ;
-  END
-END sky130_fd_sc_hd__dlrbp_1
-MACRO sky130_fd_sc_hd__o211ai_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o211ai_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.820000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.400000 1.075000 1.410000 1.330000 ;
-        RECT 0.965000 1.330000 1.410000 1.515000 ;
-        RECT 0.965000 1.515000 3.630000 1.685000 ;
-        RECT 3.350000 0.995000 3.630000 1.515000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.705000 1.075000 3.180000 1.345000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.800000 0.995000 4.975000 1.410000 ;
-        RECT 4.260000 1.410000 4.975000 1.515000 ;
-        RECT 4.260000 1.515000 7.000000 1.685000 ;
-        RECT 6.830000 0.995000 7.000000 1.515000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.370000 1.075000 6.440000 1.345000 ;
-    END
-  END C1
-  PIN Y
-    ANTENNADIFFAREA  2.001000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.805000 1.855000 7.680000 2.025000 ;
-        RECT 1.805000 2.025000 3.470000 2.105000 ;
-        RECT 4.045000 2.025000 7.680000 2.105000 ;
-        RECT 5.280000 0.270000 6.735000 0.450000 ;
-        RECT 6.565000 0.450000 6.735000 0.655000 ;
-        RECT 6.565000 0.655000 7.350000 0.825000 ;
-        RECT 7.170000 0.825000 7.350000 1.340000 ;
-        RECT 7.170000 1.340000 7.680000 1.855000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.820000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.010000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.820000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.820000 0.085000 ;
-      RECT 0.000000  2.635000 7.820000 2.805000 ;
-      RECT 0.090000  1.665000 0.385000 2.635000 ;
-      RECT 0.155000  0.535000 0.355000 0.625000 ;
-      RECT 0.155000  0.625000 1.240000 0.695000 ;
-      RECT 0.155000  0.695000 3.835000 0.795000 ;
-      RECT 0.155000  0.795000 3.130000 0.865000 ;
-      RECT 0.155000  0.865000 1.795000 0.905000 ;
-      RECT 0.525000  0.085000 0.855000 0.445000 ;
-      RECT 0.555000  1.860000 0.775000 1.935000 ;
-      RECT 0.555000  1.935000 1.635000 2.105000 ;
-      RECT 0.555000  2.105000 0.775000 2.190000 ;
-      RECT 0.955000  2.275000 1.285000 2.635000 ;
-      RECT 1.025000  0.425000 1.240000 0.625000 ;
-      RECT 1.455000  2.105000 1.635000 2.275000 ;
-      RECT 1.455000  2.275000 3.435000 2.465000 ;
-      RECT 1.465000  0.085000 1.635000 0.525000 ;
-      RECT 1.775000  0.625000 3.835000 0.695000 ;
-      RECT 2.245000  0.085000 2.575000 0.445000 ;
-      RECT 3.105000  0.085000 3.435000 0.445000 ;
-      RECT 3.605000  0.255000 4.920000 0.455000 ;
-      RECT 3.605000  0.455000 3.835000 0.625000 ;
-      RECT 3.615000  2.195000 3.885000 2.635000 ;
-      RECT 4.005000  0.635000 6.170000 0.815000 ;
-      RECT 4.435000  2.275000 4.765000 2.635000 ;
-      RECT 5.280000  2.275000 5.610000 2.635000 ;
-      RECT 6.120000  2.275000 6.455000 2.635000 ;
-      RECT 6.980000  0.310000 7.680000 0.480000 ;
-      RECT 7.355000  2.275000 7.685000 2.635000 ;
-      RECT 7.510000  0.480000 7.680000 0.595000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.070000  0.425000 1.240000 0.595000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.510000  0.425000 7.680000 0.595000 ;
-    LAYER met1 ;
-      RECT 1.010000 0.395000 1.300000 0.440000 ;
-      RECT 1.010000 0.440000 7.740000 0.580000 ;
-      RECT 1.010000 0.580000 1.300000 0.625000 ;
-      RECT 7.450000 0.395000 7.740000 0.440000 ;
-      RECT 7.450000 0.580000 7.740000 0.625000 ;
-  END
-END sky130_fd_sc_hd__o211ai_4
-MACRO sky130_fd_sc_hd__o211ai_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o211ai_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.600000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.505000 1.075000 4.455000 1.245000 ;
-        RECT 3.560000 1.245000 4.455000 1.295000 ;
-        RECT 4.115000 0.765000 4.455000 1.075000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.365000 1.075000 3.335000 1.355000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.045000 1.075000 1.905000 1.365000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.375000 1.970000 ;
-    END
-  END C1
-  PIN Y
-    ANTENNADIFFAREA  1.022000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.545000 0.670000 0.875000 1.540000 ;
-        RECT 0.545000 1.540000 3.155000 1.710000 ;
-        RECT 0.545000 1.710000 0.805000 2.465000 ;
-        RECT 1.475000 1.710000 1.665000 2.465000 ;
-        RECT 2.825000 1.710000 3.155000 2.125000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.600000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.790000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.600000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.600000 0.085000 ;
-      RECT 0.000000  2.635000 4.600000 2.805000 ;
-      RECT 0.095000  0.255000 2.165000 0.445000 ;
-      RECT 0.115000  2.175000 0.375000 2.635000 ;
-      RECT 0.975000  1.915000 1.305000 2.635000 ;
-      RECT 1.045000  0.445000 2.165000 0.465000 ;
-      RECT 1.045000  0.465000 1.235000 0.890000 ;
-      RECT 1.405000  0.635000 3.945000 0.845000 ;
-      RECT 1.835000  1.915000 2.165000 2.635000 ;
-      RECT 2.395000  0.085000 2.725000 0.445000 ;
-      RECT 2.395000  2.100000 2.655000 2.295000 ;
-      RECT 2.395000  2.295000 3.515000 2.465000 ;
-      RECT 3.255000  0.085000 3.585000 0.445000 ;
-      RECT 3.325000  1.525000 4.445000 1.695000 ;
-      RECT 3.325000  1.695000 3.515000 2.295000 ;
-      RECT 3.685000  1.865000 4.015000 2.635000 ;
-      RECT 3.755000  0.515000 3.945000 0.635000 ;
-      RECT 4.115000  0.085000 4.445000 0.445000 ;
-      RECT 4.185000  1.695000 4.445000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o211ai_2
-MACRO sky130_fd_sc_hd__o211ai_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o211ai_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.395000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.605000 0.995000 0.980000 1.325000 ;
-        RECT 0.605000 1.325000 0.775000 2.250000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.300000 0.995000 1.795000 1.325000 ;
-        RECT 1.470000 1.325000 1.795000 1.615000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.970000 1.075000 2.300000 1.615000 ;
-    END
-  END C1
-  PIN Y
-    ANTENNADIFFAREA  1.418250 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.945000 1.595000 1.275000 1.815000 ;
-        RECT 0.945000 1.815000 2.675000 2.045000 ;
-        RECT 0.945000 2.045000 1.275000 2.445000 ;
-        RECT 1.965000 0.255000 2.675000 0.845000 ;
-        RECT 1.975000 2.045000 2.675000 2.465000 ;
-        RECT 2.470000 0.845000 2.675000 1.815000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.095000  0.255000 0.425000 0.615000 ;
-      RECT 0.095000  0.615000 1.455000 0.825000 ;
-      RECT 0.095000  1.575000 0.425000 2.635000 ;
-      RECT 0.595000  0.085000 0.925000 0.445000 ;
-      RECT 1.125000  0.255000 1.455000 0.615000 ;
-      RECT 1.445000  2.275000 1.775000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o211ai_1
-MACRO sky130_fd_sc_hd__and3_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__and3_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.765000 0.470000 1.245000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.895000 2.125000 1.370000 2.465000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.065000 0.305000 1.295000 0.750000 ;
-        RECT 1.065000 0.750000 1.475000 1.245000 ;
-    END
-  END C
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.970000 1.795000 2.245000 2.465000 ;
-        RECT 1.980000 0.255000 2.230000 0.715000 ;
-        RECT 2.060000 0.715000 2.230000 0.925000 ;
-        RECT 2.060000 0.925000 2.675000 1.445000 ;
-        RECT 2.075000 1.445000 2.245000 1.795000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.085000  2.130000 0.715000 2.635000 ;
-      RECT 0.100000  1.425000 1.890000 1.595000 ;
-      RECT 0.100000  1.595000 0.355000 1.960000 ;
-      RECT 0.105000  0.305000 0.895000 0.570000 ;
-      RECT 0.525000  1.765000 0.855000 1.955000 ;
-      RECT 0.525000  1.955000 0.715000 2.130000 ;
-      RECT 0.640000  0.570000 0.895000 1.425000 ;
-      RECT 1.080000  1.595000 1.330000 1.890000 ;
-      RECT 1.475000  0.085000 1.805000 0.580000 ;
-      RECT 1.555000  1.790000 1.770000 2.635000 ;
-      RECT 1.660000  0.995000 1.890000 1.425000 ;
-      RECT 2.400000  0.085000 2.675000 0.745000 ;
-      RECT 2.415000  1.625000 2.675000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__and3_2
-MACRO sky130_fd_sc_hd__and3_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__and3_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.300000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.635000 0.635000 1.020000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.865000 2.125000 1.345000 2.465000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.145000 0.305000 1.365000 0.790000 ;
-        RECT 1.145000 0.790000 1.475000 1.215000 ;
-    END
-  END C
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.940000 1.765000 2.215000 2.465000 ;
-        RECT 1.955000 0.255000 2.215000 0.735000 ;
-        RECT 2.045000 0.735000 2.215000 1.765000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.300000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.490000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.300000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.300000 0.085000 ;
-      RECT 0.000000  2.635000 2.300000 2.805000 ;
-      RECT 0.085000  0.295000 0.975000 0.465000 ;
-      RECT 0.085000  1.190000 0.975000 1.260000 ;
-      RECT 0.085000  1.260000 0.980000 1.285000 ;
-      RECT 0.085000  1.285000 0.990000 1.300000 ;
-      RECT 0.085000  1.300000 0.995000 1.315000 ;
-      RECT 0.085000  1.315000 1.005000 1.320000 ;
-      RECT 0.085000  1.320000 1.010000 1.330000 ;
-      RECT 0.085000  1.330000 1.015000 1.340000 ;
-      RECT 0.085000  1.340000 1.025000 1.345000 ;
-      RECT 0.085000  1.345000 1.035000 1.355000 ;
-      RECT 0.085000  1.355000 1.045000 1.360000 ;
-      RECT 0.085000  1.360000 0.345000 1.810000 ;
-      RECT 0.085000  1.980000 0.700000 2.080000 ;
-      RECT 0.085000  2.080000 0.690000 2.635000 ;
-      RECT 0.515000  1.710000 0.845000 1.955000 ;
-      RECT 0.515000  1.955000 0.700000 1.980000 ;
-      RECT 0.710000  1.360000 1.045000 1.365000 ;
-      RECT 0.710000  1.365000 1.060000 1.370000 ;
-      RECT 0.710000  1.370000 1.075000 1.380000 ;
-      RECT 0.710000  1.380000 1.100000 1.385000 ;
-      RECT 0.710000  1.385000 1.875000 1.390000 ;
-      RECT 0.740000  1.390000 1.875000 1.425000 ;
-      RECT 0.775000  1.425000 1.875000 1.450000 ;
-      RECT 0.805000  0.465000 0.975000 1.190000 ;
-      RECT 0.805000  1.450000 1.875000 1.480000 ;
-      RECT 0.825000  1.480000 1.875000 1.510000 ;
-      RECT 0.845000  1.510000 1.875000 1.540000 ;
-      RECT 0.915000  1.540000 1.875000 1.550000 ;
-      RECT 0.940000  1.550000 1.875000 1.560000 ;
-      RECT 0.960000  1.560000 1.875000 1.575000 ;
-      RECT 0.980000  1.575000 1.875000 1.590000 ;
-      RECT 0.985000  1.590000 1.770000 1.600000 ;
-      RECT 1.000000  1.600000 1.770000 1.635000 ;
-      RECT 1.015000  1.635000 1.770000 1.885000 ;
-      RECT 1.515000  2.090000 1.770000 2.635000 ;
-      RECT 1.535000  0.085000 1.785000 0.625000 ;
-      RECT 1.645000  0.990000 1.875000 1.385000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-  END
-END sky130_fd_sc_hd__and3_1
-MACRO sky130_fd_sc_hd__and3_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__and3_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.115000 0.995000 0.875000 1.340000 ;
-        RECT 0.115000 1.340000 0.365000 2.335000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.065000 0.745000 1.355000 1.340000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.525000 0.995000 1.900000 1.325000 ;
-    END
-  END C
-  PIN X
-    ANTENNADIFFAREA  0.924000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.450000 0.515000 2.640000 0.615000 ;
-        RECT 2.450000 0.615000 4.055000 0.845000 ;
-        RECT 2.450000 1.535000 4.055000 1.760000 ;
-        RECT 2.450000 1.760000 2.640000 2.465000 ;
-        RECT 3.310000 0.255000 3.500000 0.615000 ;
-        RECT 3.310000 1.760000 4.055000 1.765000 ;
-        RECT 3.310000 1.765000 3.500000 2.465000 ;
-        RECT 3.775000 0.845000 4.055000 1.535000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.465000  0.255000 0.800000 0.375000 ;
-      RECT 0.465000  0.375000 1.725000 0.565000 ;
-      RECT 0.465000  0.565000 0.800000 0.805000 ;
-      RECT 0.545000  1.580000 2.280000 1.750000 ;
-      RECT 0.545000  1.750000 0.725000 2.465000 ;
-      RECT 0.895000  1.935000 1.345000 2.635000 ;
-      RECT 1.520000  1.750000 1.700000 2.465000 ;
-      RECT 1.535000  0.565000 1.725000 0.615000 ;
-      RECT 1.535000  0.615000 2.280000 0.805000 ;
-      RECT 1.905000  0.085000 2.235000 0.445000 ;
-      RECT 1.910000  1.935000 2.240000 2.635000 ;
-      RECT 2.070000  0.805000 2.280000 1.020000 ;
-      RECT 2.070000  1.020000 3.605000 1.355000 ;
-      RECT 2.070000  1.355000 2.280000 1.580000 ;
-      RECT 2.810000  0.085000 3.140000 0.445000 ;
-      RECT 2.810000  1.935000 3.140000 2.635000 ;
-      RECT 3.670000  0.085000 4.000000 0.445000 ;
-      RECT 3.670000  1.935000 4.000000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__and3_4
-MACRO sky130_fd_sc_hd__lpflow_clkbufkapwr_8
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_clkbufkapwr_8 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.060000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.426000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.715000 0.400000 1.325000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  1.590400 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.420000 0.280000 1.680000 0.735000 ;
-        RECT 1.420000 0.735000 4.730000 0.905000 ;
-        RECT 1.420000 1.495000 4.730000 1.735000 ;
-        RECT 1.420000 1.735000 1.680000 2.460000 ;
-        RECT 2.280000 0.280000 2.540000 0.735000 ;
-        RECT 2.280000 1.735000 2.540000 2.460000 ;
-        RECT 3.140000 0.280000 3.400000 0.735000 ;
-        RECT 3.140000 1.735000 3.400000 2.460000 ;
-        RECT 3.760000 0.905000 4.730000 1.495000 ;
-        RECT 4.000000 0.280000 4.260000 0.735000 ;
-        RECT 4.000000 1.735000 4.260000 2.460000 ;
-    END
-  END X
-  PIN KAPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 1.525000 0.390000 2.465000 ;
-      LAYER mcon ;
-        RECT 0.175000 2.125000 0.345000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 0.990000 1.525000 1.250000 2.465000 ;
-      LAYER mcon ;
-        RECT 1.035000 2.125000 1.205000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 1.850000 1.905000 2.110000 2.465000 ;
-      LAYER mcon ;
-        RECT 1.890000 2.125000 2.060000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 2.710000 1.905000 2.970000 2.465000 ;
-      LAYER mcon ;
-        RECT 2.740000 2.125000 2.910000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 3.570000 1.905000 3.830000 2.465000 ;
-      LAYER mcon ;
-        RECT 3.620000 2.125000 3.790000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 4.430000 1.905000 4.725000 2.465000 ;
-      LAYER mcon ;
-        RECT 4.480000 2.125000 4.650000 2.295000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 2.140000 4.990000 2.340000 ;
-        RECT 0.115000 2.080000 0.405000 2.140000 ;
-        RECT 0.975000 2.080000 1.265000 2.140000 ;
-        RECT 1.830000 2.080000 2.120000 2.140000 ;
-        RECT 2.680000 2.080000 2.970000 2.140000 ;
-        RECT 3.560000 2.080000 3.850000 2.140000 ;
-        RECT 4.420000 2.080000 4.710000 2.140000 ;
-    END
-  END KAPWR
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.060000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.250000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.060000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.060000 0.085000 ;
-      RECT 0.000000  2.635000 5.060000 2.805000 ;
-      RECT 0.145000  0.085000 0.390000 0.545000 ;
-      RECT 0.570000  0.265000 0.820000 1.075000 ;
-      RECT 0.570000  1.075000 3.590000 1.325000 ;
-      RECT 0.570000  1.325000 0.820000 2.460000 ;
-      RECT 0.990000  0.085000 1.250000 0.610000 ;
-      RECT 1.850000  0.085000 2.110000 0.565000 ;
-      RECT 2.710000  0.085000 2.970000 0.565000 ;
-      RECT 3.570000  0.085000 3.830000 0.565000 ;
-      RECT 4.430000  0.085000 4.730000 0.565000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_clkbufkapwr_8
-MACRO sky130_fd_sc_hd__lpflow_clkbufkapwr_16
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_clkbufkapwr_16 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.200000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.852000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.765000 0.400000 1.325000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  3.180800 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.280000 0.280000 2.540000 0.735000 ;
-        RECT 2.280000 0.735000 9.025000 0.905000 ;
-        RECT 2.315000 1.495000 9.025000 1.720000 ;
-        RECT 2.315000 1.720000 7.685000 1.735000 ;
-        RECT 2.315000 1.735000 2.540000 2.460000 ;
-        RECT 3.140000 0.280000 3.400000 0.735000 ;
-        RECT 3.140000 1.735000 3.400000 2.460000 ;
-        RECT 4.000000 0.280000 4.260000 0.735000 ;
-        RECT 4.000000 1.735000 4.260000 2.460000 ;
-        RECT 4.845000 0.280000 5.120000 0.735000 ;
-        RECT 4.860000 1.735000 5.120000 2.460000 ;
-        RECT 5.705000 0.280000 5.965000 0.735000 ;
-        RECT 5.705000 1.735000 5.965000 2.460000 ;
-        RECT 6.565000 0.280000 6.825000 0.735000 ;
-        RECT 6.565000 1.735000 6.825000 2.460000 ;
-        RECT 7.425000 0.280000 7.685000 0.735000 ;
-        RECT 7.425000 1.735000 7.685000 2.460000 ;
-        RECT 7.860000 0.905000 9.025000 1.495000 ;
-        RECT 8.295000 0.280000 8.555000 0.735000 ;
-        RECT 8.295000 1.720000 8.585000 2.460000 ;
-    END
-  END X
-  PIN KAPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 1.495000 0.425000 2.465000 ;
-      LAYER mcon ;
-        RECT 0.175000 2.125000 0.345000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 0.955000 1.495000 1.285000 2.465000 ;
-      LAYER mcon ;
-        RECT 1.035000 2.125000 1.205000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 1.815000 1.495000 2.145000 2.465000 ;
-      LAYER mcon ;
-        RECT 1.890000 2.125000 2.060000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 2.710000 1.905000 2.970000 2.465000 ;
-      LAYER mcon ;
-        RECT 2.740000 2.125000 2.910000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 3.570000 1.905000 3.830000 2.465000 ;
-      LAYER mcon ;
-        RECT 3.620000 2.125000 3.790000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 4.430000 1.905000 4.690000 2.465000 ;
-      LAYER mcon ;
-        RECT 4.480000 2.125000 4.650000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 5.290000 1.905000 5.535000 2.465000 ;
-      LAYER mcon ;
-        RECT 5.335000 2.125000 5.505000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 6.150000 1.905000 6.395000 2.465000 ;
-      LAYER mcon ;
-        RECT 6.195000 2.125000 6.365000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 7.010000 1.905000 7.255000 2.465000 ;
-      LAYER mcon ;
-        RECT 7.050000 2.125000 7.220000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 7.870000 1.905000 8.125000 2.465000 ;
-      LAYER mcon ;
-        RECT 7.900000 2.125000 8.070000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 8.755000 1.890000 9.025000 2.465000 ;
-      LAYER mcon ;
-        RECT 8.780000 2.125000 8.950000 2.295000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 2.140000 9.130000 2.340000 ;
-        RECT 0.115000 2.080000 0.405000 2.140000 ;
-        RECT 0.975000 2.080000 1.265000 2.140000 ;
-        RECT 1.830000 2.080000 2.120000 2.140000 ;
-        RECT 2.680000 2.080000 2.970000 2.140000 ;
-        RECT 3.560000 2.080000 3.850000 2.140000 ;
-        RECT 4.420000 2.080000 4.710000 2.140000 ;
-        RECT 5.275000 2.080000 5.565000 2.140000 ;
-        RECT 6.135000 2.080000 6.425000 2.140000 ;
-        RECT 6.990000 2.080000 7.280000 2.140000 ;
-        RECT 7.840000 2.080000 8.130000 2.140000 ;
-        RECT 8.720000 2.080000 9.010000 2.140000 ;
-    END
-  END KAPWR
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.200000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.390000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.200000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.200000 0.085000 ;
-      RECT 0.000000  2.635000 9.200000 2.805000 ;
-      RECT 0.085000  0.085000 0.390000 0.595000 ;
-      RECT 0.595000  0.265000 0.820000 1.075000 ;
-      RECT 0.595000  1.075000 7.690000 1.325000 ;
-      RECT 0.595000  1.325000 0.785000 2.465000 ;
-      RECT 0.990000  0.085000 1.250000 0.610000 ;
-      RECT 1.430000  0.265000 1.680000 1.075000 ;
-      RECT 1.455000  1.325000 1.645000 2.460000 ;
-      RECT 1.850000  0.085000 2.110000 0.645000 ;
-      RECT 2.710000  0.085000 2.970000 0.565000 ;
-      RECT 3.570000  0.085000 3.830000 0.565000 ;
-      RECT 4.430000  0.085000 4.675000 0.565000 ;
-      RECT 5.290000  0.085000 5.535000 0.565000 ;
-      RECT 6.145000  0.085000 6.395000 0.565000 ;
-      RECT 7.005000  0.085000 7.255000 0.565000 ;
-      RECT 7.865000  0.085000 8.125000 0.565000 ;
-      RECT 8.725000  0.085000 9.025000 0.565000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_clkbufkapwr_16
-MACRO sky130_fd_sc_hd__lpflow_clkbufkapwr_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_clkbufkapwr_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.380000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.196500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.945000 0.985000 1.275000 1.355000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.340600 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.255000 0.345000 0.760000 ;
-        RECT 0.085000 0.760000 0.255000 1.560000 ;
-        RECT 0.085000 1.560000 0.355000 2.465000 ;
-    END
-  END X
-  PIN KAPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 0.525000 1.875000 0.855000 2.465000 ;
-      LAYER mcon ;
-        RECT 0.610000 2.125000 0.780000 2.295000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 2.140000 1.310000 2.340000 ;
-        RECT 0.550000 2.080000 0.840000 2.140000 ;
-    END
-  END KAPWR
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.380000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 1.065000 -0.085000 1.235000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 1.570000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.380000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.380000 0.085000 ;
-      RECT 0.000000  2.635000 1.380000 2.805000 ;
-      RECT 0.425000  1.060000 0.710000 1.390000 ;
-      RECT 0.525000  0.085000 0.855000 0.465000 ;
-      RECT 0.540000  0.635000 1.205000 0.805000 ;
-      RECT 0.540000  0.805000 0.710000 1.060000 ;
-      RECT 0.540000  1.390000 0.710000 1.535000 ;
-      RECT 0.540000  1.535000 1.205000 1.705000 ;
-      RECT 1.035000  0.255000 1.205000 0.635000 ;
-      RECT 1.035000  1.705000 1.205000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_clkbufkapwr_1
-MACRO sky130_fd_sc_hd__lpflow_clkbufkapwr_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_clkbufkapwr_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.213000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.425000 0.755000 0.775000 1.325000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.795200 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.010000 0.345000 1.305000 0.735000 ;
-        RECT 1.010000 0.735000 2.660000 0.905000 ;
-        RECT 1.025000 1.835000 2.165000 1.965000 ;
-        RECT 1.025000 1.965000 1.390000 1.970000 ;
-        RECT 1.025000 1.970000 1.385000 1.975000 ;
-        RECT 1.025000 1.975000 1.370000 1.980000 ;
-        RECT 1.025000 1.980000 1.330000 2.000000 ;
-        RECT 1.025000 2.000000 1.325000 2.005000 ;
-        RECT 1.025000 2.005000 1.265000 2.465000 ;
-        RECT 1.185000 1.825000 2.165000 1.835000 ;
-        RECT 1.195000 1.820000 2.165000 1.825000 ;
-        RECT 1.205000 1.815000 2.165000 1.820000 ;
-        RECT 1.215000 1.805000 2.165000 1.815000 ;
-        RECT 1.245000 1.785000 2.165000 1.805000 ;
-        RECT 1.270000 1.750000 2.165000 1.785000 ;
-        RECT 1.905000 0.345000 2.165000 0.735000 ;
-        RECT 1.905000 1.415000 2.660000 1.585000 ;
-        RECT 1.905000 1.585000 2.165000 1.750000 ;
-        RECT 1.935000 1.965000 2.165000 2.465000 ;
-        RECT 2.255000 0.905000 2.660000 1.415000 ;
-    END
-  END X
-  PIN KAPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 0.525000 1.835000 0.855000 2.465000 ;
-      LAYER mcon ;
-        RECT 0.610000 2.125000 0.780000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 1.435000 2.140000 1.765000 2.465000 ;
-        RECT 2.335000 1.765000 2.620000 2.465000 ;
-      LAYER mcon ;
-        RECT 1.495000 2.140000 1.665000 2.310000 ;
-        RECT 2.375000 2.125000 2.545000 2.295000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 2.140000 2.690000 2.340000 ;
-        RECT 0.550000 2.080000 0.840000 2.140000 ;
-        RECT 1.435000 2.080000 1.725000 2.140000 ;
-        RECT 2.315000 2.080000 2.605000 2.140000 ;
-    END
-  END KAPWR
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.085000  0.255000 0.385000 0.585000 ;
-      RECT 0.085000  0.585000 0.255000 1.495000 ;
-      RECT 0.085000  1.495000 1.115000 1.665000 ;
-      RECT 0.085000  1.665000 0.355000 2.465000 ;
-      RECT 0.555000  0.085000 0.830000 0.565000 ;
-      RECT 0.945000  1.075000 2.085000 1.245000 ;
-      RECT 0.945000  1.245000 1.115000 1.495000 ;
-      RECT 1.475000  0.085000 1.730000 0.565000 ;
-      RECT 2.335000  0.085000 2.615000 0.565000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_clkbufkapwr_4
-MACRO sky130_fd_sc_hd__lpflow_clkbufkapwr_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_clkbufkapwr_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.840000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.213000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.425000 0.745000 0.785000 1.240000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.383400 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.040000 0.255000 1.245000 0.655000 ;
-        RECT 1.040000 0.655000 1.725000 0.825000 ;
-        RECT 1.060000 1.750000 1.725000 1.970000 ;
-        RECT 1.060000 1.970000 1.245000 2.435000 ;
-        RECT 1.385000 0.825000 1.725000 1.750000 ;
-    END
-  END X
-  PIN KAPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 0.525000 1.855000 0.855000 2.465000 ;
-      LAYER mcon ;
-        RECT 0.610000 2.125000 0.780000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 1.415000 2.140000 1.750000 2.465000 ;
-      LAYER mcon ;
-        RECT 1.495000 2.140000 1.665000 2.310000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 2.140000 1.770000 2.340000 ;
-        RECT 0.550000 2.080000 0.840000 2.140000 ;
-        RECT 1.435000 2.080000 1.725000 2.140000 ;
-    END
-  END KAPWR
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.840000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.030000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.840000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.840000 0.085000 ;
-      RECT 0.000000  2.635000 1.840000 2.805000 ;
-      RECT 0.085000  0.255000 0.345000 0.585000 ;
-      RECT 0.085000  0.585000 0.255000 1.410000 ;
-      RECT 0.085000  1.410000 1.215000 1.580000 ;
-      RECT 0.085000  1.580000 0.355000 2.435000 ;
-      RECT 0.555000  0.085000 0.830000 0.565000 ;
-      RECT 0.965000  0.995000 1.215000 1.410000 ;
-      RECT 1.415000  0.085000 1.750000 0.485000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_clkbufkapwr_2
-MACRO sky130_fd_sc_hd__o31a_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o31a_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.905000 0.995000 1.295000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.480000 0.995000 1.725000 1.325000 ;
-        RECT 1.525000 1.325000 1.725000 2.125000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.925000 0.995000 2.175000 2.125000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.390000 0.995000 2.795000 1.325000 ;
-    END
-  END B1
-  PIN X
-    ANTENNADIFFAREA  0.594000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.265000 0.525000 0.825000 ;
-        RECT 0.085000 0.825000 0.395000 1.835000 ;
-        RECT 0.085000 1.835000 0.525000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.565000  0.995000 0.735000 1.445000 ;
-      RECT 0.565000  1.445000 1.355000 1.615000 ;
-      RECT 0.695000  0.085000 1.145000 0.825000 ;
-      RECT 0.700000  1.785000 1.015000 2.635000 ;
-      RECT 1.185000  1.615000 1.355000 2.295000 ;
-      RECT 1.185000  2.295000 2.615000 2.465000 ;
-      RECT 1.315000  0.255000 1.485000 0.655000 ;
-      RECT 1.315000  0.655000 2.475000 0.825000 ;
-      RECT 1.655000  0.085000 2.075000 0.485000 ;
-      RECT 2.245000  0.255000 2.475000 0.655000 ;
-      RECT 2.365000  1.495000 3.135000 1.665000 ;
-      RECT 2.365000  1.665000 2.615000 2.295000 ;
-      RECT 2.645000  0.255000 3.135000 0.825000 ;
-      RECT 2.795000  1.835000 3.125000 2.635000 ;
-      RECT 2.965000  0.825000 3.135000 1.495000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o31a_1
-MACRO sky130_fd_sc_hd__o31a_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o31a_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.440000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.140000 1.055000 5.470000 1.360000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.265000 1.055000 4.970000 1.360000 ;
-        RECT 4.680000 1.360000 4.970000 1.530000 ;
-        RECT 4.680000 1.530000 6.355000 1.700000 ;
-        RECT 5.640000 1.055000 6.355000 1.530000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.765000 1.055000 4.095000 1.360000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.780000 1.055000 3.575000 1.355000 ;
-        RECT 2.780000 1.355000 3.150000 1.695000 ;
-    END
-  END B1
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.715000 1.765000 0.885000 ;
-        RECT 0.085000 0.885000 0.735000 1.460000 ;
-        RECT 0.085000 1.460000 1.750000 1.665000 ;
-        RECT 0.680000 0.255000 0.895000 0.655000 ;
-        RECT 0.680000 0.655000 1.765000 0.715000 ;
-        RECT 0.680000 1.665000 0.895000 2.465000 ;
-        RECT 1.565000 0.255000 1.765000 0.655000 ;
-        RECT 1.565000 1.665000 1.750000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 6.440000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.125000 -0.085000 0.295000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.630000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.440000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.440000 0.085000 ;
-      RECT 0.000000  2.635000 6.440000 2.805000 ;
-      RECT 0.085000  0.085000 0.510000 0.545000 ;
-      RECT 0.085000  1.835000 0.510000 2.635000 ;
-      RECT 0.905000  1.055000 2.610000 1.290000 ;
-      RECT 1.065000  0.085000 1.395000 0.485000 ;
-      RECT 1.065000  1.835000 1.395000 2.635000 ;
-      RECT 1.920000  1.460000 2.250000 2.635000 ;
-      RECT 1.935000  0.085000 2.250000 0.885000 ;
-      RECT 2.440000  0.255000 3.570000 0.465000 ;
-      RECT 2.440000  0.635000 3.210000 0.885000 ;
-      RECT 2.440000  0.885000 2.610000 1.055000 ;
-      RECT 2.440000  1.290000 2.610000 1.870000 ;
-      RECT 2.440000  1.870000 4.090000 2.070000 ;
-      RECT 2.440000  2.070000 2.610000 2.465000 ;
-      RECT 2.780000  2.240000 3.110000 2.635000 ;
-      RECT 3.320000  1.530000 4.510000 1.700000 ;
-      RECT 3.380000  0.465000 3.570000 0.635000 ;
-      RECT 3.380000  0.635000 6.355000 0.885000 ;
-      RECT 3.760000  0.085000 4.090000 0.445000 ;
-      RECT 3.760000  2.070000 4.090000 2.465000 ;
-      RECT 4.260000  0.255000 4.430000 0.635000 ;
-      RECT 4.260000  1.700000 4.510000 2.465000 ;
-      RECT 4.600000  0.085000 4.930000 0.445000 ;
-      RECT 4.680000  1.870000 5.720000 2.070000 ;
-      RECT 4.680000  2.070000 4.850000 2.465000 ;
-      RECT 5.020000  2.240000 5.350000 2.635000 ;
-      RECT 5.100000  0.255000 5.270000 0.635000 ;
-      RECT 5.440000  0.085000 5.770000 0.445000 ;
-      RECT 5.520000  2.070000 5.720000 2.465000 ;
-      RECT 5.890000  1.870000 6.355000 2.465000 ;
-      RECT 5.940000  0.255000 6.355000 0.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.125000 4.455000 2.295000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.125000 6.295000 2.295000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-    LAYER met1 ;
-      RECT 4.225000 2.095000 4.515000 2.140000 ;
-      RECT 4.225000 2.140000 6.355000 2.280000 ;
-      RECT 4.225000 2.280000 4.515000 2.325000 ;
-      RECT 6.065000 2.095000 6.355000 2.140000 ;
-      RECT 6.065000 2.280000 6.355000 2.325000 ;
-  END
-END sky130_fd_sc_hd__o31a_4
-MACRO sky130_fd_sc_hd__o31a_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o31a_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.370000 0.995000 1.760000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.945000 0.995000 2.190000 1.325000 ;
-        RECT 1.990000 1.325000 2.190000 2.125000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.390000 0.995000 2.640000 2.125000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.855000 0.995000 3.255000 1.325000 ;
-    END
-  END B1
-  PIN X
-    ANTENNADIFFAREA  0.577500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.860000 1.295000 ;
-        RECT 0.550000 0.265000 0.990000 0.825000 ;
-        RECT 0.550000 0.825000 0.860000 1.075000 ;
-        RECT 0.550000 1.295000 0.860000 1.835000 ;
-        RECT 0.550000 1.835000 0.990000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.085000  0.085000 0.380000 0.905000 ;
-      RECT 0.085000  1.465000 0.380000 2.635000 ;
-      RECT 1.030000  0.995000 1.200000 1.445000 ;
-      RECT 1.030000  1.445000 1.820000 1.615000 ;
-      RECT 1.160000  0.085000 1.610000 0.825000 ;
-      RECT 1.165000  1.785000 1.480000 2.635000 ;
-      RECT 1.650000  1.615000 1.820000 2.295000 ;
-      RECT 1.650000  2.295000 3.080000 2.465000 ;
-      RECT 1.780000  0.255000 1.950000 0.655000 ;
-      RECT 1.780000  0.655000 2.940000 0.825000 ;
-      RECT 2.120000  0.085000 2.540000 0.485000 ;
-      RECT 2.710000  0.255000 2.940000 0.655000 ;
-      RECT 2.830000  1.495000 3.595000 1.665000 ;
-      RECT 2.830000  1.665000 3.080000 2.295000 ;
-      RECT 3.110000  0.255000 3.595000 0.825000 ;
-      RECT 3.255000  1.835000 3.590000 2.635000 ;
-      RECT 3.425000  0.825000 3.595000 1.495000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o31a_2
-MACRO sky130_fd_sc_hd__o2bb2a_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o2bb2a_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.360000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1_N
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.315000 1.075000 3.645000 1.445000 ;
-        RECT 3.315000 1.445000 4.965000 1.615000 ;
-        RECT 4.605000 1.075000 4.965000 1.445000 ;
-    END
-  END A1_N
-  PIN A2_N
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.815000 1.075000 4.435000 1.275000 ;
-    END
-  END A2_N
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.575000 1.445000 ;
-        RECT 0.085000 1.445000 1.895000 1.615000 ;
-        RECT 1.565000 1.075000 1.895000 1.445000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.805000 1.075000 1.345000 1.275000 ;
-    END
-  END B2
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.235000 0.275000 5.565000 0.725000 ;
-        RECT 5.235000 0.725000 6.910000 0.905000 ;
-        RECT 5.275000 1.785000 6.365000 1.955000 ;
-        RECT 5.275000 1.955000 5.525000 2.465000 ;
-        RECT 6.075000 0.275000 6.405000 0.725000 ;
-        RECT 6.115000 1.415000 6.910000 1.655000 ;
-        RECT 6.115000 1.655000 6.365000 1.785000 ;
-        RECT 6.115000 1.955000 6.365000 2.465000 ;
-        RECT 6.605000 0.905000 6.910000 1.415000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.360000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.550000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.360000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.360000 0.085000 ;
-      RECT 0.000000  2.635000 7.360000 2.805000 ;
-      RECT 0.095000  0.255000 0.425000 0.725000 ;
-      RECT 0.095000  0.725000 1.265000 0.735000 ;
-      RECT 0.095000  0.735000 2.025000 0.905000 ;
-      RECT 0.140000  1.795000 0.345000 2.635000 ;
-      RECT 0.555000  1.785000 0.805000 2.295000 ;
-      RECT 0.555000  2.295000 1.645000 2.465000 ;
-      RECT 0.595000  0.085000 0.765000 0.555000 ;
-      RECT 0.935000  0.255000 1.265000 0.725000 ;
-      RECT 0.975000  1.785000 2.615000 1.955000 ;
-      RECT 0.975000  1.955000 1.225000 2.125000 ;
-      RECT 1.395000  2.125000 1.645000 2.295000 ;
-      RECT 1.435000  0.085000 1.605000 0.555000 ;
-      RECT 1.775000  0.255000 2.945000 0.475000 ;
-      RECT 1.775000  0.475000 2.025000 0.735000 ;
-      RECT 1.815000  2.125000 2.065000 2.635000 ;
-      RECT 2.065000  1.075000 2.445000 1.415000 ;
-      RECT 2.065000  1.415000 2.615000 1.785000 ;
-      RECT 2.195000  0.645000 2.525000 0.815000 ;
-      RECT 2.195000  0.815000 2.445000 1.075000 ;
-      RECT 2.235000  1.955000 2.615000 1.965000 ;
-      RECT 2.235000  1.965000 2.525000 2.465000 ;
-      RECT 2.615000  1.075000 3.145000 1.245000 ;
-      RECT 2.695000  2.135000 3.425000 2.635000 ;
-      RECT 2.955000  0.725000 4.305000 0.905000 ;
-      RECT 2.955000  0.905000 3.145000 1.075000 ;
-      RECT 2.955000  1.245000 3.145000 1.785000 ;
-      RECT 2.955000  1.785000 4.685000 1.965000 ;
-      RECT 3.215000  0.085000 3.385000 0.555000 ;
-      RECT 3.555000  0.305000 4.725000 0.475000 ;
-      RECT 3.595000  1.965000 3.845000 2.125000 ;
-      RECT 3.975000  0.645000 4.305000 0.725000 ;
-      RECT 4.015000  2.135000 4.265000 2.635000 ;
-      RECT 4.435000  1.965000 4.685000 2.465000 ;
-      RECT 4.475000  0.475000 4.725000 0.895000 ;
-      RECT 4.855000  1.795000 5.105000 2.635000 ;
-      RECT 4.895000  0.085000 5.065000 0.895000 ;
-      RECT 5.165000  1.075000 6.435000 1.245000 ;
-      RECT 5.165000  1.245000 5.455000 1.615000 ;
-      RECT 5.695000  2.165000 5.945000 2.635000 ;
-      RECT 5.735000  0.085000 5.905000 0.555000 ;
-      RECT 6.535000  1.825000 6.785000 2.635000 ;
-      RECT 6.575000  0.085000 6.745000 0.555000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  1.445000 2.615000 1.615000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.225000  1.445000 5.395000 1.615000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-    LAYER met1 ;
-      RECT 2.385000 1.415000 2.675000 1.460000 ;
-      RECT 2.385000 1.460000 5.455000 1.600000 ;
-      RECT 2.385000 1.600000 2.675000 1.645000 ;
-      RECT 5.165000 1.415000 5.455000 1.460000 ;
-      RECT 5.165000 1.600000 5.455000 1.645000 ;
-  END
-END sky130_fd_sc_hd__o2bb2a_4
-MACRO sky130_fd_sc_hd__o2bb2a_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o2bb2a_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.770000 1.075000 1.220000 1.275000 ;
-    END
-  END A1_N
-  PIN A2_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.070000 0.380000 1.290000 0.735000 ;
-        RECT 1.070000 0.735000 1.565000 0.905000 ;
-        RECT 1.390000 0.905000 1.565000 1.100000 ;
-    END
-  END A2_N
-  PIN B1
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.250000 1.075000 3.595000 1.645000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.520000 1.075000 3.080000 1.325000 ;
-        RECT 2.905000 1.325000 3.080000 2.425000 ;
-    END
-  END B2
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.255000 0.425000 0.825000 ;
-        RECT 0.085000 0.825000 0.260000 1.795000 ;
-        RECT 0.085000 1.795000 0.345000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.430000  0.995000 0.600000 1.445000 ;
-      RECT 0.430000  1.445000 0.825000 1.615000 ;
-      RECT 0.515000  2.235000 0.845000 2.635000 ;
-      RECT 0.620000  0.085000 0.790000 0.750000 ;
-      RECT 0.655000  1.615000 0.825000 1.885000 ;
-      RECT 0.655000  1.885000 2.735000 2.055000 ;
-      RECT 0.995000  1.495000 2.010000 1.715000 ;
-      RECT 1.460000  0.395000 1.905000 0.565000 ;
-      RECT 1.715000  2.235000 2.115000 2.635000 ;
-      RECT 1.735000  0.565000 1.905000 1.355000 ;
-      RECT 1.735000  1.355000 2.010000 1.495000 ;
-      RECT 2.075000  0.320000 2.325000 0.690000 ;
-      RECT 2.155000  0.690000 2.325000 1.075000 ;
-      RECT 2.155000  1.075000 2.350000 1.245000 ;
-      RECT 2.180000  1.245000 2.350000 1.495000 ;
-      RECT 2.180000  1.495000 2.735000 1.885000 ;
-      RECT 2.405000  2.055000 2.735000 2.290000 ;
-      RECT 2.495000  0.320000 2.745000 0.725000 ;
-      RECT 2.495000  0.725000 3.595000 0.905000 ;
-      RECT 2.915000  0.085000 3.085000 0.555000 ;
-      RECT 3.250000  1.815000 3.595000 2.635000 ;
-      RECT 3.255000  0.320000 3.595000 0.725000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o2bb2a_1
-MACRO sky130_fd_sc_hd__o2bb2a_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o2bb2a_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1_N
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.215000 1.075000 1.685000 1.275000 ;
-    END
-  END A1_N
-  PIN A2_N
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.515000 0.380000 1.735000 0.735000 ;
-        RECT 1.515000 0.735000 2.020000 0.770000 ;
-        RECT 1.515000 0.770000 2.025000 0.905000 ;
-        RECT 1.855000 0.905000 2.025000 1.100000 ;
-    END
-  END A2_N
-  PIN B1
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.700000 1.075000 4.045000 1.645000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.970000 1.075000 3.525000 1.325000 ;
-        RECT 3.355000 1.325000 3.525000 2.425000 ;
-    END
-  END B2
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.535000 0.255000 0.870000 0.825000 ;
-        RECT 0.535000 0.825000 0.705000 1.795000 ;
-        RECT 0.535000 1.795000 0.790000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.135000 -0.085000 0.305000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.110000  0.085000 0.365000 0.910000 ;
-      RECT 0.110000  1.410000 0.365000 2.635000 ;
-      RECT 0.875000  0.995000 1.045000 1.445000 ;
-      RECT 0.875000  1.445000 1.270000 1.615000 ;
-      RECT 0.960000  2.235000 1.290000 2.635000 ;
-      RECT 1.065000  0.085000 1.235000 0.750000 ;
-      RECT 1.100000  1.615000 1.270000 1.885000 ;
-      RECT 1.100000  1.885000 3.185000 2.055000 ;
-      RECT 1.440000  1.495000 2.460000 1.715000 ;
-      RECT 1.905000  0.395000 2.365000 0.565000 ;
-      RECT 2.160000  2.235000 2.565000 2.635000 ;
-      RECT 2.195000  0.565000 2.365000 1.355000 ;
-      RECT 2.195000  1.355000 2.460000 1.495000 ;
-      RECT 2.535000  0.320000 2.780000 0.690000 ;
-      RECT 2.610000  0.690000 2.780000 1.075000 ;
-      RECT 2.610000  1.075000 2.800000 1.245000 ;
-      RECT 2.630000  1.245000 2.800000 1.495000 ;
-      RECT 2.630000  1.495000 3.185000 1.885000 ;
-      RECT 2.835000  2.055000 3.185000 2.425000 ;
-      RECT 2.955000  0.320000 3.185000 0.725000 ;
-      RECT 2.955000  0.725000 4.045000 0.905000 ;
-      RECT 3.375000  0.085000 3.545000 0.555000 ;
-      RECT 3.715000  0.320000 4.045000 0.725000 ;
-      RECT 3.730000  1.815000 4.045000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o2bb2a_2
-MACRO sky130_fd_sc_hd__lpflow_bleeder_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_bleeder_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN SHORT
-    ANTENNAGATEAREA  0.270000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.275000 1.040000 1.975000 1.730000 ;
-    END
-  END SHORT
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.285000  0.085000 0.615000 0.870000 ;
-      RECT 2.145000  0.540000 2.475000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_bleeder_1
-MACRO sky130_fd_sc_hd__o21bai_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o21bai_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.195000 1.075000 2.675000 1.285000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.525000 1.075000 2.025000 1.285000 ;
-    END
-  END A2
-  PIN B1_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.535000 1.345000 ;
-        RECT 0.085000 1.345000 0.355000 2.445000 ;
-    END
-  END B1_N
-  PIN Y
-    ANTENNADIFFAREA  0.474000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.115000 0.255000 1.285000 0.645000 ;
-        RECT 1.115000 0.645000 1.355000 0.825000 ;
-        RECT 1.185000 0.825000 1.355000 1.455000 ;
-        RECT 1.185000 1.455000 1.795000 1.625000 ;
-        RECT 1.470000 1.625000 1.795000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.085000  0.085000 0.360000 0.825000 ;
-      RECT 0.525000  1.535000 1.015000 1.705000 ;
-      RECT 0.525000  1.705000 0.800000 2.210000 ;
-      RECT 0.580000  0.495000 0.770000 0.655000 ;
-      RECT 0.580000  0.655000 0.890000 0.825000 ;
-      RECT 0.720000  0.825000 0.890000 0.995000 ;
-      RECT 0.720000  0.995000 1.015000 1.535000 ;
-      RECT 0.970000  1.875000 1.300000 2.635000 ;
-      RECT 1.490000  0.255000 1.820000 0.485000 ;
-      RECT 1.570000  0.485000 1.740000 0.735000 ;
-      RECT 1.570000  0.735000 2.665000 0.905000 ;
-      RECT 1.995000  0.085000 2.165000 0.555000 ;
-      RECT 2.270000  1.535000 2.645000 2.635000 ;
-      RECT 2.335000  0.270000 2.665000 0.735000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o21bai_1
-MACRO sky130_fd_sc_hd__o21bai_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o21bai_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.260000 1.075000 4.055000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.950000 1.075000 3.090000 1.275000 ;
-    END
-  END A2
-  PIN B1_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.525000 1.325000 ;
-    END
-  END B1_N
-  PIN Y
-    ANTENNADIFFAREA  0.715500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.085000 1.445000 2.650000 1.615000 ;
-        RECT 1.085000 1.615000 1.255000 2.465000 ;
-        RECT 1.525000 0.645000 1.855000 0.905000 ;
-        RECT 1.525000 0.905000 1.780000 1.445000 ;
-        RECT 2.405000 1.615000 2.650000 2.125000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.180000  0.085000 0.350000 0.825000 ;
-      RECT 0.180000  1.495000 0.865000 1.665000 ;
-      RECT 0.180000  1.665000 0.350000 1.915000 ;
-      RECT 0.585000  1.875000 0.915000 2.635000 ;
-      RECT 0.600000  0.445000 0.865000 0.825000 ;
-      RECT 0.695000  0.825000 0.865000 1.075000 ;
-      RECT 0.695000  1.075000 1.335000 1.245000 ;
-      RECT 0.695000  1.245000 0.865000 1.495000 ;
-      RECT 1.075000  0.255000 2.275000 0.475000 ;
-      RECT 1.075000  0.475000 1.355000 0.905000 ;
-      RECT 1.470000  1.795000 1.720000 2.635000 ;
-      RECT 1.955000  1.795000 2.235000 2.295000 ;
-      RECT 1.955000  2.295000 3.035000 2.465000 ;
-      RECT 2.025000  0.475000 2.275000 0.725000 ;
-      RECT 2.025000  0.725000 3.980000 0.905000 ;
-      RECT 2.445000  0.085000 2.615000 0.555000 ;
-      RECT 2.785000  0.255000 3.115000 0.725000 ;
-      RECT 2.865000  1.455000 3.980000 1.665000 ;
-      RECT 2.865000  1.665000 3.035000 2.295000 ;
-      RECT 3.205000  1.835000 3.535000 2.635000 ;
-      RECT 3.285000  0.085000 3.455000 0.555000 ;
-      RECT 3.625000  0.265000 3.980000 0.725000 ;
-      RECT 3.705000  1.665000 3.980000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o21bai_2
-MACRO sky130_fd_sc_hd__o21bai_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o21bai_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.900000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.645000 1.075000 6.810000 1.285000 ;
-        RECT 6.585000 1.285000 6.810000 2.455000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.065000 1.075000 4.475000 1.275000 ;
-    END
-  END A2
-  PIN B1_N
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.555000 1.285000 ;
-    END
-  END B1_N
-  PIN Y
-    ANTENNADIFFAREA  1.431000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.065000 1.455000 4.315000 1.625000 ;
-        RECT 1.065000 1.625000 1.275000 2.465000 ;
-        RECT 1.420000 0.645000 2.675000 0.815000 ;
-        RECT 1.865000 1.625000 2.115000 2.465000 ;
-        RECT 2.445000 0.815000 2.675000 1.075000 ;
-        RECT 2.445000 1.075000 2.895000 1.445000 ;
-        RECT 2.445000 1.445000 4.315000 1.455000 ;
-        RECT 3.225000 1.625000 3.475000 2.125000 ;
-        RECT 4.065000 1.625000 4.315000 2.125000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 6.900000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.090000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.900000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.900000 0.085000 ;
-      RECT 0.000000  2.635000 6.900000 2.805000 ;
-      RECT 0.145000  1.455000 0.895000 1.625000 ;
-      RECT 0.145000  1.625000 0.475000 2.435000 ;
-      RECT 0.225000  0.085000 0.395000 0.895000 ;
-      RECT 0.565000  0.290000 0.895000 0.895000 ;
-      RECT 0.645000  1.795000 0.855000 2.635000 ;
-      RECT 0.725000  0.895000 0.895000 1.075000 ;
-      RECT 0.725000  1.075000 2.275000 1.285000 ;
-      RECT 0.725000  1.285000 0.895000 1.455000 ;
-      RECT 1.080000  0.305000 3.095000 0.475000 ;
-      RECT 1.445000  1.795000 1.695000 2.635000 ;
-      RECT 2.285000  1.795000 2.535000 2.635000 ;
-      RECT 2.775000  1.795000 3.055000 2.295000 ;
-      RECT 2.775000  2.295000 4.735000 2.465000 ;
-      RECT 2.845000  0.475000 3.095000 0.725000 ;
-      RECT 2.845000  0.725000 6.455000 0.905000 ;
-      RECT 3.265000  0.085000 3.435000 0.555000 ;
-      RECT 3.605000  0.255000 3.935000 0.725000 ;
-      RECT 3.645000  1.795000 3.895000 2.295000 ;
-      RECT 4.105000  0.085000 4.275000 0.555000 ;
-      RECT 4.445000  0.255000 4.775000 0.725000 ;
-      RECT 4.485000  1.455000 6.415000 1.625000 ;
-      RECT 4.485000  1.625000 4.735000 2.295000 ;
-      RECT 4.905000  1.795000 5.155000 2.635000 ;
-      RECT 4.945000  0.085000 5.115000 0.555000 ;
-      RECT 5.285000  0.255000 5.615000 0.725000 ;
-      RECT 5.325000  1.625000 5.575000 2.465000 ;
-      RECT 5.745000  1.795000 5.995000 2.635000 ;
-      RECT 5.785000  0.085000 5.955000 0.555000 ;
-      RECT 6.125000  0.255000 6.455000 0.725000 ;
-      RECT 6.165000  1.625000 6.415000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o21bai_4
-MACRO sky130_fd_sc_hd__nor2b_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nor2b_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.300000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.960000 1.065000 1.325000 1.325000 ;
-    END
-  END A
-  PIN B_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.100000 0.725000 0.325000 1.325000 ;
-    END
-  END B_N
-  PIN Y
-    ANTENNADIFFAREA  0.435500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.235000 0.255000 1.565000 0.725000 ;
-        RECT 1.235000 0.725000 2.215000 0.895000 ;
-        RECT 1.655000 1.850000 2.215000 2.465000 ;
-        RECT 2.035000 0.895000 2.215000 1.850000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.300000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.490000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.300000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.300000 0.085000 ;
-      RECT 0.000000  2.635000 2.300000 2.805000 ;
-      RECT 0.330000  0.370000 0.675000 0.545000 ;
-      RECT 0.415000  1.510000 1.705000 1.680000 ;
-      RECT 0.415000  1.680000 0.675000 1.905000 ;
-      RECT 0.495000  0.545000 0.675000 1.510000 ;
-      RECT 0.855000  0.085000 1.065000 0.895000 ;
-      RECT 0.875000  1.855000 1.205000 2.635000 ;
-      RECT 1.535000  1.075000 1.865000 1.245000 ;
-      RECT 1.535000  1.245000 1.705000 1.510000 ;
-      RECT 1.735000  0.085000 2.120000 0.555000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nor2b_1
-MACRO sky130_fd_sc_hd__nor2b_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nor2b_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.480000 1.065000 0.920000 1.275000 ;
-    END
-  END A
-  PIN B_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.600000 1.065000 3.125000 1.275000 ;
-        RECT 2.910000 1.275000 3.125000 1.965000 ;
-    END
-  END B_N
-  PIN Y
-    ANTENNADIFFAREA  0.621000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.535000 0.255000 0.865000 0.725000 ;
-        RECT 0.535000 0.725000 1.705000 0.895000 ;
-        RECT 1.375000 0.255000 1.705000 0.725000 ;
-        RECT 1.415000 0.895000 1.665000 2.125000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.085000  0.085000 0.365000 0.895000 ;
-      RECT 0.085000  1.445000 1.245000 1.655000 ;
-      RECT 0.085000  1.655000 0.405000 2.465000 ;
-      RECT 0.575000  1.825000 0.825000 2.635000 ;
-      RECT 0.995000  1.655000 1.245000 2.295000 ;
-      RECT 0.995000  2.295000 2.125000 2.465000 ;
-      RECT 1.035000  0.085000 1.205000 0.555000 ;
-      RECT 1.835000  1.445000 2.090000 1.890000 ;
-      RECT 1.835000  1.890000 2.125000 2.295000 ;
-      RECT 1.875000  0.085000 2.045000 0.895000 ;
-      RECT 1.875000  1.075000 2.430000 1.245000 ;
-      RECT 2.215000  0.725000 2.565000 0.895000 ;
-      RECT 2.215000  0.895000 2.430000 1.075000 ;
-      RECT 2.260000  1.245000 2.430000 1.445000 ;
-      RECT 2.260000  1.445000 2.565000 1.615000 ;
-      RECT 2.395000  0.445000 2.565000 0.725000 ;
-      RECT 2.395000  1.615000 2.565000 2.460000 ;
-      RECT 2.775000  0.085000 3.030000 0.845000 ;
-      RECT 2.775000  2.145000 3.025000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nor2b_2
-MACRO sky130_fd_sc_hd__nor2b_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nor2b_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.060000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.360000 1.075000 1.800000 1.275000 ;
-    END
-  END A
-  PIN B_N
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.445000 1.075000 4.975000 1.320000 ;
-    END
-  END B_N
-  PIN Y
-    ANTENNADIFFAREA  1.242000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.535000 0.255000 0.865000 0.725000 ;
-        RECT 0.535000 0.725000 3.385000 0.905000 ;
-        RECT 1.375000 0.255000 1.705000 0.725000 ;
-        RECT 2.215000 0.255000 2.545000 0.725000 ;
-        RECT 2.295000 0.905000 2.625000 1.445000 ;
-        RECT 2.295000 1.445000 3.305000 1.745000 ;
-        RECT 2.295000 1.745000 2.465000 2.125000 ;
-        RECT 3.055000 0.255000 3.385000 0.725000 ;
-        RECT 3.135000 1.745000 3.305000 2.125000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.060000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.250000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.060000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.060000 0.085000 ;
-      RECT 0.000000  2.635000 5.060000 2.805000 ;
-      RECT 0.085000  0.085000 0.365000 0.905000 ;
-      RECT 0.085000  1.455000 2.125000 1.665000 ;
-      RECT 0.085000  1.665000 0.365000 2.465000 ;
-      RECT 0.535000  1.835000 0.865000 2.635000 ;
-      RECT 1.035000  0.085000 1.205000 0.555000 ;
-      RECT 1.035000  1.665000 1.205000 2.465000 ;
-      RECT 1.375000  1.835000 1.625000 2.635000 ;
-      RECT 1.795000  1.665000 2.125000 2.295000 ;
-      RECT 1.795000  2.295000 3.855000 2.465000 ;
-      RECT 1.875000  0.085000 2.045000 0.555000 ;
-      RECT 2.635000  1.935000 2.965000 2.295000 ;
-      RECT 2.715000  0.085000 2.885000 0.555000 ;
-      RECT 2.795000  1.075000 4.275000 1.275000 ;
-      RECT 3.475000  1.575000 3.855000 2.295000 ;
-      RECT 3.555000  0.085000 3.845000 0.905000 ;
-      RECT 4.025000  0.255000 4.355000 0.815000 ;
-      RECT 4.025000  0.815000 4.275000 1.075000 ;
-      RECT 4.025000  1.275000 4.275000 1.575000 ;
-      RECT 4.025000  1.575000 4.355000 2.465000 ;
-      RECT 4.525000  0.085000 4.815000 0.905000 ;
-      RECT 4.525000  1.495000 4.930000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nor2b_4
-MACRO sky130_fd_sc_hd__o32ai_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o32ai_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  10.12000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 8.290000 1.075000 10.035000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.090000 1.075000 7.260000 1.275000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.770000 1.075000 5.380000 1.275000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.205000 1.075000 3.540000 1.275000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.110000 1.075000 1.685000 1.275000 ;
-    END
-  END B2
-  PIN Y
-    ANTENNADIFFAREA  1.782000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 0.655000 3.380000 0.905000 ;
-        RECT 0.515000 1.495000 5.580000 1.665000 ;
-        RECT 0.515000 1.665000 0.845000 2.085000 ;
-        RECT 1.355000 1.665000 1.700000 2.085000 ;
-        RECT 1.855000 0.905000 2.035000 1.495000 ;
-        RECT 4.410000 1.665000 4.740000 2.085000 ;
-        RECT 5.250000 1.665000 5.580000 2.085000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 10.120000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 10.310000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 10.120000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 10.120000 0.085000 ;
-      RECT 0.000000  2.635000 10.120000 2.805000 ;
-      RECT 0.090000  0.255000  3.800000 0.465000 ;
-      RECT 0.090000  0.465000  0.345000 0.905000 ;
-      RECT 0.090000  1.495000  0.345000 2.255000 ;
-      RECT 0.090000  2.255000  2.040000 2.465000 ;
-      RECT 1.015000  1.835000  1.185000 2.255000 ;
-      RECT 1.870000  1.835000  3.800000 2.005000 ;
-      RECT 1.870000  2.005000  2.040000 2.255000 ;
-      RECT 2.210000  2.175000  2.540000 2.635000 ;
-      RECT 2.710000  2.005000  2.880000 2.425000 ;
-      RECT 3.050000  2.175000  3.380000 2.635000 ;
-      RECT 3.550000  0.465000  3.800000 0.735000 ;
-      RECT 3.550000  0.735000 10.035000 0.905000 ;
-      RECT 3.550000  2.005000  3.800000 2.465000 ;
-      RECT 3.970000  0.085000  4.140000 0.545000 ;
-      RECT 3.990000  1.835000  4.240000 2.255000 ;
-      RECT 3.990000  2.255000  7.680000 2.465000 ;
-      RECT 4.310000  0.255000  4.640000 0.735000 ;
-      RECT 4.810000  0.085000  5.140000 0.545000 ;
-      RECT 4.910000  1.835000  5.080000 2.255000 ;
-      RECT 5.310000  0.255000  5.980000 0.735000 ;
-      RECT 5.750000  1.835000  5.920000 2.255000 ;
-      RECT 6.090000  1.495000  9.460000 1.665000 ;
-      RECT 6.090000  1.665000  6.420000 2.085000 ;
-      RECT 6.170000  0.085000  6.340000 0.545000 ;
-      RECT 6.510000  0.255000  6.840000 0.735000 ;
-      RECT 6.590000  1.835000  6.760000 2.255000 ;
-      RECT 6.930000  1.665000  7.260000 2.085000 ;
-      RECT 7.010000  0.085000  7.180000 0.545000 ;
-      RECT 7.350000  0.255000  8.040000 0.735000 ;
-      RECT 7.430000  1.835000  7.680000 2.255000 ;
-      RECT 7.870000  1.835000  8.120000 2.635000 ;
-      RECT 8.290000  1.665000  8.620000 2.465000 ;
-      RECT 8.370000  0.085000  8.540000 0.545000 ;
-      RECT 8.710000  0.255000  9.040000 0.735000 ;
-      RECT 8.790000  1.835000  8.960000 2.635000 ;
-      RECT 9.130000  1.665000  9.460000 2.465000 ;
-      RECT 9.210000  0.085000  9.470000 0.545000 ;
-      RECT 9.630000  1.495000 10.035000 2.635000 ;
-      RECT 9.645000  0.255000 10.035000 0.735000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-      RECT 9.805000 -0.085000 9.975000 0.085000 ;
-      RECT 9.805000  2.635000 9.975000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o32ai_4
-MACRO sky130_fd_sc_hd__o32ai_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o32ai_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.575000 0.995000 3.135000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.930000 0.995000 2.225000 2.465000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.410000 0.995000 1.700000 1.615000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.685000 0.345000 0.995000 ;
-        RECT 0.090000 0.995000 0.360000 1.325000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.870000 0.995000 1.240000 1.615000 ;
-    END
-  END B2
-  PIN Y
-    ANTENNADIFFAREA  0.821250 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 0.655000 0.845000 0.825000 ;
-        RECT 0.530000 0.825000 0.700000 1.785000 ;
-        RECT 0.530000 1.785000 1.545000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.090000  0.255000 1.345000 0.485000 ;
-      RECT 0.090000  1.495000 0.360000 2.635000 ;
-      RECT 1.015000  0.485000 1.345000 0.655000 ;
-      RECT 1.015000  0.655000 2.525000 0.825000 ;
-      RECT 1.515000  0.085000 2.185000 0.485000 ;
-      RECT 2.355000  0.375000 2.525000 0.655000 ;
-      RECT 2.695000  0.085000 3.135000 0.825000 ;
-      RECT 2.695000  1.495000 3.135000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o32ai_1
-MACRO sky130_fd_sc_hd__o32ai_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o32ai_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.980000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.750000 1.075000 5.865000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.370000 1.075000 4.480000 1.325000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.405000 1.075000 3.065000 1.325000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.015000 1.075000 1.705000 1.325000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 1.075000 0.845000 1.325000 ;
-    END
-  END B2
-  PIN Y
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 0.655000 2.045000 0.905000 ;
-        RECT 0.515000 1.495000 3.105000 1.665000 ;
-        RECT 0.515000 1.665000 0.845000 2.095000 ;
-        RECT 1.875000 0.905000 2.045000 1.105000 ;
-        RECT 1.875000 1.105000 2.170000 1.495000 ;
-        RECT 2.775000 1.665000 3.105000 2.085000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.980000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.170000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.980000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.980000 0.085000 ;
-      RECT 0.000000  2.635000 5.980000 2.805000 ;
-      RECT 0.090000  0.255000 2.405000 0.485000 ;
-      RECT 0.090000  0.485000 0.345000 0.905000 ;
-      RECT 0.090000  1.495000 0.345000 2.295000 ;
-      RECT 0.090000  2.295000 1.265000 2.465000 ;
-      RECT 1.015000  1.835000 2.105000 2.005000 ;
-      RECT 1.015000  2.005000 1.265000 2.295000 ;
-      RECT 1.435000  2.175000 1.605000 2.635000 ;
-      RECT 1.775000  2.005000 2.105000 2.455000 ;
-      RECT 2.235000  0.485000 2.405000 0.715000 ;
-      RECT 2.235000  0.715000 5.755000 0.905000 ;
-      RECT 2.335000  1.835000 2.585000 2.255000 ;
-      RECT 2.335000  2.255000 4.385000 2.445000 ;
-      RECT 2.620000  0.085000 2.950000 0.545000 ;
-      RECT 3.135000  0.255000 3.465000 0.715000 ;
-      RECT 3.275000  1.495000 3.445000 2.255000 ;
-      RECT 3.615000  1.495000 5.325000 1.665000 ;
-      RECT 3.615000  1.665000 3.945000 2.085000 ;
-      RECT 3.635000  0.085000 3.805000 0.545000 ;
-      RECT 4.055000  0.255000 4.725000 0.715000 ;
-      RECT 4.135000  1.835000 4.385000 2.255000 ;
-      RECT 4.620000  1.835000 4.825000 2.635000 ;
-      RECT 4.905000  0.085000 5.235000 0.545000 ;
-      RECT 4.995000  1.665000 5.325000 2.460000 ;
-      RECT 5.425000  0.255000 5.755000 0.715000 ;
-      RECT 5.495000  1.495000 5.715000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o32ai_2
-MACRO sky130_fd_sc_hd__a2111oi_0
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a2111oi_0 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.035000 1.070000 2.625000 1.400000 ;
-        RECT 2.355000 0.660000 2.625000 1.070000 ;
-        RECT 2.355000 1.400000 2.625000 1.735000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.795000 0.650000 3.135000 1.735000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.495000 1.055000 1.845000 1.735000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.955000 1.055000 1.325000 2.360000 ;
-    END
-  END C1
-  PIN D1
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.730000 0.435000 1.655000 ;
-    END
-  END D1
-  PIN Y
-    ANTENNADIFFAREA  0.424000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.360000 1.825000 0.785000 2.465000 ;
-        RECT 0.605000 0.635000 2.040000 0.885000 ;
-        RECT 0.605000 0.885000 0.785000 1.825000 ;
-        RECT 0.785000 0.255000 1.040000 0.615000 ;
-        RECT 0.785000 0.615000 2.040000 0.635000 ;
-        RECT 1.710000 0.280000 2.040000 0.615000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.285000  0.085000 0.615000 0.465000 ;
-      RECT 1.210000  0.085000 1.540000 0.445000 ;
-      RECT 1.540000  1.905000 2.870000 2.085000 ;
-      RECT 1.540000  2.085000 1.870000 2.465000 ;
-      RECT 2.040000  2.255000 2.370000 2.635000 ;
-      RECT 2.470000  0.085000 2.800000 0.480000 ;
-      RECT 2.540000  2.085000 2.870000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a2111oi_0
-MACRO sky130_fd_sc_hd__a2111oi_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a2111oi_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.520000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.465000 0.985000 3.715000 1.445000 ;
-        RECT 3.465000 1.445000 5.290000 1.675000 ;
-        RECT 4.895000 0.995000 5.290000 1.445000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.970000 1.015000 4.725000 1.275000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.185000 1.030000 2.855000 1.275000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.125000 1.045000 0.455000 1.445000 ;
-        RECT 0.125000 1.445000 1.800000 1.680000 ;
-        RECT 1.615000 1.030000 1.975000 1.275000 ;
-        RECT 1.615000 1.275000 1.800000 1.445000 ;
-    END
-  END C1
-  PIN D1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.755000 1.075000 1.425000 1.275000 ;
-    END
-  END D1
-  PIN Y
-    ANTENNADIFFAREA  1.212750 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.120000 0.255000 0.380000 0.615000 ;
-        RECT 0.120000 0.615000 5.355000 0.805000 ;
-        RECT 0.120000 0.805000 3.255000 0.845000 ;
-        RECT 0.900000 1.850000 2.140000 2.105000 ;
-        RECT 1.050000 0.255000 1.295000 0.615000 ;
-        RECT 1.965000 0.255000 2.295000 0.615000 ;
-        RECT 1.970000 1.445000 3.255000 1.625000 ;
-        RECT 1.970000 1.625000 2.140000 1.850000 ;
-        RECT 2.965000 0.275000 3.295000 0.615000 ;
-        RECT 3.025000 0.845000 3.255000 1.445000 ;
-        RECT 5.020000 0.295000 5.355000 0.615000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.520000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.710000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.520000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.520000 0.085000 ;
-      RECT 0.000000  2.635000 5.520000 2.805000 ;
-      RECT 0.100000  1.870000 0.460000 2.275000 ;
-      RECT 0.100000  2.275000 2.185000 2.295000 ;
-      RECT 0.100000  2.295000 2.985000 2.465000 ;
-      RECT 0.550000  0.085000 0.880000 0.445000 ;
-      RECT 1.465000  0.085000 1.795000 0.445000 ;
-      RECT 2.310000  1.795000 3.335000 1.845000 ;
-      RECT 2.310000  1.845000 5.400000 1.965000 ;
-      RECT 2.310000  1.965000 2.640000 2.060000 ;
-      RECT 2.465000  0.085000 2.795000 0.445000 ;
-      RECT 2.815000  2.135000 2.985000 2.295000 ;
-      RECT 3.155000  1.965000 5.400000 2.095000 ;
-      RECT 3.155000  2.095000 3.520000 2.465000 ;
-      RECT 3.690000  2.275000 4.020000 2.635000 ;
-      RECT 4.125000  0.085000 4.455000 0.445000 ;
-      RECT 4.190000  2.095000 5.400000 2.105000 ;
-      RECT 4.190000  2.105000 4.400000 2.465000 ;
-      RECT 4.570000  2.275000 4.900000 2.635000 ;
-      RECT 5.070000  2.105000 5.400000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a2111oi_2
-MACRO sky130_fd_sc_hd__a2111oi_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a2111oi_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  10.12000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.095000 1.020000 7.745000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.960000 1.020000 9.990000 1.275000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.955000 1.020000 5.650000 1.275000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.055000 1.020000 3.745000 1.275000 ;
-    END
-  END C1
-  PIN D1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.495000 1.020000 1.845000 1.275000 ;
-    END
-  END D1
-  PIN Y
-    ANTENNADIFFAREA  2.009500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.145000 0.615000 7.620000 0.785000 ;
-        RECT 0.145000 0.785000 0.320000 1.475000 ;
-        RECT 0.145000 1.475000 1.720000 1.655000 ;
-        RECT 0.530000 1.655000 1.720000 1.685000 ;
-        RECT 0.530000 1.685000 0.860000 2.085000 ;
-        RECT 0.615000 0.455000 0.790000 0.615000 ;
-        RECT 1.390000 1.685000 1.720000 2.085000 ;
-        RECT 1.460000 0.455000 1.650000 0.615000 ;
-        RECT 2.400000 0.455000 2.590000 0.615000 ;
-        RECT 3.260000 0.455000 3.510000 0.615000 ;
-        RECT 4.180000 0.455000 4.420000 0.615000 ;
-        RECT 5.090000 0.455000 5.275000 0.615000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 10.120000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 10.310000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 10.120000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 10.120000 0.085000 ;
-      RECT 0.000000  2.635000 10.120000 2.805000 ;
-      RECT 0.100000  1.835000  0.360000 2.255000 ;
-      RECT 0.100000  2.255000  3.870000 2.445000 ;
-      RECT 0.115000  0.085000  0.445000 0.445000 ;
-      RECT 0.960000  0.085000  1.290000 0.445000 ;
-      RECT 1.030000  1.855000  1.220000 2.255000 ;
-      RECT 1.820000  0.085000  2.230000 0.445000 ;
-      RECT 1.890000  1.855000  2.080000 2.255000 ;
-      RECT 2.250000  1.475000  5.680000 1.655000 ;
-      RECT 2.250000  1.655000  3.440000 1.685000 ;
-      RECT 2.250000  1.685000  2.580000 2.085000 ;
-      RECT 2.750000  1.855000  2.940000 2.255000 ;
-      RECT 2.760000  0.085000  3.090000 0.445000 ;
-      RECT 3.110000  1.685000  3.440000 2.085000 ;
-      RECT 3.610000  1.835000  3.870000 2.255000 ;
-      RECT 3.680000  0.085000  4.010000 0.445000 ;
-      RECT 4.060000  1.835000  4.320000 2.255000 ;
-      RECT 4.060000  2.255000  5.180000 2.275000 ;
-      RECT 4.060000  2.275000  6.050000 2.445000 ;
-      RECT 4.490000  1.655000  5.680000 1.685000 ;
-      RECT 4.490000  1.685000  4.820000 2.085000 ;
-      RECT 4.590000  0.085000  4.920000 0.445000 ;
-      RECT 4.990000  1.855000  5.180000 2.255000 ;
-      RECT 5.350000  1.685000  5.680000 2.085000 ;
-      RECT 5.445000  0.085000  5.780000 0.445000 ;
-      RECT 5.860000  1.445000  9.770000 1.615000 ;
-      RECT 5.860000  1.615000  6.050000 2.275000 ;
-      RECT 5.980000  0.275000  8.075000 0.445000 ;
-      RECT 6.220000  1.785000  6.550000 2.635000 ;
-      RECT 6.720000  1.615000  6.910000 2.315000 ;
-      RECT 7.080000  1.805000  7.410000 2.635000 ;
-      RECT 7.580000  1.615000  9.770000 1.665000 ;
-      RECT 7.580000  1.665000  7.910000 2.315000 ;
-      RECT 7.885000  0.445000  8.075000 0.615000 ;
-      RECT 7.885000  0.615000  9.865000 0.785000 ;
-      RECT 8.080000  1.895000  8.410000 2.635000 ;
-      RECT 8.245000  0.085000  8.575000 0.445000 ;
-      RECT 8.580000  1.665000  9.770000 1.670000 ;
-      RECT 8.580000  1.670000  8.840000 2.290000 ;
-      RECT 8.745000  0.300000  8.935000 0.615000 ;
-      RECT 9.030000  1.915000  9.360000 2.635000 ;
-      RECT 9.105000  0.085000  9.435000 0.445000 ;
-      RECT 9.530000  1.670000  9.770000 2.260000 ;
-      RECT 9.605000  0.290000  9.865000 0.615000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-      RECT 9.805000 -0.085000 9.975000 0.085000 ;
-      RECT 9.805000  2.635000 9.975000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a2111oi_4
-MACRO sky130_fd_sc_hd__a2111oi_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a2111oi_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.440000 0.995000 2.725000 1.400000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.905000 0.350000 3.090000 1.020000 ;
-        RECT 2.905000 1.020000 3.540000 1.290000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.940000 1.050000 2.270000 1.400000 ;
-        RECT 1.940000 1.400000 2.215000 2.455000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.435000 1.050000 1.770000 2.455000 ;
-    END
-  END C1
-  PIN D1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.785000 1.050000 1.235000 2.455000 ;
-    END
-  END D1
-  PIN Y
-    ANTENNADIFFAREA  1.388750 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.145000 0.700000 1.375000 0.705000 ;
-        RECT 0.145000 0.705000 2.420000 0.815000 ;
-        RECT 0.145000 0.815000 2.300000 0.880000 ;
-        RECT 0.145000 0.880000 0.530000 2.460000 ;
-        RECT 1.045000 0.260000 1.375000 0.700000 ;
-        RECT 2.090000 0.305000 2.420000 0.705000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-    PORT
-      LAYER pwell ;
-        RECT 1.975000 -0.065000 2.145000 0.105000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.315000  0.085000 0.630000 0.525000 ;
-      RECT 1.550000  0.085000 1.880000 0.535000 ;
-      RECT 2.395000  1.580000 3.505000 1.750000 ;
-      RECT 2.395000  1.750000 2.625000 2.460000 ;
-      RECT 2.800000  1.920000 3.130000 2.635000 ;
-      RECT 3.270000  0.085000 3.510000 0.760000 ;
-      RECT 3.310000  1.750000 3.505000 2.460000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a2111oi_1
-MACRO sky130_fd_sc_hd__lpflow_clkinvkapwr_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_clkinvkapwr_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  1.152000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.445000 1.065000 2.660000 1.290000 ;
-    END
-  END A
-  PIN Y
-    ANTENNADIFFAREA  1.075200 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.105000 0.725000 3.135000 0.895000 ;
-        RECT 0.105000 0.895000 0.275000 1.460000 ;
-        RECT 0.105000 1.460000 3.135000 1.630000 ;
-        RECT 0.645000 1.630000 0.815000 2.435000 ;
-        RECT 1.030000 0.280000 1.290000 0.725000 ;
-        RECT 1.505000 1.630000 1.675000 2.435000 ;
-        RECT 1.890000 0.280000 2.145000 0.725000 ;
-        RECT 2.365000 1.630000 2.535000 2.435000 ;
-        RECT 2.835000 0.895000 3.135000 1.460000 ;
-    END
-  END Y
-  PIN KAPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 0.135000 1.800000 0.465000 2.465000 ;
-      LAYER mcon ;
-        RECT 0.195000 2.125000 0.365000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 0.995000 1.800000 1.325000 2.465000 ;
-      LAYER mcon ;
-        RECT 1.055000 2.125000 1.225000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 1.855000 1.800000 2.185000 2.465000 ;
-      LAYER mcon ;
-        RECT 1.955000 2.125000 2.125000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 2.715000 1.800000 3.045000 2.465000 ;
-      LAYER mcon ;
-        RECT 2.835000 2.125000 3.005000 2.295000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 2.140000 3.150000 2.340000 ;
-        RECT 0.135000 2.080000 0.425000 2.140000 ;
-        RECT 0.995000 2.080000 1.285000 2.140000 ;
-        RECT 1.895000 2.080000 2.185000 2.140000 ;
-        RECT 2.775000 2.080000 3.065000 2.140000 ;
-    END
-  END KAPWR
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.565000  0.085000 0.860000 0.555000 ;
-      RECT 1.460000  0.085000 1.720000 0.555000 ;
-      RECT 2.315000  0.085000 2.615000 0.555000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_clkinvkapwr_4
-MACRO sky130_fd_sc_hd__lpflow_clkinvkapwr_16
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_clkinvkapwr_16 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  11.04000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  4.608000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.345000 0.895000  2.155000 1.275000 ;
-        RECT 8.930000 0.895000 10.710000 1.275000 ;
-      LAYER mcon ;
-        RECT 1.525000 1.105000 1.695000 1.275000 ;
-        RECT 1.985000 1.105000 2.155000 1.275000 ;
-        RECT 9.345000 1.105000 9.515000 1.275000 ;
-        RECT 9.805000 1.105000 9.975000 1.275000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 1.465000 1.075000  2.215000 1.120000 ;
-        RECT 1.465000 1.120000 10.035000 1.260000 ;
-        RECT 1.465000 1.260000  2.215000 1.305000 ;
-        RECT 9.285000 1.075000 10.035000 1.120000 ;
-        RECT 9.285000 1.260000 10.035000 1.305000 ;
-    END
-  END A
-  PIN Y
-    ANTENNADIFFAREA  4.520900 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT  0.615000 1.455000 10.480000 1.665000 ;
-        RECT  0.615000 1.665000  0.785000 2.465000 ;
-        RECT  1.475000 1.665000  1.645000 2.465000 ;
-        RECT  2.325000 0.280000  2.550000 1.415000 ;
-        RECT  2.325000 1.415000  8.755000 1.455000 ;
-        RECT  2.335000 1.665000  2.505000 2.465000 ;
-        RECT  3.155000 0.280000  3.410000 1.415000 ;
-        RECT  3.195000 1.665000  3.365000 2.465000 ;
-        RECT  4.015000 0.280000  4.255000 1.415000 ;
-        RECT  4.055000 1.665000  4.225000 2.465000 ;
-        RECT  4.905000 0.280000  5.255000 1.415000 ;
-        RECT  5.080000 1.665000  5.250000 2.465000 ;
-        RECT  5.925000 0.280000  6.175000 1.415000 ;
-        RECT  5.965000 1.665000  6.135000 2.465000 ;
-        RECT  6.785000 0.280000  7.035000 1.415000 ;
-        RECT  6.825000 1.665000  6.995000 2.465000 ;
-        RECT  7.645000 0.280000  7.895000 1.415000 ;
-        RECT  7.685000 1.665000  7.855000 2.465000 ;
-        RECT  8.505000 0.280000  8.755000 1.415000 ;
-        RECT  8.545000 1.665000  8.715000 2.465000 ;
-        RECT  9.405000 1.665000  9.575000 2.465000 ;
-        RECT 10.265000 1.665000 10.435000 2.465000 ;
-    END
-  END Y
-  PIN KAPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT  0.110000 1.495000  0.440000 2.465000 ;
-        RECT 10.610000 1.835000 10.940000 2.465000 ;
-      LAYER mcon ;
-        RECT  0.130000 2.125000  0.300000 2.295000 ;
-        RECT 10.720000 2.125000 10.890000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 0.965000 1.835000 1.295000 2.465000 ;
-      LAYER mcon ;
-        RECT 0.990000 2.125000 1.160000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 1.825000 1.835000 2.155000 2.465000 ;
-      LAYER mcon ;
-        RECT 1.890000 2.125000 2.060000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 2.685000 1.835000 3.015000 2.465000 ;
-      LAYER mcon ;
-        RECT 2.770000 2.125000 2.940000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 3.545000 1.835000 3.875000 2.465000 ;
-      LAYER mcon ;
-        RECT 3.690000 2.125000 3.860000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 4.425000 1.835000 4.755000 2.465000 ;
-      LAYER mcon ;
-        RECT 4.550000 2.125000 4.720000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 5.450000 1.835000 5.780000 2.465000 ;
-      LAYER mcon ;
-        RECT 5.450000 2.125000 5.620000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 6.315000 1.835000 6.645000 2.465000 ;
-      LAYER mcon ;
-        RECT 6.370000 2.125000 6.540000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 7.175000 1.835000 7.505000 2.465000 ;
-      LAYER mcon ;
-        RECT 7.230000 2.125000 7.400000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 8.035000 1.835000 8.365000 2.465000 ;
-      LAYER mcon ;
-        RECT 8.130000 2.125000 8.300000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 8.895000 1.835000 9.225000 2.465000 ;
-      LAYER mcon ;
-        RECT 8.960000 2.125000 9.130000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 9.755000 1.835000 10.085000 2.465000 ;
-      LAYER mcon ;
-        RECT 9.820000 2.125000 9.990000 2.295000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT  0.070000 2.080000  0.360000 2.140000 ;
-        RECT  0.070000 2.140000 10.970000 2.340000 ;
-        RECT  0.930000 2.080000  1.220000 2.140000 ;
-        RECT  1.830000 2.080000  2.120000 2.140000 ;
-        RECT  2.710000 2.080000  3.000000 2.140000 ;
-        RECT  3.630000 2.080000  3.920000 2.140000 ;
-        RECT  4.490000 2.080000  4.780000 2.140000 ;
-        RECT  5.390000 2.080000  5.680000 2.140000 ;
-        RECT  6.310000 2.080000  6.600000 2.140000 ;
-        RECT  7.170000 2.080000  7.460000 2.140000 ;
-        RECT  8.070000 2.080000  8.360000 2.140000 ;
-        RECT  8.900000 2.080000  9.190000 2.140000 ;
-        RECT  9.760000 2.080000 10.050000 2.140000 ;
-        RECT 10.660000 2.080000 10.950000 2.140000 ;
-    END
-  END KAPWR
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 11.040000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 11.230000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 11.040000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 11.040000 0.085000 ;
-      RECT 0.000000  2.635000 11.040000 2.805000 ;
-      RECT 1.855000  0.085000  2.125000 0.610000 ;
-      RECT 2.720000  0.085000  2.985000 0.610000 ;
-      RECT 3.580000  0.085000  3.845000 0.610000 ;
-      RECT 4.465000  0.085000  4.730000 0.610000 ;
-      RECT 5.490000  0.085000  5.755000 0.610000 ;
-      RECT 6.350000  0.085000  6.575000 0.610000 ;
-      RECT 7.210000  0.085000  7.475000 0.610000 ;
-      RECT 8.070000  0.085000  8.335000 0.610000 ;
-      RECT 8.930000  0.085000  9.195000 0.610000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_clkinvkapwr_16
-MACRO sky130_fd_sc_hd__lpflow_clkinvkapwr_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_clkinvkapwr_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.380000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.315000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.375000 0.325000 1.325000 ;
-    END
-  END A
-  PIN Y
-    ANTENNADIFFAREA  0.336000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.590000 0.255000 0.840000 0.760000 ;
-        RECT 0.590000 0.760000 1.295000 0.945000 ;
-        RECT 0.595000 0.945000 1.295000 1.290000 ;
-        RECT 0.595000 1.290000 0.765000 2.465000 ;
-    END
-  END Y
-  PIN KAPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.665000 0.425000 2.465000 ;
-      LAYER mcon ;
-        RECT 0.155000 2.125000 0.325000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 0.935000 1.665000 1.295000 2.465000 ;
-      LAYER mcon ;
-        RECT 1.055000 2.125000 1.225000 2.295000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 2.140000 1.310000 2.340000 ;
-        RECT 0.095000 2.080000 0.385000 2.140000 ;
-        RECT 0.995000 2.080000 1.285000 2.140000 ;
-    END
-  END KAPWR
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.380000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 1.570000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.380000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.380000 0.085000 ;
-      RECT 0.000000  2.635000 1.380000 2.805000 ;
-      RECT 1.010000  0.085000 1.295000 0.590000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_clkinvkapwr_1
-MACRO sky130_fd_sc_hd__lpflow_clkinvkapwr_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_clkinvkapwr_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.840000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.576000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.065000 1.305000 1.290000 ;
-    END
-  END A
-  PIN Y
-    ANTENNADIFFAREA  0.662600 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.155000 1.460000 1.755000 1.630000 ;
-        RECT 0.155000 1.630000 0.375000 2.435000 ;
-        RECT 1.025000 0.280000 1.250000 0.725000 ;
-        RECT 1.025000 0.725000 1.755000 0.895000 ;
-        RECT 1.045000 1.630000 1.235000 2.435000 ;
-        RECT 1.475000 0.895000 1.755000 1.460000 ;
-    END
-  END Y
-  PIN KAPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 0.545000 1.800000 0.875000 2.465000 ;
-      LAYER mcon ;
-        RECT 0.600000 2.125000 0.770000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 1.405000 1.800000 1.735000 2.465000 ;
-      LAYER mcon ;
-        RECT 1.500000 2.125000 1.670000 2.295000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 2.140000 1.770000 2.340000 ;
-        RECT 0.540000 2.080000 0.830000 2.140000 ;
-        RECT 1.440000 2.080000 1.730000 2.140000 ;
-    END
-  END KAPWR
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.840000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.030000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.840000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.840000 0.085000 ;
-      RECT 0.000000  2.635000 1.840000 2.805000 ;
-      RECT 0.560000  0.085000 0.855000 0.610000 ;
-      RECT 1.420000  0.085000 1.750000 0.555000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_clkinvkapwr_2
-MACRO sky130_fd_sc_hd__lpflow_clkinvkapwr_8
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_clkinvkapwr_8 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.980000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  2.304000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.455000 1.035000 4.865000 1.290000 ;
-    END
-  END A
-  PIN Y
-    ANTENNADIFFAREA  2.090400 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.115000 0.695000 5.440000 0.865000 ;
-        RECT 0.115000 0.865000 0.285000 1.460000 ;
-        RECT 0.115000 1.460000 5.440000 1.630000 ;
-        RECT 0.595000 1.630000 0.765000 2.435000 ;
-        RECT 1.440000 1.630000 1.610000 2.435000 ;
-        RECT 1.535000 0.280000 1.725000 0.695000 ;
-        RECT 2.280000 1.630000 2.450000 2.435000 ;
-        RECT 2.395000 0.280000 2.585000 0.695000 ;
-        RECT 3.120000 1.630000 3.290000 2.435000 ;
-        RECT 3.255000 0.280000 3.445000 0.695000 ;
-        RECT 3.960000 1.630000 4.130000 2.435000 ;
-        RECT 4.115000 0.280000 4.305000 0.695000 ;
-        RECT 4.800000 1.630000 4.970000 2.435000 ;
-        RECT 5.170000 0.865000 5.440000 1.460000 ;
-    END
-  END Y
-  PIN KAPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 1.800000 0.425000 2.465000 ;
-        RECT 5.140000 1.800000 5.470000 2.465000 ;
-      LAYER mcon ;
-        RECT 0.130000 2.125000 0.300000 2.295000 ;
-        RECT 5.255000 2.125000 5.425000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 0.940000 1.800000 1.270000 2.465000 ;
-      LAYER mcon ;
-        RECT 0.990000 2.125000 1.160000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 1.780000 1.800000 2.110000 2.465000 ;
-      LAYER mcon ;
-        RECT 1.890000 2.125000 2.060000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 2.620000 1.800000 2.950000 2.465000 ;
-      LAYER mcon ;
-        RECT 2.770000 2.125000 2.940000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 3.460000 1.800000 3.790000 2.465000 ;
-      LAYER mcon ;
-        RECT 3.495000 2.125000 3.665000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 4.300000 1.800000 4.630000 2.465000 ;
-      LAYER mcon ;
-        RECT 4.355000 2.125000 4.525000 2.295000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 2.080000 0.360000 2.140000 ;
-        RECT 0.070000 2.140000 5.910000 2.340000 ;
-        RECT 0.930000 2.080000 1.220000 2.140000 ;
-        RECT 1.830000 2.080000 2.120000 2.140000 ;
-        RECT 2.710000 2.080000 3.000000 2.140000 ;
-        RECT 3.435000 2.080000 3.725000 2.140000 ;
-        RECT 4.295000 2.080000 4.585000 2.140000 ;
-        RECT 5.195000 2.080000 5.485000 2.140000 ;
-    END
-  END KAPWR
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.980000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.170000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.980000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.980000 0.085000 ;
-      RECT 0.000000  2.635000 5.980000 2.805000 ;
-      RECT 1.035000  0.085000 1.365000 0.525000 ;
-      RECT 1.895000  0.085000 2.225000 0.525000 ;
-      RECT 2.755000  0.085000 3.085000 0.525000 ;
-      RECT 3.615000  0.085000 3.945000 0.525000 ;
-      RECT 4.475000  0.085000 4.805000 0.525000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_clkinvkapwr_8
-MACRO sky130_fd_sc_hd__dlxbp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlxbp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.900000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.355000 0.955000 1.685000 1.325000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.140000 0.255000 5.490000 0.820000 ;
-        RECT 5.140000 1.670000 5.490000 2.455000 ;
-        RECT 5.320000 0.820000 5.490000 1.670000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.555000 0.255000 6.815000 0.825000 ;
-        RECT 6.555000 1.445000 6.815000 2.465000 ;
-        RECT 6.600000 0.825000 6.815000 1.445000 ;
-    END
-  END Q_N
-  PIN GATE
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.985000 0.330000 1.625000 ;
-    END
-  END GATE
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 6.900000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.090000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.900000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.900000 0.085000 ;
-      RECT 0.000000  2.635000 6.900000 2.805000 ;
-      RECT 0.175000  0.345000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 0.780000 0.805000 ;
-      RECT 0.175000  1.795000 0.780000 1.965000 ;
-      RECT 0.175000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.780000 1.070000 ;
-      RECT 0.610000  1.070000 0.840000 1.400000 ;
-      RECT 0.610000  1.400000 0.780000 1.795000 ;
-      RECT 1.015000  0.345000 1.185000 1.685000 ;
-      RECT 1.015000  1.685000 1.240000 2.465000 ;
-      RECT 1.430000  1.495000 2.115000 1.665000 ;
-      RECT 1.430000  1.665000 1.795000 2.415000 ;
-      RECT 1.510000  0.345000 1.705000 0.615000 ;
-      RECT 1.510000  0.615000 2.135000 0.785000 ;
-      RECT 1.855000  0.785000 2.135000 0.875000 ;
-      RECT 1.855000  0.875000 2.335000 1.235000 ;
-      RECT 1.855000  1.235000 2.115000 1.495000 ;
-      RECT 1.875000  0.085000 2.205000 0.445000 ;
-      RECT 1.965000  1.835000 2.245000 2.635000 ;
-      RECT 2.465000  1.355000 2.795000 1.685000 ;
-      RECT 2.580000  0.705000 3.135000 1.065000 ;
-      RECT 2.750000  2.255000 3.610000 2.425000 ;
-      RECT 2.800000  0.365000 3.475000 0.535000 ;
-      RECT 2.965000  1.065000 3.135000 1.575000 ;
-      RECT 2.965000  1.575000 3.290000 1.910000 ;
-      RECT 2.965000  1.910000 3.195000 1.995000 ;
-      RECT 3.305000  0.535000 3.475000 0.995000 ;
-      RECT 3.305000  0.995000 4.175000 1.165000 ;
-      RECT 3.425000  2.035000 3.650000 2.065000 ;
-      RECT 3.425000  2.065000 3.630000 2.090000 ;
-      RECT 3.425000  2.090000 3.610000 2.255000 ;
-      RECT 3.430000  2.020000 3.650000 2.035000 ;
-      RECT 3.435000  2.010000 3.650000 2.020000 ;
-      RECT 3.440000  1.995000 3.650000 2.010000 ;
-      RECT 3.460000  1.165000 4.175000 1.325000 ;
-      RECT 3.460000  1.325000 3.650000 1.995000 ;
-      RECT 3.700000  0.085000 4.045000 0.530000 ;
-      RECT 3.780000  2.175000 3.980000 2.635000 ;
-      RECT 3.820000  1.535000 4.515000 1.865000 ;
-      RECT 4.285000  0.415000 4.550000 0.745000 ;
-      RECT 4.285000  1.865000 4.515000 2.435000 ;
-      RECT 4.345000  0.745000 4.550000 0.995000 ;
-      RECT 4.345000  0.995000 5.150000 1.325000 ;
-      RECT 4.345000  1.325000 4.515000 1.535000 ;
-      RECT 4.685000  1.570000 4.970000 2.635000 ;
-      RECT 4.720000  0.085000 4.970000 0.715000 ;
-      RECT 5.660000  0.255000 5.910000 0.995000 ;
-      RECT 5.660000  0.995000 6.430000 1.325000 ;
-      RECT 5.660000  1.325000 5.910000 2.465000 ;
-      RECT 6.090000  0.085000 6.385000 0.545000 ;
-      RECT 6.090000  1.835000 6.385000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.610000  1.445000 0.780000 1.615000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.070000  1.785000 1.240000 1.955000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.555000  1.445000 2.725000 1.615000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 2.965000  1.785000 3.135000 1.955000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.415000 0.840000 1.460000 ;
-      RECT 0.550000 1.460000 2.785000 1.600000 ;
-      RECT 0.550000 1.600000 0.840000 1.645000 ;
-      RECT 1.010000 1.755000 1.300000 1.800000 ;
-      RECT 1.010000 1.800000 3.195000 1.940000 ;
-      RECT 1.010000 1.940000 1.300000 1.985000 ;
-      RECT 2.495000 1.415000 2.785000 1.460000 ;
-      RECT 2.495000 1.600000 2.785000 1.645000 ;
-      RECT 2.905000 1.755000 3.195000 1.800000 ;
-      RECT 2.905000 1.940000 3.195000 1.985000 ;
-  END
-END sky130_fd_sc_hd__dlxbp_1
-MACRO sky130_fd_sc_hd__dlxtn_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlxtn_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.520000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.435000 0.955000 1.765000 1.325000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.175000 0.415000 5.435000 0.745000 ;
-        RECT 5.175000 1.670000 5.435000 2.455000 ;
-        RECT 5.265000 0.745000 5.435000 1.670000 ;
-    END
-  END Q
-  PIN GATE_N
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.985000 0.330000 1.625000 ;
-    END
-  END GATE_N
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.520000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.710000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.520000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.520000 0.085000 ;
-      RECT 0.000000  2.635000 5.520000 2.805000 ;
-      RECT 0.175000  0.345000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 0.780000 0.805000 ;
-      RECT 0.175000  1.795000 0.780000 1.965000 ;
-      RECT 0.175000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.780000 1.070000 ;
-      RECT 0.610000  1.070000 0.840000 1.400000 ;
-      RECT 0.610000  1.400000 0.780000 1.795000 ;
-      RECT 1.015000  0.345000 1.185000 1.685000 ;
-      RECT 1.015000  1.685000 1.240000 2.465000 ;
-      RECT 1.430000  1.495000 2.115000 1.665000 ;
-      RECT 1.430000  1.665000 1.785000 2.415000 ;
-      RECT 1.510000  0.345000 1.705000 0.615000 ;
-      RECT 1.510000  0.615000 2.115000 0.765000 ;
-      RECT 1.510000  0.765000 2.320000 0.785000 ;
-      RECT 1.875000  0.085000 2.205000 0.445000 ;
-      RECT 1.945000  0.785000 2.320000 1.235000 ;
-      RECT 1.945000  1.235000 2.115000 1.495000 ;
-      RECT 1.955000  1.835000 2.245000 2.635000 ;
-      RECT 2.445000  1.355000 2.780000 2.005000 ;
-      RECT 2.560000  0.735000 3.265000 1.040000 ;
-      RECT 2.745000  2.255000 3.605000 2.425000 ;
-      RECT 2.765000  0.365000 3.605000 0.535000 ;
-      RECT 2.950000  1.040000 3.265000 1.560000 ;
-      RECT 2.950000  1.560000 3.285000 1.910000 ;
-      RECT 3.295000  2.090000 3.620000 2.105000 ;
-      RECT 3.295000  2.105000 3.605000 2.255000 ;
-      RECT 3.390000  2.045000 3.645000 2.065000 ;
-      RECT 3.390000  2.065000 3.630000 2.085000 ;
-      RECT 3.390000  2.085000 3.620000 2.090000 ;
-      RECT 3.405000  2.035000 3.645000 2.045000 ;
-      RECT 3.430000  2.010000 3.645000 2.035000 ;
-      RECT 3.435000  0.535000 3.605000 0.995000 ;
-      RECT 3.435000  0.995000 4.200000 1.325000 ;
-      RECT 3.435000  1.325000 3.645000 1.450000 ;
-      RECT 3.455000  1.450000 3.645000 2.010000 ;
-      RECT 3.775000  0.085000 4.045000 0.545000 ;
-      RECT 3.775000  2.175000 4.095000 2.635000 ;
-      RECT 3.815000  1.535000 4.540000 1.865000 ;
-      RECT 4.295000  0.260000 4.540000 0.720000 ;
-      RECT 4.295000  1.865000 4.540000 2.435000 ;
-      RECT 4.370000  0.720000 4.540000 0.995000 ;
-      RECT 4.370000  0.995000 5.095000 1.325000 ;
-      RECT 4.370000  1.325000 4.540000 1.535000 ;
-      RECT 4.720000  1.570000 5.005000 2.635000 ;
-      RECT 4.755000  0.085000 4.980000 0.715000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.610000  1.445000 0.780000 1.615000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.070000  1.785000 1.240000 1.955000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  1.785000 2.615000 1.955000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 2.950000  1.445000 3.120000 1.615000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.415000 0.840000 1.460000 ;
-      RECT 0.550000 1.460000 3.180000 1.600000 ;
-      RECT 0.550000 1.600000 0.840000 1.645000 ;
-      RECT 1.010000 1.755000 1.300000 1.800000 ;
-      RECT 1.010000 1.800000 2.675000 1.940000 ;
-      RECT 1.010000 1.940000 1.300000 1.985000 ;
-      RECT 2.385000 1.755000 2.675000 1.800000 ;
-      RECT 2.385000 1.940000 2.675000 1.985000 ;
-      RECT 2.890000 1.415000 3.180000 1.460000 ;
-      RECT 2.890000 1.600000 3.180000 1.645000 ;
-  END
-END sky130_fd_sc_hd__dlxtn_1
-MACRO sky130_fd_sc_hd__dlxtn_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlxtn_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.900000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.460000 0.955000 1.790000 1.325000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.924000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.240000 0.415000 5.525000 0.745000 ;
-        RECT 5.240000 1.495000 5.525000 2.455000 ;
-        RECT 5.355000 0.745000 5.525000 0.995000 ;
-        RECT 5.355000 0.995000 6.815000 1.325000 ;
-        RECT 5.355000 1.325000 5.525000 1.495000 ;
-        RECT 6.115000 0.385000 6.385000 0.995000 ;
-        RECT 6.115000 1.325000 6.385000 2.455000 ;
-    END
-  END Q
-  PIN GATE_N
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.985000 0.330000 1.625000 ;
-    END
-  END GATE_N
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 6.900000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.090000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.900000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.900000 0.085000 ;
-      RECT 0.000000  2.635000 6.900000 2.805000 ;
-      RECT 0.175000  0.345000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 0.780000 0.805000 ;
-      RECT 0.175000  1.795000 0.780000 1.965000 ;
-      RECT 0.175000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.780000 1.070000 ;
-      RECT 0.610000  1.070000 0.840000 1.400000 ;
-      RECT 0.610000  1.400000 0.780000 1.795000 ;
-      RECT 1.015000  0.345000 1.185000 1.685000 ;
-      RECT 1.015000  1.685000 1.240000 2.465000 ;
-      RECT 1.455000  1.495000 2.140000 1.665000 ;
-      RECT 1.455000  1.665000 1.785000 2.415000 ;
-      RECT 1.535000  0.345000 1.705000 0.615000 ;
-      RECT 1.535000  0.615000 2.140000 0.765000 ;
-      RECT 1.535000  0.765000 2.340000 0.785000 ;
-      RECT 1.875000  0.085000 2.205000 0.445000 ;
-      RECT 1.955000  1.835000 2.270000 2.635000 ;
-      RECT 1.970000  0.785000 2.340000 1.095000 ;
-      RECT 1.970000  1.095000 2.140000 1.495000 ;
-      RECT 2.470000  1.355000 2.755000 2.005000 ;
-      RECT 2.715000  0.705000 3.095000 1.035000 ;
-      RECT 2.840000  0.365000 3.500000 0.535000 ;
-      RECT 2.900000  2.255000 3.650000 2.425000 ;
-      RECT 2.925000  1.035000 3.095000 1.415000 ;
-      RECT 2.925000  1.415000 3.265000 1.995000 ;
-      RECT 3.330000  0.535000 3.500000 0.995000 ;
-      RECT 3.330000  0.995000 4.200000 1.165000 ;
-      RECT 3.480000  1.165000 4.200000 1.325000 ;
-      RECT 3.480000  1.325000 3.650000 2.255000 ;
-      RECT 3.740000  0.085000 4.070000 0.530000 ;
-      RECT 3.820000  2.135000 4.120000 2.635000 ;
-      RECT 3.840000  1.535000 4.605000 1.865000 ;
-      RECT 4.385000  0.415000 4.605000 0.745000 ;
-      RECT 4.385000  1.865000 4.605000 2.435000 ;
-      RECT 4.435000  0.745000 4.605000 0.995000 ;
-      RECT 4.435000  0.995000 5.185000 1.325000 ;
-      RECT 4.435000  1.325000 4.605000 1.535000 ;
-      RECT 4.785000  0.085000 5.070000 0.715000 ;
-      RECT 4.785000  1.495000 5.070000 2.635000 ;
-      RECT 5.695000  0.085000 5.945000 0.825000 ;
-      RECT 5.695000  1.495000 5.945000 2.635000 ;
-      RECT 6.555000  0.085000 6.815000 0.715000 ;
-      RECT 6.555000  1.495000 6.815000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.610000  1.445000 0.780000 1.615000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.070000  1.785000 1.240000 1.955000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.470000  1.785000 2.640000 1.955000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 2.930000  1.445000 3.100000 1.615000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.415000 0.840000 1.460000 ;
-      RECT 0.550000 1.460000 3.160000 1.600000 ;
-      RECT 0.550000 1.600000 0.840000 1.645000 ;
-      RECT 1.010000 1.755000 1.300000 1.800000 ;
-      RECT 1.010000 1.800000 2.700000 1.940000 ;
-      RECT 1.010000 1.940000 1.300000 1.985000 ;
-      RECT 2.410000 1.755000 2.700000 1.800000 ;
-      RECT 2.410000 1.940000 2.700000 1.985000 ;
-      RECT 2.870000 1.415000 3.160000 1.460000 ;
-      RECT 2.870000 1.600000 3.160000 1.645000 ;
-  END
-END sky130_fd_sc_hd__dlxtn_4
-MACRO sky130_fd_sc_hd__dlxtn_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlxtn_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.980000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.480000 0.955000 1.810000 1.325000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.215000 0.415000 5.465000 0.685000 ;
-        RECT 5.215000 0.685000 5.500000 0.825000 ;
-        RECT 5.215000 1.495000 5.500000 1.640000 ;
-        RECT 5.215000 1.640000 5.465000 2.455000 ;
-        RECT 5.330000 0.825000 5.500000 0.995000 ;
-        RECT 5.330000 0.995000 5.895000 1.325000 ;
-        RECT 5.330000 1.325000 5.500000 1.495000 ;
-    END
-  END Q
-  PIN GATE_N
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.985000 0.330000 1.625000 ;
-    END
-  END GATE_N
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.980000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.170000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.980000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.980000 0.085000 ;
-      RECT 0.000000  2.635000 5.980000 2.805000 ;
-      RECT 0.175000  0.345000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 0.780000 0.805000 ;
-      RECT 0.175000  1.795000 0.780000 1.965000 ;
-      RECT 0.175000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.780000 1.070000 ;
-      RECT 0.610000  1.070000 0.840000 1.400000 ;
-      RECT 0.610000  1.400000 0.780000 1.795000 ;
-      RECT 1.015000  0.345000 1.185000 1.685000 ;
-      RECT 1.015000  1.685000 1.240000 2.465000 ;
-      RECT 1.475000  1.495000 2.160000 1.665000 ;
-      RECT 1.475000  1.665000 1.805000 2.415000 ;
-      RECT 1.555000  0.345000 1.725000 0.615000 ;
-      RECT 1.555000  0.615000 2.160000 0.765000 ;
-      RECT 1.555000  0.765000 2.360000 0.785000 ;
-      RECT 1.895000  0.085000 2.225000 0.445000 ;
-      RECT 1.975000  1.835000 2.290000 2.635000 ;
-      RECT 1.990000  0.785000 2.360000 1.095000 ;
-      RECT 1.990000  1.095000 2.160000 1.495000 ;
-      RECT 2.490000  1.355000 2.775000 2.005000 ;
-      RECT 2.735000  0.705000 3.115000 1.035000 ;
-      RECT 2.860000  0.365000 3.520000 0.535000 ;
-      RECT 2.920000  2.255000 3.670000 2.425000 ;
-      RECT 2.945000  1.035000 3.115000 1.415000 ;
-      RECT 2.945000  1.415000 3.285000 1.995000 ;
-      RECT 3.350000  0.535000 3.520000 0.995000 ;
-      RECT 3.350000  0.995000 4.220000 1.165000 ;
-      RECT 3.500000  1.165000 4.220000 1.325000 ;
-      RECT 3.500000  1.325000 3.670000 2.255000 ;
-      RECT 3.760000  0.085000 4.090000 0.825000 ;
-      RECT 3.840000  2.135000 4.140000 2.635000 ;
-      RECT 3.860000  1.535000 4.580000 1.865000 ;
-      RECT 4.360000  0.415000 4.580000 0.825000 ;
-      RECT 4.360000  1.865000 4.580000 2.435000 ;
-      RECT 4.410000  0.825000 4.580000 0.995000 ;
-      RECT 4.410000  0.995000 5.160000 1.325000 ;
-      RECT 4.410000  1.325000 4.580000 1.535000 ;
-      RECT 4.760000  0.085000 5.045000 0.825000 ;
-      RECT 4.760000  1.495000 5.045000 2.635000 ;
-      RECT 5.635000  0.085000 5.895000 0.550000 ;
-      RECT 5.635000  1.755000 5.895000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.610000  1.445000 0.780000 1.615000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.070000  1.785000 1.240000 1.955000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.490000  1.785000 2.660000 1.955000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 2.950000  1.445000 3.120000 1.615000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.415000 0.840000 1.460000 ;
-      RECT 0.550000 1.460000 3.180000 1.600000 ;
-      RECT 0.550000 1.600000 0.840000 1.645000 ;
-      RECT 1.010000 1.755000 1.300000 1.800000 ;
-      RECT 1.010000 1.800000 2.720000 1.940000 ;
-      RECT 1.010000 1.940000 1.300000 1.985000 ;
-      RECT 2.430000 1.755000 2.720000 1.800000 ;
-      RECT 2.430000 1.940000 2.720000 1.985000 ;
-      RECT 2.890000 1.415000 3.180000 1.460000 ;
-      RECT 2.890000 1.600000 3.180000 1.645000 ;
-  END
-END sky130_fd_sc_hd__dlxtn_2
-MACRO sky130_fd_sc_hd__a311o_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a311o_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.965000 0.765000 2.155000 0.995000 ;
-        RECT 1.965000 0.995000 2.310000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.510000 0.750000 1.705000 1.325000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.905000 0.995000 1.240000 1.325000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.620000 0.995000 3.095000 1.325000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.350000 0.995000 3.535000 1.325000 ;
-    END
-  END C1
-  PIN X
-    ANTENNADIFFAREA  0.454000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.255000 0.395000 0.670000 ;
-        RECT 0.085000 0.670000 0.255000 1.785000 ;
-        RECT 0.085000 1.785000 0.425000 2.425000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.425000  0.995000 0.735000 1.325000 ;
-      RECT 0.565000  0.655000 1.260000 0.825000 ;
-      RECT 0.565000  0.825000 0.735000 0.995000 ;
-      RECT 0.565000  1.325000 0.735000 1.495000 ;
-      RECT 0.565000  1.495000 3.505000 1.665000 ;
-      RECT 0.590000  0.085000 0.920000 0.465000 ;
-      RECT 0.595000  2.175000 0.840000 2.635000 ;
-      RECT 1.015000  1.835000 2.575000 2.005000 ;
-      RECT 1.015000  2.005000 1.265000 2.465000 ;
-      RECT 1.090000  0.255000 2.495000 0.425000 ;
-      RECT 1.090000  0.425000 1.260000 0.655000 ;
-      RECT 1.455000  2.255000 2.125000 2.635000 ;
-      RECT 2.325000  0.425000 2.495000 0.655000 ;
-      RECT 2.325000  0.655000 3.505000 0.825000 ;
-      RECT 2.325000  2.005000 2.575000 2.465000 ;
-      RECT 2.765000  0.085000 3.095000 0.485000 ;
-      RECT 3.335000  0.255000 3.505000 0.655000 ;
-      RECT 3.335000  1.665000 3.505000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a311o_1
-MACRO sky130_fd_sc_hd__a311o_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a311o_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.440000 0.605000 2.620000 0.995000 ;
-        RECT 2.440000 0.995000 2.675000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.895000 0.605000 2.165000 1.325000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.495000 0.995000 1.710000 1.325000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.895000 0.995000 3.235000 1.325000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.695000 0.995000 4.005000 1.325000 ;
-    END
-  END C1
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 0.295000 0.845000 2.425000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.090000  0.085000 0.345000 0.885000 ;
-      RECT 0.090000  1.495000 0.345000 2.635000 ;
-      RECT 1.015000  0.085000 1.345000 0.465000 ;
-      RECT 1.015000  0.655000 1.695000 0.825000 ;
-      RECT 1.015000  0.825000 1.185000 1.495000 ;
-      RECT 1.015000  1.495000 3.965000 1.665000 ;
-      RECT 1.160000  1.835000 1.380000 2.635000 ;
-      RECT 1.525000  0.255000 2.960000 0.425000 ;
-      RECT 1.525000  0.425000 1.695000 0.655000 ;
-      RECT 1.590000  1.835000 3.025000 2.005000 ;
-      RECT 1.590000  2.005000 1.840000 2.465000 ;
-      RECT 2.125000  2.255000 2.455000 2.635000 ;
-      RECT 2.715000  2.005000 3.025000 2.465000 ;
-      RECT 2.790000  0.425000 2.960000 0.655000 ;
-      RECT 2.790000  0.655000 3.965000 0.825000 ;
-      RECT 3.220000  0.085000 3.550000 0.485000 ;
-      RECT 3.795000  0.255000 3.965000 0.655000 ;
-      RECT 3.795000  1.665000 3.965000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a311o_2
-MACRO sky130_fd_sc_hd__a311o_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a311o_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.360000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.945000 1.075000 7.275000 1.615000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.255000 1.075000 6.040000 1.285000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.515000 1.075000 4.945000 1.285000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.060000 1.075000 1.505000 1.285000 ;
-        RECT 1.060000 1.285000 1.255000 1.625000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.135000 0.745000 0.350000 1.625000 ;
-    END
-  END C1
-  PIN X
-    ANTENNADIFFAREA  0.904000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.195000 0.295000 2.545000 0.465000 ;
-        RECT 2.295000 0.465000 2.465000 0.715000 ;
-        RECT 2.295000 0.715000 3.305000 0.885000 ;
-        RECT 2.715000 1.545000 3.885000 1.715000 ;
-        RECT 2.910000 0.885000 3.105000 1.545000 ;
-        RECT 3.055000 0.295000 3.385000 0.465000 ;
-        RECT 3.135000 0.465000 3.305000 0.715000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.360000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.550000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.360000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.360000 0.085000 ;
-      RECT 0.000000  2.635000 7.360000 2.805000 ;
-      RECT 0.095000  0.085000 0.345000 0.565000 ;
-      RECT 0.175000  1.795000 0.345000 2.295000 ;
-      RECT 0.175000  2.295000 2.025000 2.465000 ;
-      RECT 0.515000  0.295000 0.845000 0.465000 ;
-      RECT 0.515000  1.955000 0.845000 2.125000 ;
-      RECT 0.595000  0.465000 0.765000 0.715000 ;
-      RECT 0.595000  0.715000 2.025000 0.885000 ;
-      RECT 0.595000  0.885000 0.765000 1.955000 ;
-      RECT 1.015000  0.085000 1.185000 0.545000 ;
-      RECT 1.015000  1.795000 1.185000 2.295000 ;
-      RECT 1.355000  0.295000 1.685000 0.465000 ;
-      RECT 1.435000  0.465000 1.605000 0.715000 ;
-      RECT 1.435000  1.455000 2.385000 1.625000 ;
-      RECT 1.435000  1.625000 1.605000 2.125000 ;
-      RECT 1.855000  0.085000 2.025000 0.545000 ;
-      RECT 1.855000  0.885000 2.025000 1.075000 ;
-      RECT 1.855000  1.075000 2.705000 1.245000 ;
-      RECT 1.855000  1.795000 2.025000 2.295000 ;
-      RECT 2.195000  1.625000 2.385000 1.915000 ;
-      RECT 2.195000  1.915000 6.765000 2.085000 ;
-      RECT 2.295000  2.255000 2.625000 2.635000 ;
-      RECT 2.715000  0.085000 2.885000 0.545000 ;
-      RECT 3.135000  2.255000 3.465000 2.635000 ;
-      RECT 3.275000  1.075000 4.320000 1.245000 ;
-      RECT 3.555000  0.085000 4.065000 0.545000 ;
-      RECT 3.975000  2.255000 4.305000 2.635000 ;
-      RECT 4.150000  1.245000 4.320000 1.455000 ;
-      RECT 4.150000  1.455000 6.685000 1.625000 ;
-      RECT 4.275000  0.295000 4.605000 0.465000 ;
-      RECT 4.355000  0.465000 4.525000 0.715000 ;
-      RECT 4.355000  0.715000 6.005000 0.885000 ;
-      RECT 4.475000  1.795000 4.645000 1.915000 ;
-      RECT 4.475000  2.085000 4.645000 2.465000 ;
-      RECT 4.775000  0.085000 4.945000 0.545000 ;
-      RECT 4.815000  2.255000 5.175000 2.635000 ;
-      RECT 5.255000  0.255000 7.270000 0.425000 ;
-      RECT 5.255000  0.425000 6.345000 0.465000 ;
-      RECT 5.375000  1.795000 5.545000 1.915000 ;
-      RECT 5.375000  2.085000 5.545000 2.465000 ;
-      RECT 5.675000  0.645000 6.005000 0.715000 ;
-      RECT 5.715000  2.255000 6.045000 2.635000 ;
-      RECT 6.175000  0.465000 6.345000 0.885000 ;
-      RECT 6.515000  0.645000 6.845000 0.825000 ;
-      RECT 6.515000  0.825000 6.685000 1.455000 ;
-      RECT 6.595000  1.795000 6.765000 1.915000 ;
-      RECT 6.595000  2.085000 6.765000 2.465000 ;
-      RECT 6.935000  0.425000 7.270000 0.500000 ;
-      RECT 6.935000  1.795000 7.270000 2.635000 ;
-      RECT 7.015000  0.500000 7.270000 0.905000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a311o_4
-MACRO sky130_fd_sc_hd__nand3_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nand3_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.440000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.850000 1.075000 5.565000 1.275000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.990000 1.075000 3.540000 1.275000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.110000 1.075000 1.700000 1.275000 ;
-    END
-  END C
-  PIN Y
-    ANTENNADIFFAREA  1.971000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 1.445000 6.355000 1.665000 ;
-        RECT 0.515000 1.665000 0.845000 2.465000 ;
-        RECT 1.355000 1.665000 1.685000 2.465000 ;
-        RECT 2.195000 1.665000 2.525000 2.465000 ;
-        RECT 3.035000 1.665000 3.365000 2.465000 ;
-        RECT 4.395000 0.655000 6.355000 0.905000 ;
-        RECT 4.395000 1.665000 4.725000 2.465000 ;
-        RECT 5.235000 1.665000 5.565000 2.465000 ;
-        RECT 6.125000 0.905000 6.355000 1.445000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 6.440000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.630000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.440000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.440000 0.085000 ;
-      RECT 0.000000  2.635000 6.440000 2.805000 ;
-      RECT 0.090000  0.255000 0.425000 0.735000 ;
-      RECT 0.090000  0.735000 3.785000 0.905000 ;
-      RECT 0.090000  1.445000 0.345000 2.635000 ;
-      RECT 0.595000  0.085000 0.765000 0.565000 ;
-      RECT 0.935000  0.255000 1.265000 0.735000 ;
-      RECT 1.015000  1.835000 1.185000 2.635000 ;
-      RECT 1.435000  0.085000 1.605000 0.565000 ;
-      RECT 1.775000  0.655000 2.105000 0.735000 ;
-      RECT 1.855000  1.835000 2.025000 2.635000 ;
-      RECT 2.195000  0.255000 6.000000 0.485000 ;
-      RECT 2.615000  0.655000 2.945000 0.735000 ;
-      RECT 2.695000  1.835000 2.865000 2.635000 ;
-      RECT 3.455000  0.655000 3.785000 0.735000 ;
-      RECT 3.535000  1.835000 4.225000 2.635000 ;
-      RECT 4.895000  1.835000 5.065000 2.635000 ;
-      RECT 5.735000  1.835000 6.000000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nand3_4
-MACRO sky130_fd_sc_hd__nand3_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nand3_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.840000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.445000 0.995000 1.755000 1.325000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.865000 0.765000 1.240000 1.325000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.110000 0.745000 0.330000 1.325000 ;
-    END
-  END C
-  PIN Y
-    ANTENNADIFFAREA  0.699000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 0.255000 1.745000 0.595000 ;
-        RECT 0.515000 0.595000 0.695000 1.495000 ;
-        RECT 0.515000 1.495000 1.745000 1.665000 ;
-        RECT 0.515000 1.665000 0.845000 2.465000 ;
-        RECT 1.415000 0.595000 1.745000 0.825000 ;
-        RECT 1.415000 1.665000 1.745000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.840000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.030000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.840000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.840000 0.085000 ;
-      RECT 0.000000  2.635000 1.840000 2.805000 ;
-      RECT 0.090000  0.085000 0.345000 0.575000 ;
-      RECT 0.090000  1.495000 0.345000 2.635000 ;
-      RECT 1.015000  1.835000 1.245000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nand3_1
-MACRO sky130_fd_sc_hd__nand3_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nand3_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.995000 0.330000 1.325000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.070000 1.075000 2.160000 1.275000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.470000 1.075000 3.595000 1.275000 ;
-    END
-  END C
-  PIN Y
-    ANTENNADIFFAREA  0.985500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 0.635000 0.845000 1.445000 ;
-        RECT 0.515000 1.445000 3.045000 1.665000 ;
-        RECT 0.515000 1.665000 0.845000 2.465000 ;
-        RECT 1.355000 1.665000 1.685000 2.465000 ;
-        RECT 2.715000 1.665000 3.045000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.090000  0.295000 2.105000 0.465000 ;
-      RECT 0.090000  0.465000 0.345000 0.785000 ;
-      RECT 0.090000  1.495000 0.345000 2.635000 ;
-      RECT 1.015000  1.835000 1.185000 2.635000 ;
-      RECT 1.355000  0.635000 3.045000 0.905000 ;
-      RECT 1.855000  1.835000 2.545000 2.635000 ;
-      RECT 2.295000  0.085000 2.625000 0.465000 ;
-      RECT 3.215000  0.085000 3.595000 0.885000 ;
-      RECT 3.215000  1.445000 3.595000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nand3_2
-MACRO sky130_fd_sc_hd__or2b_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__or2b_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.540000 2.085000 1.730000 2.415000 ;
-    END
-  END A
-  PIN B_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.425000 1.325000 ;
-    END
-  END B_N
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.400000 0.415000 2.630000 0.760000 ;
-        RECT 2.400000 1.495000 2.630000 2.465000 ;
-        RECT 2.460000 0.760000 2.630000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.085000  1.495000 0.345000 2.635000 ;
-      RECT 0.105000  0.265000 0.420000 0.735000 ;
-      RECT 0.105000  0.735000 0.840000 0.905000 ;
-      RECT 0.590000  0.085000 1.320000 0.565000 ;
-      RECT 0.595000  0.905000 0.840000 0.995000 ;
-      RECT 0.595000  0.995000 1.330000 1.325000 ;
-      RECT 0.595000  1.325000 0.765000 1.885000 ;
-      RECT 0.985000  1.495000 2.230000 1.665000 ;
-      RECT 0.985000  1.665000 1.405000 1.915000 ;
-      RECT 1.490000  0.305000 1.660000 0.655000 ;
-      RECT 1.490000  0.655000 2.230000 0.825000 ;
-      RECT 1.830000  0.085000 2.210000 0.485000 ;
-      RECT 1.910000  1.835000 2.190000 2.635000 ;
-      RECT 2.060000  0.825000 2.230000 0.995000 ;
-      RECT 2.060000  0.995000 2.290000 1.325000 ;
-      RECT 2.060000  1.325000 2.230000 1.495000 ;
-      RECT 2.800000  0.085000 3.055000 0.925000 ;
-      RECT 2.800000  1.460000 3.055000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__or2b_2
-MACRO sky130_fd_sc_hd__or2b_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__or2b_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.540000 2.085000 1.735000 2.415000 ;
-    END
-  END A
-  PIN B_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 1.075000 0.425000 1.325000 ;
-    END
-  END B_N
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.405000 0.415000 2.675000 0.760000 ;
-        RECT 2.405000 1.495000 2.675000 2.465000 ;
-        RECT 2.505000 0.760000 2.675000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.090000  1.495000 0.345000 2.635000 ;
-      RECT 0.110000  0.265000 0.420000 0.735000 ;
-      RECT 0.110000  0.735000 0.845000 0.905000 ;
-      RECT 0.590000  0.085000 1.325000 0.565000 ;
-      RECT 0.595000  0.905000 0.845000 0.995000 ;
-      RECT 0.595000  0.995000 1.335000 1.325000 ;
-      RECT 0.595000  1.325000 0.765000 1.885000 ;
-      RECT 0.990000  1.495000 2.235000 1.665000 ;
-      RECT 0.990000  1.665000 1.410000 1.915000 ;
-      RECT 1.495000  0.305000 1.665000 0.655000 ;
-      RECT 1.495000  0.655000 2.235000 0.825000 ;
-      RECT 1.835000  0.085000 2.215000 0.485000 ;
-      RECT 1.915000  1.835000 2.195000 2.635000 ;
-      RECT 2.065000  0.825000 2.235000 0.995000 ;
-      RECT 2.065000  0.995000 2.295000 1.325000 ;
-      RECT 2.065000  1.325000 2.235000 1.495000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__or2b_1
-MACRO sky130_fd_sc_hd__or2b_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__or2b_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.630000 1.075000 2.320000 1.275000 ;
-    END
-  END A
-  PIN B_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 1.075000 0.425000 1.955000 ;
-    END
-  END B_N
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.325000 0.290000 2.655000 0.735000 ;
-        RECT 2.325000 0.735000 4.055000 0.905000 ;
-        RECT 2.365000 1.785000 3.455000 1.955000 ;
-        RECT 2.365000 1.955000 2.615000 2.465000 ;
-        RECT 2.830000 1.445000 4.055000 1.615000 ;
-        RECT 2.830000 1.615000 3.455000 1.785000 ;
-        RECT 3.165000 0.290000 3.495000 0.735000 ;
-        RECT 3.205000 1.955000 3.455000 2.465000 ;
-        RECT 3.670000 0.905000 4.055000 1.445000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.090000  2.125000 0.345000 2.635000 ;
-      RECT 0.110000  0.265000 0.420000 0.735000 ;
-      RECT 0.110000  0.735000 0.845000 0.905000 ;
-      RECT 0.590000  0.085000 1.245000 0.565000 ;
-      RECT 0.595000  0.905000 0.845000 0.995000 ;
-      RECT 0.595000  0.995000 1.120000 1.325000 ;
-      RECT 0.595000  1.325000 0.765000 2.465000 ;
-      RECT 0.990000  1.495000 2.660000 1.615000 ;
-      RECT 0.990000  1.615000 1.460000 2.465000 ;
-      RECT 1.290000  0.735000 1.745000 0.905000 ;
-      RECT 1.290000  0.905000 1.460000 1.445000 ;
-      RECT 1.290000  1.445000 2.660000 1.495000 ;
-      RECT 1.415000  0.305000 1.745000 0.735000 ;
-      RECT 1.915000  1.835000 2.195000 2.635000 ;
-      RECT 1.980000  0.085000 2.155000 0.905000 ;
-      RECT 2.490000  1.075000 3.500000 1.245000 ;
-      RECT 2.490000  1.245000 2.660000 1.445000 ;
-      RECT 2.785000  2.135000 3.035000 2.635000 ;
-      RECT 2.825000  0.085000 2.995000 0.550000 ;
-      RECT 3.625000  1.795000 3.875000 2.635000 ;
-      RECT 3.665000  0.085000 3.835000 0.550000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__or2b_4
-MACRO sky130_fd_sc_hd__nand2b_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nand2b_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.455000 0.995000 0.800000 1.325000 ;
-    END
-  END A_N
-  PIN B
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.990000 1.075000 3.135000 1.275000 ;
-        RECT 1.990000 1.275000 2.180000 1.655000 ;
-    END
-  END B
-  PIN Y
-    ANTENNADIFFAREA  0.775500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.035000 1.835000 2.635000 2.005000 ;
-        RECT 1.035000 2.005000 1.365000 2.465000 ;
-        RECT 1.525000 0.635000 1.855000 0.805000 ;
-        RECT 1.530000 0.805000 1.855000 0.905000 ;
-        RECT 1.530000 0.905000 1.810000 1.835000 ;
-        RECT 2.280000 2.005000 2.635000 2.465000 ;
-        RECT 2.360000 1.495000 2.635000 1.835000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.110000  0.510000 0.345000 0.840000 ;
-      RECT 0.110000  0.840000 0.280000 1.495000 ;
-      RECT 0.110000  1.495000 1.360000 1.665000 ;
-      RECT 0.110000  1.665000 0.410000 1.860000 ;
-      RECT 0.515000  0.085000 0.845000 0.825000 ;
-      RECT 0.580000  1.835000 0.835000 2.635000 ;
-      RECT 1.030000  1.075000 1.360000 1.495000 ;
-      RECT 1.080000  0.255000 2.275000 0.465000 ;
-      RECT 1.080000  0.465000 1.355000 0.905000 ;
-      RECT 1.535000  2.175000 2.110000 2.635000 ;
-      RECT 2.025000  0.465000 2.275000 0.695000 ;
-      RECT 2.025000  0.695000 3.135000 0.905000 ;
-      RECT 2.445000  0.085000 2.615000 0.525000 ;
-      RECT 2.785000  0.255000 3.135000 0.695000 ;
-      RECT 2.805000  1.495000 3.135000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nand2b_2
-MACRO sky130_fd_sc_hd__nand2b_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nand2b_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.300000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 1.075000 0.440000 1.315000 ;
-    END
-  END A_N
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.610000 1.075000 1.085000 1.315000 ;
-    END
-  END B
-  PIN Y
-    ANTENNADIFFAREA  0.439000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.000000 1.835000 2.170000 2.005000 ;
-        RECT 1.000000 2.005000 1.330000 2.465000 ;
-        RECT 1.420000 0.255000 2.170000 0.545000 ;
-        RECT 1.800000 0.545000 2.170000 1.835000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.300000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.490000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.300000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.300000 0.085000 ;
-      RECT 0.000000  2.635000 2.300000 2.805000 ;
-      RECT 0.090000  0.525000 0.360000 0.735000 ;
-      RECT 0.090000  0.735000 1.425000 0.905000 ;
-      RECT 0.090000  1.495000 1.425000 1.665000 ;
-      RECT 0.090000  1.665000 0.370000 1.825000 ;
-      RECT 0.580000  0.085000 0.910000 0.545000 ;
-      RECT 0.580000  1.835000 0.830000 2.635000 ;
-      RECT 1.255000  0.905000 1.425000 1.075000 ;
-      RECT 1.255000  1.075000 1.630000 1.325000 ;
-      RECT 1.255000  1.325000 1.425000 1.495000 ;
-      RECT 1.500000  2.175000 1.715000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nand2b_1
-MACRO sky130_fd_sc_hd__nand2b_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nand2b_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.060000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.110000 1.075000 0.440000 1.275000 ;
-    END
-  END A_N
-  PIN B
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.155000 1.075000 4.940000 1.275000 ;
-    END
-  END B
-  PIN Y
-    ANTENNADIFFAREA  1.431000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.455000 0.635000 2.640000 0.905000 ;
-        RECT 1.455000 1.445000 4.320000 1.665000 ;
-        RECT 1.455000 1.665000 1.785000 2.465000 ;
-        RECT 2.295000 1.665000 2.640000 2.465000 ;
-        RECT 2.375000 0.905000 2.640000 1.445000 ;
-        RECT 3.150000 1.665000 3.480000 2.465000 ;
-        RECT 3.990000 1.665000 4.320000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.060000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.250000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.060000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.060000 0.085000 ;
-      RECT 0.000000  2.635000 5.060000 2.805000 ;
-      RECT 0.090000  0.255000 0.425000 0.715000 ;
-      RECT 0.090000  0.715000 0.780000 0.905000 ;
-      RECT 0.090000  1.445000 0.780000 1.665000 ;
-      RECT 0.090000  1.665000 0.425000 2.465000 ;
-      RECT 0.595000  0.085000 0.790000 0.545000 ;
-      RECT 0.595000  1.835000 1.285000 2.635000 ;
-      RECT 0.610000  0.905000 0.780000 1.075000 ;
-      RECT 0.610000  1.075000 2.205000 1.275000 ;
-      RECT 0.610000  1.275000 0.780000 1.445000 ;
-      RECT 0.970000  1.445000 1.285000 1.835000 ;
-      RECT 1.035000  0.255000 3.060000 0.465000 ;
-      RECT 1.035000  0.465000 1.285000 0.905000 ;
-      RECT 1.955000  1.835000 2.125000 2.635000 ;
-      RECT 2.810000  0.465000 3.060000 0.715000 ;
-      RECT 2.810000  0.715000 4.850000 0.905000 ;
-      RECT 2.810000  1.835000 2.980000 2.635000 ;
-      RECT 3.230000  0.085000 3.400000 0.545000 ;
-      RECT 3.570000  0.255000 3.900000 0.715000 ;
-      RECT 3.650000  1.835000 3.820000 2.635000 ;
-      RECT 4.070000  0.085000 4.310000 0.545000 ;
-      RECT 4.520000  0.255000 4.850000 0.715000 ;
-      RECT 4.520000  1.495000 4.850000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nand2b_4
-MACRO sky130_fd_sc_hd__a221oi_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a221oi_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.945000 0.675000 2.200000 1.075000 ;
-        RECT 1.945000 1.075000 2.275000 1.285000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.470000 0.995000 2.755000 1.325000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.225000 1.075000 1.695000 1.285000 ;
-        RECT 1.415000 0.675000 1.695000 1.075000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.615000 1.075000 1.055000 1.285000 ;
-    END
-  END B2
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.435000 1.285000 ;
-    END
-  END C1
-  PIN Y
-    ANTENNADIFFAREA  0.767000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.170000 0.255000 0.345000 0.735000 ;
-        RECT 0.170000 0.735000 1.235000 0.905000 ;
-        RECT 0.175000 1.455000 2.300000 1.495000 ;
-        RECT 0.175000 1.495000 3.135000 1.625000 ;
-        RECT 0.175000 1.625000 0.345000 2.465000 ;
-        RECT 1.065000 0.255000 2.580000 0.505000 ;
-        RECT 1.065000 0.505000 1.235000 0.735000 ;
-        RECT 2.150000 1.625000 3.135000 1.665000 ;
-        RECT 2.380000 0.505000 2.580000 0.655000 ;
-        RECT 2.380000 0.655000 3.135000 0.825000 ;
-        RECT 2.925000 0.825000 3.135000 1.495000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.515000  0.085000 0.845000 0.565000 ;
-      RECT 0.515000  1.795000 0.765000 2.295000 ;
-      RECT 0.515000  2.295000 1.685000 2.465000 ;
-      RECT 1.015000  1.795000 2.025000 1.835000 ;
-      RECT 1.015000  1.835000 2.625000 2.045000 ;
-      RECT 1.015000  2.045000 1.240000 2.125000 ;
-      RECT 1.355000  2.255000 1.685000 2.295000 ;
-      RECT 1.875000  2.215000 2.205000 2.635000 ;
-      RECT 2.375000  2.045000 2.625000 2.465000 ;
-      RECT 2.750000  0.085000 3.080000 0.485000 ;
-      RECT 2.795000  1.875000 3.125000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a221oi_1
-MACRO sky130_fd_sc_hd__a221oi_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a221oi_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.660000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.475000 1.075000 7.885000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.965000 1.075000 6.295000 1.445000 ;
-        RECT 5.965000 1.445000 8.265000 1.615000 ;
-        RECT 8.095000 1.075000 9.575000 1.275000 ;
-        RECT 8.095000 1.275000 8.265000 1.445000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.935000 0.995000 5.285000 1.275000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.415000 0.995000 3.765000 1.325000 ;
-        RECT 3.595000 1.325000 3.765000 1.445000 ;
-        RECT 3.595000 1.445000 5.795000 1.615000 ;
-        RECT 5.465000 1.075000 5.795000 1.445000 ;
-    END
-  END B2
-  PIN C1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 1.075000 1.335000 1.275000 ;
-    END
-  END C1
-  PIN Y
-    ANTENNADIFFAREA  1.593000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.535000 0.255000 0.865000 0.725000 ;
-        RECT 0.535000 0.725000 1.705000 0.905000 ;
-        RECT 0.575000 1.445000 1.705000 1.615000 ;
-        RECT 0.575000 1.615000 0.825000 2.125000 ;
-        RECT 1.375000 0.255000 1.705000 0.725000 ;
-        RECT 1.415000 1.615000 1.665000 2.125000 ;
-        RECT 1.505000 0.905000 1.705000 1.095000 ;
-        RECT 1.505000 1.095000 3.245000 1.275000 ;
-        RECT 1.505000 1.275000 1.705000 1.445000 ;
-        RECT 3.075000 0.645000 5.680000 0.735000 ;
-        RECT 3.075000 0.735000 7.765000 0.820000 ;
-        RECT 3.075000 0.820000 3.245000 1.095000 ;
-        RECT 5.510000 0.820000 6.460000 0.905000 ;
-        RECT 6.290000 0.645000 7.765000 0.735000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.660000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.850000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.660000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.660000 0.085000 ;
-      RECT 0.000000  2.635000 9.660000 2.805000 ;
-      RECT 0.090000  1.445000 0.405000 2.295000 ;
-      RECT 0.090000  2.295000 2.125000 2.465000 ;
-      RECT 0.115000  0.085000 0.365000 0.895000 ;
-      RECT 0.995000  1.785000 1.245000 2.295000 ;
-      RECT 1.035000  0.085000 1.205000 0.555000 ;
-      RECT 1.875000  0.085000 2.045000 0.645000 ;
-      RECT 1.875000  0.645000 2.905000 0.925000 ;
-      RECT 1.875000  1.445000 3.030000 1.615000 ;
-      RECT 1.875000  1.615000 2.125000 2.295000 ;
-      RECT 2.235000  0.255000 5.585000 0.425000 ;
-      RECT 2.235000  0.425000 2.610000 0.475000 ;
-      RECT 2.315000  1.795000 2.565000 2.215000 ;
-      RECT 2.315000  2.215000 6.005000 2.465000 ;
-      RECT 2.735000  0.595000 2.905000 0.645000 ;
-      RECT 2.735000  1.615000 3.030000 1.835000 ;
-      RECT 2.735000  1.835000 5.585000 2.045000 ;
-      RECT 3.035000  0.425000 5.585000 0.475000 ;
-      RECT 5.755000  1.785000 8.605000 2.045000 ;
-      RECT 5.755000  2.045000 6.005000 2.215000 ;
-      RECT 5.835000  0.085000 6.005000 0.555000 ;
-      RECT 6.175000  0.255000 8.185000 0.475000 ;
-      RECT 6.175000  2.215000 8.185000 2.635000 ;
-      RECT 7.935000  0.475000 8.185000 0.725000 ;
-      RECT 7.935000  0.725000 9.025000 0.905000 ;
-      RECT 8.355000  0.085000 8.525000 0.555000 ;
-      RECT 8.355000  2.045000 8.525000 2.465000 ;
-      RECT 8.435000  1.445000 9.405000 1.615000 ;
-      RECT 8.435000  1.615000 8.605000 1.785000 ;
-      RECT 8.695000  0.255000 9.025000 0.725000 ;
-      RECT 8.775000  1.795000 8.945000 2.635000 ;
-      RECT 9.155000  1.615000 9.405000 2.465000 ;
-      RECT 9.195000  0.085000 9.365000 0.905000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a221oi_4
-MACRO sky130_fd_sc_hd__a221oi_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a221oi_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.520000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.985000 1.075000 4.480000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.435000 1.075000 3.765000 1.445000 ;
-        RECT 3.435000 1.445000 4.820000 1.615000 ;
-        RECT 4.650000 1.075000 5.435000 1.275000 ;
-        RECT 4.650000 1.275000 4.820000 1.445000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.210000 1.075000 2.765000 1.275000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.505000 1.075000 2.040000 1.445000 ;
-        RECT 1.505000 1.445000 3.265000 1.615000 ;
-        RECT 2.935000 1.075000 3.265000 1.445000 ;
-    END
-  END B2
-  PIN C1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 1.075000 0.420000 1.615000 ;
-    END
-  END C1
-  PIN Y
-    ANTENNADIFFAREA  0.796500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.525000 0.305000 0.855000 0.725000 ;
-        RECT 0.525000 0.725000 4.395000 0.865000 ;
-        RECT 0.605000 0.865000 4.395000 0.905000 ;
-        RECT 0.605000 0.905000 0.855000 2.125000 ;
-        RECT 2.285000 0.645000 2.635000 0.725000 ;
-        RECT 4.065000 0.645000 4.395000 0.725000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.520000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.710000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.520000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.520000 0.085000 ;
-      RECT 0.000000  2.635000 5.520000 2.805000 ;
-      RECT 0.090000  1.795000 0.435000 2.295000 ;
-      RECT 0.090000  2.295000 1.275000 2.465000 ;
-      RECT 0.105000  0.085000 0.355000 0.895000 ;
-      RECT 1.025000  0.085000 1.715000 0.555000 ;
-      RECT 1.025000  1.495000 1.275000 1.785000 ;
-      RECT 1.025000  1.785000 3.015000 1.955000 ;
-      RECT 1.025000  1.955000 1.275000 2.295000 ;
-      RECT 1.505000  2.125000 1.755000 2.295000 ;
-      RECT 1.505000  2.295000 3.475000 2.465000 ;
-      RECT 1.885000  0.255000 3.055000 0.475000 ;
-      RECT 1.925000  1.955000 2.175000 2.125000 ;
-      RECT 2.345000  2.125000 2.595000 2.295000 ;
-      RECT 2.765000  1.955000 3.015000 2.125000 ;
-      RECT 3.225000  1.785000 5.195000 1.955000 ;
-      RECT 3.225000  1.955000 3.475000 2.295000 ;
-      RECT 3.270000  0.085000 3.440000 0.555000 ;
-      RECT 3.645000  0.255000 4.815000 0.475000 ;
-      RECT 3.685000  2.125000 3.935000 2.635000 ;
-      RECT 4.105000  1.955000 4.355000 2.465000 ;
-      RECT 4.525000  2.125000 4.775000 2.635000 ;
-      RECT 4.565000  0.475000 4.815000 0.905000 ;
-      RECT 4.985000  0.085000 5.155000 0.905000 ;
-      RECT 4.990000  1.455000 5.195000 1.785000 ;
-      RECT 4.990000  1.955000 5.195000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a221oi_2
-MACRO sky130_fd_sc_hd__dfrbp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dfrbp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  10.58000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.355000 1.665000 1.680000 2.450000 ;
-        RECT 1.415000 0.615000 1.875000 1.665000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.449000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 8.600000 1.455000 9.005000 2.465000 ;
-        RECT 8.675000 0.275000 9.005000 1.455000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 10.180000 0.265000 10.435000 0.795000 ;
-        RECT 10.180000 1.445000 10.435000 2.325000 ;
-        RECT 10.225000 0.795000 10.435000 1.445000 ;
-    END
-  END Q_N
-  PIN RESET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.805000 0.765000 4.595000 1.015000 ;
-      LAYER mcon ;
-        RECT 4.165000 0.765000 4.335000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 7.105000 1.035000 7.645000 1.405000 ;
-        RECT 7.405000 0.635000 7.645000 1.035000 ;
-      LAYER mcon ;
-        RECT 7.105000 1.080000 7.275000 1.250000 ;
-        RECT 7.405000 0.765000 7.575000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 3.745000 0.735000 4.395000 0.780000 ;
-        RECT 3.745000 0.780000 7.635000 0.920000 ;
-        RECT 3.745000 0.920000 4.395000 0.965000 ;
-        RECT 7.045000 0.920000 7.635000 0.965000 ;
-        RECT 7.045000 0.965000 7.335000 1.280000 ;
-        RECT 7.345000 0.735000 7.635000 0.780000 ;
-    END
-  END RESET_B
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.975000 0.440000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 10.580000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 10.770000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 10.580000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 10.580000 0.085000 ;
-      RECT 0.000000  2.635000 10.580000 2.805000 ;
-      RECT 0.090000  0.345000  0.345000 0.635000 ;
-      RECT 0.090000  0.635000  0.840000 0.805000 ;
-      RECT 0.090000  1.795000  0.840000 1.965000 ;
-      RECT 0.090000  1.965000  0.345000 2.465000 ;
-      RECT 0.515000  0.085000  0.845000 0.465000 ;
-      RECT 0.515000  2.135000  0.845000 2.635000 ;
-      RECT 0.610000  0.805000  0.840000 1.795000 ;
-      RECT 1.015000  0.345000  1.185000 2.465000 ;
-      RECT 1.545000  0.085000  1.875000 0.445000 ;
-      RECT 1.850000  2.175000  2.100000 2.635000 ;
-      RECT 2.045000  0.305000  2.540000 0.475000 ;
-      RECT 2.045000  0.475000  2.215000 1.835000 ;
-      RECT 2.045000  1.835000  2.440000 2.005000 ;
-      RECT 2.270000  2.005000  2.440000 2.135000 ;
-      RECT 2.270000  2.135000  2.520000 2.465000 ;
-      RECT 2.385000  0.765000  2.735000 1.385000 ;
-      RECT 2.610000  1.575000  3.075000 1.965000 ;
-      RECT 2.735000  2.135000  3.415000 2.465000 ;
-      RECT 2.745000  0.305000  3.600000 0.475000 ;
-      RECT 2.905000  0.765000  3.260000 0.985000 ;
-      RECT 2.905000  0.985000  3.075000 1.575000 ;
-      RECT 3.245000  1.185000  4.935000 1.355000 ;
-      RECT 3.245000  1.355000  3.415000 2.135000 ;
-      RECT 3.430000  0.475000  3.600000 1.185000 ;
-      RECT 3.585000  1.865000  4.660000 2.035000 ;
-      RECT 3.585000  2.035000  3.755000 2.375000 ;
-      RECT 3.775000  1.525000  5.275000 1.695000 ;
-      RECT 3.990000  2.205000  4.320000 2.635000 ;
-      RECT 4.475000  0.085000  4.805000 0.545000 ;
-      RECT 4.490000  2.035000  4.660000 2.375000 ;
-      RECT 4.765000  1.005000  4.935000 1.185000 ;
-      RECT 4.955000  2.175000  5.325000 2.635000 ;
-      RECT 5.015000  0.275000  5.365000 0.445000 ;
-      RECT 5.015000  0.445000  5.275000 0.835000 ;
-      RECT 5.105000  0.835000  5.275000 1.525000 ;
-      RECT 5.105000  1.695000  5.275000 1.835000 ;
-      RECT 5.105000  1.835000  5.665000 2.005000 ;
-      RECT 5.465000  0.705000  5.675000 1.495000 ;
-      RECT 5.465000  1.495000  6.140000 1.655000 ;
-      RECT 5.465000  1.655000  6.430000 1.665000 ;
-      RECT 5.495000  2.005000  5.665000 2.465000 ;
-      RECT 5.585000  0.255000  6.535000 0.535000 ;
-      RECT 5.845000  0.705000  6.195000 1.325000 ;
-      RECT 5.900000  2.125000  6.770000 2.465000 ;
-      RECT 5.970000  1.665000  6.430000 1.955000 ;
-      RECT 6.365000  0.535000  6.535000 1.315000 ;
-      RECT 6.365000  1.315000  6.770000 1.485000 ;
-      RECT 6.600000  1.485000  6.770000 1.575000 ;
-      RECT 6.600000  1.575000  7.820000 1.745000 ;
-      RECT 6.600000  1.745000  6.770000 2.125000 ;
-      RECT 6.705000  0.085000  6.895000 0.525000 ;
-      RECT 6.705000  0.695000  7.235000 0.865000 ;
-      RECT 6.705000  0.865000  6.925000 1.145000 ;
-      RECT 6.940000  2.175000  7.190000 2.635000 ;
-      RECT 7.065000  0.295000  8.135000 0.465000 ;
-      RECT 7.065000  0.465000  7.235000 0.695000 ;
-      RECT 7.360000  1.915000  8.160000 2.085000 ;
-      RECT 7.360000  2.085000  7.530000 2.375000 ;
-      RECT 7.710000  2.255000  8.430000 2.635000 ;
-      RECT 7.815000  0.465000  8.135000 0.820000 ;
-      RECT 7.815000  0.820000  8.140000 0.995000 ;
-      RECT 7.815000  0.995000  8.435000 1.295000 ;
-      RECT 7.990000  1.295000  8.435000 1.325000 ;
-      RECT 7.990000  1.325000  8.160000 1.915000 ;
-      RECT 8.335000  0.085000  8.505000 0.770000 ;
-      RECT 9.195000  0.345000  9.445000 0.995000 ;
-      RECT 9.195000  0.995000 10.055000 1.325000 ;
-      RECT 9.195000  1.325000  9.525000 2.425000 ;
-      RECT 9.760000  0.085000  9.930000 0.680000 ;
-      RECT 9.760000  1.495000  9.930000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.610000  1.105000  0.780000 1.275000 ;
-      RECT  1.015000  1.785000  1.185000 1.955000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  1.105000  2.615000 1.275000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  1.785000  3.075000 1.955000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.025000  1.105000  6.195000 1.275000 ;
-      RECT  6.025000  1.785000  6.195000 1.955000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.075000 0.840000 1.120000 ;
-      RECT 0.550000 1.120000 6.255000 1.260000 ;
-      RECT 0.550000 1.260000 0.840000 1.305000 ;
-      RECT 0.955000 1.755000 1.245000 1.800000 ;
-      RECT 0.955000 1.800000 6.255000 1.940000 ;
-      RECT 0.955000 1.940000 1.245000 1.985000 ;
-      RECT 2.385000 1.075000 2.675000 1.120000 ;
-      RECT 2.385000 1.260000 2.675000 1.305000 ;
-      RECT 2.845000 1.755000 3.135000 1.800000 ;
-      RECT 2.845000 1.940000 3.135000 1.985000 ;
-      RECT 5.965000 1.075000 6.255000 1.120000 ;
-      RECT 5.965000 1.260000 6.255000 1.305000 ;
-      RECT 5.965000 1.755000 6.255000 1.800000 ;
-      RECT 5.965000 1.940000 6.255000 1.985000 ;
-  END
-END sky130_fd_sc_hd__dfrbp_1
-MACRO sky130_fd_sc_hd__dfrbp_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dfrbp_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  11.04000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.355000 1.665000 1.680000 2.450000 ;
-        RECT 1.415000 0.615000 1.875000 1.665000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.511500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 9.160000 0.265000 9.495000 1.695000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 10.030000 1.535000 10.420000 2.080000 ;
-        RECT 10.040000 0.310000 10.420000 0.825000 ;
-        RECT 10.120000 2.080000 10.420000 2.465000 ;
-        RECT 10.250000 0.825000 10.420000 1.535000 ;
-    END
-  END Q_N
-  PIN RESET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.805000 0.765000 4.595000 1.015000 ;
-      LAYER mcon ;
-        RECT 4.165000 0.765000 4.335000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 7.105000 1.035000 7.645000 1.405000 ;
-        RECT 7.405000 0.635000 7.645000 1.035000 ;
-      LAYER mcon ;
-        RECT 7.105000 1.080000 7.275000 1.250000 ;
-        RECT 7.405000 0.765000 7.575000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 3.745000 0.735000 4.395000 0.780000 ;
-        RECT 3.745000 0.780000 7.635000 0.920000 ;
-        RECT 3.745000 0.920000 4.395000 0.965000 ;
-        RECT 7.045000 0.920000 7.635000 0.965000 ;
-        RECT 7.045000 0.965000 7.335000 1.280000 ;
-        RECT 7.345000 0.735000 7.635000 0.780000 ;
-    END
-  END RESET_B
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.975000 0.440000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 11.040000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 11.230000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 11.040000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 11.040000 0.085000 ;
-      RECT  0.000000  2.635000 11.040000 2.805000 ;
-      RECT  0.090000  0.345000  0.345000 0.635000 ;
-      RECT  0.090000  0.635000  0.840000 0.805000 ;
-      RECT  0.090000  1.795000  0.840000 1.965000 ;
-      RECT  0.090000  1.965000  0.345000 2.465000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.515000  2.135000  0.845000 2.635000 ;
-      RECT  0.610000  0.805000  0.840000 1.795000 ;
-      RECT  1.015000  0.345000  1.185000 2.465000 ;
-      RECT  1.545000  0.085000  1.875000 0.445000 ;
-      RECT  1.850000  2.175000  2.100000 2.635000 ;
-      RECT  2.045000  0.305000  2.540000 0.475000 ;
-      RECT  2.045000  0.475000  2.215000 1.835000 ;
-      RECT  2.045000  1.835000  2.440000 2.005000 ;
-      RECT  2.270000  2.005000  2.440000 2.135000 ;
-      RECT  2.270000  2.135000  2.520000 2.465000 ;
-      RECT  2.385000  0.765000  2.735000 1.385000 ;
-      RECT  2.610000  1.575000  3.075000 1.965000 ;
-      RECT  2.735000  2.135000  3.415000 2.465000 ;
-      RECT  2.745000  0.305000  3.600000 0.475000 ;
-      RECT  2.905000  0.765000  3.260000 0.985000 ;
-      RECT  2.905000  0.985000  3.075000 1.575000 ;
-      RECT  3.245000  1.185000  4.935000 1.355000 ;
-      RECT  3.245000  1.355000  3.415000 2.135000 ;
-      RECT  3.430000  0.475000  3.600000 1.185000 ;
-      RECT  3.585000  1.865000  4.660000 2.035000 ;
-      RECT  3.585000  2.035000  3.755000 2.375000 ;
-      RECT  3.775000  1.525000  5.275000 1.695000 ;
-      RECT  3.990000  2.205000  4.320000 2.635000 ;
-      RECT  4.475000  0.085000  4.805000 0.545000 ;
-      RECT  4.490000  2.035000  4.660000 2.375000 ;
-      RECT  4.765000  1.005000  4.935000 1.185000 ;
-      RECT  4.955000  2.175000  5.325000 2.635000 ;
-      RECT  5.015000  0.275000  5.365000 0.445000 ;
-      RECT  5.015000  0.445000  5.275000 0.835000 ;
-      RECT  5.105000  0.835000  5.275000 1.525000 ;
-      RECT  5.105000  1.695000  5.275000 1.835000 ;
-      RECT  5.105000  1.835000  5.665000 2.005000 ;
-      RECT  5.465000  0.705000  5.675000 1.495000 ;
-      RECT  5.465000  1.495000  6.140000 1.655000 ;
-      RECT  5.465000  1.655000  6.430000 1.665000 ;
-      RECT  5.495000  2.005000  5.665000 2.465000 ;
-      RECT  5.585000  0.255000  6.535000 0.535000 ;
-      RECT  5.845000  0.705000  6.195000 1.325000 ;
-      RECT  5.900000  2.125000  6.770000 2.465000 ;
-      RECT  5.970000  1.665000  6.430000 1.955000 ;
-      RECT  6.365000  0.535000  6.535000 1.315000 ;
-      RECT  6.365000  1.315000  6.770000 1.485000 ;
-      RECT  6.600000  1.485000  6.770000 1.575000 ;
-      RECT  6.600000  1.575000  7.820000 1.745000 ;
-      RECT  6.600000  1.745000  6.770000 2.125000 ;
-      RECT  6.705000  0.085000  6.895000 0.525000 ;
-      RECT  6.705000  0.695000  7.235000 0.865000 ;
-      RECT  6.705000  0.865000  6.925000 1.145000 ;
-      RECT  6.940000  2.175000  7.190000 2.635000 ;
-      RECT  7.065000  0.295000  7.985000 0.465000 ;
-      RECT  7.065000  0.465000  7.235000 0.695000 ;
-      RECT  7.360000  1.915000  8.160000 2.085000 ;
-      RECT  7.360000  2.085000  7.530000 2.375000 ;
-      RECT  7.710000  2.255000  8.055000 2.635000 ;
-      RECT  7.815000  0.465000  7.985000 0.995000 ;
-      RECT  7.815000  0.995000  8.160000 1.075000 ;
-      RECT  7.815000  1.075000  8.650000 1.295000 ;
-      RECT  7.990000  1.295000  8.650000 1.325000 ;
-      RECT  7.990000  1.325000  8.160000 1.915000 ;
-      RECT  8.335000  0.345000  8.585000 0.715000 ;
-      RECT  8.335000  0.715000  8.990000 0.885000 ;
-      RECT  8.335000  1.795000  8.990000 1.865000 ;
-      RECT  8.335000  1.865000  9.835000 2.035000 ;
-      RECT  8.335000  2.035000  8.560000 2.465000 ;
-      RECT  8.730000  2.205000  9.070000 2.635000 ;
-      RECT  8.755000  0.085000  8.990000 0.545000 ;
-      RECT  8.820000  0.885000  8.990000 1.795000 ;
-      RECT  9.620000  2.255000  9.950000 2.635000 ;
-      RECT  9.665000  0.995000 10.080000 1.325000 ;
-      RECT  9.665000  1.325000  9.835000 1.865000 ;
-      RECT  9.700000  0.085000  9.870000 0.825000 ;
-      RECT 10.590000  0.085000 10.760000 0.930000 ;
-      RECT 10.590000  1.445000 10.760000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.610000  1.105000  0.780000 1.275000 ;
-      RECT  1.015000  1.785000  1.185000 1.955000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  1.105000  2.615000 1.275000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  1.785000  3.075000 1.955000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.025000  1.105000  6.195000 1.275000 ;
-      RECT  6.025000  1.785000  6.195000 1.955000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.075000 0.840000 1.120000 ;
-      RECT 0.550000 1.120000 6.255000 1.260000 ;
-      RECT 0.550000 1.260000 0.840000 1.305000 ;
-      RECT 0.955000 1.755000 1.245000 1.800000 ;
-      RECT 0.955000 1.800000 6.255000 1.940000 ;
-      RECT 0.955000 1.940000 1.245000 1.985000 ;
-      RECT 2.385000 1.075000 2.675000 1.120000 ;
-      RECT 2.385000 1.260000 2.675000 1.305000 ;
-      RECT 2.845000 1.755000 3.135000 1.800000 ;
-      RECT 2.845000 1.940000 3.135000 1.985000 ;
-      RECT 5.965000 1.075000 6.255000 1.120000 ;
-      RECT 5.965000 1.260000 6.255000 1.305000 ;
-      RECT 5.965000 1.755000 6.255000 1.800000 ;
-      RECT 5.965000 1.940000 6.255000 1.985000 ;
-  END
-END sky130_fd_sc_hd__dfrbp_2
-MACRO sky130_fd_sc_hd__fill_8
-  CLASS CORE SPACER ;
-  FOREIGN sky130_fd_sc_hd__fill_8 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.130000 -0.120000 0.350000 0.050000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__fill_8
-MACRO sky130_fd_sc_hd__fill_1
-  CLASS CORE SPACER ;
-  FOREIGN sky130_fd_sc_hd__fill_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  0.460000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 0.460000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.140000 -0.055000 0.260000 0.055000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 0.650000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 0.460000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 0.460000 0.085000 ;
-      RECT 0.000000  2.635000 0.460000 2.805000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-  END
-END sky130_fd_sc_hd__fill_1
-MACRO sky130_fd_sc_hd__fill_4
-  CLASS CORE SPACER ;
-  FOREIGN sky130_fd_sc_hd__fill_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.840000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.840000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.175000 -0.060000 0.285000 0.060000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.030000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.840000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.840000 0.085000 ;
-      RECT 0.000000  2.635000 1.840000 2.805000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-  END
-END sky130_fd_sc_hd__fill_4
-MACRO sky130_fd_sc_hd__fill_2
-  CLASS CORE SPACER ;
-  FOREIGN sky130_fd_sc_hd__fill_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  0.920000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 0.920000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.155000 -0.050000 0.315000 0.060000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 1.110000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 0.920000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 0.920000 0.085000 ;
-      RECT 0.000000  2.635000 0.920000 2.805000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-  END
-END sky130_fd_sc_hd__fill_2
-MACRO sky130_fd_sc_hd__dlygate4sd3_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlygate4sd3_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.055000 0.775000 1.615000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.210000 0.255000 3.595000 0.825000 ;
-        RECT 3.210000 1.495000 3.595000 2.465000 ;
-        RECT 3.315000 0.825000 3.595000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.200000  0.255000 0.485000 0.715000 ;
-      RECT 0.200000  0.715000 1.155000 0.885000 ;
-      RECT 0.200000  1.785000 1.155000 2.005000 ;
-      RECT 0.200000  2.005000 0.485000 2.465000 ;
-      RECT 0.655000  0.085000 0.925000 0.545000 ;
-      RECT 0.655000  2.175000 0.925000 2.635000 ;
-      RECT 0.945000  0.885000 1.155000 1.785000 ;
-      RECT 1.325000  0.255000 1.725000 1.055000 ;
-      RECT 1.325000  1.055000 2.420000 1.615000 ;
-      RECT 1.325000  1.615000 1.725000 2.465000 ;
-      RECT 1.915000  0.255000 2.195000 0.715000 ;
-      RECT 1.915000  0.715000 3.040000 0.885000 ;
-      RECT 1.915000  1.785000 3.040000 2.005000 ;
-      RECT 1.915000  2.005000 2.195000 2.465000 ;
-      RECT 2.590000  0.885000 3.040000 0.995000 ;
-      RECT 2.590000  0.995000 3.145000 1.325000 ;
-      RECT 2.590000  1.325000 3.040000 1.785000 ;
-      RECT 2.715000  0.085000 3.040000 0.545000 ;
-      RECT 2.715000  2.175000 3.040000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__dlygate4sd3_1
-MACRO sky130_fd_sc_hd__o211a_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o211a_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.300000 1.075000 1.720000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.890000 1.075000 2.220000 1.275000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.390000 1.075000 2.720000 1.275000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.245000 1.075000 3.595000 1.325000 ;
-    END
-  END C1
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.255000 0.425000 0.885000 ;
-        RECT 0.085000 0.885000 0.260000 1.495000 ;
-        RECT 0.085000 1.495000 0.425000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.430000  1.075000 1.125000 1.245000 ;
-      RECT 0.595000  0.085000 0.845000 0.885000 ;
-      RECT 0.595000  1.495000 0.765000 2.635000 ;
-      RECT 0.955000  1.245000 1.125000 1.495000 ;
-      RECT 0.955000  1.495000 3.390000 1.665000 ;
-      RECT 1.035000  0.255000 1.365000 0.735000 ;
-      RECT 1.035000  0.735000 2.260000 0.905000 ;
-      RECT 1.035000  1.835000 1.285000 2.635000 ;
-      RECT 1.535000  0.085000 1.760000 0.545000 ;
-      RECT 1.930000  0.255000 2.260000 0.735000 ;
-      RECT 1.930000  1.665000 2.260000 2.465000 ;
-      RECT 2.560000  1.835000 2.890000 2.635000 ;
-      RECT 2.890000  0.255000 3.390000 0.865000 ;
-      RECT 2.890000  0.865000 3.060000 1.495000 ;
-      RECT 3.060000  1.665000 3.390000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o211a_1
-MACRO sky130_fd_sc_hd__o211a_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o211a_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.990000 0.995000 2.325000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.530000 0.995000 1.820000 1.325000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.880000 0.995000 1.240000 1.325000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.360000 1.325000 ;
-    END
-  END C1
-  PIN X
-    ANTENNADIFFAREA  0.462000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.720000 0.255000 3.050000 0.615000 ;
-        RECT 2.720000 0.615000 3.540000 0.785000 ;
-        RECT 2.810000 1.905000 3.540000 2.075000 ;
-        RECT 2.810000 2.075000 3.000000 2.465000 ;
-        RECT 3.345000 0.785000 3.540000 1.905000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.090000  1.510000 2.665000 1.765000 ;
-      RECT 0.090000  1.765000 0.355000 2.465000 ;
-      RECT 0.095000  0.255000 0.430000 0.425000 ;
-      RECT 0.095000  0.425000 0.710000 0.825000 ;
-      RECT 0.525000  1.935000 0.855000 2.635000 ;
-      RECT 0.530000  0.825000 0.710000 1.510000 ;
-      RECT 0.880000  0.635000 2.150000 0.825000 ;
-      RECT 1.025000  1.765000 1.695000 2.465000 ;
-      RECT 1.390000  0.085000 1.725000 0.465000 ;
-      RECT 2.200000  1.935000 2.630000 2.635000 ;
-      RECT 2.315000  0.085000 2.550000 0.525000 ;
-      RECT 2.495000  0.995000 3.175000 1.325000 ;
-      RECT 2.495000  1.325000 2.665000 1.510000 ;
-      RECT 3.170000  2.255000 3.500000 2.635000 ;
-      RECT 3.220000  0.085000 3.550000 0.445000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o211a_2
-MACRO sky130_fd_sc_hd__o211a_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o211a_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.440000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.490000 1.035000 4.845000 1.495000 ;
-        RECT 4.490000 1.495000 6.290000 1.685000 ;
-        RECT 5.890000 1.035000 6.290000 1.495000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.030000 1.035000 5.705000 1.325000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.540000 0.995000 2.830000 1.445000 ;
-        RECT 2.540000 1.445000 4.280000 1.685000 ;
-        RECT 3.950000 1.035000 4.280000 1.445000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.055000 1.035000 3.740000 1.275000 ;
-    END
-  END C1
-  PIN X
-    ANTENNADIFFAREA  0.911000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.635000 1.605000 0.805000 ;
-        RECT 0.085000 0.805000 0.365000 1.435000 ;
-        RECT 0.085000 1.435000 2.030000 1.700000 ;
-        RECT 0.595000 0.255000 0.765000 0.615000 ;
-        RECT 0.595000 0.615000 1.605000 0.635000 ;
-        RECT 0.980000 1.700000 1.160000 2.465000 ;
-        RECT 1.435000 0.255000 1.605000 0.615000 ;
-        RECT 1.840000 1.700000 2.030000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 6.440000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.630000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.440000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.440000 0.085000 ;
-      RECT 0.000000  2.635000 6.440000 2.805000 ;
-      RECT 0.095000  0.085000 0.425000 0.465000 ;
-      RECT 0.480000  1.870000 0.810000 2.635000 ;
-      RECT 0.535000  1.065000 2.370000 1.265000 ;
-      RECT 0.935000  0.085000 1.265000 0.445000 ;
-      RECT 1.340000  1.870000 1.670000 2.635000 ;
-      RECT 1.775000  0.085000 2.140000 0.465000 ;
-      RECT 2.200000  0.635000 3.520000 0.815000 ;
-      RECT 2.200000  0.815000 2.370000 1.065000 ;
-      RECT 2.200000  1.265000 2.370000 1.855000 ;
-      RECT 2.200000  1.855000 5.485000 2.025000 ;
-      RECT 2.200000  2.200000 2.530000 2.635000 ;
-      RECT 2.330000  0.255000 4.500000 0.465000 ;
-      RECT 2.700000  2.025000 3.060000 2.465000 ;
-      RECT 3.285000  2.195000 3.615000 2.635000 ;
-      RECT 3.785000  2.025000 4.120000 2.465000 ;
-      RECT 4.170000  0.465000 4.500000 0.695000 ;
-      RECT 4.170000  0.695000 6.345000 0.865000 ;
-      RECT 4.290000  2.195000 4.555000 2.635000 ;
-      RECT 4.670000  0.085000 4.985000 0.525000 ;
-      RECT 5.155000  0.255000 5.485000 0.695000 ;
-      RECT 5.155000  2.025000 5.485000 2.465000 ;
-      RECT 5.655000  0.085000 5.845000 0.525000 ;
-      RECT 6.015000  0.255000 6.345000 0.695000 ;
-      RECT 6.015000  1.915000 6.345000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o211a_4
-MACRO sky130_fd_sc_hd__dlygate4sd2_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlygate4sd2_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.055000 0.625000 1.615000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.570000 0.255000 3.135000 0.825000 ;
-        RECT 2.570000 1.495000 3.135000 2.465000 ;
-        RECT 2.675000 0.825000 3.135000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.085000  0.255000 0.485000 0.715000 ;
-      RECT 0.085000  0.715000 1.030000 0.885000 ;
-      RECT 0.085000  1.785000 1.030000 2.005000 ;
-      RECT 0.085000  2.005000 0.485000 2.465000 ;
-      RECT 0.655000  0.085000 0.925000 0.545000 ;
-      RECT 0.655000  2.175000 0.925000 2.635000 ;
-      RECT 0.795000  0.885000 1.030000 0.995000 ;
-      RECT 0.795000  0.995000 1.085000 1.325000 ;
-      RECT 0.795000  1.325000 1.030000 1.785000 ;
-      RECT 1.155000  0.255000 1.425000 0.585000 ;
-      RECT 1.155000  2.135000 1.425000 2.465000 ;
-      RECT 1.255000  0.585000 1.425000 1.055000 ;
-      RECT 1.255000  1.055000 2.030000 1.615000 ;
-      RECT 1.255000  1.615000 1.425000 2.135000 ;
-      RECT 1.615000  0.255000 1.875000 0.715000 ;
-      RECT 1.615000  0.715000 2.400000 0.885000 ;
-      RECT 1.615000  1.785000 2.400000 2.005000 ;
-      RECT 1.615000  2.005000 1.875000 2.465000 ;
-      RECT 2.075000  0.085000 2.400000 0.545000 ;
-      RECT 2.075000  2.175000 2.400000 2.635000 ;
-      RECT 2.200000  0.885000 2.400000 0.995000 ;
-      RECT 2.200000  0.995000 2.505000 1.325000 ;
-      RECT 2.200000  1.325000 2.400000 1.785000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__dlygate4sd2_1
-MACRO sky130_fd_sc_hd__sdfxtp_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sdfxtp_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  10.12000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.460000 1.355000 2.790000 1.685000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 9.260000 0.305000 9.605000 0.820000 ;
-        RECT 9.260000 1.505000 9.605000 2.395000 ;
-        RECT 9.435000 0.820000 9.605000 1.505000 ;
-    END
-  END Q
-  PIN SCD
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.530000 1.035000 4.020000 1.655000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.780000 0.615000 3.250000 0.785000 ;
-        RECT 1.780000 0.785000 1.950000 1.685000 ;
-        RECT 2.475000 0.305000 2.650000 0.615000 ;
-        RECT 3.080000 0.785000 3.250000 1.115000 ;
-    END
-  END SCE
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 0.975000 0.445000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 10.120000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 10.310000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 10.120000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 10.120000 0.085000 ;
-      RECT 0.000000  2.635000 10.120000 2.805000 ;
-      RECT 0.175000  0.345000  0.345000 0.635000 ;
-      RECT 0.175000  0.635000  0.810000 0.805000 ;
-      RECT 0.180000  1.795000  0.845000 1.965000 ;
-      RECT 0.180000  1.965000  0.350000 2.465000 ;
-      RECT 0.515000  0.085000  0.845000 0.465000 ;
-      RECT 0.520000  2.135000  0.850000 2.635000 ;
-      RECT 0.615000  0.805000  0.810000 0.970000 ;
-      RECT 0.615000  0.970000  0.845000 1.795000 ;
-      RECT 1.015000  0.345000  1.245000 0.715000 ;
-      RECT 1.020000  0.715000  1.245000 2.465000 ;
-      RECT 1.435000  0.275000  1.805000 0.445000 ;
-      RECT 1.435000  0.445000  1.605000 1.860000 ;
-      RECT 1.435000  1.860000  3.245000 2.075000 ;
-      RECT 1.435000  2.075000  1.710000 2.445000 ;
-      RECT 1.880000  2.245000  2.210000 2.635000 ;
-      RECT 1.975000  0.085000  2.305000 0.445000 ;
-      RECT 2.120000  0.955000  2.460000 1.125000 ;
-      RECT 2.120000  1.125000  2.290000 1.860000 ;
-      RECT 2.690000  2.245000  3.585000 2.415000 ;
-      RECT 2.820000  0.275000  3.590000 0.445000 ;
-      RECT 3.075000  1.355000  3.270000 1.685000 ;
-      RECT 3.075000  1.685000  3.245000 1.860000 ;
-      RECT 3.415000  1.825000  4.380000 1.995000 ;
-      RECT 3.415000  1.995000  3.585000 2.245000 ;
-      RECT 3.420000  0.445000  3.590000 0.695000 ;
-      RECT 3.420000  0.695000  4.380000 0.865000 ;
-      RECT 3.755000  2.165000  3.925000 2.635000 ;
-      RECT 3.760000  0.085000  3.960000 0.525000 ;
-      RECT 4.210000  0.365000  4.560000 0.535000 ;
-      RECT 4.210000  0.535000  4.380000 0.695000 ;
-      RECT 4.210000  0.865000  4.380000 1.825000 ;
-      RECT 4.210000  1.995000  4.380000 2.065000 ;
-      RECT 4.210000  2.065000  4.445000 2.440000 ;
-      RECT 4.550000  0.705000  5.130000 1.035000 ;
-      RECT 4.550000  1.035000  4.790000 1.905000 ;
-      RECT 4.690000  2.190000  5.760000 2.360000 ;
-      RECT 4.730000  0.365000  5.470000 0.535000 ;
-      RECT 4.980000  1.655000  5.420000 2.010000 ;
-      RECT 5.300000  0.535000  5.470000 1.315000 ;
-      RECT 5.300000  1.315000  6.100000 1.485000 ;
-      RECT 5.590000  1.485000  6.100000 1.575000 ;
-      RECT 5.590000  1.575000  5.760000 2.190000 ;
-      RECT 5.640000  0.765000  6.440000 1.065000 ;
-      RECT 5.640000  1.065000  5.810000 1.095000 ;
-      RECT 5.720000  0.085000  6.090000 0.585000 ;
-      RECT 5.930000  1.245000  6.100000 1.315000 ;
-      RECT 5.930000  1.835000  6.100000 2.635000 ;
-      RECT 6.270000  0.365000  6.730000 0.535000 ;
-      RECT 6.270000  0.535000  6.440000 0.765000 ;
-      RECT 6.270000  1.065000  6.440000 2.135000 ;
-      RECT 6.270000  2.135000  6.520000 2.465000 ;
-      RECT 6.610000  0.705000  7.160000 1.035000 ;
-      RECT 6.610000  1.245000  6.800000 1.965000 ;
-      RECT 6.745000  2.165000  7.630000 2.335000 ;
-      RECT 6.960000  0.365000  7.500000 0.535000 ;
-      RECT 6.970000  1.035000  7.160000 1.575000 ;
-      RECT 6.970000  1.575000  7.290000 1.905000 ;
-      RECT 7.330000  0.535000  7.500000 0.995000 ;
-      RECT 7.330000  0.995000  8.395000 1.325000 ;
-      RECT 7.330000  1.325000  7.630000 1.405000 ;
-      RECT 7.460000  1.405000  7.630000 2.165000 ;
-      RECT 7.745000  0.085000  8.115000 0.615000 ;
-      RECT 7.800000  1.575000  8.735000 1.905000 ;
-      RECT 7.810000  2.135000  8.115000 2.635000 ;
-      RECT 8.385000  0.300000  8.735000 0.825000 ;
-      RECT 8.465000  1.905000  8.735000 2.455000 ;
-      RECT 8.565000  0.825000  8.735000 0.995000 ;
-      RECT 8.565000  0.995000  9.265000 1.325000 ;
-      RECT 8.565000  1.325000  8.735000 1.575000 ;
-      RECT 8.905000  0.085000  9.075000 0.695000 ;
-      RECT 8.905000  1.625000  9.080000 2.635000 ;
-      RECT 9.775000  0.085000  9.945000 0.930000 ;
-      RECT 9.775000  1.405000  9.945000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.640000  1.785000 0.810000 1.955000 ;
-      RECT 1.050000  0.765000 1.220000 0.935000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  0.765000 4.915000 0.935000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  1.785000 5.375000 1.955000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 6.620000  1.785000 6.790000 1.955000 ;
-      RECT 6.630000  0.765000 6.800000 0.935000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-      RECT 9.805000 -0.085000 9.975000 0.085000 ;
-      RECT 9.805000  2.635000 9.975000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.580000 1.755000 0.870000 1.800000 ;
-      RECT 0.580000 1.800000 6.850000 1.940000 ;
-      RECT 0.580000 1.940000 0.870000 1.985000 ;
-      RECT 0.990000 0.735000 1.280000 0.780000 ;
-      RECT 0.990000 0.780000 6.860000 0.920000 ;
-      RECT 0.990000 0.920000 1.280000 0.965000 ;
-      RECT 4.685000 0.735000 4.975000 0.780000 ;
-      RECT 4.685000 0.920000 4.975000 0.965000 ;
-      RECT 5.145000 1.755000 5.435000 1.800000 ;
-      RECT 5.145000 1.940000 5.435000 1.985000 ;
-      RECT 6.560000 1.755000 6.850000 1.800000 ;
-      RECT 6.560000 1.940000 6.850000 1.985000 ;
-      RECT 6.570000 0.735000 6.860000 0.780000 ;
-      RECT 6.570000 0.920000 6.860000 0.965000 ;
-  END
-END sky130_fd_sc_hd__sdfxtp_2
-MACRO sky130_fd_sc_hd__sdfxtp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sdfxtp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.660000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.460000 1.355000 2.790000 1.685000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 9.230000 0.305000 9.575000 0.820000 ;
-        RECT 9.230000 1.505000 9.575000 2.395000 ;
-        RECT 9.405000 0.820000 9.575000 1.505000 ;
-    END
-  END Q
-  PIN SCD
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.530000 1.055000 3.990000 1.655000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.760000 0.635000 3.250000 0.785000 ;
-        RECT 1.760000 0.785000 1.990000 0.835000 ;
-        RECT 1.760000 0.835000 1.930000 1.685000 ;
-        RECT 1.870000 0.615000 3.250000 0.635000 ;
-        RECT 2.475000 0.305000 2.650000 0.615000 ;
-        RECT 3.065000 0.785000 3.250000 1.095000 ;
-    END
-  END SCE
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 0.975000 0.445000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.660000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.850000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.660000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.660000 0.085000 ;
-      RECT 0.000000  2.635000 9.660000 2.805000 ;
-      RECT 0.175000  0.345000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 0.810000 0.805000 ;
-      RECT 0.180000  1.795000 0.845000 1.965000 ;
-      RECT 0.180000  1.965000 0.350000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.520000  2.135000 0.850000 2.635000 ;
-      RECT 0.615000  0.805000 0.810000 0.970000 ;
-      RECT 0.615000  0.970000 0.845000 1.795000 ;
-      RECT 1.015000  0.345000 1.230000 0.715000 ;
-      RECT 1.020000  0.715000 1.230000 2.465000 ;
-      RECT 1.420000  0.260000 1.790000 0.465000 ;
-      RECT 1.420000  0.465000 1.590000 1.860000 ;
-      RECT 1.420000  1.860000 3.220000 2.075000 ;
-      RECT 1.420000  2.075000 1.710000 2.445000 ;
-      RECT 1.880000  2.245000 2.210000 2.635000 ;
-      RECT 1.960000  0.085000 2.305000 0.445000 ;
-      RECT 2.115000  0.960000 2.460000 1.130000 ;
-      RECT 2.115000  1.130000 2.290000 1.860000 ;
-      RECT 2.690000  2.245000 3.560000 2.415000 ;
-      RECT 2.820000  0.275000 3.590000 0.445000 ;
-      RECT 3.050000  1.305000 3.270000 1.635000 ;
-      RECT 3.050000  1.635000 3.220000 1.860000 ;
-      RECT 3.390000  1.825000 4.350000 1.995000 ;
-      RECT 3.390000  1.995000 3.560000 2.245000 ;
-      RECT 3.420000  0.445000 3.590000 0.715000 ;
-      RECT 3.420000  0.715000 4.350000 0.885000 ;
-      RECT 3.730000  2.165000 3.925000 2.635000 ;
-      RECT 3.760000  0.085000 3.960000 0.545000 ;
-      RECT 4.180000  0.285000 4.460000 0.615000 ;
-      RECT 4.180000  0.615000 4.350000 0.715000 ;
-      RECT 4.180000  0.885000 4.350000 1.825000 ;
-      RECT 4.180000  1.995000 4.350000 2.065000 ;
-      RECT 4.180000  2.065000 4.420000 2.440000 ;
-      RECT 4.520000  0.780000 5.100000 1.035000 ;
-      RECT 4.520000  1.035000 4.760000 1.905000 ;
-      RECT 4.630000  0.705000 5.100000 0.780000 ;
-      RECT 4.660000  2.190000 5.730000 2.360000 ;
-      RECT 4.700000  0.365000 5.440000 0.535000 ;
-      RECT 4.950000  1.655000 5.390000 2.010000 ;
-      RECT 5.270000  0.535000 5.440000 1.315000 ;
-      RECT 5.270000  1.315000 6.070000 1.485000 ;
-      RECT 5.560000  1.485000 6.070000 1.575000 ;
-      RECT 5.560000  1.575000 5.730000 2.190000 ;
-      RECT 5.610000  0.765000 6.410000 1.065000 ;
-      RECT 5.610000  1.065000 5.780000 1.095000 ;
-      RECT 5.690000  0.085000 6.060000 0.585000 ;
-      RECT 5.900000  1.245000 6.070000 1.315000 ;
-      RECT 5.900000  1.835000 6.070000 2.635000 ;
-      RECT 6.240000  0.365000 6.700000 0.535000 ;
-      RECT 6.240000  0.535000 6.410000 0.765000 ;
-      RECT 6.240000  1.065000 6.410000 2.135000 ;
-      RECT 6.240000  2.135000 6.490000 2.465000 ;
-      RECT 6.580000  0.705000 7.130000 1.035000 ;
-      RECT 6.580000  1.245000 6.770000 1.965000 ;
-      RECT 6.715000  2.165000 7.600000 2.335000 ;
-      RECT 6.930000  0.365000 7.470000 0.535000 ;
-      RECT 6.940000  1.035000 7.130000 1.575000 ;
-      RECT 6.940000  1.575000 7.260000 1.905000 ;
-      RECT 7.300000  0.535000 7.470000 0.995000 ;
-      RECT 7.300000  0.995000 8.365000 1.325000 ;
-      RECT 7.300000  1.325000 7.600000 1.405000 ;
-      RECT 7.430000  1.405000 7.600000 2.165000 ;
-      RECT 7.715000  0.085000 8.085000 0.615000 ;
-      RECT 7.770000  1.575000 8.705000 1.905000 ;
-      RECT 7.790000  2.135000 8.095000 2.635000 ;
-      RECT 8.355000  0.300000 8.705000 0.825000 ;
-      RECT 8.435000  1.905000 8.705000 2.455000 ;
-      RECT 8.535000  0.825000 8.705000 0.995000 ;
-      RECT 8.535000  0.995000 9.235000 1.325000 ;
-      RECT 8.535000  1.325000 8.705000 1.575000 ;
-      RECT 8.875000  0.085000 9.045000 0.695000 ;
-      RECT 8.875000  1.625000 9.045000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.640000  1.785000 0.810000 1.955000 ;
-      RECT 1.040000  0.765000 1.210000 0.935000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  0.765000 4.915000 0.935000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  1.785000 5.375000 1.955000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 6.590000  1.785000 6.760000 1.955000 ;
-      RECT 6.630000  0.765000 6.800000 0.935000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.580000 1.755000 0.870000 1.800000 ;
-      RECT 0.580000 1.800000 6.820000 1.940000 ;
-      RECT 0.580000 1.940000 0.870000 1.985000 ;
-      RECT 0.980000 0.735000 1.270000 0.780000 ;
-      RECT 0.980000 0.780000 6.860000 0.920000 ;
-      RECT 0.980000 0.920000 1.270000 0.965000 ;
-      RECT 4.685000 0.735000 4.975000 0.780000 ;
-      RECT 4.685000 0.920000 4.975000 0.965000 ;
-      RECT 5.145000 1.755000 5.435000 1.800000 ;
-      RECT 5.145000 1.940000 5.435000 1.985000 ;
-      RECT 6.530000 1.755000 6.820000 1.800000 ;
-      RECT 6.530000 1.940000 6.820000 1.985000 ;
-      RECT 6.570000 0.735000 6.860000 0.780000 ;
-      RECT 6.570000 0.920000 6.860000 0.965000 ;
-  END
-END sky130_fd_sc_hd__sdfxtp_1
-MACRO sky130_fd_sc_hd__sdfxtp_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sdfxtp_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  11.04000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.460000 1.355000 2.795000 1.685000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT  9.285000 0.305000  9.615000 0.735000 ;
-        RECT  9.285000 0.735000 10.955000 0.905000 ;
-        RECT  9.285000 1.505000 10.955000 1.675000 ;
-        RECT  9.285000 1.675000  9.615000 2.395000 ;
-        RECT 10.135000 0.305000 10.465000 0.735000 ;
-        RECT 10.135000 1.675000 10.465000 2.395000 ;
-        RECT 10.655000 0.905000 10.955000 1.505000 ;
-    END
-  END Q
-  PIN SCD
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.535000 1.035000 4.025000 1.655000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.780000 0.615000 3.255000 0.785000 ;
-        RECT 1.780000 0.785000 1.950000 1.685000 ;
-        RECT 2.475000 0.305000 2.650000 0.615000 ;
-        RECT 3.085000 0.785000 3.255000 1.115000 ;
-    END
-  END SCE
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 0.975000 0.445000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 11.040000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 11.230000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 11.040000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 11.040000 0.085000 ;
-      RECT  0.000000  2.635000 11.040000 2.805000 ;
-      RECT  0.175000  0.345000  0.345000 0.635000 ;
-      RECT  0.175000  0.635000  0.810000 0.805000 ;
-      RECT  0.180000  1.795000  0.845000 1.965000 ;
-      RECT  0.180000  1.965000  0.350000 2.465000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.520000  2.135000  0.850000 2.635000 ;
-      RECT  0.615000  0.805000  0.810000 0.970000 ;
-      RECT  0.615000  0.970000  0.845000 1.795000 ;
-      RECT  1.015000  0.345000  1.245000 0.715000 ;
-      RECT  1.020000  0.715000  1.245000 2.465000 ;
-      RECT  1.435000  0.275000  1.805000 0.445000 ;
-      RECT  1.435000  0.445000  1.605000 1.860000 ;
-      RECT  1.435000  1.860000  3.250000 2.075000 ;
-      RECT  1.435000  2.075000  1.710000 2.445000 ;
-      RECT  1.880000  2.245000  2.210000 2.635000 ;
-      RECT  1.975000  0.085000  2.305000 0.445000 ;
-      RECT  2.120000  0.955000  2.465000 1.125000 ;
-      RECT  2.120000  1.125000  2.290000 1.860000 ;
-      RECT  2.695000  2.245000  3.590000 2.415000 ;
-      RECT  2.820000  0.275000  3.595000 0.445000 ;
-      RECT  3.080000  1.355000  3.275000 1.685000 ;
-      RECT  3.080000  1.685000  3.250000 1.860000 ;
-      RECT  3.420000  1.825000  4.385000 1.995000 ;
-      RECT  3.420000  1.995000  3.590000 2.245000 ;
-      RECT  3.425000  0.445000  3.595000 0.695000 ;
-      RECT  3.425000  0.695000  4.385000 0.865000 ;
-      RECT  3.760000  2.165000  3.930000 2.635000 ;
-      RECT  3.765000  0.085000  3.965000 0.525000 ;
-      RECT  4.215000  0.365000  4.565000 0.535000 ;
-      RECT  4.215000  0.535000  4.385000 0.695000 ;
-      RECT  4.215000  0.865000  4.385000 1.825000 ;
-      RECT  4.215000  1.995000  4.385000 2.065000 ;
-      RECT  4.215000  2.065000  4.450000 2.440000 ;
-      RECT  4.555000  0.705000  5.135000 1.035000 ;
-      RECT  4.555000  1.035000  4.795000 1.905000 ;
-      RECT  4.695000  2.190000  5.765000 2.360000 ;
-      RECT  4.735000  0.365000  5.475000 0.535000 ;
-      RECT  4.985000  1.655000  5.425000 2.010000 ;
-      RECT  5.305000  0.535000  5.475000 1.315000 ;
-      RECT  5.305000  1.315000  6.105000 1.485000 ;
-      RECT  5.595000  1.485000  6.105000 1.575000 ;
-      RECT  5.595000  1.575000  5.765000 2.190000 ;
-      RECT  5.645000  0.765000  6.445000 1.065000 ;
-      RECT  5.645000  1.065000  5.815000 1.095000 ;
-      RECT  5.725000  0.085000  6.095000 0.585000 ;
-      RECT  5.935000  1.245000  6.105000 1.315000 ;
-      RECT  5.935000  1.835000  6.105000 2.635000 ;
-      RECT  6.275000  0.365000  6.735000 0.535000 ;
-      RECT  6.275000  0.535000  6.445000 0.765000 ;
-      RECT  6.275000  1.065000  6.445000 2.135000 ;
-      RECT  6.275000  2.135000  6.525000 2.465000 ;
-      RECT  6.615000  0.705000  7.165000 1.035000 ;
-      RECT  6.615000  1.245000  6.805000 1.965000 ;
-      RECT  6.750000  2.165000  7.635000 2.335000 ;
-      RECT  6.965000  0.365000  7.505000 0.535000 ;
-      RECT  6.975000  1.035000  7.165000 1.575000 ;
-      RECT  6.975000  1.575000  7.295000 1.905000 ;
-      RECT  7.335000  0.535000  7.505000 0.995000 ;
-      RECT  7.335000  0.995000  8.400000 1.325000 ;
-      RECT  7.335000  1.325000  7.635000 1.405000 ;
-      RECT  7.465000  1.405000  7.635000 2.165000 ;
-      RECT  7.750000  0.085000  8.120000 0.615000 ;
-      RECT  7.805000  1.575000  8.755000 1.905000 ;
-      RECT  7.815000  2.135000  8.120000 2.635000 ;
-      RECT  8.390000  0.300000  8.750000 0.825000 ;
-      RECT  8.470000  1.905000  8.755000 2.455000 ;
-      RECT  8.570000  0.825000  8.750000 1.075000 ;
-      RECT  8.570000  1.075000 10.485000 1.325000 ;
-      RECT  8.570000  1.325000  8.755000 1.575000 ;
-      RECT  8.925000  0.085000  9.095000 0.695000 ;
-      RECT  8.925000  1.625000  9.105000 2.635000 ;
-      RECT  9.795000  0.085000  9.965000 0.565000 ;
-      RECT  9.795000  1.845000  9.965000 2.635000 ;
-      RECT 10.635000  0.085000 10.805000 0.565000 ;
-      RECT 10.635000  1.845000 10.805000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.615000  1.785000  0.785000 1.955000 ;
-      RECT  1.055000  0.765000  1.225000 0.935000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  4.755000  0.765000  4.925000 0.935000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.215000  1.785000  5.385000 1.955000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  6.625000  0.765000  6.795000 0.935000 ;
-      RECT  6.625000  1.785000  6.795000 1.955000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.555000 1.755000 0.845000 1.800000 ;
-      RECT 0.555000 1.800000 6.855000 1.940000 ;
-      RECT 0.555000 1.940000 0.845000 1.985000 ;
-      RECT 0.995000 0.735000 1.285000 0.780000 ;
-      RECT 0.995000 0.780000 6.855000 0.920000 ;
-      RECT 0.995000 0.920000 1.285000 0.965000 ;
-      RECT 4.695000 0.735000 4.985000 0.780000 ;
-      RECT 4.695000 0.920000 4.985000 0.965000 ;
-      RECT 5.155000 1.755000 5.445000 1.800000 ;
-      RECT 5.155000 1.940000 5.445000 1.985000 ;
-      RECT 6.565000 0.735000 6.855000 0.780000 ;
-      RECT 6.565000 0.920000 6.855000 0.965000 ;
-      RECT 6.565000 1.755000 6.855000 1.800000 ;
-      RECT 6.565000 1.940000 6.855000 1.985000 ;
-  END
-END sky130_fd_sc_hd__sdfxtp_4
-MACRO sky130_fd_sc_hd__maj3_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__maj3_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.005000 0.995000 1.695000 1.325000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.865000 0.995000 2.155000 1.325000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.425000 0.995000 0.775000 1.495000 ;
-        RECT 0.425000 1.495000 3.070000 1.665000 ;
-        RECT 2.415000 1.415000 3.070000 1.495000 ;
-    END
-  END C
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.285000 0.255000 3.615000 0.905000 ;
-        RECT 3.285000 1.495000 3.615000 2.465000 ;
-        RECT 3.445000 0.905000 3.615000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.085000  0.280000 0.525000 0.655000 ;
-      RECT 0.085000  0.655000 3.105000 0.825000 ;
-      RECT 0.085000  0.825000 0.255000 1.835000 ;
-      RECT 0.085000  1.835000 2.085000 2.005000 ;
-      RECT 0.085000  2.005000 0.615000 2.465000 ;
-      RECT 0.975000  0.085000 1.305000 0.485000 ;
-      RECT 0.975000  2.175000 1.305000 2.635000 ;
-      RECT 1.755000  0.255000 2.085000 0.655000 ;
-      RECT 1.755000  2.005000 2.085000 2.465000 ;
-      RECT 2.535000  1.835000 2.860000 2.635000 ;
-      RECT 2.635000  0.085000 2.965000 0.485000 ;
-      RECT 2.925000  0.825000 3.105000 1.075000 ;
-      RECT 2.925000  1.075000 3.275000 1.245000 ;
-      RECT 3.785000  0.085000 4.055000 0.905000 ;
-      RECT 3.785000  1.495000 4.055000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__maj3_2
-MACRO sky130_fd_sc_hd__maj3_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__maj3_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.610000 0.995000 1.125000 1.325000 ;
-        RECT 0.610000 1.325000 0.780000 2.460000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.500000 0.995000 1.905000 1.615000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.415000 0.765000 2.755000 1.325000 ;
-    END
-  END C
-  PIN X
-    ANTENNADIFFAREA  0.602250 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.255000 0.255000 3.595000 0.825000 ;
-        RECT 3.255000 2.160000 3.595000 2.465000 ;
-        RECT 3.265000 1.495000 3.595000 2.160000 ;
-        RECT 3.370000 0.825000 3.595000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.135000  0.255000 0.395000 0.655000 ;
-      RECT 0.135000  0.655000 2.245000 0.825000 ;
-      RECT 0.135000  0.825000 0.395000 2.125000 ;
-      RECT 0.875000  0.085000 1.205000 0.485000 ;
-      RECT 0.955000  1.715000 1.205000 2.635000 ;
-      RECT 1.655000  0.255000 1.985000 0.640000 ;
-      RECT 1.655000  0.640000 2.245000 0.655000 ;
-      RECT 1.655000  1.815000 2.245000 2.080000 ;
-      RECT 2.075000  0.825000 2.245000 1.495000 ;
-      RECT 2.075000  1.495000 3.095000 1.665000 ;
-      RECT 2.075000  1.665000 2.245000 1.815000 ;
-      RECT 2.545000  0.085000 2.880000 0.470000 ;
-      RECT 2.555000  1.845000 2.885000 2.635000 ;
-      RECT 2.925000  0.995000 3.200000 1.325000 ;
-      RECT 2.925000  1.325000 3.095000 1.495000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__maj3_1
-MACRO sky130_fd_sc_hd__maj3_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__maj3_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.060000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.060000 1.075000 1.450000 1.635000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.960000 1.075000 2.290000 1.325000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.425000 1.075000 0.890000 1.285000 ;
-        RECT 0.720000 1.285000 0.890000 1.915000 ;
-        RECT 0.720000 1.915000 1.790000 2.085000 ;
-        RECT 1.620000 2.085000 1.790000 2.225000 ;
-        RECT 1.620000 2.225000 2.630000 2.395000 ;
-        RECT 2.460000 1.075000 2.945000 1.245000 ;
-        RECT 2.460000 1.245000 2.630000 2.225000 ;
-    END
-  END C
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.375000 0.255000 3.705000 0.490000 ;
-        RECT 3.375000 1.455000 4.975000 1.625000 ;
-        RECT 3.375000 1.625000 3.705000 2.465000 ;
-        RECT 3.455000 0.490000 3.705000 0.715000 ;
-        RECT 3.455000 0.715000 4.975000 0.905000 ;
-        RECT 4.215000 0.255000 4.545000 0.715000 ;
-        RECT 4.215000 1.625000 4.545000 2.465000 ;
-        RECT 4.715000 0.905000 4.975000 1.455000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.060000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.140000 -0.085000 0.310000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.250000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.060000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.060000 0.085000 ;
-      RECT 0.000000  2.635000 5.060000 2.805000 ;
-      RECT 0.085000  0.255000 0.635000 0.660000 ;
-      RECT 0.085000  0.660000 2.290000 0.715000 ;
-      RECT 0.085000  0.715000 3.285000 0.885000 ;
-      RECT 0.085000  0.885000 0.255000 1.455000 ;
-      RECT 0.085000  1.455000 0.465000 2.465000 ;
-      RECT 1.120000  0.085000 1.450000 0.490000 ;
-      RECT 1.120000  2.255000 1.450000 2.635000 ;
-      RECT 1.620000  0.885000 1.790000 1.545000 ;
-      RECT 1.620000  1.545000 2.290000 1.745000 ;
-      RECT 1.960000  0.255000 2.290000 0.660000 ;
-      RECT 1.960000  1.745000 2.290000 2.055000 ;
-      RECT 2.845000  1.455000 3.175000 2.635000 ;
-      RECT 2.860000  0.085000 3.205000 0.545000 ;
-      RECT 3.115000  0.885000 3.285000 1.075000 ;
-      RECT 3.115000  1.075000 4.545000 1.285000 ;
-      RECT 3.875000  0.085000 4.045000 0.545000 ;
-      RECT 3.875000  1.795000 4.045000 2.635000 ;
-      RECT 4.715000  0.085000 4.885000 0.545000 ;
-      RECT 4.715000  1.795000 4.925000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-  END
-END sky130_fd_sc_hd__maj3_4
-MACRO sky130_fd_sc_hd__and4b_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__and4b_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.135000 0.740000 0.335000 1.630000 ;
-    END
-  END A_N
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.525000 0.420000 1.745000 1.745000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.960000 0.420000 2.275000 1.695000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.445000 0.645000 2.775000 1.615000 ;
-    END
-  END D
-  PIN X
-    ANTENNADIFFAREA  0.503250 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.260000 0.255000 3.545000 0.640000 ;
-        RECT 3.260000 0.640000 4.055000 0.825000 ;
-        RECT 3.340000 1.535000 4.055000 1.745000 ;
-        RECT 3.340000 1.745000 3.545000 2.465000 ;
-        RECT 3.425000 0.825000 4.055000 1.535000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.095000  0.085000 0.425000 0.465000 ;
-      RECT 0.175000  1.830000 0.805000 2.000000 ;
-      RECT 0.175000  2.000000 0.345000 2.465000 ;
-      RECT 0.515000  2.195000 0.845000 2.635000 ;
-      RECT 0.595000  0.255000 0.805000 0.585000 ;
-      RECT 0.635000  0.585000 0.805000 0.995000 ;
-      RECT 0.635000  0.995000 0.975000 1.325000 ;
-      RECT 0.635000  1.325000 0.805000 1.830000 ;
-      RECT 1.015000  1.660000 1.315000 1.915000 ;
-      RECT 1.015000  1.915000 3.165000 1.965000 ;
-      RECT 1.015000  1.965000 2.610000 2.085000 ;
-      RECT 1.015000  2.085000 1.185000 2.465000 ;
-      RECT 1.095000  0.255000 1.315000 0.585000 ;
-      RECT 1.145000  0.585000 1.315000 1.660000 ;
-      RECT 1.555000  2.255000 2.225000 2.635000 ;
-      RECT 2.440000  1.795000 3.165000 1.915000 ;
-      RECT 2.440000  2.085000 2.610000 2.465000 ;
-      RECT 2.760000  0.085000 3.090000 0.465000 ;
-      RECT 2.840000  2.195000 3.170000 2.635000 ;
-      RECT 2.995000  0.995000 3.255000 1.325000 ;
-      RECT 2.995000  1.325000 3.165000 1.795000 ;
-      RECT 3.715000  0.085000 4.050000 0.465000 ;
-      RECT 3.715000  1.915000 4.050000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__and4b_2
-MACRO sky130_fd_sc_hd__and4b_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__and4b_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.060000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.440000 0.765000 0.790000 1.635000 ;
-    END
-  END A_N
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.815000 0.735000 4.145000 1.325000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.345000 0.755000 3.555000 1.325000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.865000 0.995000 3.085000 1.325000 ;
-    END
-  END D
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.980000 0.650000 2.080000 0.820000 ;
-        RECT 0.980000 0.820000 1.260000 1.545000 ;
-        RECT 0.980000 1.545000 2.160000 1.715000 ;
-        RECT 1.070000 0.255000 1.240000 0.650000 ;
-        RECT 1.910000 0.255000 2.080000 0.650000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.060000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.250000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.060000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.060000 0.085000 ;
-      RECT 0.000000  2.635000 5.060000 2.805000 ;
-      RECT 0.085000  0.255000 0.345000 0.585000 ;
-      RECT 0.085000  0.585000 0.260000 1.915000 ;
-      RECT 0.085000  1.915000 4.900000 2.085000 ;
-      RECT 0.085000  2.085000 0.345000 2.465000 ;
-      RECT 0.515000  2.255000 0.845000 2.635000 ;
-      RECT 0.570000  0.085000 0.900000 0.470000 ;
-      RECT 1.410000  0.085000 1.740000 0.470000 ;
-      RECT 1.410000  2.255000 1.740000 2.635000 ;
-      RECT 1.440000  1.075000 2.550000 1.245000 ;
-      RECT 2.250000  2.255000 2.580000 2.635000 ;
-      RECT 2.285000  0.085000 2.615000 0.445000 ;
-      RECT 2.380000  0.615000 2.965000 0.785000 ;
-      RECT 2.380000  0.785000 2.550000 1.075000 ;
-      RECT 2.380000  1.245000 2.550000 1.545000 ;
-      RECT 2.380000  1.545000 4.545000 1.715000 ;
-      RECT 2.795000  0.300000 4.965000 0.470000 ;
-      RECT 2.795000  0.470000 2.965000 0.615000 ;
-      RECT 3.475000  2.255000 3.805000 2.635000 ;
-      RECT 4.390000  0.470000 4.965000 0.810000 ;
-      RECT 4.635000  2.255000 4.965000 2.635000 ;
-      RECT 4.730000  0.995000 4.900000 1.915000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-  END
-END sky130_fd_sc_hd__and4b_4
-MACRO sky130_fd_sc_hd__and4b_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__and4b_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.450000 1.675000 ;
-    END
-  END A_N
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.520000 0.420000 1.800000 1.695000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.025000 0.420000 2.295000 1.695000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.485000 0.665000 2.825000 1.695000 ;
-    END
-  END D
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.255000 0.295000 3.590000 0.340000 ;
-        RECT 3.255000 0.340000 3.595000 0.805000 ;
-        RECT 3.335000 1.495000 3.595000 2.465000 ;
-        RECT 3.425000 0.805000 3.595000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.170000  0.255000 0.345000 0.655000 ;
-      RECT 0.170000  0.655000 0.800000 0.825000 ;
-      RECT 0.170000  1.845000 0.800000 2.015000 ;
-      RECT 0.170000  2.015000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.195000 0.845000 2.635000 ;
-      RECT 0.630000  0.825000 0.800000 0.995000 ;
-      RECT 0.630000  0.995000 0.980000 1.325000 ;
-      RECT 0.630000  1.325000 0.800000 1.845000 ;
-      RECT 1.090000  0.255000 1.320000 0.585000 ;
-      RECT 1.150000  0.585000 1.320000 1.875000 ;
-      RECT 1.150000  1.875000 3.165000 2.045000 ;
-      RECT 1.150000  2.045000 1.320000 2.465000 ;
-      RECT 1.555000  2.225000 2.225000 2.635000 ;
-      RECT 2.440000  2.045000 2.610000 2.465000 ;
-      RECT 2.755000  0.085000 3.085000 0.465000 ;
-      RECT 2.810000  2.225000 3.140000 2.635000 ;
-      RECT 2.995000  0.995000 3.255000 1.325000 ;
-      RECT 2.995000  1.325000 3.165000 1.875000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__and4b_1
-MACRO sky130_fd_sc_hd__a31o_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a31o_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.895000 0.995000 2.160000 1.655000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.415000 0.995000 1.700000 1.655000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.935000 0.995000 1.240000 1.325000 ;
-        RECT 1.025000 1.325000 1.240000 1.655000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.375000 0.995000 2.620000 1.655000 ;
-    END
-  END B1
-  PIN X
-    ANTENNADIFFAREA  0.437250 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 0.300000 0.425000 0.810000 ;
-        RECT 0.095000 0.810000 0.285000 1.575000 ;
-        RECT 0.095000 1.575000 0.425000 2.425000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.455000  0.995000 0.765000 1.325000 ;
-      RECT 0.595000  0.085000 0.925000 0.485000 ;
-      RECT 0.595000  0.655000 2.960000 0.825000 ;
-      RECT 0.595000  0.825000 0.765000 0.995000 ;
-      RECT 0.595000  1.495000 0.845000 2.635000 ;
-      RECT 1.035000  1.825000 2.325000 1.995000 ;
-      RECT 1.035000  1.995000 1.285000 2.415000 ;
-      RECT 1.515000  2.165000 1.845000 2.635000 ;
-      RECT 1.975000  0.315000 2.305000 0.655000 ;
-      RECT 2.075000  1.995000 2.325000 2.415000 ;
-      RECT 2.475000  0.085000 2.805000 0.485000 ;
-      RECT 2.505000  1.825000 2.960000 1.995000 ;
-      RECT 2.505000  1.995000 2.835000 2.425000 ;
-      RECT 2.790000  0.825000 2.960000 1.825000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a31o_1
-MACRO sky130_fd_sc_hd__a31o_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a31o_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.965000 0.415000 2.175000 0.700000 ;
-        RECT 1.965000 0.700000 2.355000 0.870000 ;
-        RECT 2.185000 0.870000 2.355000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.530000 0.400000 1.700000 0.695000 ;
-        RECT 1.530000 0.695000 1.795000 0.865000 ;
-        RECT 1.625000 0.865000 1.795000 1.075000 ;
-        RECT 1.625000 1.075000 1.955000 1.245000 ;
-        RECT 1.625000 1.245000 1.795000 1.260000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.065000 0.760000 1.270000 0.995000 ;
-        RECT 1.065000 0.995000 1.395000 1.325000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.895000 0.755000 3.090000 1.325000 ;
-    END
-  END B1
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.715000 0.765000 0.885000 ;
-        RECT 0.090000 0.885000 0.345000 1.835000 ;
-        RECT 0.090000 1.835000 0.765000 2.005000 ;
-        RECT 0.595000 0.255000 0.765000 0.715000 ;
-        RECT 0.595000 2.005000 0.765000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.090000  0.085000 0.345000 0.545000 ;
-      RECT 0.135000  2.175000 0.385000 2.635000 ;
-      RECT 0.555000  1.075000 0.885000 1.245000 ;
-      RECT 0.555000  1.245000 0.725000 1.495000 ;
-      RECT 0.555000  1.495000 3.045000 1.665000 ;
-      RECT 0.935000  1.835000 1.185000 2.635000 ;
-      RECT 0.955000  0.085000 1.285000 0.465000 ;
-      RECT 1.015000  0.465000 1.185000 0.545000 ;
-      RECT 1.355000  1.835000 2.645000 2.005000 ;
-      RECT 1.355000  2.005000 1.605000 2.425000 ;
-      RECT 1.815000  2.175000 2.145000 2.635000 ;
-      RECT 2.335000  2.005000 2.585000 2.425000 ;
-      RECT 2.375000  0.335000 2.705000 0.505000 ;
-      RECT 2.460000  0.255000 2.705000 0.335000 ;
-      RECT 2.535000  0.505000 2.705000 1.495000 ;
-      RECT 2.875000  0.085000 3.135000 0.565000 ;
-      RECT 2.875000  1.665000 3.045000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a31o_2
-MACRO sky130_fd_sc_hd__a31o_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a31o_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.440000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.355000 1.075000 1.705000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.725000 1.075000 1.055000 1.245000 ;
-        RECT 0.805000 0.735000 2.170000 0.905000 ;
-        RECT 0.805000 0.905000 0.975000 1.075000 ;
-        RECT 1.985000 0.905000 2.170000 1.075000 ;
-        RECT 1.985000 1.075000 2.315000 1.275000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.150000 1.075000 0.525000 1.445000 ;
-        RECT 0.150000 1.445000 2.855000 1.615000 ;
-        RECT 2.525000 1.075000 2.855000 1.445000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.575000 1.075000 4.030000 1.285000 ;
-        RECT 3.815000 0.745000 4.030000 1.075000 ;
-    END
-  END B1
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.505000 0.655000 6.295000 0.825000 ;
-        RECT 4.535000 1.785000 6.295000 1.955000 ;
-        RECT 4.595000 1.955000 4.765000 2.465000 ;
-        RECT 5.435000 1.955000 5.605000 2.465000 ;
-        RECT 6.125000 0.825000 6.295000 1.785000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 6.440000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.630000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.440000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.440000 0.085000 ;
-      RECT 0.000000  2.635000 6.440000 2.805000 ;
-      RECT 0.175000  0.085000 0.345000 0.905000 ;
-      RECT 0.175000  1.785000 2.985000 1.955000 ;
-      RECT 0.175000  1.955000 0.345000 2.465000 ;
-      RECT 0.515000  2.125000 0.845000 2.635000 ;
-      RECT 1.015000  1.955000 1.185000 2.465000 ;
-      RECT 1.355000  0.395000 2.520000 0.565000 ;
-      RECT 1.355000  2.125000 1.685000 2.635000 ;
-      RECT 1.855000  1.955000 2.025000 2.465000 ;
-      RECT 2.195000  2.125000 2.525000 2.635000 ;
-      RECT 2.350000  0.565000 2.520000 0.700000 ;
-      RECT 2.350000  0.700000 3.485000 0.805000 ;
-      RECT 2.350000  0.805000 3.345000 0.870000 ;
-      RECT 2.700000  0.085000 2.985000 0.530000 ;
-      RECT 2.815000  1.955000 2.985000 2.295000 ;
-      RECT 2.815000  2.295000 3.825000 2.465000 ;
-      RECT 3.155000  0.295000 3.485000 0.700000 ;
-      RECT 3.155000  0.870000 3.345000 1.455000 ;
-      RECT 3.155000  1.455000 4.395000 1.625000 ;
-      RECT 3.155000  1.625000 3.485000 2.115000 ;
-      RECT 3.655000  1.795000 3.825000 2.295000 ;
-      RECT 3.735000  0.085000 4.265000 0.565000 ;
-      RECT 4.095000  2.125000 4.425000 2.635000 ;
-      RECT 4.225000  0.995000 5.935000 1.325000 ;
-      RECT 4.225000  1.325000 4.395000 1.455000 ;
-      RECT 4.935000  0.085000 5.265000 0.485000 ;
-      RECT 4.935000  2.125000 5.265000 2.635000 ;
-      RECT 5.775000  0.085000 6.105000 0.485000 ;
-      RECT 5.775000  2.125000 6.105000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a31o_4
-MACRO sky130_fd_sc_hd__nand4bb_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nand4bb_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.390000 0.725000 3.640000 1.615000 ;
-    END
-  END A_N
-  PIN B_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.430000 1.075000 0.780000 1.655000 ;
-    END
-  END B_N
-  PIN C
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.500000 0.735000 1.720000 1.325000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.970000 1.075000 1.320000 1.325000 ;
-    END
-  END D
-  PIN Y
-    ANTENNADIFFAREA  0.909000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.120000 1.495000 2.670000 1.665000 ;
-        RECT 1.120000 1.665000 1.450000 2.465000 ;
-        RECT 2.140000 1.665000 2.470000 2.465000 ;
-        RECT 2.420000 0.255000 2.930000 0.825000 ;
-        RECT 2.420000 0.825000 2.670000 1.495000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.085000  0.485000 0.425000 0.715000 ;
-      RECT 0.085000  0.715000 1.270000 0.905000 ;
-      RECT 0.085000  0.905000 0.260000 2.065000 ;
-      RECT 0.085000  2.065000 0.425000 2.465000 ;
-      RECT 0.595000  0.085000 0.900000 0.545000 ;
-      RECT 0.595000  1.835000 0.925000 2.635000 ;
-      RECT 1.080000  0.365000 2.250000 0.555000 ;
-      RECT 1.080000  0.555000 1.270000 0.715000 ;
-      RECT 1.640000  1.835000 1.970000 2.635000 ;
-      RECT 1.970000  0.555000 2.250000 1.325000 ;
-      RECT 2.680000  2.175000 3.450000 2.635000 ;
-      RECT 2.840000  0.995000 3.090000 1.835000 ;
-      RECT 2.840000  1.835000 4.055000 2.005000 ;
-      RECT 3.100000  0.085000 3.450000 0.545000 ;
-      RECT 3.620000  0.255000 4.055000 0.545000 ;
-      RECT 3.635000  2.005000 4.055000 2.465000 ;
-      RECT 3.810000  0.545000 4.055000 1.835000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nand4bb_1
-MACRO sky130_fd_sc_hd__nand4bb_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nand4bb_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.980000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.560000 1.170000 0.890000 1.340000 ;
-        RECT 0.610000 1.070000 0.890000 1.170000 ;
-        RECT 0.610000 1.340000 0.890000 1.615000 ;
-    END
-  END A_N
-  PIN B_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.070000 0.330000 1.615000 ;
-    END
-  END B_N
-  PIN C
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.720000 1.075000 4.615000 1.275000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.945000 1.075000 5.875000 1.275000 ;
-    END
-  END D
-  PIN Y
-    ANTENNADIFFAREA  1.255500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.085000 0.655000 2.415000 1.445000 ;
-        RECT 2.085000 1.445000 5.455000 1.665000 ;
-        RECT 2.085000 1.665000 2.335000 2.465000 ;
-        RECT 2.925000 1.665000 3.255000 2.465000 ;
-        RECT 3.245000 1.075000 3.550000 1.445000 ;
-        RECT 4.285000 1.665000 4.615000 2.465000 ;
-        RECT 5.125000 1.665000 5.455000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.980000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.170000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.980000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.980000 0.085000 ;
-      RECT 0.000000  2.635000 5.980000 2.805000 ;
-      RECT 0.085000  0.255000 0.345000 0.730000 ;
-      RECT 0.085000  0.730000 1.230000 0.900000 ;
-      RECT 0.085000  1.785000 1.230000 1.980000 ;
-      RECT 0.085000  1.980000 0.370000 2.440000 ;
-      RECT 0.515000  0.085000 0.765000 0.545000 ;
-      RECT 0.540000  2.195000 0.765000 2.635000 ;
-      RECT 0.935000  0.255000 1.575000 0.560000 ;
-      RECT 0.935000  2.150000 1.575000 2.465000 ;
-      RECT 1.060000  0.900000 1.230000 1.785000 ;
-      RECT 1.400000  0.560000 1.575000 0.715000 ;
-      RECT 1.400000  0.715000 1.580000 1.410000 ;
-      RECT 1.400000  1.410000 1.575000 2.150000 ;
-      RECT 1.745000  0.255000 3.675000 0.485000 ;
-      RECT 1.745000  0.485000 1.915000 0.585000 ;
-      RECT 1.745000  1.495000 1.915000 2.635000 ;
-      RECT 2.505000  1.835000 2.755000 2.635000 ;
-      RECT 2.745000  1.075000 3.075000 1.275000 ;
-      RECT 2.925000  0.655000 4.615000 0.905000 ;
-      RECT 3.425000  1.835000 4.115000 2.635000 ;
-      RECT 3.865000  0.255000 5.035000 0.485000 ;
-      RECT 4.785000  0.485000 5.035000 0.735000 ;
-      RECT 4.785000  0.735000 5.895000 0.905000 ;
-      RECT 4.785000  1.835000 4.955000 2.635000 ;
-      RECT 5.205000  0.085000 5.375000 0.565000 ;
-      RECT 5.545000  0.255000 5.895000 0.735000 ;
-      RECT 5.625000  1.445000 5.895000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.060000  1.105000 1.230000 1.275000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  1.105000 3.075000 1.275000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-    LAYER met1 ;
-      RECT 1.000000 1.075000 3.135000 1.305000 ;
-  END
-END sky130_fd_sc_hd__nand4bb_2
-MACRO sky130_fd_sc_hd__nand4bb_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nand4bb_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  10.12000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.100000 0.995000 0.330000 1.615000 ;
-    END
-  END A_N
-  PIN B_N
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.590000 0.995000 0.975000 1.615000 ;
-    END
-  END B_N
-  PIN C
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.120000 1.075000 7.910000 1.275000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 8.420000 1.075000 10.015000 1.275000 ;
-    END
-  END D
-  PIN Y
-    ANTENNADIFFAREA  2.511000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.540000 0.655000 3.990000 0.905000 ;
-        RECT 2.540000 1.445000 9.590000 1.665000 ;
-        RECT 2.540000 1.665000 2.790000 2.465000 ;
-        RECT 3.380000 1.665000 3.710000 2.465000 ;
-        RECT 3.700000 0.905000 3.990000 1.445000 ;
-        RECT 4.220000 1.665000 4.550000 2.465000 ;
-        RECT 5.060000 1.665000 5.390000 2.465000 ;
-        RECT 6.740000 1.665000 7.070000 2.465000 ;
-        RECT 7.580000 1.665000 7.910000 2.465000 ;
-        RECT 8.420000 1.665000 8.750000 2.465000 ;
-        RECT 9.260000 1.665000 9.590000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 10.120000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 10.310000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 10.120000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 10.120000 0.085000 ;
-      RECT 0.000000  2.635000 10.120000 2.805000 ;
-      RECT 0.085000  0.255000  0.345000 0.635000 ;
-      RECT 0.085000  0.635000  1.455000 0.805000 ;
-      RECT 0.085000  1.785000  1.455000 1.980000 ;
-      RECT 0.085000  1.980000  0.370000 2.440000 ;
-      RECT 0.515000  0.085000  0.845000 0.465000 ;
-      RECT 0.540000  2.195000  0.765000 2.635000 ;
-      RECT 0.935000  2.150000  1.795000 2.465000 ;
-      RECT 1.015000  0.255000  1.795000 0.465000 ;
-      RECT 1.145000  0.805000  1.455000 1.785000 ;
-      RECT 1.625000  0.465000  1.795000 1.075000 ;
-      RECT 1.625000  1.075000  2.210000 1.305000 ;
-      RECT 1.625000  1.305000  1.795000 2.150000 ;
-      RECT 2.200000  0.255000  5.810000 0.485000 ;
-      RECT 2.200000  0.485000  2.370000 0.905000 ;
-      RECT 2.200000  1.495000  2.370000 2.635000 ;
-      RECT 2.540000  1.075000  3.285000 1.245000 ;
-      RECT 2.960000  1.835000  3.210000 2.635000 ;
-      RECT 3.880000  1.835000  4.050000 2.635000 ;
-      RECT 4.160000  1.075000  5.390000 1.275000 ;
-      RECT 4.220000  0.655000  5.390000 0.735000 ;
-      RECT 4.220000  0.735000  6.150000 0.905000 ;
-      RECT 4.720000  1.835000  4.890000 2.635000 ;
-      RECT 5.610000  1.835000  6.540000 2.635000 ;
-      RECT 5.980000  0.255000  7.910000 0.485000 ;
-      RECT 5.980000  0.485000  6.150000 0.735000 ;
-      RECT 6.320000  0.655000 10.035000 0.905000 ;
-      RECT 7.240000  1.835000  7.410000 2.635000 ;
-      RECT 8.080000  1.835000  8.250000 2.635000 ;
-      RECT 8.420000  0.085000  8.750000 0.485000 ;
-      RECT 8.920000  1.835000  9.090000 2.635000 ;
-      RECT 9.260000  0.085000  9.590000 0.485000 ;
-      RECT 9.760000  1.445000 10.035000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.980000  1.105000 2.150000 1.275000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.280000  1.105000 4.450000 1.275000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-      RECT 9.805000 -0.085000 9.975000 0.085000 ;
-      RECT 9.805000  2.635000 9.975000 2.805000 ;
-    LAYER met1 ;
-      RECT 1.920000 1.075000 2.210000 1.120000 ;
-      RECT 1.920000 1.120000 4.510000 1.260000 ;
-      RECT 1.920000 1.260000 2.210000 1.305000 ;
-      RECT 4.220000 1.075000 4.510000 1.120000 ;
-      RECT 4.220000 1.260000 4.510000 1.305000 ;
-  END
-END sky130_fd_sc_hd__nand4bb_4
-MACRO sky130_fd_sc_hd__a22o_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a22o_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.485000 0.675000 1.695000 1.075000 ;
-        RECT 1.485000 1.075000 1.815000 1.285000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.985000 1.040000 2.395000 1.345000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.765000 1.075000 1.240000 1.285000 ;
-        RECT 1.020000 0.675000 1.240000 1.075000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.575000 1.275000 ;
-    END
-  END B2
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.875000 0.255000 3.135000 0.585000 ;
-        RECT 2.875000 1.785000 3.135000 2.465000 ;
-        RECT 2.965000 0.585000 3.135000 1.785000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.090000  0.085000 0.545000 0.850000 ;
-      RECT 0.090000  1.455000 1.265000 1.515000 ;
-      RECT 0.090000  1.515000 2.795000 1.625000 ;
-      RECT 0.090000  1.625000 0.345000 2.245000 ;
-      RECT 0.090000  2.245000 0.425000 2.465000 ;
-      RECT 0.595000  1.795000 0.780000 1.885000 ;
-      RECT 0.595000  1.885000 2.205000 2.085000 ;
-      RECT 0.595000  2.085000 0.825000 2.125000 ;
-      RECT 0.820000  0.255000 2.120000 0.465000 ;
-      RECT 0.935000  1.625000 2.735000 1.685000 ;
-      RECT 0.935000  1.685000 1.265000 1.715000 ;
-      RECT 1.370000  1.875000 2.205000 1.885000 ;
-      RECT 1.430000  2.255000 1.785000 2.635000 ;
-      RECT 1.950000  0.465000 2.120000 0.615000 ;
-      RECT 1.950000  0.615000 2.705000 0.740000 ;
-      RECT 1.950000  0.740000 2.795000 0.785000 ;
-      RECT 1.955000  2.085000 2.205000 2.465000 ;
-      RECT 2.375000  0.085000 2.705000 0.445000 ;
-      RECT 2.455000  1.855000 2.705000 2.635000 ;
-      RECT 2.525000  0.785000 2.795000 0.905000 ;
-      RECT 2.595000  1.480000 2.795000 1.515000 ;
-      RECT 2.625000  0.905000 2.795000 1.480000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a22o_1
-MACRO sky130_fd_sc_hd__a22o_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a22o_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.510000 0.675000 1.720000 1.075000 ;
-        RECT 1.510000 1.075000 1.840000 1.285000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.010000 1.075000 2.415000 1.275000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.765000 1.075000 1.240000 1.285000 ;
-        RECT 1.020000 0.675000 1.240000 1.075000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 1.075000 0.575000 1.275000 ;
-    END
-  END B2
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.900000 0.255000 3.160000 0.585000 ;
-        RECT 2.900000 1.785000 3.160000 2.465000 ;
-        RECT 2.990000 0.585000 3.160000 1.785000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.095000  0.085000 0.545000 0.850000 ;
-      RECT 0.095000  1.455000 2.815000 1.625000 ;
-      RECT 0.095000  1.625000 0.425000 2.295000 ;
-      RECT 0.095000  2.295000 1.265000 2.465000 ;
-      RECT 0.595000  1.795000 2.230000 2.035000 ;
-      RECT 0.595000  2.035000 0.825000 2.125000 ;
-      RECT 0.820000  0.255000 2.145000 0.505000 ;
-      RECT 0.935000  2.255000 1.265000 2.295000 ;
-      RECT 1.455000  2.215000 1.810000 2.635000 ;
-      RECT 1.975000  0.505000 2.145000 0.735000 ;
-      RECT 1.975000  0.735000 2.815000 0.905000 ;
-      RECT 1.980000  2.035000 2.230000 2.465000 ;
-      RECT 2.355000  0.085000 2.685000 0.565000 ;
-      RECT 2.400000  1.875000 2.730000 2.635000 ;
-      RECT 2.645000  0.905000 2.815000 1.455000 ;
-      RECT 3.330000  0.085000 3.500000 0.985000 ;
-      RECT 3.330000  1.445000 3.500000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a22o_2
-MACRO sky130_fd_sc_hd__a22o_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a22o_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.440000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.900000 1.075000 5.395000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.350000 1.075000 4.680000 1.445000 ;
-        RECT 4.350000 1.445000 5.735000 1.615000 ;
-        RECT 5.565000 1.075000 6.355000 1.275000 ;
-        RECT 5.565000 1.275000 5.735000 1.445000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.125000 1.075000 3.680000 1.275000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.420000 1.075000 2.955000 1.445000 ;
-        RECT 2.420000 1.445000 4.180000 1.615000 ;
-        RECT 3.850000 1.075000 4.180000 1.445000 ;
-    END
-  END B2
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.725000 1.770000 0.905000 ;
-        RECT 0.085000 0.905000 0.370000 1.445000 ;
-        RECT 0.085000 1.445000 1.730000 1.615000 ;
-        RECT 0.600000 0.265000 0.930000 0.725000 ;
-        RECT 0.640000 1.615000 0.890000 2.465000 ;
-        RECT 1.440000 0.255000 1.770000 0.725000 ;
-        RECT 1.480000 1.615000 1.730000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 6.440000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.630000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.440000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.440000 0.085000 ;
-      RECT 0.000000  2.635000 6.440000 2.805000 ;
-      RECT 0.220000  1.825000 0.470000 2.635000 ;
-      RECT 0.260000  0.085000 0.430000 0.555000 ;
-      RECT 0.540000  1.075000 2.230000 1.275000 ;
-      RECT 1.060000  1.795000 1.310000 2.635000 ;
-      RECT 1.100000  0.085000 1.270000 0.555000 ;
-      RECT 1.900000  1.275000 2.230000 1.785000 ;
-      RECT 1.900000  1.785000 3.930000 1.955000 ;
-      RECT 1.900000  2.125000 2.150000 2.635000 ;
-      RECT 1.940000  0.085000 2.630000 0.555000 ;
-      RECT 1.940000  0.735000 5.310000 0.905000 ;
-      RECT 1.940000  0.905000 2.230000 1.075000 ;
-      RECT 2.420000  2.125000 2.670000 2.295000 ;
-      RECT 2.420000  2.295000 4.430000 2.465000 ;
-      RECT 2.800000  0.255000 3.970000 0.475000 ;
-      RECT 2.840000  1.955000 3.090000 2.125000 ;
-      RECT 3.170000  0.645000 3.605000 0.735000 ;
-      RECT 3.260000  2.125000 3.510000 2.295000 ;
-      RECT 3.680000  1.955000 3.930000 2.125000 ;
-      RECT 4.100000  1.785000 6.110000 1.955000 ;
-      RECT 4.100000  1.955000 4.430000 2.295000 ;
-      RECT 4.185000  0.085000 4.355000 0.555000 ;
-      RECT 4.560000  0.255000 5.730000 0.475000 ;
-      RECT 4.600000  2.125000 4.850000 2.635000 ;
-      RECT 4.935000  0.645000 5.310000 0.735000 ;
-      RECT 5.020000  1.955000 5.270000 2.465000 ;
-      RECT 5.440000  2.125000 5.690000 2.635000 ;
-      RECT 5.480000  0.475000 5.730000 0.895000 ;
-      RECT 5.900000  0.085000 6.070000 0.895000 ;
-      RECT 5.905000  1.455000 6.110000 1.785000 ;
-      RECT 5.905000  1.955000 6.110000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a22o_4
-MACRO sky130_fd_sc_hd__dlrtn_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlrtn_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.440000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.460000 0.955000 1.790000 1.325000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.095000 0.415000 6.355000 2.455000 ;
-    END
-  END Q
-  PIN RESET_B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.500000 0.995000 5.435000 1.325000 ;
-    END
-  END RESET_B
-  PIN GATE_N
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.985000 0.330000 1.625000 ;
-    END
-  END GATE_N
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 6.440000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.630000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.440000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.440000 0.085000 ;
-      RECT 0.000000  2.635000 6.440000 2.805000 ;
-      RECT 0.175000  0.345000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 0.780000 0.805000 ;
-      RECT 0.175000  1.795000 0.780000 1.965000 ;
-      RECT 0.175000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.780000 1.070000 ;
-      RECT 0.610000  1.070000 0.840000 1.400000 ;
-      RECT 0.610000  1.400000 0.780000 1.795000 ;
-      RECT 1.015000  0.345000 1.185000 1.685000 ;
-      RECT 1.015000  1.685000 1.240000 2.465000 ;
-      RECT 1.455000  1.495000 2.140000 1.665000 ;
-      RECT 1.455000  1.665000 1.785000 2.415000 ;
-      RECT 1.535000  0.345000 1.705000 0.615000 ;
-      RECT 1.535000  0.615000 2.140000 0.765000 ;
-      RECT 1.535000  0.765000 2.340000 0.785000 ;
-      RECT 1.875000  0.085000 2.205000 0.445000 ;
-      RECT 1.955000  1.835000 2.270000 2.635000 ;
-      RECT 1.970000  0.785000 2.340000 1.095000 ;
-      RECT 1.970000  1.095000 2.140000 1.495000 ;
-      RECT 2.470000  1.355000 2.755000 2.005000 ;
-      RECT 2.715000  0.705000 3.095000 1.035000 ;
-      RECT 2.840000  0.365000 3.500000 0.535000 ;
-      RECT 2.900000  2.255000 3.650000 2.425000 ;
-      RECT 2.925000  1.035000 3.095000 1.415000 ;
-      RECT 2.925000  1.415000 3.265000 1.995000 ;
-      RECT 3.330000  0.535000 3.500000 1.025000 ;
-      RECT 3.330000  1.025000 4.330000 1.245000 ;
-      RECT 3.480000  1.245000 4.330000 1.325000 ;
-      RECT 3.480000  1.325000 3.650000 2.255000 ;
-      RECT 3.740000  0.085000 4.070000 0.530000 ;
-      RECT 3.820000  1.535000 5.925000 1.865000 ;
-      RECT 3.820000  2.135000 4.110000 2.635000 ;
-      RECT 4.240000  0.255000 4.590000 0.655000 ;
-      RECT 4.240000  0.655000 5.925000 0.825000 ;
-      RECT 4.300000  2.135000 4.580000 2.635000 ;
-      RECT 4.750000  1.865000 4.940000 2.465000 ;
-      RECT 5.095000  0.085000 5.925000 0.485000 ;
-      RECT 5.110000  2.135000 5.925000 2.635000 ;
-      RECT 5.605000  0.825000 5.925000 1.535000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.610000  1.445000 0.780000 1.615000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.070000  1.785000 1.240000 1.955000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.470000  1.785000 2.640000 1.955000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 2.930000  1.445000 3.100000 1.615000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.415000 0.840000 1.460000 ;
-      RECT 0.550000 1.460000 3.160000 1.600000 ;
-      RECT 0.550000 1.600000 0.840000 1.645000 ;
-      RECT 1.010000 1.755000 1.300000 1.800000 ;
-      RECT 1.010000 1.800000 2.700000 1.940000 ;
-      RECT 1.010000 1.940000 1.300000 1.985000 ;
-      RECT 2.410000 1.755000 2.700000 1.800000 ;
-      RECT 2.410000 1.940000 2.700000 1.985000 ;
-      RECT 2.870000 1.415000 3.160000 1.460000 ;
-      RECT 2.870000 1.600000 3.160000 1.645000 ;
-  END
-END sky130_fd_sc_hd__dlrtn_1
-MACRO sky130_fd_sc_hd__dlrtn_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlrtn_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.360000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.465000 0.955000 1.795000 1.325000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  1.014750 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.610000 0.255000 5.965000 0.485000 ;
-        RECT 5.680000 1.875000 5.965000 2.465000 ;
-        RECT 5.795000 0.485000 5.965000 0.765000 ;
-        RECT 5.795000 0.765000 7.275000 1.325000 ;
-        RECT 5.795000 1.325000 5.965000 1.875000 ;
-        RECT 6.575000 0.255000 6.775000 0.765000 ;
-        RECT 6.575000 1.325000 6.775000 2.465000 ;
-    END
-  END Q
-  PIN RESET_B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.505000 0.995000 5.145000 1.325000 ;
-    END
-  END RESET_B
-  PIN GATE_N
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.985000 0.330000 1.625000 ;
-    END
-  END GATE_N
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.360000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.550000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 0.000000 2.635000 7.360000 2.805000 ;
-        RECT 0.515000 2.135000 0.845000 2.635000 ;
-        RECT 1.960000 1.835000 2.275000 2.635000 ;
-        RECT 3.825000 2.135000 4.115000 2.635000 ;
-        RECT 4.305000 2.135000 4.585000 2.635000 ;
-        RECT 5.115000 1.875000 5.485000 2.635000 ;
-        RECT 6.135000 1.495000 6.405000 2.635000 ;
-        RECT 6.945000 1.495000 7.275000 2.635000 ;
-      LAYER mcon ;
-        RECT 0.145000 2.635000 0.315000 2.805000 ;
-        RECT 0.605000 2.635000 0.775000 2.805000 ;
-        RECT 1.065000 2.635000 1.235000 2.805000 ;
-        RECT 1.525000 2.635000 1.695000 2.805000 ;
-        RECT 1.985000 2.635000 2.155000 2.805000 ;
-        RECT 2.445000 2.635000 2.615000 2.805000 ;
-        RECT 2.905000 2.635000 3.075000 2.805000 ;
-        RECT 3.365000 2.635000 3.535000 2.805000 ;
-        RECT 3.825000 2.635000 3.995000 2.805000 ;
-        RECT 4.285000 2.635000 4.455000 2.805000 ;
-        RECT 4.745000 2.635000 4.915000 2.805000 ;
-        RECT 5.205000 2.635000 5.375000 2.805000 ;
-        RECT 5.665000 2.635000 5.835000 2.805000 ;
-        RECT 6.125000 2.635000 6.295000 2.805000 ;
-        RECT 6.585000 2.635000 6.755000 2.805000 ;
-        RECT 7.045000 2.635000 7.215000 2.805000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.360000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.360000 0.085000 ;
-      RECT 0.175000  0.345000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 0.780000 0.805000 ;
-      RECT 0.175000  1.795000 0.780000 1.965000 ;
-      RECT 0.175000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.610000  0.805000 0.780000 1.070000 ;
-      RECT 0.610000  1.070000 0.840000 1.400000 ;
-      RECT 0.610000  1.400000 0.780000 1.795000 ;
-      RECT 1.015000  0.345000 1.185000 1.685000 ;
-      RECT 1.015000  1.685000 1.240000 2.465000 ;
-      RECT 1.460000  1.495000 2.145000 1.665000 ;
-      RECT 1.460000  1.665000 1.790000 2.415000 ;
-      RECT 1.540000  0.345000 1.710000 0.615000 ;
-      RECT 1.540000  0.615000 2.145000 0.765000 ;
-      RECT 1.540000  0.765000 2.345000 0.785000 ;
-      RECT 1.880000  0.085000 2.210000 0.445000 ;
-      RECT 1.975000  0.785000 2.345000 1.095000 ;
-      RECT 1.975000  1.095000 2.145000 1.495000 ;
-      RECT 2.475000  1.355000 2.760000 2.005000 ;
-      RECT 2.720000  0.705000 3.100000 1.035000 ;
-      RECT 2.845000  0.365000 3.505000 0.535000 ;
-      RECT 2.905000  2.255000 3.655000 2.425000 ;
-      RECT 2.930000  1.035000 3.100000 1.415000 ;
-      RECT 2.930000  1.415000 3.270000 1.995000 ;
-      RECT 3.335000  0.535000 3.505000 1.025000 ;
-      RECT 3.335000  1.025000 4.315000 1.245000 ;
-      RECT 3.485000  1.245000 4.315000 1.325000 ;
-      RECT 3.485000  1.325000 3.655000 2.255000 ;
-      RECT 3.745000  0.085000 4.075000 0.530000 ;
-      RECT 3.825000  1.535000 5.625000 1.705000 ;
-      RECT 3.825000  1.705000 4.945000 1.865000 ;
-      RECT 4.245000  0.255000 4.595000 0.655000 ;
-      RECT 4.245000  0.655000 5.625000 0.825000 ;
-      RECT 4.755000  1.865000 4.945000 2.465000 ;
-      RECT 5.100000  0.085000 5.440000 0.485000 ;
-      RECT 5.455000  0.825000 5.625000 1.535000 ;
-      RECT 6.135000  0.085000 6.405000 0.595000 ;
-      RECT 6.945000  0.085000 7.275000 0.595000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.610000  1.445000 0.780000 1.615000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.070000  1.785000 1.240000 1.955000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.475000  1.785000 2.645000 1.955000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.935000  1.445000 3.105000 1.615000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.415000 0.840000 1.460000 ;
-      RECT 0.550000 1.460000 3.165000 1.600000 ;
-      RECT 0.550000 1.600000 0.840000 1.645000 ;
-      RECT 1.010000 1.755000 1.300000 1.800000 ;
-      RECT 1.010000 1.800000 2.705000 1.940000 ;
-      RECT 1.010000 1.940000 1.300000 1.985000 ;
-      RECT 2.415000 1.755000 2.705000 1.800000 ;
-      RECT 2.415000 1.940000 2.705000 1.985000 ;
-      RECT 2.875000 1.415000 3.165000 1.460000 ;
-      RECT 2.875000 1.600000 3.165000 1.645000 ;
-  END
-END sky130_fd_sc_hd__dlrtn_4
-MACRO sky130_fd_sc_hd__dlrtn_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlrtn_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.440000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.460000 0.955000 1.790000 1.325000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.480500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.595000 0.255000 5.925000 0.485000 ;
-        RECT 5.655000 1.875000 5.925000 2.465000 ;
-        RECT 5.755000 0.485000 5.925000 0.765000 ;
-        RECT 5.755000 0.765000 6.355000 0.865000 ;
-        RECT 5.755000 1.425000 6.355000 1.500000 ;
-        RECT 5.755000 1.500000 5.925000 1.875000 ;
-        RECT 5.760000 1.415000 6.355000 1.425000 ;
-        RECT 5.765000 1.410000 6.355000 1.415000 ;
-        RECT 5.770000 0.865000 6.355000 0.890000 ;
-        RECT 5.775000 1.385000 6.355000 1.410000 ;
-        RECT 5.785000 0.890000 6.355000 1.385000 ;
-    END
-  END Q
-  PIN RESET_B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.480000 0.995000 5.170000 1.325000 ;
-    END
-  END RESET_B
-  PIN GATE_N
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.985000 0.330000 1.625000 ;
-    END
-  END GATE_N
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 6.440000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.630000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.440000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.440000 0.085000 ;
-      RECT 0.000000  2.635000 6.440000 2.805000 ;
-      RECT 0.175000  0.345000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 0.780000 0.805000 ;
-      RECT 0.175000  1.795000 0.780000 1.965000 ;
-      RECT 0.175000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.780000 1.070000 ;
-      RECT 0.610000  1.070000 0.840000 1.400000 ;
-      RECT 0.610000  1.400000 0.780000 1.795000 ;
-      RECT 1.015000  0.345000 1.185000 1.685000 ;
-      RECT 1.015000  1.685000 1.240000 2.465000 ;
-      RECT 1.455000  1.495000 2.140000 1.665000 ;
-      RECT 1.455000  1.665000 1.785000 2.415000 ;
-      RECT 1.535000  0.345000 1.705000 0.615000 ;
-      RECT 1.535000  0.615000 2.140000 0.765000 ;
-      RECT 1.535000  0.765000 2.340000 0.785000 ;
-      RECT 1.875000  0.085000 2.205000 0.445000 ;
-      RECT 1.955000  1.835000 2.270000 2.635000 ;
-      RECT 1.960000  0.785000 2.340000 1.095000 ;
-      RECT 1.960000  1.095000 2.140000 1.495000 ;
-      RECT 2.470000  1.355000 2.755000 2.005000 ;
-      RECT 2.675000  0.705000 3.095000 1.145000 ;
-      RECT 2.775000  2.255000 3.605000 2.425000 ;
-      RECT 2.810000  0.365000 3.500000 0.535000 ;
-      RECT 2.925000  1.145000 3.095000 1.415000 ;
-      RECT 2.925000  1.415000 3.265000 1.995000 ;
-      RECT 3.330000  0.535000 3.500000 1.025000 ;
-      RECT 3.330000  1.025000 4.310000 1.245000 ;
-      RECT 3.435000  1.245000 4.310000 1.325000 ;
-      RECT 3.435000  1.325000 3.605000 2.255000 ;
-      RECT 3.735000  0.085000 4.070000 0.530000 ;
-      RECT 3.800000  2.135000 4.110000 2.635000 ;
-      RECT 3.820000  1.535000 5.585000 1.705000 ;
-      RECT 3.820000  1.705000 4.920000 1.865000 ;
-      RECT 4.240000  0.255000 4.590000 0.655000 ;
-      RECT 4.240000  0.655000 5.585000 0.825000 ;
-      RECT 4.280000  2.135000 4.560000 2.635000 ;
-      RECT 4.730000  1.865000 4.920000 2.465000 ;
-      RECT 5.090000  1.875000 5.460000 2.635000 ;
-      RECT 5.095000  0.085000 5.425000 0.485000 ;
-      RECT 5.350000  0.995000 5.615000 1.325000 ;
-      RECT 5.415000  0.825000 5.585000 0.995000 ;
-      RECT 5.415000  1.325000 5.585000 1.535000 ;
-      RECT 6.095000  0.085000 6.355000 0.595000 ;
-      RECT 6.095000  1.670000 6.355000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.610000  1.445000 0.780000 1.615000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.070000  1.785000 1.240000 1.955000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.470000  1.785000 2.640000 1.955000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 2.930000  1.445000 3.100000 1.615000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.415000 0.840000 1.460000 ;
-      RECT 0.550000 1.460000 3.160000 1.600000 ;
-      RECT 0.550000 1.600000 0.840000 1.645000 ;
-      RECT 1.010000 1.755000 1.300000 1.800000 ;
-      RECT 1.010000 1.800000 2.700000 1.940000 ;
-      RECT 1.010000 1.940000 1.300000 1.985000 ;
-      RECT 2.410000 1.755000 2.700000 1.800000 ;
-      RECT 2.410000 1.940000 2.700000 1.985000 ;
-      RECT 2.870000 1.415000 3.160000 1.460000 ;
-      RECT 2.870000 1.600000 3.160000 1.645000 ;
-  END
-END sky130_fd_sc_hd__dlrtn_2
-MACRO sky130_fd_sc_hd__edfxbp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__edfxbp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  11.96000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.695000 0.765000 1.915000 1.720000 ;
-    END
-  END D
-  PIN DE
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.110000 0.765000 2.565000 1.185000 ;
-        RECT 2.110000 1.185000 2.325000 1.370000 ;
-    END
-  END DE
-  PIN Q
-    ANTENNADIFFAREA  0.462000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 11.225000 0.255000 11.555000 2.420000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 9.400000 1.065000 9.845000 1.410000 ;
-        RECT 9.400000 1.410000 9.730000 2.465000 ;
-        RECT 9.515000 0.255000 9.845000 1.065000 ;
-    END
-  END Q_N
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 0.975000 0.445000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 11.960000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 12.150000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 11.960000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 11.960000 0.085000 ;
-      RECT  0.000000  2.635000 11.960000 2.805000 ;
-      RECT  0.175000  0.345000  0.345000 0.635000 ;
-      RECT  0.175000  0.635000  0.845000 0.805000 ;
-      RECT  0.175000  1.795000  0.845000 1.965000 ;
-      RECT  0.175000  1.965000  0.345000 2.465000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.515000  2.135000  0.845000 2.635000 ;
-      RECT  0.615000  0.805000  0.845000 1.795000 ;
-      RECT  1.015000  0.345000  1.185000 2.465000 ;
-      RECT  1.355000  0.255000  1.785000 0.515000 ;
-      RECT  1.355000  0.515000  1.525000 1.890000 ;
-      RECT  1.355000  1.890000  1.785000 2.465000 ;
-      RECT  2.235000  0.085000  2.565000 0.515000 ;
-      RECT  2.235000  1.890000  2.565000 2.635000 ;
-      RECT  2.495000  1.355000  3.085000 1.720000 ;
-      RECT  2.755000  1.720000  3.085000 2.425000 ;
-      RECT  2.780000  0.255000  3.005000 0.845000 ;
-      RECT  2.780000  0.845000  3.635000 1.175000 ;
-      RECT  2.780000  1.175000  3.085000 1.355000 ;
-      RECT  3.185000  0.085000  3.515000 0.610000 ;
-      RECT  3.265000  1.825000  3.460000 2.635000 ;
-      RECT  3.805000  0.685000  3.975000 1.320000 ;
-      RECT  3.805000  1.320000  4.175000 1.650000 ;
-      RECT  4.125000  1.820000  4.515000 2.020000 ;
-      RECT  4.125000  2.020000  4.455000 2.465000 ;
-      RECT  4.145000  0.255000  4.415000 0.980000 ;
-      RECT  4.145000  0.980000  4.515000 1.150000 ;
-      RECT  4.345000  1.150000  4.515000 1.820000 ;
-      RECT  4.795000  1.125000  4.980000 1.720000 ;
-      RECT  4.815000  0.735000  5.320000 0.955000 ;
-      RECT  4.915000  2.175000  5.955000 2.375000 ;
-      RECT  5.005000  0.255000  5.680000 0.565000 ;
-      RECT  5.150000  0.955000  5.320000 1.655000 ;
-      RECT  5.150000  1.655000  5.615000 2.005000 ;
-      RECT  5.510000  0.565000  5.680000 1.315000 ;
-      RECT  5.510000  1.315000  6.360000 1.485000 ;
-      RECT  5.785000  1.485000  6.360000 1.575000 ;
-      RECT  5.785000  1.575000  5.955000 2.175000 ;
-      RECT  5.870000  0.765000  6.935000 1.045000 ;
-      RECT  5.870000  1.045000  7.445000 1.065000 ;
-      RECT  5.870000  1.065000  6.070000 1.095000 ;
-      RECT  5.945000  0.085000  6.340000 0.560000 ;
-      RECT  6.125000  1.835000  6.360000 2.635000 ;
-      RECT  6.190000  1.245000  6.360000 1.315000 ;
-      RECT  6.530000  0.255000  6.935000 0.765000 ;
-      RECT  6.530000  1.065000  7.445000 1.375000 ;
-      RECT  6.530000  1.375000  6.860000 2.465000 ;
-      RECT  7.070000  2.105000  7.360000 2.635000 ;
-      RECT  7.165000  0.085000  7.440000 0.615000 ;
-      RECT  7.790000  1.245000  7.980000 1.965000 ;
-      RECT  7.925000  2.165000  8.890000 2.355000 ;
-      RECT  8.005000  0.705000  8.470000 1.035000 ;
-      RECT  8.025000  0.330000  8.890000 0.535000 ;
-      RECT  8.150000  1.035000  8.470000 1.995000 ;
-      RECT  8.640000  0.535000  8.890000 2.165000 ;
-      RECT  9.060000  1.495000  9.230000 2.635000 ;
-      RECT  9.095000  0.085000  9.345000 0.900000 ;
-      RECT  9.900000  1.575000 10.130000 2.010000 ;
-      RECT 10.015000  0.890000 10.640000 1.220000 ;
-      RECT 10.300000  0.255000 10.640000 0.890000 ;
-      RECT 10.300000  1.220000 10.640000 2.465000 ;
-      RECT 10.810000  0.085000 11.055000 0.900000 ;
-      RECT 10.810000  1.465000 11.055000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.635000  1.785000  0.805000 1.955000 ;
-      RECT  1.015000  1.445000  1.185000 1.615000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.355000  0.425000  1.525000 0.595000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.805000  0.765000  3.975000 0.935000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.185000  0.425000  4.355000 0.595000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  4.800000  1.445000  4.970000 1.615000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.210000  1.785000  5.380000 1.955000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.800000  1.785000  7.970000 1.955000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.220000  1.445000  8.390000 1.615000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.680000  1.785000  8.850000 1.955000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT  9.930000  1.785000 10.100000 1.955000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.390000  0.765000 10.560000 0.935000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-    LAYER met1 ;
-      RECT  0.575000 1.755000  0.865000 1.800000 ;
-      RECT  0.575000 1.800000  8.030000 1.940000 ;
-      RECT  0.575000 1.940000  0.865000 1.985000 ;
-      RECT  0.955000 1.415000  1.245000 1.460000 ;
-      RECT  0.955000 1.460000  8.450000 1.600000 ;
-      RECT  0.955000 1.600000  1.245000 1.645000 ;
-      RECT  1.295000 0.395000  4.415000 0.580000 ;
-      RECT  1.295000 0.580000  1.585000 0.625000 ;
-      RECT  3.745000 0.735000  4.035000 0.780000 ;
-      RECT  3.745000 0.780000 10.620000 0.920000 ;
-      RECT  3.745000 0.920000  4.035000 0.965000 ;
-      RECT  4.125000 0.580000  4.415000 0.625000 ;
-      RECT  4.740000 1.415000  5.030000 1.460000 ;
-      RECT  4.740000 1.600000  5.030000 1.645000 ;
-      RECT  5.150000 1.755000  5.440000 1.800000 ;
-      RECT  5.150000 1.940000  5.440000 1.985000 ;
-      RECT  7.740000 1.755000  8.030000 1.800000 ;
-      RECT  7.740000 1.940000  8.030000 1.985000 ;
-      RECT  8.160000 1.415000  8.450000 1.460000 ;
-      RECT  8.160000 1.600000  8.450000 1.645000 ;
-      RECT  8.620000 1.755000  8.910000 1.800000 ;
-      RECT  8.620000 1.800000 10.160000 1.940000 ;
-      RECT  8.620000 1.940000  8.910000 1.985000 ;
-      RECT  9.870000 1.755000 10.160000 1.800000 ;
-      RECT  9.870000 1.940000 10.160000 1.985000 ;
-      RECT 10.330000 0.735000 10.620000 0.780000 ;
-      RECT 10.330000 0.920000 10.620000 0.965000 ;
-  END
-END sky130_fd_sc_hd__edfxbp_1
-MACRO sky130_fd_sc_hd__a2bb2o_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a2bb2o_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.910000 0.995000 1.240000 1.615000 ;
-    END
-  END A1_N
-  PIN A2_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.410000 0.995000 1.700000 1.375000 ;
-    END
-  END A2_N
-  PIN B1
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.280000 0.765000 3.540000 1.655000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.600000 1.355000 3.080000 1.655000 ;
-        RECT 2.820000 0.765000 3.080000 1.355000 ;
-    END
-  END B2
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.255000 0.345000 0.810000 ;
-        RECT 0.085000 0.810000 0.260000 1.525000 ;
-        RECT 0.085000 1.525000 0.345000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.430000  0.995000 0.685000 1.325000 ;
-      RECT 0.515000  0.085000 0.945000 0.530000 ;
-      RECT 0.515000  1.325000 0.685000 1.805000 ;
-      RECT 0.515000  1.805000 1.275000 1.975000 ;
-      RECT 0.515000  2.235000 0.845000 2.635000 ;
-      RECT 1.105000  1.975000 1.275000 2.200000 ;
-      RECT 1.105000  2.200000 2.245000 2.370000 ;
-      RECT 1.180000  0.255000 1.350000 0.655000 ;
-      RECT 1.180000  0.655000 2.060000 0.825000 ;
-      RECT 1.520000  0.085000 2.240000 0.485000 ;
-      RECT 1.540000  1.545000 2.060000 1.715000 ;
-      RECT 1.540000  1.715000 1.710000 1.905000 ;
-      RECT 1.890000  0.825000 2.060000 1.545000 ;
-      RECT 1.990000  1.895000 2.400000 2.065000 ;
-      RECT 1.990000  2.065000 2.245000 2.200000 ;
-      RECT 1.990000  2.370000 2.245000 2.465000 ;
-      RECT 2.230000  0.700000 2.580000 0.870000 ;
-      RECT 2.230000  0.870000 2.400000 1.895000 ;
-      RECT 2.410000  0.255000 2.580000 0.700000 ;
-      RECT 2.415000  2.255000 2.745000 2.425000 ;
-      RECT 2.575000  1.835000 3.515000 2.005000 ;
-      RECT 2.575000  2.005000 2.745000 2.255000 ;
-      RECT 2.915000  2.175000 3.165000 2.635000 ;
-      RECT 3.155000  0.085000 3.555000 0.595000 ;
-      RECT 3.335000  2.005000 3.515000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a2bb2o_1
-MACRO sky130_fd_sc_hd__a2bb2o_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a2bb2o_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.360000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1_N
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.315000 1.075000 3.645000 1.325000 ;
-        RECT 3.475000 1.325000 3.645000 1.445000 ;
-        RECT 3.475000 1.445000 4.965000 1.615000 ;
-        RECT 4.605000 1.075000 4.965000 1.445000 ;
-    END
-  END A1_N
-  PIN A2_N
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.815000 1.075000 4.435000 1.275000 ;
-    END
-  END A2_N
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.575000 1.445000 ;
-        RECT 0.085000 1.445000 1.685000 1.615000 ;
-        RECT 1.515000 1.075000 1.895000 1.245000 ;
-        RECT 1.515000 1.245000 1.685000 1.445000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.805000 1.075000 1.345000 1.275000 ;
-    END
-  END B2
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.235000 0.275000 5.565000 0.725000 ;
-        RECT 5.235000 0.725000 6.920000 0.905000 ;
-        RECT 5.275000 1.785000 6.365000 1.955000 ;
-        RECT 5.275000 1.955000 5.525000 2.465000 ;
-        RECT 6.075000 0.275000 6.405000 0.725000 ;
-        RECT 6.115000 1.415000 6.920000 1.655000 ;
-        RECT 6.115000 1.655000 6.365000 1.785000 ;
-        RECT 6.115000 1.955000 6.365000 2.465000 ;
-        RECT 6.610000 0.905000 6.920000 1.415000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.360000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.550000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.360000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.360000 0.085000 ;
-      RECT 0.000000  2.635000 7.360000 2.805000 ;
-      RECT 0.135000  1.785000 2.065000 1.955000 ;
-      RECT 0.135000  1.955000 0.385000 2.465000 ;
-      RECT 0.175000  0.085000 0.345000 0.895000 ;
-      RECT 0.515000  0.255000 1.685000 0.475000 ;
-      RECT 0.515000  0.475000 0.765000 0.905000 ;
-      RECT 0.555000  2.125000 0.805000 2.635000 ;
-      RECT 0.935000  0.645000 1.270000 0.735000 ;
-      RECT 0.935000  0.735000 2.525000 0.905000 ;
-      RECT 0.975000  1.955000 1.225000 2.465000 ;
-      RECT 1.395000  2.125000 1.645000 2.635000 ;
-      RECT 1.815000  1.955000 2.065000 2.295000 ;
-      RECT 1.815000  2.295000 2.905000 2.465000 ;
-      RECT 1.855000  0.085000 2.025000 0.555000 ;
-      RECT 1.855000  1.455000 2.065000 1.785000 ;
-      RECT 2.195000  0.255000 2.525000 0.735000 ;
-      RECT 2.235000  0.905000 2.445000 1.415000 ;
-      RECT 2.235000  1.415000 2.620000 1.965000 ;
-      RECT 2.235000  1.965000 2.485000 2.125000 ;
-      RECT 2.615000  1.075000 3.145000 1.245000 ;
-      RECT 2.655000  2.135000 2.905000 2.295000 ;
-      RECT 2.695000  0.085000 3.385000 0.555000 ;
-      RECT 2.955000  0.725000 4.725000 0.905000 ;
-      RECT 2.955000  0.905000 3.145000 1.075000 ;
-      RECT 2.955000  1.245000 3.145000 1.495000 ;
-      RECT 2.955000  1.495000 3.305000 1.665000 ;
-      RECT 3.135000  1.665000 3.305000 1.785000 ;
-      RECT 3.135000  1.785000 4.265000 1.965000 ;
-      RECT 3.175000  2.135000 3.425000 2.635000 ;
-      RECT 3.555000  0.255000 3.885000 0.725000 ;
-      RECT 3.595000  2.135000 3.845000 2.295000 ;
-      RECT 3.595000  2.295000 4.685000 2.465000 ;
-      RECT 4.015000  1.965000 4.265000 2.125000 ;
-      RECT 4.055000  0.085000 4.225000 0.555000 ;
-      RECT 4.395000  0.255000 4.725000 0.725000 ;
-      RECT 4.435000  1.785000 4.685000 2.295000 ;
-      RECT 4.855000  1.795000 5.105000 2.635000 ;
-      RECT 4.895000  0.085000 5.065000 0.895000 ;
-      RECT 5.135000  1.075000 6.440000 1.245000 ;
-      RECT 5.135000  1.245000 5.460000 1.615000 ;
-      RECT 5.695000  2.165000 5.945000 2.635000 ;
-      RECT 5.735000  0.085000 5.905000 0.555000 ;
-      RECT 6.535000  1.825000 6.785000 2.635000 ;
-      RECT 6.575000  0.085000 6.745000 0.555000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.450000  1.445000 2.620000 1.615000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.230000  1.445000 5.400000 1.615000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-    LAYER met1 ;
-      RECT 2.390000 1.415000 2.680000 1.460000 ;
-      RECT 2.390000 1.460000 5.460000 1.600000 ;
-      RECT 2.390000 1.600000 2.680000 1.645000 ;
-      RECT 5.170000 1.415000 5.460000 1.460000 ;
-      RECT 5.170000 1.600000 5.460000 1.645000 ;
-  END
-END sky130_fd_sc_hd__a2bb2o_4
-MACRO sky130_fd_sc_hd__a2bb2o_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a2bb2o_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1_N
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.345000 0.995000 1.675000 1.615000 ;
-    END
-  END A1_N
-  PIN A2_N
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.845000 0.995000 2.135000 1.375000 ;
-    END
-  END A2_N
-  PIN B1
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.730000 0.765000 3.990000 1.655000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.050000 1.355000 3.530000 1.655000 ;
-        RECT 3.270000 0.765000 3.530000 1.355000 ;
-    END
-  END B2
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.525000 0.255000 0.780000 0.810000 ;
-        RECT 0.525000 0.810000 0.695000 1.525000 ;
-        RECT 0.525000 1.525000 0.780000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.125000 -0.085000 0.295000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.185000  0.085000 0.355000 0.930000 ;
-      RECT 0.185000  1.445000 0.355000 2.635000 ;
-      RECT 0.865000  0.995000 1.120000 1.325000 ;
-      RECT 0.950000  0.085000 1.380000 0.530000 ;
-      RECT 0.950000  1.325000 1.120000 1.805000 ;
-      RECT 0.950000  1.805000 1.710000 1.975000 ;
-      RECT 0.950000  2.235000 1.280000 2.635000 ;
-      RECT 1.540000  1.975000 1.710000 2.200000 ;
-      RECT 1.540000  2.200000 2.670000 2.370000 ;
-      RECT 1.615000  0.255000 1.785000 0.655000 ;
-      RECT 1.615000  0.655000 2.510000 0.825000 ;
-      RECT 1.955000  0.085000 2.690000 0.485000 ;
-      RECT 1.975000  1.545000 2.510000 1.715000 ;
-      RECT 1.975000  1.715000 2.145000 1.905000 ;
-      RECT 2.340000  0.825000 2.510000 1.545000 ;
-      RECT 2.440000  1.895000 2.850000 2.065000 ;
-      RECT 2.440000  2.065000 2.670000 2.200000 ;
-      RECT 2.500000  2.370000 2.670000 2.465000 ;
-      RECT 2.680000  0.700000 3.030000 0.870000 ;
-      RECT 2.680000  0.870000 2.850000 1.895000 ;
-      RECT 2.860000  0.255000 3.030000 0.700000 ;
-      RECT 2.875000  2.255000 3.205000 2.425000 ;
-      RECT 3.035000  1.835000 3.965000 2.005000 ;
-      RECT 3.035000  2.005000 3.205000 2.255000 ;
-      RECT 3.375000  2.175000 3.625000 2.635000 ;
-      RECT 3.605000  0.085000 4.005000 0.595000 ;
-      RECT 3.795000  2.005000 3.965000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a2bb2o_2
-MACRO sky130_fd_sc_hd__sdfxbp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sdfxbp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  11.04000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.440000 1.355000 2.775000 1.685000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 9.180000 0.305000 9.530000 0.725000 ;
-        RECT 9.180000 0.725000 9.560000 0.790000 ;
-        RECT 9.180000 0.790000 9.610000 0.825000 ;
-        RECT 9.200000 1.505000 9.610000 1.540000 ;
-        RECT 9.200000 1.540000 9.530000 2.465000 ;
-        RECT 9.355000 1.430000 9.610000 1.505000 ;
-        RECT 9.390000 0.825000 9.610000 1.430000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 10.685000 0.265000 10.940000 0.795000 ;
-        RECT 10.685000 1.445000 10.940000 2.325000 ;
-        RECT 10.730000 0.795000 10.940000 1.445000 ;
-    END
-  END Q_N
-  PIN SCD
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.515000 1.055000 3.995000 1.655000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.760000 0.750000 3.235000 0.785000 ;
-        RECT 1.760000 0.785000 2.010000 0.810000 ;
-        RECT 1.760000 0.810000 1.990000 0.820000 ;
-        RECT 1.760000 0.820000 1.975000 0.835000 ;
-        RECT 1.760000 0.835000 1.970000 0.840000 ;
-        RECT 1.760000 0.840000 1.965000 0.850000 ;
-        RECT 1.760000 0.850000 1.960000 0.855000 ;
-        RECT 1.760000 0.855000 1.955000 0.860000 ;
-        RECT 1.760000 0.860000 1.950000 0.870000 ;
-        RECT 1.760000 0.870000 1.945000 0.875000 ;
-        RECT 1.760000 0.875000 1.940000 0.880000 ;
-        RECT 1.760000 0.880000 1.930000 1.685000 ;
-        RECT 1.790000 0.735000 3.235000 0.750000 ;
-        RECT 1.805000 0.725000 3.235000 0.735000 ;
-        RECT 1.820000 0.715000 3.235000 0.725000 ;
-        RECT 1.830000 0.705000 3.235000 0.715000 ;
-        RECT 1.840000 0.690000 3.235000 0.705000 ;
-        RECT 1.860000 0.655000 3.235000 0.690000 ;
-        RECT 1.875000 0.615000 3.235000 0.655000 ;
-        RECT 2.455000 0.305000 2.630000 0.615000 ;
-        RECT 3.065000 0.785000 3.235000 1.115000 ;
-    END
-  END SCE
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 0.975000 0.445000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 11.040000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 11.230000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 11.040000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 11.040000 0.085000 ;
-      RECT  0.000000  2.635000 11.040000 2.805000 ;
-      RECT  0.175000  0.345000  0.345000 0.635000 ;
-      RECT  0.175000  0.635000  0.810000 0.805000 ;
-      RECT  0.175000  1.795000  0.845000 1.965000 ;
-      RECT  0.175000  1.965000  0.345000 2.465000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.515000  2.135000  0.845000 2.635000 ;
-      RECT  0.615000  0.805000  0.810000 0.970000 ;
-      RECT  0.615000  0.970000  0.845000 1.795000 ;
-      RECT  1.015000  0.345000  1.185000 2.465000 ;
-      RECT  1.420000  0.255000  1.705000 0.585000 ;
-      RECT  1.420000  0.585000  1.590000 1.860000 ;
-      RECT  1.420000  1.860000  3.230000 2.075000 ;
-      RECT  1.420000  2.075000  1.705000 2.445000 ;
-      RECT  1.875000  2.245000  2.205000 2.635000 ;
-      RECT  1.955000  0.085000  2.285000 0.445000 ;
-      RECT  2.100000  0.955000  2.445000 1.125000 ;
-      RECT  2.100000  1.125000  2.270000 1.860000 ;
-      RECT  2.675000  2.245000  3.570000 2.415000 ;
-      RECT  2.800000  0.275000  3.575000 0.445000 ;
-      RECT  3.060000  1.355000  3.255000 1.685000 ;
-      RECT  3.060000  1.685000  3.230000 1.860000 ;
-      RECT  3.400000  1.825000  4.335000 1.995000 ;
-      RECT  3.400000  1.995000  3.570000 2.245000 ;
-      RECT  3.405000  0.445000  3.575000 0.715000 ;
-      RECT  3.405000  0.715000  4.335000 0.885000 ;
-      RECT  3.740000  2.165000  3.910000 2.635000 ;
-      RECT  3.745000  0.085000  3.945000 0.545000 ;
-      RECT  4.165000  0.365000  4.515000 0.535000 ;
-      RECT  4.165000  0.535000  4.335000 0.715000 ;
-      RECT  4.165000  0.885000  4.335000 1.825000 ;
-      RECT  4.165000  1.995000  4.335000 2.070000 ;
-      RECT  4.165000  2.070000  4.450000 2.440000 ;
-      RECT  4.505000  0.705000  5.085000 1.035000 ;
-      RECT  4.505000  1.035000  4.745000 1.905000 ;
-      RECT  4.645000  2.190000  5.715000 2.360000 ;
-      RECT  4.685000  0.365000  5.425000 0.535000 ;
-      RECT  4.935000  1.655000  5.375000 2.010000 ;
-      RECT  5.255000  0.535000  5.425000 1.315000 ;
-      RECT  5.255000  1.315000  6.055000 1.485000 ;
-      RECT  5.545000  1.485000  6.055000 1.575000 ;
-      RECT  5.545000  1.575000  5.715000 2.190000 ;
-      RECT  5.595000  0.765000  6.395000 1.065000 ;
-      RECT  5.595000  1.065000  5.765000 1.095000 ;
-      RECT  5.675000  0.085000  6.045000 0.585000 ;
-      RECT  5.885000  1.245000  6.055000 1.315000 ;
-      RECT  5.885000  1.835000  6.055000 2.635000 ;
-      RECT  6.225000  0.365000  6.685000 0.535000 ;
-      RECT  6.225000  0.535000  6.395000 0.765000 ;
-      RECT  6.225000  1.065000  6.395000 2.135000 ;
-      RECT  6.225000  2.135000  6.475000 2.465000 ;
-      RECT  6.565000  0.705000  7.115000 1.035000 ;
-      RECT  6.565000  1.245000  6.755000 1.965000 ;
-      RECT  6.700000  2.165000  7.585000 2.335000 ;
-      RECT  6.915000  0.365000  7.455000 0.535000 ;
-      RECT  6.925000  1.035000  7.115000 1.575000 ;
-      RECT  6.925000  1.575000  7.245000 1.905000 ;
-      RECT  7.285000  0.535000  7.455000 0.995000 ;
-      RECT  7.285000  0.995000  8.315000 1.325000 ;
-      RECT  7.285000  1.325000  7.585000 1.405000 ;
-      RECT  7.415000  1.405000  7.585000 2.165000 ;
-      RECT  7.700000  0.085000  8.070000 0.615000 ;
-      RECT  7.755000  1.575000  8.670000 1.905000 ;
-      RECT  7.765000  2.135000  8.070000 2.635000 ;
-      RECT  8.340000  0.300000  8.670000 0.825000 ;
-      RECT  8.380000  1.905000  8.670000 2.455000 ;
-      RECT  8.485000  0.825000  8.670000 0.995000 ;
-      RECT  8.485000  0.995000  9.220000 1.325000 ;
-      RECT  8.485000  1.325000  8.670000 1.575000 ;
-      RECT  8.840000  0.085000  9.010000 0.695000 ;
-      RECT  8.840000  1.625000  9.010000 2.635000 ;
-      RECT  9.700000  0.345000  9.950000 0.620000 ;
-      RECT  9.700000  1.685000 10.030000 2.425000 ;
-      RECT  9.780000  0.620000  9.950000 0.995000 ;
-      RECT  9.780000  0.995000 10.560000 1.325000 ;
-      RECT  9.780000  1.325000 10.030000 1.685000 ;
-      RECT 10.185000  0.085000 10.515000 0.805000 ;
-      RECT 10.210000  1.495000 10.515000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.645000  1.785000  0.815000 1.955000 ;
-      RECT  1.015000  0.765000  1.185000 0.935000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  0.765000  4.915000 0.935000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.165000  1.785000  5.335000 1.955000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.575000  1.785000  6.745000 1.955000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  0.765000  6.755000 0.935000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.585000 1.755000 0.875000 1.800000 ;
-      RECT 0.585000 1.800000 6.805000 1.940000 ;
-      RECT 0.585000 1.940000 0.875000 1.985000 ;
-      RECT 0.955000 0.735000 1.245000 0.780000 ;
-      RECT 0.955000 0.780000 6.815000 0.920000 ;
-      RECT 0.955000 0.920000 1.245000 0.965000 ;
-      RECT 4.685000 0.735000 4.975000 0.780000 ;
-      RECT 4.685000 0.920000 4.975000 0.965000 ;
-      RECT 5.105000 1.755000 5.395000 1.800000 ;
-      RECT 5.105000 1.940000 5.395000 1.985000 ;
-      RECT 6.515000 1.755000 6.805000 1.800000 ;
-      RECT 6.515000 1.940000 6.805000 1.985000 ;
-      RECT 6.525000 0.735000 6.815000 0.780000 ;
-      RECT 6.525000 0.920000 6.815000 0.965000 ;
-  END
-END sky130_fd_sc_hd__sdfxbp_1
-MACRO sky130_fd_sc_hd__sdfxbp_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sdfxbp_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  11.96000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.460000 1.355000 2.795000 1.685000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 9.255000 0.255000 9.585000 0.790000 ;
-        RECT 9.255000 0.790000 9.615000 0.825000 ;
-        RECT 9.255000 1.495000 9.615000 1.530000 ;
-        RECT 9.255000 1.530000 9.585000 2.430000 ;
-        RECT 9.410000 0.825000 9.615000 0.890000 ;
-        RECT 9.410000 1.430000 9.615000 1.495000 ;
-        RECT 9.445000 0.890000 9.615000 1.430000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 11.190000 0.265000 11.440000 0.795000 ;
-        RECT 11.190000 1.445000 11.440000 2.325000 ;
-        RECT 11.235000 0.795000 11.440000 1.445000 ;
-    END
-  END Q_N
-  PIN SCD
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.535000 1.035000 4.035000 1.655000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.780000 0.615000 3.255000 0.785000 ;
-        RECT 1.780000 0.785000 1.950000 1.685000 ;
-        RECT 2.475000 0.305000 2.650000 0.615000 ;
-        RECT 3.085000 0.785000 3.255000 1.115000 ;
-    END
-  END SCE
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 0.975000 0.445000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 11.960000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 12.150000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 11.960000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 11.960000 0.085000 ;
-      RECT  0.000000  2.635000 11.960000 2.805000 ;
-      RECT  0.175000  0.345000  0.345000 0.635000 ;
-      RECT  0.175000  0.635000  0.810000 0.805000 ;
-      RECT  0.180000  1.795000  0.845000 1.965000 ;
-      RECT  0.180000  1.965000  0.350000 2.465000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.520000  2.135000  0.850000 2.635000 ;
-      RECT  0.615000  0.805000  0.810000 0.970000 ;
-      RECT  0.615000  0.970000  0.845000 1.795000 ;
-      RECT  1.015000  0.345000  1.245000 0.715000 ;
-      RECT  1.020000  0.715000  1.245000 2.465000 ;
-      RECT  1.435000  0.275000  1.805000 0.445000 ;
-      RECT  1.435000  0.445000  1.605000 1.860000 ;
-      RECT  1.435000  1.860000  3.250000 2.075000 ;
-      RECT  1.435000  2.075000  1.710000 2.445000 ;
-      RECT  1.880000  2.245000  2.210000 2.635000 ;
-      RECT  1.975000  0.085000  2.305000 0.445000 ;
-      RECT  2.120000  0.955000  2.465000 1.125000 ;
-      RECT  2.120000  1.125000  2.290000 1.860000 ;
-      RECT  2.695000  2.245000  3.590000 2.415000 ;
-      RECT  2.820000  0.275000  3.595000 0.445000 ;
-      RECT  3.080000  1.355000  3.275000 1.685000 ;
-      RECT  3.080000  1.685000  3.250000 1.860000 ;
-      RECT  3.420000  1.825000  4.375000 1.995000 ;
-      RECT  3.420000  1.995000  3.590000 2.245000 ;
-      RECT  3.425000  0.445000  3.595000 0.695000 ;
-      RECT  3.425000  0.695000  4.375000 0.865000 ;
-      RECT  3.760000  2.165000  3.930000 2.635000 ;
-      RECT  3.765000  0.085000  3.965000 0.525000 ;
-      RECT  4.205000  0.365000  4.555000 0.535000 ;
-      RECT  4.205000  0.535000  4.375000 0.695000 ;
-      RECT  4.205000  0.865000  4.375000 1.825000 ;
-      RECT  4.205000  1.995000  4.375000 2.065000 ;
-      RECT  4.205000  2.065000  4.485000 2.440000 ;
-      RECT  4.545000  0.705000  5.125000 1.035000 ;
-      RECT  4.545000  1.035000  4.785000 1.905000 ;
-      RECT  4.685000  2.190000  5.755000 2.360000 ;
-      RECT  4.725000  0.365000  5.465000 0.535000 ;
-      RECT  4.975000  1.655000  5.415000 2.010000 ;
-      RECT  5.295000  0.535000  5.465000 1.315000 ;
-      RECT  5.295000  1.315000  6.095000 1.485000 ;
-      RECT  5.585000  1.485000  6.095000 1.575000 ;
-      RECT  5.585000  1.575000  5.755000 2.190000 ;
-      RECT  5.635000  0.765000  6.435000 1.065000 ;
-      RECT  5.635000  1.065000  5.805000 1.095000 ;
-      RECT  5.715000  0.085000  6.085000 0.585000 ;
-      RECT  5.925000  1.245000  6.095000 1.315000 ;
-      RECT  5.925000  1.835000  6.095000 2.635000 ;
-      RECT  6.265000  0.365000  6.725000 0.535000 ;
-      RECT  6.265000  0.535000  6.435000 0.765000 ;
-      RECT  6.265000  1.065000  6.435000 2.135000 ;
-      RECT  6.265000  2.135000  6.515000 2.465000 ;
-      RECT  6.605000  0.705000  7.155000 1.035000 ;
-      RECT  6.605000  1.245000  6.795000 1.965000 ;
-      RECT  6.740000  2.165000  7.625000 2.335000 ;
-      RECT  6.955000  0.365000  7.495000 0.535000 ;
-      RECT  6.965000  1.035000  7.155000 1.575000 ;
-      RECT  6.965000  1.575000  7.285000 1.905000 ;
-      RECT  7.325000  0.535000  7.495000 0.995000 ;
-      RECT  7.325000  0.995000  8.370000 1.325000 ;
-      RECT  7.325000  1.325000  7.625000 1.405000 ;
-      RECT  7.455000  1.405000  7.625000 2.165000 ;
-      RECT  7.740000  0.085000  8.110000 0.615000 ;
-      RECT  7.795000  1.575000  8.725000 1.905000 ;
-      RECT  7.805000  2.135000  8.110000 2.635000 ;
-      RECT  8.360000  0.300000  8.725000 0.825000 ;
-      RECT  8.395000  1.905000  8.725000 2.455000 ;
-      RECT  8.540000  0.825000  8.725000 0.995000 ;
-      RECT  8.540000  0.995000  9.275000 1.325000 ;
-      RECT  8.540000  1.325000  8.725000 1.575000 ;
-      RECT  8.895000  0.085000  9.085000 0.695000 ;
-      RECT  8.895000  1.625000  9.075000 2.635000 ;
-      RECT  9.755000  0.085000  9.985000 0.690000 ;
-      RECT  9.765000  1.615000  9.935000 2.635000 ;
-      RECT 10.205000  0.345000 10.455000 0.995000 ;
-      RECT 10.205000  0.995000 11.065000 1.325000 ;
-      RECT 10.205000  1.325000 10.535000 2.425000 ;
-      RECT 10.690000  0.085000 11.020000 0.805000 ;
-      RECT 10.715000  1.495000 11.020000 2.635000 ;
-      RECT 11.610000  0.085000 11.780000 0.955000 ;
-      RECT 11.610000  1.395000 11.780000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.645000  1.785000  0.815000 1.955000 ;
-      RECT  1.050000  0.765000  1.220000 0.935000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  0.765000  4.915000 0.935000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  1.785000  5.375000 1.955000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  6.625000  1.785000  6.795000 1.955000 ;
-      RECT  6.640000  0.765000  6.810000 0.935000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.585000 1.755000 0.875000 1.800000 ;
-      RECT 0.585000 1.800000 6.855000 1.940000 ;
-      RECT 0.585000 1.940000 0.875000 1.985000 ;
-      RECT 0.990000 0.735000 1.280000 0.780000 ;
-      RECT 0.990000 0.780000 6.870000 0.920000 ;
-      RECT 0.990000 0.920000 1.280000 0.965000 ;
-      RECT 4.685000 0.735000 4.975000 0.780000 ;
-      RECT 4.685000 0.920000 4.975000 0.965000 ;
-      RECT 5.145000 1.755000 5.435000 1.800000 ;
-      RECT 5.145000 1.940000 5.435000 1.985000 ;
-      RECT 6.565000 1.755000 6.855000 1.800000 ;
-      RECT 6.565000 1.940000 6.855000 1.985000 ;
-      RECT 6.580000 0.735000 6.870000 0.780000 ;
-      RECT 6.580000 0.920000 6.870000 0.965000 ;
-  END
-END sky130_fd_sc_hd__sdfxbp_2
-MACRO sky130_fd_sc_hd__a21bo_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a21bo_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.685000 0.995000 3.100000 1.615000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.270000 0.995000 3.560000 1.615000 ;
-    END
-  END A2
-  PIN B1_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.070000 1.035000 1.525000 1.325000 ;
-        RECT 1.330000 0.995000 1.525000 1.035000 ;
-    END
-  END B1_N
-  PIN X
-    ANTENNADIFFAREA  0.462000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.150000 0.715000 0.850000 0.885000 ;
-        RECT 0.150000 0.885000 0.380000 1.835000 ;
-        RECT 0.150000 1.835000 0.850000 2.005000 ;
-        RECT 0.520000 0.315000 0.850000 0.715000 ;
-        RECT 0.595000 2.005000 0.850000 2.425000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.090000  0.085000 0.345000 0.545000 ;
-      RECT 0.090000  2.255000 0.425000 2.635000 ;
-      RECT 0.570000  1.075000 0.900000 1.495000 ;
-      RECT 0.570000  1.495000 1.285000 1.665000 ;
-      RECT 1.020000  0.085000 1.220000 0.865000 ;
-      RECT 1.040000  2.275000 1.370000 2.635000 ;
-      RECT 1.115000  1.665000 1.285000 1.895000 ;
-      RECT 1.115000  1.895000 2.225000 2.105000 ;
-      RECT 1.455000  0.655000 1.865000 0.825000 ;
-      RECT 1.455000  1.555000 1.865000 1.725000 ;
-      RECT 1.695000  0.825000 1.865000 0.995000 ;
-      RECT 1.695000  0.995000 2.175000 1.325000 ;
-      RECT 1.695000  1.325000 1.865000 1.555000 ;
-      RECT 1.975000  0.085000 2.305000 0.465000 ;
-      RECT 1.975000  2.105000 2.225000 2.465000 ;
-      RECT 2.055000  1.505000 2.515000 1.675000 ;
-      RECT 2.055000  1.675000 2.225000 1.895000 ;
-      RECT 2.345000  0.635000 2.740000 0.825000 ;
-      RECT 2.345000  0.825000 2.515000 1.505000 ;
-      RECT 2.395000  1.845000 3.565000 2.015000 ;
-      RECT 2.395000  2.015000 2.725000 2.465000 ;
-      RECT 2.895000  2.185000 3.065000 2.635000 ;
-      RECT 3.235000  0.085000 3.565000 0.825000 ;
-      RECT 3.235000  2.015000 3.565000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a21bo_2
-MACRO sky130_fd_sc_hd__a21bo_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a21bo_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.750000 0.995000 2.175000 1.615000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.370000 0.995000 2.630000 1.615000 ;
-    END
-  END A2
-  PIN B1_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.105000 0.325000 0.335000 1.665000 ;
-    END
-  END B1_N
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.300000 0.265000 3.580000 2.455000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.105000  1.845000 0.855000 2.045000 ;
-      RECT 0.105000  2.045000 0.345000 2.435000 ;
-      RECT 0.515000  0.265000 0.745000 1.165000 ;
-      RECT 0.515000  1.165000 0.855000 1.845000 ;
-      RECT 0.515000  2.225000 0.865000 2.635000 ;
-      RECT 0.945000  0.085000 1.190000 0.865000 ;
-      RECT 1.035000  1.045000 1.580000 1.345000 ;
-      RECT 1.035000  1.345000 1.365000 2.455000 ;
-      RECT 1.360000  0.265000 1.790000 0.625000 ;
-      RECT 1.360000  0.625000 3.100000 0.815000 ;
-      RECT 1.360000  0.815000 1.580000 1.045000 ;
-      RECT 1.535000  1.785000 2.560000 1.985000 ;
-      RECT 1.535000  1.985000 1.715000 2.455000 ;
-      RECT 1.885000  2.155000 2.215000 2.635000 ;
-      RECT 2.370000  0.085000 3.100000 0.455000 ;
-      RECT 2.390000  1.985000 2.560000 2.455000 ;
-      RECT 2.825000  1.495000 3.110000 2.635000 ;
-      RECT 2.840000  0.815000 3.100000 1.325000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a21bo_1
-MACRO sky130_fd_sc_hd__a21bo_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a21bo_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.980000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.590000 1.010000 4.955000 1.360000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.025000 1.010000 4.420000 1.275000 ;
-        RECT 4.245000 1.275000 4.420000 1.595000 ;
-        RECT 4.245000 1.595000 5.390000 1.765000 ;
-        RECT 5.220000 1.055000 5.700000 1.290000 ;
-        RECT 5.220000 1.290000 5.390000 1.595000 ;
-    END
-  END A2
-  PIN B1_N
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.500000 1.010000 0.830000 1.625000 ;
-    END
-  END B1_N
-  PIN X
-    ANTENNADIFFAREA  0.924000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.000000 0.615000 2.340000 0.785000 ;
-        RECT 1.000000 0.785000 1.235000 1.595000 ;
-        RECT 1.000000 1.595000 2.410000 1.765000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.980000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.170000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.980000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.980000 0.085000 ;
-      RECT 0.000000  2.635000 5.980000 2.805000 ;
-      RECT 0.105000  0.255000 0.540000 0.840000 ;
-      RECT 0.105000  0.840000 0.330000 1.795000 ;
-      RECT 0.105000  1.795000 0.565000 1.935000 ;
-      RECT 0.105000  1.935000 2.870000 2.105000 ;
-      RECT 0.105000  2.105000 0.550000 2.465000 ;
-      RECT 0.710000  0.085000 1.050000 0.445000 ;
-      RECT 0.720000  2.275000 1.050000 2.635000 ;
-      RECT 1.405000  0.995000 2.810000 1.185000 ;
-      RECT 1.405000  1.185000 2.530000 1.325000 ;
-      RECT 1.580000  0.085000 1.910000 0.445000 ;
-      RECT 1.580000  2.275000 1.910000 2.635000 ;
-      RECT 2.435000  2.275000 2.770000 2.635000 ;
-      RECT 2.515000  0.085000 3.285000 0.445000 ;
-      RECT 2.640000  0.615000 3.645000 0.670000 ;
-      RECT 2.640000  0.670000 4.965000 0.785000 ;
-      RECT 2.640000  0.785000 3.010000 0.800000 ;
-      RECT 2.640000  0.800000 2.810000 0.995000 ;
-      RECT 2.700000  1.355000 3.305000 1.525000 ;
-      RECT 2.700000  1.525000 2.870000 1.935000 ;
-      RECT 2.995000  0.995000 3.305000 1.355000 ;
-      RECT 3.055000  1.695000 3.225000 2.210000 ;
-      RECT 3.055000  2.210000 4.065000 2.380000 ;
-      RECT 3.475000  0.255000 3.645000 0.615000 ;
-      RECT 3.475000  0.785000 4.965000 0.840000 ;
-      RECT 3.475000  0.840000 3.645000 1.805000 ;
-      RECT 3.855000  0.085000 4.185000 0.445000 ;
-      RECT 3.885000  1.445000 4.065000 1.935000 ;
-      RECT 3.885000  1.935000 5.825000 2.105000 ;
-      RECT 3.885000  2.105000 4.065000 2.210000 ;
-      RECT 4.235000  2.275000 4.565000 2.635000 ;
-      RECT 4.685000  0.405000 4.965000 0.670000 ;
-      RECT 5.075000  2.275000 5.405000 2.635000 ;
-      RECT 5.545000  0.085000 5.825000 0.885000 ;
-      RECT 5.570000  1.460000 5.825000 1.935000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a21bo_4
-MACRO sky130_fd_sc_hd__nor3_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nor3_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.840000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.485000 0.655000 1.755000 1.665000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.595000 0.995000 0.975000 1.325000 ;
-        RECT 0.595000 1.325000 0.830000 2.005000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.995000 0.425000 1.325000 ;
-    END
-  END C
-  PIN Y
-    ANTENNADIFFAREA  0.604500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.385000 0.345000 0.655000 ;
-        RECT 0.090000 0.655000 1.315000 0.825000 ;
-        RECT 0.090000 1.495000 0.425000 2.280000 ;
-        RECT 0.090000 2.280000 1.170000 2.450000 ;
-        RECT 1.000000 1.495000 1.315000 1.665000 ;
-        RECT 1.000000 1.665000 1.170000 2.280000 ;
-        RECT 1.015000 0.385000 1.185000 0.655000 ;
-        RECT 1.145000 0.825000 1.315000 1.495000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.840000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.030000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.840000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.840000 0.085000 ;
-      RECT 0.000000  2.635000 1.840000 2.805000 ;
-      RECT 0.515000  0.085000 0.845000 0.485000 ;
-      RECT 1.355000  0.085000 1.685000 0.485000 ;
-      RECT 1.435000  1.835000 1.750000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nor3_1
-MACRO sky130_fd_sc_hd__nor3_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nor3_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.135000 1.075000 0.965000 1.285000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.135000 1.075000 2.185000 1.285000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.375000 1.075000 2.965000 1.285000 ;
-        RECT 2.375000 1.285000 2.640000 1.625000 ;
-    END
-  END C
-  PIN Y
-    ANTENNADIFFAREA  0.796500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.535000 0.255000 0.865000 0.725000 ;
-        RECT 0.535000 0.725000 3.595000 0.905000 ;
-        RECT 1.375000 0.255000 1.705000 0.725000 ;
-        RECT 2.755000 0.255000 3.085000 0.725000 ;
-        RECT 2.835000 1.455000 3.595000 1.625000 ;
-        RECT 2.835000 1.625000 3.045000 2.125000 ;
-        RECT 3.135000 0.905000 3.595000 1.455000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.090000  0.085000 0.365000 0.905000 ;
-      RECT 0.150000  1.455000 2.085000 1.625000 ;
-      RECT 0.150000  1.625000 0.405000 2.465000 ;
-      RECT 0.575000  1.795000 0.825000 2.635000 ;
-      RECT 0.995000  1.625000 1.245000 2.465000 ;
-      RECT 1.035000  0.085000 1.205000 0.555000 ;
-      RECT 1.415000  1.795000 1.665000 2.295000 ;
-      RECT 1.415000  2.295000 3.465000 2.465000 ;
-      RECT 1.835000  1.625000 2.085000 2.125000 ;
-      RECT 1.875000  0.085000 2.585000 0.555000 ;
-      RECT 2.415000  1.795000 2.625000 2.295000 ;
-      RECT 3.215000  1.795000 3.465000 2.295000 ;
-      RECT 3.255000  0.085000 3.545000 0.555000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nor3_2
-MACRO sky130_fd_sc_hd__nor3_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nor3_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.980000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 1.075000 1.825000 1.285000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.095000 1.075000 3.685000 1.285000 ;
-        RECT 3.515000 1.285000 3.685000 1.445000 ;
-        RECT 3.515000 1.445000 5.165000 1.615000 ;
-        RECT 4.995000 1.075000 5.415000 1.285000 ;
-        RECT 4.995000 1.285000 5.165000 1.445000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.855000 1.075000 4.765000 1.275000 ;
-    END
-  END C
-  PIN Y
-    ANTENNADIFFAREA  1.593000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.535000 0.255000 0.865000 0.725000 ;
-        RECT 0.535000 0.725000 5.895000 0.905000 ;
-        RECT 1.375000 0.255000 1.705000 0.725000 ;
-        RECT 2.215000 0.255000 2.545000 0.725000 ;
-        RECT 3.055000 0.255000 3.385000 0.725000 ;
-        RECT 3.515000 1.785000 5.895000 1.955000 ;
-        RECT 3.515000 1.955000 4.605000 1.965000 ;
-        RECT 3.515000 1.965000 3.765000 2.125000 ;
-        RECT 3.895000 0.255000 4.225000 0.725000 ;
-        RECT 4.355000 1.965000 4.605000 2.125000 ;
-        RECT 4.735000 0.255000 5.065000 0.725000 ;
-        RECT 5.605000 0.255000 5.895000 0.725000 ;
-        RECT 5.605000 0.905000 5.895000 1.785000 ;
-        RECT 5.615000 1.955000 5.895000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.980000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.170000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.980000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.980000 0.085000 ;
-      RECT 0.000000  2.635000 5.980000 2.805000 ;
-      RECT 0.090000  0.085000 0.365000 0.905000 ;
-      RECT 0.150000  1.455000 2.085000 1.625000 ;
-      RECT 0.150000  1.625000 0.405000 2.465000 ;
-      RECT 0.575000  1.795000 0.825000 2.635000 ;
-      RECT 0.995000  1.625000 1.245000 2.465000 ;
-      RECT 1.035000  0.085000 1.205000 0.555000 ;
-      RECT 1.415000  1.795000 1.665000 2.635000 ;
-      RECT 1.835000  1.625000 2.085000 2.085000 ;
-      RECT 1.835000  2.085000 2.925000 2.465000 ;
-      RECT 1.875000  0.085000 2.045000 0.555000 ;
-      RECT 2.255000  1.455000 3.345000 1.625000 ;
-      RECT 2.255000  1.625000 2.505000 1.915000 ;
-      RECT 2.675000  1.795000 2.925000 2.085000 ;
-      RECT 2.715000  0.085000 2.885000 0.555000 ;
-      RECT 3.095000  1.625000 3.345000 2.295000 ;
-      RECT 3.095000  2.295000 5.025000 2.465000 ;
-      RECT 3.555000  0.085000 3.725000 0.555000 ;
-      RECT 3.935000  2.135000 4.185000 2.295000 ;
-      RECT 4.395000  0.085000 4.565000 0.555000 ;
-      RECT 4.775000  2.135000 5.025000 2.295000 ;
-      RECT 5.195000  2.125000 5.445000 2.465000 ;
-      RECT 5.235000  0.085000 5.405000 0.555000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.125000 2.615000 2.295000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.125000 5.375000 2.295000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-    LAYER met1 ;
-      RECT 2.385000 2.065000 2.680000 2.140000 ;
-      RECT 2.385000 2.140000 5.440000 2.280000 ;
-      RECT 2.385000 2.280000 2.680000 2.335000 ;
-      RECT 5.145000 2.065000 5.440000 2.140000 ;
-      RECT 5.145000 2.280000 5.440000 2.335000 ;
-  END
-END sky130_fd_sc_hd__nor3_4
-MACRO sky130_fd_sc_hd__einvp_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__einvp_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.850000 0.765000 3.135000 1.615000 ;
-    END
-  END A
-  PIN TE
-    ANTENNAGATEAREA  0.354000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.330000 1.615000 ;
-    END
-  END TE
-  PIN Z
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.350000 0.595000 2.680000 2.125000 ;
-    END
-  END Z
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.085000  0.255000 0.345000 0.655000 ;
-      RECT 0.085000  0.655000 0.875000 0.825000 ;
-      RECT 0.085000  1.785000 0.875000 1.955000 ;
-      RECT 0.085000  1.955000 0.345000 2.465000 ;
-      RECT 0.500000  0.825000 0.875000 0.995000 ;
-      RECT 0.500000  0.995000 2.180000 1.325000 ;
-      RECT 0.500000  1.325000 0.875000 1.785000 ;
-      RECT 0.515000  0.085000 0.875000 0.485000 ;
-      RECT 0.515000  2.125000 0.875000 2.635000 ;
-      RECT 1.045000  0.255000 1.240000 0.655000 ;
-      RECT 1.045000  0.655000 2.180000 0.825000 ;
-      RECT 1.045000  1.555000 2.155000 1.725000 ;
-      RECT 1.045000  1.725000 1.285000 2.465000 ;
-      RECT 1.410000  0.085000 1.770000 0.485000 ;
-      RECT 1.455000  1.895000 1.785000 2.635000 ;
-      RECT 1.940000  0.255000 3.135000 0.425000 ;
-      RECT 1.940000  0.425000 2.180000 0.655000 ;
-      RECT 1.985000  1.725000 2.155000 2.295000 ;
-      RECT 1.985000  2.295000 3.135000 2.465000 ;
-      RECT 2.850000  0.425000 3.135000 0.595000 ;
-      RECT 2.850000  1.785000 3.135000 2.295000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__einvp_2
-MACRO sky130_fd_sc_hd__einvp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__einvp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.300000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.975000 0.975000 2.215000 1.955000 ;
-    END
-  END A
-  PIN TE
-    ANTENNAGATEAREA  0.223500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.545000 1.725000 ;
-    END
-  END TE
-  PIN Z
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.620000 0.255000 2.215000 0.805000 ;
-        RECT 1.620000 0.805000 1.795000 2.125000 ;
-        RECT 1.620000 2.125000 2.215000 2.465000 ;
-    END
-  END Z
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.300000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.490000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.300000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.300000 0.085000 ;
-      RECT 0.000000  2.635000 2.300000 2.805000 ;
-      RECT 0.085000  0.255000 0.345000 0.655000 ;
-      RECT 0.085000  0.655000 1.450000 0.825000 ;
-      RECT 0.085000  1.895000 1.450000 2.065000 ;
-      RECT 0.085000  2.065000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 1.450000 0.485000 ;
-      RECT 0.515000  2.235000 1.450000 2.635000 ;
-      RECT 0.715000  0.825000 1.450000 1.895000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-  END
-END sky130_fd_sc_hd__einvp_1
-MACRO sky130_fd_sc_hd__einvp_8
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__einvp_8 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  8.280000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  1.980000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.420000 1.020000 8.195000 1.275000 ;
-    END
-  END A
-  PIN TE
-    ANTENNAGATEAREA  1.027500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.330000 1.615000 ;
-    END
-  END TE
-  PIN Z
-    ANTENNADIFFAREA  1.782000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.870000 0.635000 8.195000 0.850000 ;
-        RECT 4.870000 0.850000 5.250000 1.445000 ;
-        RECT 4.870000 1.445000 7.720000 1.615000 ;
-        RECT 4.870000 1.615000 5.200000 2.125000 ;
-        RECT 5.710000 1.615000 6.040000 2.125000 ;
-        RECT 6.550000 1.615000 6.880000 2.125000 ;
-        RECT 7.390000 1.615000 7.720000 2.125000 ;
-    END
-  END Z
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 8.280000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.470000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 8.280000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 8.280000 0.085000 ;
-      RECT 0.000000  2.635000 8.280000 2.805000 ;
-      RECT 0.085000  0.255000 0.345000 0.655000 ;
-      RECT 0.085000  0.655000 0.695000 0.825000 ;
-      RECT 0.085000  1.785000 0.875000 1.955000 ;
-      RECT 0.085000  1.955000 0.345000 2.465000 ;
-      RECT 0.500000  0.825000 0.695000 0.995000 ;
-      RECT 0.500000  0.995000 4.700000 1.325000 ;
-      RECT 0.500000  1.325000 0.875000 1.785000 ;
-      RECT 0.515000  0.085000 0.845000 0.485000 ;
-      RECT 0.515000  2.125000 0.875000 2.635000 ;
-      RECT 1.035000  0.255000 1.205000 0.655000 ;
-      RECT 1.035000  0.655000 4.700000 0.825000 ;
-      RECT 1.075000  1.555000 4.700000 1.725000 ;
-      RECT 1.075000  1.725000 1.285000 2.465000 ;
-      RECT 1.375000  0.085000 1.705000 0.485000 ;
-      RECT 1.455000  1.895000 1.785000 2.635000 ;
-      RECT 1.875000  0.255000 2.045000 0.655000 ;
-      RECT 1.955000  1.725000 2.125000 2.465000 ;
-      RECT 2.215000  0.085000 2.545000 0.485000 ;
-      RECT 2.295000  1.895000 2.625000 2.635000 ;
-      RECT 2.715000  0.255000 2.885000 0.655000 ;
-      RECT 2.795000  1.725000 2.965000 2.465000 ;
-      RECT 3.055000  0.085000 3.385000 0.485000 ;
-      RECT 3.135000  1.895000 3.465000 2.635000 ;
-      RECT 3.555000  0.255000 3.725000 0.655000 ;
-      RECT 3.635000  1.725000 3.805000 2.465000 ;
-      RECT 3.895000  0.085000 4.235000 0.485000 ;
-      RECT 3.975000  1.895000 4.305000 2.635000 ;
-      RECT 4.405000  0.255000 8.195000 0.465000 ;
-      RECT 4.405000  0.465000 4.700000 0.655000 ;
-      RECT 4.475000  1.725000 4.700000 2.295000 ;
-      RECT 4.475000  2.295000 8.195000 2.465000 ;
-      RECT 5.370000  1.785000 5.540000 2.295000 ;
-      RECT 6.210000  1.785000 6.380000 2.295000 ;
-      RECT 7.050000  1.785000 7.220000 2.295000 ;
-      RECT 7.890000  1.445000 8.195000 2.295000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-  END
-END sky130_fd_sc_hd__einvp_8
-MACRO sky130_fd_sc_hd__einvp_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__einvp_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.060000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.740000 1.020000 4.975000 1.275000 ;
-    END
-  END A
-  PIN TE
-    ANTENNAGATEAREA  0.637500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.330000 1.615000 ;
-    END
-  END TE
-  PIN Z
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.190000 0.635000 4.975000 0.850000 ;
-        RECT 3.190000 0.850000 3.570000 1.445000 ;
-        RECT 3.190000 1.445000 4.360000 1.615000 ;
-        RECT 3.190000 1.615000 3.520000 2.125000 ;
-        RECT 4.030000 1.615000 4.360000 2.125000 ;
-    END
-  END Z
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.060000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.250000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.060000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.060000 0.085000 ;
-      RECT 0.000000  2.635000 5.060000 2.805000 ;
-      RECT 0.085000  0.255000 0.345000 0.655000 ;
-      RECT 0.085000  0.655000 0.695000 0.825000 ;
-      RECT 0.085000  1.785000 0.875000 1.955000 ;
-      RECT 0.085000  1.955000 0.345000 2.465000 ;
-      RECT 0.500000  0.825000 0.695000 0.995000 ;
-      RECT 0.500000  0.995000 3.020000 1.325000 ;
-      RECT 0.500000  1.325000 0.875000 1.785000 ;
-      RECT 0.515000  0.085000 0.845000 0.485000 ;
-      RECT 0.515000  2.125000 0.875000 2.635000 ;
-      RECT 1.035000  0.255000 1.205000 0.655000 ;
-      RECT 1.035000  0.655000 3.020000 0.825000 ;
-      RECT 1.075000  1.555000 2.995000 1.725000 ;
-      RECT 1.075000  1.725000 1.285000 2.465000 ;
-      RECT 1.375000  0.085000 1.705000 0.485000 ;
-      RECT 1.455000  1.895000 1.785000 2.635000 ;
-      RECT 1.875000  0.255000 2.045000 0.655000 ;
-      RECT 1.955000  1.725000 2.125000 2.465000 ;
-      RECT 2.215000  0.085000 2.555000 0.485000 ;
-      RECT 2.295000  1.895000 2.655000 2.635000 ;
-      RECT 2.735000  0.255000 4.975000 0.465000 ;
-      RECT 2.735000  0.465000 3.020000 0.655000 ;
-      RECT 2.825000  1.725000 2.995000 2.295000 ;
-      RECT 2.825000  2.295000 4.975000 2.465000 ;
-      RECT 3.690000  1.785000 3.860000 2.295000 ;
-      RECT 4.530000  1.445000 4.975000 2.295000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-  END
-END sky130_fd_sc_hd__einvp_4
-MACRO sky130_fd_sc_hd__a21oi_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a21oi_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.980000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.565000 1.065000 4.000000 1.310000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.050000 1.065000 2.395000 1.480000 ;
-        RECT 2.050000 1.480000 5.470000 1.705000 ;
-        RECT 4.225000 1.075000 5.470000 1.480000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.995000 0.400000 1.035000 ;
-        RECT 0.090000 1.035000 1.430000 1.415000 ;
-    END
-  END B1
-  PIN Y
-    ANTENNADIFFAREA  1.288000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.580000 1.585000 1.880000 1.705000 ;
-        RECT 0.580000 1.705000 1.745000 2.035000 ;
-        RECT 0.595000 0.370000 0.785000 0.615000 ;
-        RECT 0.595000 0.615000 1.645000 0.695000 ;
-        RECT 0.595000 0.695000 3.905000 0.865000 ;
-        RECT 1.455000 0.255000 1.645000 0.615000 ;
-        RECT 1.600000 0.865000 3.905000 0.895000 ;
-        RECT 1.600000 0.895000 1.880000 1.585000 ;
-        RECT 2.275000 0.675000 3.905000 0.695000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.980000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.170000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.980000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.980000 0.085000 ;
-      RECT 0.000000  2.635000 5.980000 2.805000 ;
-      RECT 0.090000  0.085000 0.425000 0.805000 ;
-      RECT 0.180000  1.795000 0.375000 2.215000 ;
-      RECT 0.180000  2.215000 2.115000 2.465000 ;
-      RECT 0.955000  0.085000 1.285000 0.445000 ;
-      RECT 0.955000  2.205000 2.115000 2.215000 ;
-      RECT 1.835000  0.085000 2.115000 0.525000 ;
-      RECT 1.915000  1.875000 5.625000 2.105000 ;
-      RECT 1.915000  2.105000 2.115000 2.205000 ;
-      RECT 2.285000  0.255000 4.335000 0.505000 ;
-      RECT 2.285000  2.275000 2.615000 2.635000 ;
-      RECT 2.785000  2.105000 2.975000 2.465000 ;
-      RECT 3.145000  2.275000 3.475000 2.635000 ;
-      RECT 3.645000  2.105000 3.835000 2.465000 ;
-      RECT 4.005000  2.275000 4.335000 2.635000 ;
-      RECT 4.075000  0.505000 4.335000 0.735000 ;
-      RECT 4.075000  0.735000 5.195000 0.905000 ;
-      RECT 4.505000  0.085000 4.695000 0.565000 ;
-      RECT 4.505000  2.105000 4.685000 2.465000 ;
-      RECT 4.865000  0.255000 5.195000 0.735000 ;
-      RECT 4.865000  2.275000 5.195000 2.635000 ;
-      RECT 5.365000  0.085000 5.625000 0.885000 ;
-      RECT 5.365000  2.105000 5.625000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a21oi_4
-MACRO sky130_fd_sc_hd__a21oi_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a21oi_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.840000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.850000 0.995000 1.265000 1.325000 ;
-        RECT 1.035000 0.375000 1.265000 0.995000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.445000 0.995000 1.740000 1.325000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 0.675000 0.335000 1.325000 ;
-    END
-  END B1
-  PIN Y
-    ANTENNADIFFAREA  0.447000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 1.495000 0.680000 1.685000 ;
-        RECT 0.095000 1.685000 0.370000 2.455000 ;
-        RECT 0.505000 0.645000 0.835000 0.825000 ;
-        RECT 0.505000 0.825000 0.680000 1.495000 ;
-        RECT 0.610000 0.265000 0.835000 0.645000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.840000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.030000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.840000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.840000 0.085000 ;
-      RECT 0.000000  2.635000 1.840000 2.805000 ;
-      RECT 0.110000  0.085000 0.440000 0.475000 ;
-      RECT 0.540000  1.855000 1.745000 2.025000 ;
-      RECT 0.540000  2.025000 0.870000 2.455000 ;
-      RECT 0.850000  1.525000 1.745000 1.855000 ;
-      RECT 1.040000  2.195000 1.235000 2.635000 ;
-      RECT 1.415000  2.025000 1.745000 2.455000 ;
-      RECT 1.445000  0.085000 1.745000 0.815000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a21oi_1
-MACRO sky130_fd_sc_hd__a21oi_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a21oi_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.815000 0.995000 1.425000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.145000 1.035000 0.645000 1.495000 ;
-        RECT 0.145000 1.495000 1.930000 1.675000 ;
-        RECT 1.605000 1.075000 1.935000 1.245000 ;
-        RECT 1.605000 1.245000 1.930000 1.495000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.800000 0.995000 3.075000 1.625000 ;
-    END
-  END B1
-  PIN Y
-    ANTENNADIFFAREA  0.627500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.955000 0.255000 1.300000 0.615000 ;
-        RECT 0.955000 0.615000 2.615000 0.785000 ;
-        RECT 2.295000 0.255000 2.615000 0.615000 ;
-        RECT 2.315000 0.785000 2.615000 2.115000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.100000  0.085000 0.395000 0.865000 ;
-      RECT 0.110000  1.855000 2.145000 2.025000 ;
-      RECT 0.110000  2.025000 1.220000 2.105000 ;
-      RECT 0.110000  2.105000 0.370000 2.465000 ;
-      RECT 0.540000  2.275000 0.870000 2.635000 ;
-      RECT 1.050000  2.105000 1.220000 2.465000 ;
-      RECT 1.475000  2.195000 1.645000 2.635000 ;
-      RECT 1.760000  0.085000 2.090000 0.445000 ;
-      RECT 1.815000  2.025000 2.145000 2.285000 ;
-      RECT 1.815000  2.285000 3.090000 2.465000 ;
-      RECT 2.785000  1.795000 3.090000 2.285000 ;
-      RECT 2.795000  0.085000 3.125000 0.825000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a21oi_2
-MACRO sky130_fd_sc_hd__sdlclkp_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sdlclkp_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  8.280000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN GATE
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.855000 0.955000 1.195000 1.445000 ;
-        RECT 0.855000 1.445000 1.240000 1.955000 ;
-    END
-  END GATE
-  PIN GCLK
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.500000 0.255000 6.830000 0.445000 ;
-        RECT 6.580000 0.445000 6.830000 0.715000 ;
-        RECT 6.580000 0.715000 7.220000 0.885000 ;
-        RECT 6.580000 1.485000 7.220000 1.655000 ;
-        RECT 6.580000 1.655000 6.830000 2.465000 ;
-        RECT 7.050000 0.885000 7.220000 1.055000 ;
-        RECT 7.050000 1.055000 8.195000 1.315000 ;
-        RECT 7.050000 1.315000 7.220000 1.485000 ;
-        RECT 7.420000 0.255000 7.720000 1.055000 ;
-        RECT 7.420000 1.315000 7.720000 2.465000 ;
-    END
-  END GCLK
-  PIN SCE
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.955000 0.345000 1.665000 ;
-    END
-  END SCE
-  PIN CLK
-    ANTENNAGATEAREA  0.406500 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 4.725000 0.995000 4.945000 1.325000 ;
-      LAYER mcon ;
-        RECT 4.770000 1.105000 4.940000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 5.685000 0.995000 6.065000 1.325000 ;
-      LAYER mcon ;
-        RECT 5.710000 1.105000 5.880000 1.275000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 4.710000 1.075000 5.000000 1.120000 ;
-        RECT 4.710000 1.120000 5.940000 1.260000 ;
-        RECT 4.710000 1.260000 5.000000 1.305000 ;
-        RECT 5.650000 1.075000 5.940000 1.120000 ;
-        RECT 5.650000 1.260000 5.940000 1.305000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 8.280000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.470000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 8.280000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 8.280000 0.085000 ;
-      RECT 0.000000  2.635000 8.280000 2.805000 ;
-      RECT 0.085000  0.255000 0.345000 0.615000 ;
-      RECT 0.085000  0.615000 1.195000 0.785000 ;
-      RECT 0.085000  1.835000 0.345000 2.635000 ;
-      RECT 0.515000  0.085000 0.845000 0.445000 ;
-      RECT 0.515000  0.785000 0.685000 2.125000 ;
-      RECT 0.515000  2.125000 1.260000 2.465000 ;
-      RECT 1.015000  0.255000 1.195000 0.615000 ;
-      RECT 1.365000  0.255000 2.500000 0.535000 ;
-      RECT 1.365000  0.705000 1.705000 1.205000 ;
-      RECT 1.365000  1.205000 1.865000 1.325000 ;
-      RECT 1.410000  1.325000 1.865000 1.955000 ;
-      RECT 1.430000  2.125000 2.205000 2.465000 ;
-      RECT 1.875000  0.705000 2.160000 1.035000 ;
-      RECT 2.035000  1.205000 3.015000 1.375000 ;
-      RECT 2.035000  1.375000 2.205000 2.125000 ;
-      RECT 2.330000  0.535000 2.500000 0.995000 ;
-      RECT 2.330000  0.995000 3.015000 1.205000 ;
-      RECT 2.375000  1.575000 2.545000 1.635000 ;
-      RECT 2.375000  1.635000 3.405000 1.905000 ;
-      RECT 2.375000  2.075000 3.015000 2.635000 ;
-      RECT 2.670000  0.085000 3.015000 0.825000 ;
-      RECT 3.185000  0.255000 3.405000 1.635000 ;
-      RECT 3.185000  1.905000 3.405000 1.915000 ;
-      RECT 3.185000  1.915000 5.515000 2.085000 ;
-      RECT 3.185000  2.085000 3.405000 2.465000 ;
-      RECT 3.595000  0.255000 3.925000 0.765000 ;
-      RECT 3.595000  0.765000 4.020000 0.935000 ;
-      RECT 3.595000  0.935000 3.765000 1.575000 ;
-      RECT 3.595000  1.575000 4.005000 1.745000 ;
-      RECT 3.595000  2.255000 5.515000 2.635000 ;
-      RECT 3.935000  1.105000 4.480000 1.275000 ;
-      RECT 4.095000  0.085000 4.425000 0.445000 ;
-      RECT 4.175000  1.275000 4.480000 1.495000 ;
-      RECT 4.175000  1.495000 4.975000 1.745000 ;
-      RECT 4.190000  0.615000 4.845000 0.785000 ;
-      RECT 4.190000  0.785000 4.480000 1.105000 ;
-      RECT 4.595000  0.255000 4.845000 0.615000 ;
-      RECT 5.015000  0.255000 5.435000 0.615000 ;
-      RECT 5.015000  0.615000 6.410000 0.785000 ;
-      RECT 5.165000  0.995000 5.515000 1.915000 ;
-      RECT 5.605000  0.085000 6.330000 0.445000 ;
-      RECT 5.685000  1.495000 6.410000 2.085000 ;
-      RECT 5.685000  2.085000 5.855000 2.465000 ;
-      RECT 6.055000  2.255000 6.385000 2.635000 ;
-      RECT 6.240000  0.785000 6.410000 1.055000 ;
-      RECT 6.240000  1.055000 6.880000 1.315000 ;
-      RECT 6.240000  1.315000 6.410000 1.495000 ;
-      RECT 7.000000  0.085000 7.250000 0.545000 ;
-      RECT 7.000000  1.825000 7.250000 2.635000 ;
-      RECT 7.890000  0.085000 8.195000 0.885000 ;
-      RECT 7.890000  1.485000 8.195000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.530000  1.445000 1.700000 1.615000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 1.990000  0.765000 2.160000 0.935000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 3.850000  0.765000 4.020000 0.935000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.310000  1.445000 4.480000 1.615000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-    LAYER met1 ;
-      RECT 1.470000 1.415000 1.760000 1.460000 ;
-      RECT 1.470000 1.460000 4.540000 1.600000 ;
-      RECT 1.470000 1.600000 1.760000 1.645000 ;
-      RECT 1.930000 0.735000 2.220000 0.780000 ;
-      RECT 1.930000 0.780000 4.080000 0.920000 ;
-      RECT 1.930000 0.920000 2.220000 0.965000 ;
-      RECT 3.790000 0.735000 4.080000 0.780000 ;
-      RECT 3.790000 0.920000 4.080000 0.965000 ;
-      RECT 4.250000 1.415000 4.540000 1.460000 ;
-      RECT 4.250000 1.600000 4.540000 1.645000 ;
-  END
-END sky130_fd_sc_hd__sdlclkp_4
-MACRO sky130_fd_sc_hd__sdlclkp_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sdlclkp_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.360000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN GATE
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.855000 0.955000 1.195000 1.445000 ;
-        RECT 0.855000 1.445000 1.240000 1.955000 ;
-    END
-  END GATE
-  PIN GCLK
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.570000 0.255000 6.840000 0.825000 ;
-        RECT 6.570000 1.495000 6.840000 2.465000 ;
-        RECT 6.670000 0.825000 6.840000 1.055000 ;
-        RECT 6.670000 1.055000 7.275000 1.315000 ;
-        RECT 6.670000 1.315000 6.840000 1.495000 ;
-    END
-  END GCLK
-  PIN SCE
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.955000 0.340000 1.665000 ;
-    END
-  END SCE
-  PIN CLK
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 4.705000 0.955000 6.050000 1.265000 ;
-        RECT 4.705000 1.265000 4.925000 1.325000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.360000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.550000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.360000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.360000 0.085000 ;
-      RECT 0.000000  2.635000 7.360000 2.805000 ;
-      RECT 0.085000  0.255000 0.345000 0.615000 ;
-      RECT 0.085000  0.615000 1.195000 0.785000 ;
-      RECT 0.085000  1.835000 0.345000 2.635000 ;
-      RECT 0.515000  0.085000 0.845000 0.445000 ;
-      RECT 0.515000  0.785000 0.685000 2.125000 ;
-      RECT 0.515000  2.125000 1.260000 2.465000 ;
-      RECT 1.015000  0.255000 1.195000 0.615000 ;
-      RECT 1.365000  0.255000 2.500000 0.535000 ;
-      RECT 1.365000  0.705000 1.705000 1.205000 ;
-      RECT 1.365000  1.205000 1.865000 1.325000 ;
-      RECT 1.410000  1.325000 1.865000 1.955000 ;
-      RECT 1.430000  2.125000 2.205000 2.465000 ;
-      RECT 1.875000  0.705000 2.160000 1.035000 ;
-      RECT 2.035000  1.205000 3.015000 1.375000 ;
-      RECT 2.035000  1.375000 2.205000 2.125000 ;
-      RECT 2.330000  0.535000 2.500000 0.995000 ;
-      RECT 2.330000  0.995000 3.015000 1.205000 ;
-      RECT 2.375000  1.575000 2.545000 1.635000 ;
-      RECT 2.375000  1.635000 3.405000 1.905000 ;
-      RECT 2.375000  2.075000 3.015000 2.635000 ;
-      RECT 2.670000  0.085000 3.015000 0.825000 ;
-      RECT 3.185000  0.255000 3.405000 1.635000 ;
-      RECT 3.185000  1.905000 3.405000 1.915000 ;
-      RECT 3.185000  1.915000 5.490000 2.085000 ;
-      RECT 3.185000  2.085000 3.405000 2.465000 ;
-      RECT 3.575000  0.255000 3.925000 0.765000 ;
-      RECT 3.575000  0.765000 4.000000 0.935000 ;
-      RECT 3.575000  0.935000 3.745000 1.575000 ;
-      RECT 3.575000  1.575000 4.040000 1.745000 ;
-      RECT 3.575000  2.255000 5.530000 2.635000 ;
-      RECT 3.915000  1.105000 4.460000 1.275000 ;
-      RECT 4.095000  0.085000 4.425000 0.445000 ;
-      RECT 4.170000  0.615000 4.825000 0.785000 ;
-      RECT 4.170000  0.785000 4.460000 1.105000 ;
-      RECT 4.210000  1.275000 4.460000 1.495000 ;
-      RECT 4.210000  1.495000 5.010000 1.745000 ;
-      RECT 4.595000  0.255000 4.825000 0.615000 ;
-      RECT 5.100000  0.255000 5.310000 0.615000 ;
-      RECT 5.100000  0.615000 6.400000 0.785000 ;
-      RECT 5.180000  1.435000 5.650000 1.605000 ;
-      RECT 5.180000  1.605000 5.490000 1.915000 ;
-      RECT 5.490000  0.085000 6.400000 0.445000 ;
-      RECT 5.700000  1.775000 6.400000 2.085000 ;
-      RECT 5.700000  2.085000 5.870000 2.465000 ;
-      RECT 5.820000  1.435000 6.400000 1.775000 ;
-      RECT 6.070000  2.255000 6.400000 2.635000 ;
-      RECT 6.230000  0.785000 6.400000 0.995000 ;
-      RECT 6.230000  0.995000 6.500000 1.325000 ;
-      RECT 6.230000  1.325000 6.400000 1.435000 ;
-      RECT 7.010000  0.085000 7.275000 0.885000 ;
-      RECT 7.010000  1.485000 7.275000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.530000  1.445000 1.700000 1.615000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 1.990000  0.765000 2.160000 0.935000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 3.830000  0.765000 4.000000 0.935000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.290000  1.445000 4.460000 1.615000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-    LAYER met1 ;
-      RECT 1.470000 1.415000 1.760000 1.460000 ;
-      RECT 1.470000 1.460000 4.520000 1.600000 ;
-      RECT 1.470000 1.600000 1.760000 1.645000 ;
-      RECT 1.930000 0.735000 2.220000 0.780000 ;
-      RECT 1.930000 0.780000 4.060000 0.920000 ;
-      RECT 1.930000 0.920000 2.220000 0.965000 ;
-      RECT 3.770000 0.735000 4.060000 0.780000 ;
-      RECT 3.770000 0.920000 4.060000 0.965000 ;
-      RECT 4.230000 1.415000 4.520000 1.460000 ;
-      RECT 4.230000 1.600000 4.520000 1.645000 ;
-  END
-END sky130_fd_sc_hd__sdlclkp_2
-MACRO sky130_fd_sc_hd__sdlclkp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sdlclkp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.900000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN GATE
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.850000 0.955000 1.190000 1.325000 ;
-        RECT 0.880000 1.325000 1.190000 1.445000 ;
-        RECT 0.880000 1.445000 1.235000 1.955000 ;
-    END
-  END GATE
-  PIN GCLK
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.530000 0.255000 6.815000 0.825000 ;
-        RECT 6.530000 1.495000 6.815000 2.465000 ;
-        RECT 6.645000 0.825000 6.815000 1.495000 ;
-    END
-  END GCLK
-  PIN SCE
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.955000 0.340000 1.665000 ;
-    END
-  END SCE
-  PIN CLK
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 4.710000 0.955000 6.010000 1.265000 ;
-        RECT 4.710000 1.265000 4.930000 1.325000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 6.900000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.090000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.900000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.900000 0.085000 ;
-      RECT 0.000000  2.635000 6.900000 2.805000 ;
-      RECT 0.085000  0.255000 0.345000 0.615000 ;
-      RECT 0.085000  0.615000 1.190000 0.785000 ;
-      RECT 0.085000  1.835000 0.345000 2.635000 ;
-      RECT 0.510000  0.785000 0.680000 1.460000 ;
-      RECT 0.510000  1.460000 0.710000 1.755000 ;
-      RECT 0.515000  0.085000 0.845000 0.445000 ;
-      RECT 0.540000  1.755000 0.710000 2.125000 ;
-      RECT 0.540000  2.125000 1.255000 2.465000 ;
-      RECT 1.015000  0.255000 1.190000 0.615000 ;
-      RECT 1.360000  0.255000 2.495000 0.535000 ;
-      RECT 1.360000  0.705000 1.700000 1.205000 ;
-      RECT 1.360000  1.205000 1.860000 1.325000 ;
-      RECT 1.405000  1.325000 1.860000 1.955000 ;
-      RECT 1.425000  2.125000 2.200000 2.465000 ;
-      RECT 1.870000  0.705000 2.155000 1.035000 ;
-      RECT 2.030000  1.205000 3.010000 1.375000 ;
-      RECT 2.030000  1.375000 2.200000 2.125000 ;
-      RECT 2.325000  0.535000 2.495000 0.995000 ;
-      RECT 2.325000  0.995000 3.010000 1.205000 ;
-      RECT 2.370000  1.575000 2.540000 1.635000 ;
-      RECT 2.370000  1.635000 3.400000 1.905000 ;
-      RECT 2.370000  2.075000 3.010000 2.635000 ;
-      RECT 2.665000  0.085000 3.010000 0.825000 ;
-      RECT 3.180000  0.255000 3.400000 1.635000 ;
-      RECT 3.180000  1.905000 3.400000 1.915000 ;
-      RECT 3.180000  1.915000 5.450000 2.085000 ;
-      RECT 3.180000  2.085000 3.400000 2.465000 ;
-      RECT 3.580000  0.255000 3.910000 0.765000 ;
-      RECT 3.580000  0.765000 4.005000 0.935000 ;
-      RECT 3.580000  0.935000 3.750000 1.575000 ;
-      RECT 3.580000  1.575000 3.990000 1.745000 ;
-      RECT 3.580000  2.255000 5.490000 2.635000 ;
-      RECT 3.920000  1.105000 4.465000 1.275000 ;
-      RECT 4.080000  0.085000 4.410000 0.445000 ;
-      RECT 4.160000  1.275000 4.465000 1.495000 ;
-      RECT 4.160000  1.495000 4.960000 1.745000 ;
-      RECT 4.175000  0.615000 4.830000 0.785000 ;
-      RECT 4.175000  0.785000 4.465000 1.105000 ;
-      RECT 4.580000  0.255000 4.830000 0.615000 ;
-      RECT 5.010000  0.255000 5.270000 0.615000 ;
-      RECT 5.010000  0.615000 6.360000 0.785000 ;
-      RECT 5.140000  1.435000 5.610000 1.605000 ;
-      RECT 5.140000  1.605000 5.450000 1.915000 ;
-      RECT 5.505000  0.085000 6.360000 0.445000 ;
-      RECT 5.660000  1.775000 6.360000 2.085000 ;
-      RECT 5.660000  2.085000 5.830000 2.465000 ;
-      RECT 5.780000  1.435000 6.360000 1.775000 ;
-      RECT 6.030000  2.255000 6.360000 2.635000 ;
-      RECT 6.190000  0.785000 6.360000 0.995000 ;
-      RECT 6.190000  0.995000 6.460000 1.325000 ;
-      RECT 6.190000  1.325000 6.360000 1.435000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  1.445000 1.695000 1.615000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  0.765000 2.155000 0.935000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 3.835000  0.765000 4.005000 0.935000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.295000  1.445000 4.465000 1.615000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-    LAYER met1 ;
-      RECT 1.465000 1.415000 1.755000 1.460000 ;
-      RECT 1.465000 1.460000 4.525000 1.600000 ;
-      RECT 1.465000 1.600000 1.755000 1.645000 ;
-      RECT 1.925000 0.735000 2.215000 0.780000 ;
-      RECT 1.925000 0.780000 4.065000 0.920000 ;
-      RECT 1.925000 0.920000 2.215000 0.965000 ;
-      RECT 3.775000 0.735000 4.065000 0.780000 ;
-      RECT 3.775000 0.920000 4.065000 0.965000 ;
-      RECT 4.235000 1.415000 4.525000 1.460000 ;
-      RECT 4.235000 1.600000 4.525000 1.645000 ;
-  END
-END sky130_fd_sc_hd__sdlclkp_1
-MACRO sky130_fd_sc_hd__dlrtp_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlrtp_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.440000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.440000 0.955000 1.770000 1.325000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.480500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.595000 0.255000 5.925000 0.485000 ;
-        RECT 5.655000 1.875000 5.925000 2.465000 ;
-        RECT 5.755000 0.485000 5.925000 0.765000 ;
-        RECT 5.755000 0.765000 6.355000 0.865000 ;
-        RECT 5.755000 1.425000 6.355000 1.500000 ;
-        RECT 5.755000 1.500000 5.925000 1.875000 ;
-        RECT 5.760000 1.415000 6.355000 1.425000 ;
-        RECT 5.765000 1.410000 6.355000 1.415000 ;
-        RECT 5.770000 0.865000 6.355000 0.890000 ;
-        RECT 5.775000 1.385000 6.355000 1.410000 ;
-        RECT 5.785000 0.890000 6.355000 1.385000 ;
-    END
-  END Q
-  PIN RESET_B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.480000 0.995000 4.815000 1.035000 ;
-        RECT 4.480000 1.035000 5.240000 1.325000 ;
-    END
-  END RESET_B
-  PIN GATE
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.985000 0.330000 1.625000 ;
-    END
-  END GATE
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 6.440000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.630000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.440000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.440000 0.085000 ;
-      RECT 0.000000  2.635000 6.440000 2.805000 ;
-      RECT 0.085000  0.345000 0.345000 0.635000 ;
-      RECT 0.085000  0.635000 0.780000 0.805000 ;
-      RECT 0.175000  1.795000 0.780000 1.965000 ;
-      RECT 0.175000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.780000 1.070000 ;
-      RECT 0.610000  1.070000 0.840000 1.400000 ;
-      RECT 0.610000  1.400000 0.780000 1.795000 ;
-      RECT 1.015000  0.345000 1.185000 1.685000 ;
-      RECT 1.015000  1.685000 1.240000 2.465000 ;
-      RECT 1.435000  1.495000 2.120000 1.665000 ;
-      RECT 1.435000  1.665000 1.785000 2.415000 ;
-      RECT 1.515000  0.345000 1.705000 0.615000 ;
-      RECT 1.515000  0.615000 2.120000 0.765000 ;
-      RECT 1.515000  0.765000 2.335000 0.785000 ;
-      RECT 1.875000  0.085000 2.205000 0.445000 ;
-      RECT 1.950000  0.785000 2.335000 1.095000 ;
-      RECT 1.950000  1.095000 2.120000 1.495000 ;
-      RECT 1.955000  1.835000 2.250000 2.635000 ;
-      RECT 2.450000  1.355000 2.755000 1.685000 ;
-      RECT 2.585000  0.735000 3.100000 1.040000 ;
-      RECT 2.770000  0.365000 3.445000 0.535000 ;
-      RECT 2.770000  2.255000 3.580000 2.425000 ;
-      RECT 2.905000  1.780000 3.265000 1.910000 ;
-      RECT 2.905000  1.910000 3.175000 1.995000 ;
-      RECT 2.930000  1.040000 3.100000 1.570000 ;
-      RECT 2.930000  1.570000 3.265000 1.780000 ;
-      RECT 3.270000  0.535000 3.445000 0.995000 ;
-      RECT 3.270000  0.995000 4.220000 1.325000 ;
-      RECT 3.410000  2.000000 3.605000 2.085000 ;
-      RECT 3.410000  2.085000 3.580000 2.255000 ;
-      RECT 3.415000  1.995000 3.605000 2.000000 ;
-      RECT 3.420000  1.985000 3.605000 1.995000 ;
-      RECT 3.435000  1.325000 3.605000 1.985000 ;
-      RECT 3.720000  0.085000 4.060000 0.530000 ;
-      RECT 3.750000  2.175000 4.090000 2.635000 ;
-      RECT 3.775000  1.535000 5.585000 1.705000 ;
-      RECT 3.775000  1.705000 4.970000 1.865000 ;
-      RECT 4.240000  0.255000 4.580000 0.655000 ;
-      RECT 4.240000  0.655000 5.095000 0.695000 ;
-      RECT 4.240000  0.695000 5.585000 0.825000 ;
-      RECT 4.280000  2.135000 4.560000 2.635000 ;
-      RECT 4.800000  1.865000 4.970000 2.465000 ;
-      RECT 4.955000  0.825000 5.585000 0.865000 ;
-      RECT 5.140000  1.875000 5.485000 2.635000 ;
-      RECT 5.255000  0.085000 5.425000 0.525000 ;
-      RECT 5.415000  0.865000 5.585000 0.995000 ;
-      RECT 5.415000  0.995000 5.615000 1.325000 ;
-      RECT 5.415000  1.325000 5.585000 1.535000 ;
-      RECT 6.095000  0.085000 6.355000 0.595000 ;
-      RECT 6.095000  1.670000 6.355000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.610000  1.445000 0.780000 1.615000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.070000  1.785000 1.240000 1.955000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.450000  1.445000 2.620000 1.615000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 2.925000  1.785000 3.095000 1.955000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.415000 0.840000 1.460000 ;
-      RECT 0.550000 1.460000 2.680000 1.600000 ;
-      RECT 0.550000 1.600000 0.840000 1.645000 ;
-      RECT 1.010000 1.755000 1.300000 1.800000 ;
-      RECT 1.010000 1.800000 3.155000 1.940000 ;
-      RECT 1.010000 1.940000 1.300000 1.985000 ;
-      RECT 2.390000 1.415000 2.680000 1.460000 ;
-      RECT 2.390000 1.600000 2.680000 1.645000 ;
-      RECT 2.865000 1.755000 3.155000 1.800000 ;
-      RECT 2.865000 1.940000 3.155000 1.985000 ;
-  END
-END sky130_fd_sc_hd__dlrtp_2
-MACRO sky130_fd_sc_hd__dlrtp_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlrtp_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.360000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.465000 0.955000 1.795000 1.325000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  1.014750 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.610000 0.255000 5.965000 0.485000 ;
-        RECT 5.680000 1.875000 5.965000 2.465000 ;
-        RECT 5.795000 0.485000 5.965000 0.765000 ;
-        RECT 5.795000 0.765000 7.275000 1.325000 ;
-        RECT 5.795000 1.325000 5.965000 1.875000 ;
-        RECT 6.575000 0.255000 6.775000 0.765000 ;
-        RECT 6.575000 1.325000 6.775000 2.465000 ;
-    END
-  END Q
-  PIN RESET_B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.505000 0.995000 5.145000 1.325000 ;
-    END
-  END RESET_B
-  PIN GATE
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.985000 0.330000 1.625000 ;
-    END
-  END GATE
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.360000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.550000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 0.000000 2.635000 7.360000 2.805000 ;
-        RECT 0.515000 2.135000 0.845000 2.635000 ;
-        RECT 1.960000 1.835000 2.275000 2.635000 ;
-        RECT 3.825000 2.135000 4.115000 2.635000 ;
-        RECT 4.305000 2.135000 4.585000 2.635000 ;
-        RECT 5.115000 1.875000 5.485000 2.635000 ;
-        RECT 6.135000 1.495000 6.405000 2.635000 ;
-        RECT 6.945000 1.495000 7.275000 2.635000 ;
-      LAYER mcon ;
-        RECT 0.145000 2.635000 0.315000 2.805000 ;
-        RECT 0.605000 2.635000 0.775000 2.805000 ;
-        RECT 1.065000 2.635000 1.235000 2.805000 ;
-        RECT 1.525000 2.635000 1.695000 2.805000 ;
-        RECT 1.985000 2.635000 2.155000 2.805000 ;
-        RECT 2.445000 2.635000 2.615000 2.805000 ;
-        RECT 2.905000 2.635000 3.075000 2.805000 ;
-        RECT 3.365000 2.635000 3.535000 2.805000 ;
-        RECT 3.825000 2.635000 3.995000 2.805000 ;
-        RECT 4.285000 2.635000 4.455000 2.805000 ;
-        RECT 4.745000 2.635000 4.915000 2.805000 ;
-        RECT 5.205000 2.635000 5.375000 2.805000 ;
-        RECT 5.665000 2.635000 5.835000 2.805000 ;
-        RECT 6.125000 2.635000 6.295000 2.805000 ;
-        RECT 6.585000 2.635000 6.755000 2.805000 ;
-        RECT 7.045000 2.635000 7.215000 2.805000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.360000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.360000 0.085000 ;
-      RECT 0.175000  0.345000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 0.780000 0.805000 ;
-      RECT 0.175000  1.795000 0.780000 1.965000 ;
-      RECT 0.175000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.610000  0.805000 0.780000 1.070000 ;
-      RECT 0.610000  1.070000 0.840000 1.400000 ;
-      RECT 0.610000  1.400000 0.780000 1.795000 ;
-      RECT 1.015000  0.345000 1.185000 1.685000 ;
-      RECT 1.015000  1.685000 1.240000 2.465000 ;
-      RECT 1.460000  1.495000 2.145000 1.665000 ;
-      RECT 1.460000  1.665000 1.790000 2.415000 ;
-      RECT 1.540000  0.345000 1.710000 0.615000 ;
-      RECT 1.540000  0.615000 2.145000 0.765000 ;
-      RECT 1.540000  0.765000 2.345000 0.785000 ;
-      RECT 1.880000  0.085000 2.210000 0.445000 ;
-      RECT 1.975000  0.785000 2.345000 1.095000 ;
-      RECT 1.975000  1.095000 2.145000 1.495000 ;
-      RECT 2.475000  1.355000 2.760000 1.685000 ;
-      RECT 2.720000  0.705000 3.100000 1.035000 ;
-      RECT 2.845000  0.365000 3.505000 0.535000 ;
-      RECT 2.905000  2.255000 3.655000 2.425000 ;
-      RECT 2.930000  1.035000 3.100000 1.575000 ;
-      RECT 2.930000  1.575000 3.270000 1.995000 ;
-      RECT 3.335000  0.535000 3.505000 0.995000 ;
-      RECT 3.335000  0.995000 4.235000 1.165000 ;
-      RECT 3.485000  1.165000 4.235000 1.325000 ;
-      RECT 3.485000  1.325000 3.655000 2.255000 ;
-      RECT 3.745000  0.085000 4.075000 0.530000 ;
-      RECT 3.825000  1.535000 5.625000 1.705000 ;
-      RECT 3.825000  1.705000 4.945000 1.865000 ;
-      RECT 4.265000  0.255000 4.595000 0.655000 ;
-      RECT 4.265000  0.655000 5.625000 0.825000 ;
-      RECT 4.755000  1.865000 4.945000 2.465000 ;
-      RECT 5.100000  0.085000 5.440000 0.485000 ;
-      RECT 5.455000  0.825000 5.625000 1.535000 ;
-      RECT 6.135000  0.085000 6.405000 0.595000 ;
-      RECT 6.945000  0.085000 7.275000 0.595000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.610000  1.445000 0.780000 1.615000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.070000  1.785000 1.240000 1.955000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.475000  1.445000 2.645000 1.615000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.935000  1.785000 3.105000 1.955000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.415000 0.840000 1.460000 ;
-      RECT 0.550000 1.460000 2.705000 1.600000 ;
-      RECT 0.550000 1.600000 0.840000 1.645000 ;
-      RECT 1.010000 1.755000 1.300000 1.800000 ;
-      RECT 1.010000 1.800000 3.165000 1.940000 ;
-      RECT 1.010000 1.940000 1.300000 1.985000 ;
-      RECT 2.415000 1.415000 2.705000 1.460000 ;
-      RECT 2.415000 1.600000 2.705000 1.645000 ;
-      RECT 2.875000 1.755000 3.165000 1.800000 ;
-      RECT 2.875000 1.940000 3.165000 1.985000 ;
-  END
-END sky130_fd_sc_hd__dlrtp_4
-MACRO sky130_fd_sc_hd__dlrtp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlrtp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.980000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.435000 0.955000 1.765000 1.325000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.610000 0.345000 5.895000 0.745000 ;
-        RECT 5.635000 1.670000 5.895000 2.455000 ;
-        RECT 5.725000 0.745000 5.895000 1.670000 ;
-    END
-  END Q
-  PIN RESET_B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.745000 0.345000 4.975000 0.995000 ;
-        RECT 4.745000 0.995000 5.075000 1.325000 ;
-    END
-  END RESET_B
-  PIN GATE
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.985000 0.325000 1.625000 ;
-    END
-  END GATE
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.980000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.170000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.980000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.980000 0.085000 ;
-      RECT 0.000000  2.635000 5.980000 2.805000 ;
-      RECT 0.085000  1.795000 0.775000 1.965000 ;
-      RECT 0.085000  1.965000 0.345000 2.465000 ;
-      RECT 0.170000  0.345000 0.345000 0.635000 ;
-      RECT 0.170000  0.635000 0.775000 0.805000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.605000  0.805000 0.775000 1.070000 ;
-      RECT 0.605000  1.070000 0.835000 1.400000 ;
-      RECT 0.605000  1.400000 0.775000 1.795000 ;
-      RECT 1.015000  0.345000 1.185000 1.685000 ;
-      RECT 1.015000  1.685000 1.235000 2.465000 ;
-      RECT 1.430000  1.495000 2.115000 1.665000 ;
-      RECT 1.430000  1.665000 1.785000 2.415000 ;
-      RECT 1.510000  0.345000 1.705000 0.615000 ;
-      RECT 1.510000  0.615000 2.115000 0.765000 ;
-      RECT 1.510000  0.765000 2.335000 0.785000 ;
-      RECT 1.875000  0.085000 2.205000 0.445000 ;
-      RECT 1.945000  0.785000 2.335000 1.095000 ;
-      RECT 1.945000  1.095000 2.115000 1.495000 ;
-      RECT 1.955000  1.835000 2.245000 2.635000 ;
-      RECT 2.445000  1.355000 2.835000 1.625000 ;
-      RECT 2.445000  1.625000 2.760000 1.685000 ;
-      RECT 2.690000  0.765000 3.245000 1.095000 ;
-      RECT 2.810000  2.255000 3.625000 2.425000 ;
-      RECT 2.815000  0.365000 3.585000 0.535000 ;
-      RECT 2.900000  1.785000 3.265000 1.995000 ;
-      RECT 3.005000  1.095000 3.245000 1.635000 ;
-      RECT 3.005000  1.635000 3.265000 1.785000 ;
-      RECT 3.415000  0.535000 3.585000 0.995000 ;
-      RECT 3.415000  0.995000 4.175000 1.165000 ;
-      RECT 3.455000  1.165000 4.175000 1.325000 ;
-      RECT 3.455000  1.325000 3.625000 2.255000 ;
-      RECT 3.755000  0.085000 4.025000 0.610000 ;
-      RECT 3.815000  1.535000 5.465000 1.735000 ;
-      RECT 3.815000  1.735000 4.965000 1.865000 ;
-      RECT 3.930000  2.135000 4.445000 2.635000 ;
-      RECT 4.195000  0.295000 4.575000 0.805000 ;
-      RECT 4.345000  0.805000 4.575000 1.505000 ;
-      RECT 4.345000  1.505000 5.465000 1.535000 ;
-      RECT 4.625000  1.865000 4.965000 2.435000 ;
-      RECT 5.135000  1.915000 5.465000 2.635000 ;
-      RECT 5.155000  0.085000 5.440000 0.715000 ;
-      RECT 5.245000  0.995000 5.555000 1.325000 ;
-      RECT 5.245000  1.325000 5.465000 1.505000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  1.445000 0.775000 1.615000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  1.785000 1.235000 1.955000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  1.445000 2.615000 1.615000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 2.925000  1.785000 3.095000 1.955000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.545000 1.415000 0.835000 1.460000 ;
-      RECT 0.545000 1.460000 2.675000 1.600000 ;
-      RECT 0.545000 1.600000 0.835000 1.645000 ;
-      RECT 1.005000 1.755000 1.295000 1.800000 ;
-      RECT 1.005000 1.800000 3.155000 1.940000 ;
-      RECT 1.005000 1.940000 1.295000 1.985000 ;
-      RECT 2.385000 1.415000 2.675000 1.460000 ;
-      RECT 2.385000 1.600000 2.675000 1.645000 ;
-      RECT 2.865000 1.755000 3.155000 1.800000 ;
-      RECT 2.865000 1.940000 3.155000 1.985000 ;
-  END
-END sky130_fd_sc_hd__dlrtp_1
-MACRO sky130_fd_sc_hd__a2111o_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a2111o_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.905000 0.995000 3.290000 1.325000 ;
-        RECT 2.985000 0.285000 3.540000 0.845000 ;
-        RECT 2.985000 0.845000 3.290000 0.995000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.510000 1.025000 4.010000 1.290000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.400000 0.995000 2.680000 2.465000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.890000 1.050000 2.220000 2.465000 ;
-    END
-  END C1
-  PIN D1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.290000 1.050000 1.720000 1.290000 ;
-        RECT 1.515000 1.290000 1.720000 2.465000 ;
-    END
-  END D1
-  PIN X
-    ANTENNADIFFAREA  0.504500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.135000 0.255000 0.465000 1.620000 ;
-        RECT 0.135000 1.620000 0.390000 2.460000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-    PORT
-      LAYER pwell ;
-        RECT 1.975000 -0.065000 2.145000 0.105000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.565000  1.815000 0.895000 2.635000 ;
-      RECT 0.635000  0.085000 1.310000 0.470000 ;
-      RECT 0.695000  0.650000 1.915000 0.655000 ;
-      RECT 0.695000  0.655000 2.805000 0.825000 ;
-      RECT 0.695000  0.825000 0.915000 1.465000 ;
-      RECT 0.695000  1.465000 1.345000 1.645000 ;
-      RECT 1.135000  1.645000 1.345000 2.460000 ;
-      RECT 1.585000  0.260000 1.915000 0.650000 ;
-      RECT 2.085000  0.085000 2.430000 0.485000 ;
-      RECT 2.600000  0.260000 2.805000 0.655000 ;
-      RECT 2.860000  1.495000 3.990000 1.665000 ;
-      RECT 2.860000  1.665000 3.145000 2.460000 ;
-      RECT 3.325000  1.835000 3.540000 2.635000 ;
-      RECT 3.715000  0.085000 3.955000 0.760000 ;
-      RECT 3.720000  1.665000 3.990000 2.460000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a2111o_1
-MACRO sky130_fd_sc_hd__a2111o_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a2111o_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.820000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.825000 1.075000 4.495000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.675000 1.075000 5.625000 1.275000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.450000 0.975000 3.255000 1.285000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.040000 0.975000 2.280000 1.285000 ;
-    END
-  END C1
-  PIN D1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.975000 0.370000 1.625000 ;
-    END
-  END D1
-  PIN X
-    ANTENNADIFFAREA  0.924000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.165000 0.255000 6.355000 0.635000 ;
-        RECT 6.165000 0.635000 7.735000 0.805000 ;
-        RECT 6.165000 1.465000 7.735000 1.635000 ;
-        RECT 6.165000 1.635000 7.215000 1.715000 ;
-        RECT 6.165000 1.715000 6.355000 2.465000 ;
-        RECT 7.025000 0.255000 7.215000 0.635000 ;
-        RECT 7.025000 1.715000 7.215000 2.465000 ;
-        RECT 7.490000 0.805000 7.735000 1.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.820000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.010000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.820000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.820000 0.085000 ;
-      RECT 0.000000  2.635000 7.820000 2.805000 ;
-      RECT 0.110000  1.795000 0.370000 2.295000 ;
-      RECT 0.110000  2.295000 2.160000 2.465000 ;
-      RECT 0.180000  0.255000 0.440000 0.635000 ;
-      RECT 0.180000  0.635000 3.655000 0.805000 ;
-      RECT 0.540000  0.805000 0.870000 2.125000 ;
-      RECT 0.610000  0.085000 0.940000 0.465000 ;
-      RECT 1.040000  1.455000 1.230000 2.295000 ;
-      RECT 1.110000  0.255000 1.340000 0.615000 ;
-      RECT 1.110000  0.615000 3.655000 0.635000 ;
-      RECT 1.400000  1.455000 3.100000 1.625000 ;
-      RECT 1.400000  1.625000 1.730000 2.125000 ;
-      RECT 1.510000  0.085000 1.840000 0.445000 ;
-      RECT 1.900000  1.795000 2.160000 2.295000 ;
-      RECT 2.015000  0.255000 2.240000 0.615000 ;
-      RECT 2.340000  1.795000 2.675000 2.295000 ;
-      RECT 2.340000  2.295000 3.650000 2.465000 ;
-      RECT 2.420000  0.085000 3.295000 0.445000 ;
-      RECT 2.845000  1.625000 3.100000 2.125000 ;
-      RECT 3.320000  1.795000 5.495000 1.995000 ;
-      RECT 3.320000  1.995000 3.650000 2.295000 ;
-      RECT 3.465000  0.255000 4.585000 0.445000 ;
-      RECT 3.465000  0.445000 3.655000 0.615000 ;
-      RECT 3.465000  0.805000 3.655000 1.445000 ;
-      RECT 3.465000  1.445000 5.975000 1.625000 ;
-      RECT 3.825000  0.615000 5.495000 0.785000 ;
-      RECT 3.865000  2.165000 4.195000 2.635000 ;
-      RECT 4.365000  1.995000 4.625000 2.415000 ;
-      RECT 4.805000  0.085000 5.140000 0.445000 ;
-      RECT 4.805000  2.255000 5.140000 2.635000 ;
-      RECT 5.310000  0.255000 5.495000 0.615000 ;
-      RECT 5.310000  1.995000 5.495000 2.465000 ;
-      RECT 5.665000  0.085000 5.995000 0.515000 ;
-      RECT 5.665000  1.800000 5.995000 2.635000 ;
-      RECT 5.795000  1.075000 7.320000 1.245000 ;
-      RECT 5.795000  1.245000 5.975000 1.445000 ;
-      RECT 6.525000  0.085000 6.855000 0.445000 ;
-      RECT 6.525000  1.885000 6.855000 2.635000 ;
-      RECT 7.385000  0.085000 7.715000 0.465000 ;
-      RECT 7.385000  1.805000 7.715000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a2111o_4
-MACRO sky130_fd_sc_hd__a2111o_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a2111o_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.600000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.365000 0.955000 3.775000 1.740000 ;
-        RECT 3.505000 0.290000 3.995000 0.825000 ;
-        RECT 3.505000 0.825000 3.775000 0.955000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.945000 0.995000 4.515000 1.740000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.905000 0.995000 3.195000 1.740000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.425000 0.995000 2.735000 2.355000 ;
-    END
-  END C1
-  PIN D1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.885000 0.995000 2.255000 1.325000 ;
-        RECT 1.960000 1.325000 2.255000 2.355000 ;
-    END
-  END D1
-  PIN X
-    ANTENNADIFFAREA  0.462000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.605000 0.255000 0.895000 2.390000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.600000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.790000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.600000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.600000 0.085000 ;
-      RECT 0.000000  2.635000 4.600000 2.805000 ;
-      RECT 0.085000  0.085000 0.435000 0.885000 ;
-      RECT 0.085000  1.635000 0.435000 2.635000 ;
-      RECT 1.065000  0.085000 2.010000 0.445000 ;
-      RECT 1.065000  0.445000 1.325000 0.865000 ;
-      RECT 1.065000  1.075000 1.705000 1.325000 ;
-      RECT 1.065000  1.495000 1.315000 2.635000 ;
-      RECT 1.495000  0.615000 3.335000 0.785000 ;
-      RECT 1.495000  0.785000 1.705000 1.075000 ;
-      RECT 1.495000  1.325000 1.705000 1.495000 ;
-      RECT 1.495000  1.495000 1.785000 2.465000 ;
-      RECT 2.180000  0.255000 2.420000 0.615000 ;
-      RECT 2.590000  0.085000 2.920000 0.445000 ;
-      RECT 3.070000  1.915000 4.515000 2.085000 ;
-      RECT 3.070000  2.085000 3.400000 2.465000 ;
-      RECT 3.090000  0.255000 3.335000 0.615000 ;
-      RECT 3.590000  2.255000 3.920000 2.635000 ;
-      RECT 4.090000  2.085000 4.515000 2.465000 ;
-      RECT 4.165000  0.085000 4.515000 0.805000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a2111o_2
-MACRO sky130_fd_sc_hd__fahcin_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__fahcin_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  12.42000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.950000 1.075000 1.340000 1.275000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.691500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.510000 0.665000 1.740000 1.325000 ;
-      LAYER mcon ;
-        RECT 1.525000 0.765000 1.695000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 4.240000 0.645000 4.490000 1.325000 ;
-      LAYER mcon ;
-        RECT 4.285000 0.765000 4.455000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 1.465000 0.735000 1.755000 0.780000 ;
-        RECT 1.465000 0.780000 4.515000 0.920000 ;
-        RECT 1.465000 0.920000 1.755000 0.965000 ;
-        RECT 4.225000 0.735000 4.515000 0.780000 ;
-        RECT 4.225000 0.920000 4.515000 0.965000 ;
-    END
-  END B
-  PIN CIN
-    ANTENNAGATEAREA  0.493500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 10.520000 1.075000 10.965000 1.275000 ;
-    END
-  END CIN
-  PIN COUT
-    ANTENNADIFFAREA  0.402800 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.600000 0.755000 6.925000 0.925000 ;
-        RECT 6.600000 0.925000 6.870000 1.675000 ;
-        RECT 6.700000 1.675000 6.870000 1.785000 ;
-        RECT 6.755000 0.595000 6.925000 0.755000 ;
-    END
-  END COUT
-  PIN SUM
-    ANTENNADIFFAREA  0.470250 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 11.995000 0.255000 12.335000 0.825000 ;
-        RECT 12.000000 1.785000 12.335000 2.465000 ;
-        RECT 12.125000 0.825000 12.335000 1.785000 ;
-    END
-  END SUM
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 12.420000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 12.610000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 12.420000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 12.420000 0.085000 ;
-      RECT  0.000000  2.635000 12.420000 2.805000 ;
-      RECT  0.085000  0.735000  0.430000 0.805000 ;
-      RECT  0.085000  0.805000  0.255000 1.500000 ;
-      RECT  0.085000  1.500000  0.440000 1.840000 ;
-      RECT  0.085000  1.840000  1.110000 2.010000 ;
-      RECT  0.085000  2.010000  0.430000 2.465000 ;
-      RECT  0.100000  0.255000  0.430000 0.735000 ;
-      RECT  0.425000  0.995000  0.780000 1.325000 ;
-      RECT  0.600000  2.180000  0.770000 2.635000 ;
-      RECT  0.610000  0.735000  1.325000 0.905000 ;
-      RECT  0.610000  0.905000  0.780000 0.995000 ;
-      RECT  0.610000  1.325000  0.780000 1.500000 ;
-      RECT  0.610000  1.500000  1.450000 1.670000 ;
-      RECT  0.630000  0.085000  0.800000 0.545000 ;
-      RECT  0.940000  2.010000  1.110000 2.215000 ;
-      RECT  0.940000  2.215000  1.970000 2.295000 ;
-      RECT  0.940000  2.295000  3.515000 2.385000 ;
-      RECT  0.995000  0.255000  3.390000 0.425000 ;
-      RECT  0.995000  0.425000  2.100000 0.465000 ;
-      RECT  0.995000  0.465000  1.325000 0.735000 ;
-      RECT  1.280000  1.670000  1.450000 1.785000 ;
-      RECT  1.280000  1.785000  2.050000 1.955000 ;
-      RECT  1.280000  1.955000  1.450000 2.045000 ;
-      RECT  1.715000  2.385000  3.515000 2.465000 ;
-      RECT  1.985000  0.675000  2.390000 1.350000 ;
-      RECT  2.220000  0.595000  2.390000 0.675000 ;
-      RECT  2.220000  1.350000  2.390000 1.785000 ;
-      RECT  2.515000  0.425000  3.390000 0.465000 ;
-      RECT  2.565000  1.785000  2.895000 2.045000 ;
-      RECT  2.620000  0.655000  3.025000 0.735000 ;
-      RECT  2.620000  0.735000  3.135000 0.755000 ;
-      RECT  2.620000  0.755000  3.730000 0.905000 ;
-      RECT  2.640000  1.075000  2.970000 1.095000 ;
-      RECT  2.640000  1.095000  3.120000 1.245000 ;
-      RECT  2.800000  1.245000  3.120000 1.265000 ;
-      RECT  2.950000  1.265000  3.120000 1.615000 ;
-      RECT  3.055000  0.905000  3.730000 0.925000 ;
-      RECT  3.215000  0.465000  3.390000 0.585000 ;
-      RECT  3.245000  2.110000  3.460000 2.295000 ;
-      RECT  3.290000  0.925000  3.460000 2.110000 ;
-      RECT  3.560000  0.255000  4.570000 0.425000 ;
-      RECT  3.560000  0.425000  3.730000 0.755000 ;
-      RECT  3.710000  1.150000  4.070000 1.320000 ;
-      RECT  3.710000  1.320000  3.880000 2.290000 ;
-      RECT  3.710000  2.290000  5.065000 2.460000 ;
-      RECT  3.900000  0.595000  4.070000 1.150000 ;
-      RECT  4.080000  1.695000  4.445000 2.120000 ;
-      RECT  4.240000  0.425000  4.570000 0.475000 ;
-      RECT  4.690000  1.385000  5.170000 1.725000 ;
-      RECT  4.815000  1.895000  5.995000 2.065000 ;
-      RECT  4.815000  2.065000  5.065000 2.290000 ;
-      RECT  4.830000  0.510000  5.000000 0.995000 ;
-      RECT  4.830000  0.995000  5.630000 1.325000 ;
-      RECT  4.830000  1.325000  5.170000 1.385000 ;
-      RECT  5.180000  0.085000  5.510000 0.805000 ;
-      RECT  5.260000  2.235000  5.590000 2.635000 ;
-      RECT  5.635000  1.555000  6.370000 1.725000 ;
-      RECT  5.680000  0.380000  5.970000 0.815000 ;
-      RECT  5.800000  0.815000  5.970000 1.555000 ;
-      RECT  5.825000  2.065000  5.995000 2.295000 ;
-      RECT  5.825000  2.295000  7.950000 2.465000 ;
-      RECT  6.140000  0.740000  6.425000 1.325000 ;
-      RECT  6.200000  1.725000  6.370000 1.895000 ;
-      RECT  6.200000  1.895000  6.530000 1.955000 ;
-      RECT  6.200000  1.955000  7.210000 2.125000 ;
-      RECT  6.255000  0.255000  7.695000 0.425000 ;
-      RECT  6.255000  0.425000  6.585000 0.570000 ;
-      RECT  7.040000  1.060000  7.270000 1.230000 ;
-      RECT  7.040000  1.230000  7.210000 1.955000 ;
-      RECT  7.100000  0.595000  7.350000 0.925000 ;
-      RECT  7.100000  0.925000  7.270000 1.060000 ;
-      RECT  7.380000  1.360000  7.610000 1.530000 ;
-      RECT  7.380000  1.530000  7.550000 2.125000 ;
-      RECT  7.440000  1.105000  7.695000 1.290000 ;
-      RECT  7.440000  1.290000  7.610000 1.360000 ;
-      RECT  7.520000  0.425000  7.695000 1.105000 ;
-      RECT  7.780000  1.550000  8.035000 1.720000 ;
-      RECT  7.780000  1.720000  7.950000 2.295000 ;
-      RECT  7.865000  0.255000  9.980000 0.425000 ;
-      RECT  7.865000  0.425000  8.035000 0.740000 ;
-      RECT  7.865000  0.995000  8.035000 1.550000 ;
-      RECT  8.220000  1.955000  8.390000 2.295000 ;
-      RECT  8.220000  2.295000  9.410000 2.465000 ;
-      RECT  8.305000  0.595000  8.555000 0.925000 ;
-      RECT  8.375000  0.925000  8.555000 1.445000 ;
-      RECT  8.375000  1.445000  8.670000 1.530000 ;
-      RECT  8.375000  1.530000  8.890000 1.785000 ;
-      RECT  8.560000  1.785000  8.890000 2.125000 ;
-      RECT  8.725000  0.595000  9.410000 0.765000 ;
-      RECT  8.835000  0.995000  9.070000 1.325000 ;
-      RECT  9.240000  0.765000  9.410000 1.875000 ;
-      RECT  9.240000  1.875000 10.885000 2.025000 ;
-      RECT  9.240000  2.025000 10.145000 2.030000 ;
-      RECT  9.240000  2.030000 10.130000 2.035000 ;
-      RECT  9.240000  2.035000 10.120000 2.040000 ;
-      RECT  9.240000  2.040000 10.105000 2.045000 ;
-      RECT  9.240000  2.045000  9.410000 2.295000 ;
-      RECT  9.640000  0.425000  9.980000 0.825000 ;
-      RECT  9.640000  0.825000  9.810000 1.535000 ;
-      RECT  9.640000  1.535000 10.010000 1.705000 ;
-      RECT  9.980000  0.995000 10.350000 1.325000 ;
-      RECT 10.055000  1.870000 10.885000 1.875000 ;
-      RECT 10.070000  1.865000 10.885000 1.870000 ;
-      RECT 10.085000  1.860000 10.885000 1.865000 ;
-      RECT 10.100000  1.855000 10.885000 1.860000 ;
-      RECT 10.180000  0.085000 10.350000 0.565000 ;
-      RECT 10.180000  0.735000 10.910000 0.905000 ;
-      RECT 10.180000  0.905000 10.350000 0.995000 ;
-      RECT 10.180000  1.325000 10.350000 1.445000 ;
-      RECT 10.180000  1.445000 10.885000 1.855000 ;
-      RECT 10.190000  2.195000 10.360000 2.635000 ;
-      RECT 10.530000  0.285000 10.910000 0.735000 ;
-      RECT 10.535000  2.025000 10.885000 2.465000 ;
-      RECT 11.075000  1.455000 11.405000 2.465000 ;
-      RECT 11.155000  0.270000 11.325000 0.680000 ;
-      RECT 11.155000  0.680000 11.405000 1.455000 ;
-      RECT 11.495000  0.085000 11.825000 0.510000 ;
-      RECT 11.575000  1.785000 11.830000 2.635000 ;
-      RECT 11.645000  0.995000 11.955000 1.615000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.880000  1.785000  2.050000 1.955000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  1.105000  2.155000 1.275000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.570000  1.785000  2.740000 1.955000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  2.950000  1.445000  3.120000 1.615000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.140000  1.785000  4.310000 1.955000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  4.760000  1.445000  4.930000 1.615000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.140000  1.105000  6.310000 1.275000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.520000  0.765000  7.690000 0.935000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.440000  1.445000  8.610000 1.615000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  8.900000  1.105000  9.070000 1.275000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.220000  0.765000 11.390000 0.935000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-      RECT 11.680000  1.445000 11.850000 1.615000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-      RECT 12.105000  2.635000 12.275000 2.805000 ;
-    LAYER met1 ;
-      RECT  1.820000 1.755000  2.110000 1.800000 ;
-      RECT  1.820000 1.800000  4.370000 1.940000 ;
-      RECT  1.820000 1.940000  2.110000 1.985000 ;
-      RECT  1.925000 1.075000  2.215000 1.120000 ;
-      RECT  1.925000 1.120000  9.130000 1.260000 ;
-      RECT  1.925000 1.260000  2.215000 1.305000 ;
-      RECT  2.510000 1.755000  2.800000 1.800000 ;
-      RECT  2.510000 1.940000  2.800000 1.985000 ;
-      RECT  2.890000 1.415000  3.180000 1.460000 ;
-      RECT  2.890000 1.460000  4.990000 1.600000 ;
-      RECT  2.890000 1.600000  3.180000 1.645000 ;
-      RECT  4.080000 1.755000  4.370000 1.800000 ;
-      RECT  4.080000 1.940000  4.370000 1.985000 ;
-      RECT  4.700000 1.415000  4.990000 1.460000 ;
-      RECT  4.700000 1.600000  4.990000 1.645000 ;
-      RECT  6.080000 1.075000  6.370000 1.120000 ;
-      RECT  6.080000 1.260000  6.370000 1.305000 ;
-      RECT  7.460000 0.735000  7.750000 0.780000 ;
-      RECT  7.460000 0.780000 11.450000 0.920000 ;
-      RECT  7.460000 0.920000  7.750000 0.965000 ;
-      RECT  8.380000 1.415000  8.670000 1.460000 ;
-      RECT  8.380000 1.460000 11.910000 1.600000 ;
-      RECT  8.380000 1.600000  8.670000 1.645000 ;
-      RECT  8.840000 1.075000  9.130000 1.120000 ;
-      RECT  8.840000 1.260000  9.130000 1.305000 ;
-      RECT 11.160000 0.735000 11.450000 0.780000 ;
-      RECT 11.160000 0.920000 11.450000 0.965000 ;
-      RECT 11.620000 1.415000 11.910000 1.460000 ;
-      RECT 11.620000 1.600000 11.910000 1.645000 ;
-  END
-END sky130_fd_sc_hd__fahcin_1
-MACRO sky130_fd_sc_hd__lpflow_decapkapwr_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_decapkapwr_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.840000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN KAPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.545000 1.755000 2.465000 ;
-        RECT 1.005000 1.025000 1.755000 1.545000 ;
-      LAYER mcon ;
-        RECT 0.145000 2.125000 0.315000 2.295000 ;
-        RECT 0.605000 2.125000 0.775000 2.295000 ;
-        RECT 1.065000 2.125000 1.235000 2.295000 ;
-        RECT 1.525000 2.125000 1.695000 2.295000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 2.140000 1.770000 2.340000 ;
-        RECT 0.085000 2.080000 1.755000 2.140000 ;
-    END
-  END KAPWR
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.840000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.030000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.840000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.840000 0.085000 ;
-      RECT 0.000000  2.635000 1.840000 2.805000 ;
-      RECT 0.085000  0.085000 1.755000 0.855000 ;
-      RECT 0.085000  0.855000 0.835000 1.375000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_decapkapwr_4
-MACRO sky130_fd_sc_hd__lpflow_decapkapwr_6
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_decapkapwr_6 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN KAPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.545000 2.675000 2.465000 ;
-        RECT 1.465000 1.025000 2.675000 1.545000 ;
-      LAYER mcon ;
-        RECT 0.145000 2.125000 0.315000 2.295000 ;
-        RECT 0.605000 2.125000 0.775000 2.295000 ;
-        RECT 1.065000 2.125000 1.235000 2.295000 ;
-        RECT 1.525000 2.125000 1.695000 2.295000 ;
-        RECT 1.985000 2.125000 2.155000 2.295000 ;
-        RECT 2.445000 2.125000 2.615000 2.295000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 2.140000 2.690000 2.340000 ;
-        RECT 0.085000 2.080000 2.675000 2.140000 ;
-    END
-  END KAPWR
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.085000  0.085000 2.675000 0.855000 ;
-      RECT 0.085000  0.855000 1.295000 1.375000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_decapkapwr_6
-MACRO sky130_fd_sc_hd__lpflow_decapkapwr_8
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_decapkapwr_8 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN KAPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.545000 3.595000 2.465000 ;
-        RECT 1.905000 1.025000 3.595000 1.545000 ;
-      LAYER mcon ;
-        RECT 0.145000 2.125000 0.315000 2.295000 ;
-        RECT 0.605000 2.125000 0.775000 2.295000 ;
-        RECT 1.065000 2.125000 1.235000 2.295000 ;
-        RECT 1.525000 2.125000 1.695000 2.295000 ;
-        RECT 1.985000 2.125000 2.155000 2.295000 ;
-        RECT 2.445000 2.125000 2.615000 2.295000 ;
-        RECT 2.905000 2.125000 3.075000 2.295000 ;
-        RECT 3.365000 2.125000 3.535000 2.295000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 2.140000 3.610000 2.340000 ;
-        RECT 0.085000 2.080000 3.595000 2.140000 ;
-    END
-  END KAPWR
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.085000  0.085000 3.595000 0.855000 ;
-      RECT 0.085000  0.855000 1.735000 1.375000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_decapkapwr_8
-MACRO sky130_fd_sc_hd__lpflow_decapkapwr_3
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_decapkapwr_3 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.380000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN KAPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.545000 1.295000 2.465000 ;
-        RECT 0.775000 1.005000 1.295000 1.545000 ;
-      LAYER mcon ;
-        RECT 0.145000 2.125000 0.315000 2.295000 ;
-        RECT 0.605000 2.125000 0.775000 2.295000 ;
-        RECT 1.065000 2.125000 1.235000 2.295000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 2.140000 1.310000 2.340000 ;
-        RECT 0.085000 2.080000 1.295000 2.140000 ;
-    END
-  END KAPWR
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.380000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 1.570000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.380000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.380000 0.085000 ;
-      RECT 0.000000  2.635000 1.380000 2.805000 ;
-      RECT 0.085000  0.085000 1.295000 0.835000 ;
-      RECT 0.085000  0.835000 0.605000 1.375000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_decapkapwr_3
-MACRO sky130_fd_sc_hd__lpflow_decapkapwr_12
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_decapkapwr_12 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.520000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN KAPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.545000 5.430000 2.465000 ;
-        RECT 2.835000 1.025000 5.430000 1.545000 ;
-      LAYER mcon ;
-        RECT 0.145000 2.125000 0.315000 2.295000 ;
-        RECT 0.605000 2.125000 0.775000 2.295000 ;
-        RECT 1.065000 2.125000 1.235000 2.295000 ;
-        RECT 1.525000 2.125000 1.695000 2.295000 ;
-        RECT 1.985000 2.125000 2.155000 2.295000 ;
-        RECT 2.445000 2.125000 2.615000 2.295000 ;
-        RECT 2.905000 2.125000 3.075000 2.295000 ;
-        RECT 3.365000 2.125000 3.535000 2.295000 ;
-        RECT 3.825000 2.125000 3.995000 2.295000 ;
-        RECT 4.285000 2.125000 4.455000 2.295000 ;
-        RECT 4.745000 2.125000 4.915000 2.295000 ;
-        RECT 5.205000 2.125000 5.375000 2.295000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 2.140000 5.450000 2.340000 ;
-        RECT 0.085000 2.080000 5.435000 2.140000 ;
-    END
-  END KAPWR
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.520000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.710000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.520000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.520000 0.085000 ;
-      RECT 0.000000  2.635000 5.520000 2.805000 ;
-      RECT 0.085000  0.085000 5.430000 0.855000 ;
-      RECT 0.085000  0.855000 2.665000 1.375000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_decapkapwr_12
-MACRO sky130_fd_sc_hd__o311a_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o311a_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.415000 0.995000 1.750000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.920000 0.995000 2.250000 1.325000 ;
-        RECT 1.980000 1.325000 2.250000 2.070000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.440000 0.995000 2.730000 1.325000 ;
-        RECT 2.440000 1.325000 2.675000 2.070000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.900000 0.995000 3.300000 1.325000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.810000 0.995000 4.055000 1.325000 ;
-    END
-  END C1
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.055000 0.905000 1.315000 ;
-        RECT 0.550000 0.255000 0.825000 0.995000 ;
-        RECT 0.550000 0.995000 0.905000 1.055000 ;
-        RECT 0.550000 1.315000 0.905000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.085000  0.085000 0.380000 0.885000 ;
-      RECT 0.085000  1.485000 0.380000 2.635000 ;
-      RECT 0.995000  0.085000 1.665000 0.825000 ;
-      RECT 1.075000  0.995000 1.245000 1.495000 ;
-      RECT 1.075000  1.495000 1.810000 1.665000 ;
-      RECT 1.075000  1.835000 1.470000 2.635000 ;
-      RECT 1.640000  1.665000 1.810000 2.295000 ;
-      RECT 1.640000  2.295000 3.175000 2.465000 ;
-      RECT 1.835000  0.310000 2.120000 0.655000 ;
-      RECT 1.835000  0.655000 3.220000 0.825000 ;
-      RECT 2.300000  0.085000 2.675000 0.485000 ;
-      RECT 2.845000  1.495000 4.055000 1.665000 ;
-      RECT 2.845000  1.665000 3.175000 2.295000 ;
-      RECT 2.890000  0.310000 3.220000 0.655000 ;
-      RECT 3.360000  1.835000 3.595000 2.635000 ;
-      RECT 3.470000  0.255000 4.055000 0.825000 ;
-      RECT 3.470000  0.825000 3.640000 1.495000 ;
-      RECT 3.765000  1.665000 4.055000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o311a_2
-MACRO sky130_fd_sc_hd__o311a_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o311a_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.820000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.950000 1.055000 7.735000 1.315000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.020000 1.055000 6.770000 1.315000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.655000 1.055000 5.850000 1.315000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.250000 1.055000 4.475000 1.315000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.115000 1.055000 3.080000 1.315000 ;
-    END
-  END C1
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.055000 0.765000 1.315000 ;
-        RECT 0.595000 0.255000 0.765000 0.715000 ;
-        RECT 0.595000 0.715000 1.605000 0.885000 ;
-        RECT 0.595000 0.885000 0.765000 1.055000 ;
-        RECT 0.595000 1.315000 0.765000 1.485000 ;
-        RECT 0.595000 1.485000 1.605000 1.725000 ;
-        RECT 0.595000 1.725000 0.765000 2.465000 ;
-        RECT 1.435000 0.255000 1.605000 0.715000 ;
-        RECT 1.435000 1.725000 1.605000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.820000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.010000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.820000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.820000 0.085000 ;
-      RECT 0.000000  2.635000 7.820000 2.805000 ;
-      RECT 0.085000  0.085000 0.425000 0.885000 ;
-      RECT 0.085000  1.485000 0.425000 2.635000 ;
-      RECT 0.935000  0.085000 1.265000 0.545000 ;
-      RECT 0.935000  1.055000 1.945000 1.315000 ;
-      RECT 0.935000  1.895000 1.265000 2.635000 ;
-      RECT 1.775000  0.085000 2.025000 0.545000 ;
-      RECT 1.775000  0.715000 3.045000 0.885000 ;
-      RECT 1.775000  0.885000 1.945000 1.055000 ;
-      RECT 1.775000  1.315000 1.945000 1.485000 ;
-      RECT 1.775000  1.485000 5.005000 1.725000 ;
-      RECT 1.775000  1.895000 2.445000 2.635000 ;
-      RECT 2.195000  0.255000 4.305000 0.505000 ;
-      RECT 2.195000  0.675000 3.045000 0.715000 ;
-      RECT 2.615000  1.725000 2.785000 2.465000 ;
-      RECT 2.955000  1.895000 3.285000 2.635000 ;
-      RECT 3.215000  0.505000 3.385000 0.885000 ;
-      RECT 3.455000  1.725000 3.625000 2.465000 ;
-      RECT 3.555000  0.675000 7.735000 0.885000 ;
-      RECT 3.855000  1.895000 4.045000 2.635000 ;
-      RECT 4.335000  1.895000 4.665000 2.295000 ;
-      RECT 4.335000  2.295000 6.445000 2.465000 ;
-      RECT 4.485000  0.255000 4.755000 0.675000 ;
-      RECT 4.835000  1.725000 5.005000 2.125000 ;
-      RECT 4.925000  0.085000 5.605000 0.505000 ;
-      RECT 5.255000  1.485000 5.525000 2.295000 ;
-      RECT 5.695000  1.485000 7.735000 1.725000 ;
-      RECT 5.695000  1.725000 5.945000 2.125000 ;
-      RECT 5.775000  0.255000 5.945000 0.675000 ;
-      RECT 6.115000  0.085000 6.445000 0.505000 ;
-      RECT 6.115000  1.895000 6.445000 2.295000 ;
-      RECT 6.615000  0.255000 6.785000 0.675000 ;
-      RECT 6.615000  1.725000 6.785000 2.125000 ;
-      RECT 6.955000  0.085000 7.285000 0.505000 ;
-      RECT 6.955000  1.895000 7.285000 2.635000 ;
-      RECT 7.455000  0.255000 7.735000 0.675000 ;
-      RECT 7.455000  1.725000 7.735000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o311a_4
-MACRO sky130_fd_sc_hd__o311a_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o311a_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.945000 0.995000 1.280000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.450000 0.995000 1.790000 1.325000 ;
-        RECT 1.520000 1.325000 1.790000 2.070000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.980000 0.995000 2.270000 1.325000 ;
-        RECT 1.980000 1.325000 2.215000 2.070000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.440000 0.995000 2.840000 1.325000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.350000 0.995000 3.595000 1.325000 ;
-    END
-  END C1
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.255000 0.355000 1.070000 ;
-        RECT 0.085000 1.070000 0.435000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.140000 -0.085000 0.310000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.525000  0.085000 1.195000 0.825000 ;
-      RECT 0.605000  0.995000 0.775000 1.495000 ;
-      RECT 0.605000  1.495000 1.350000 1.665000 ;
-      RECT 0.605000  1.835000 1.010000 2.635000 ;
-      RECT 1.180000  1.665000 1.350000 2.295000 ;
-      RECT 1.180000  2.295000 2.715000 2.465000 ;
-      RECT 1.365000  0.310000 1.660000 0.655000 ;
-      RECT 1.365000  0.655000 2.760000 0.825000 ;
-      RECT 1.840000  0.085000 2.215000 0.485000 ;
-      RECT 2.385000  1.495000 3.595000 1.665000 ;
-      RECT 2.385000  1.665000 2.715000 2.295000 ;
-      RECT 2.430000  0.310000 2.760000 0.655000 ;
-      RECT 2.900000  1.835000 3.135000 2.635000 ;
-      RECT 3.010000  0.255000 3.595000 0.825000 ;
-      RECT 3.010000  0.825000 3.180000 1.495000 ;
-      RECT 3.305000  1.665000 3.595000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o311a_1
-MACRO sky130_fd_sc_hd__sdfrtn_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sdfrtn_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  11.50000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.144000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.735000 1.355000 3.120000 1.785000 ;
-        RECT 2.865000 1.785000 3.120000 2.465000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 11.140000 0.265000 11.400000 0.795000 ;
-        RECT 11.140000 1.460000 11.400000 2.325000 ;
-        RECT 11.150000 1.445000 11.400000 1.460000 ;
-        RECT 11.190000 0.795000 11.400000 1.445000 ;
-    END
-  END Q
-  PIN RESET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.505000 0.765000 7.035000 1.045000 ;
-      LAYER mcon ;
-        RECT 6.865000 0.765000 7.035000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 9.525000 1.065000 10.115000 1.275000 ;
-        RECT 9.825000 0.635000 10.115000 1.065000 ;
-      LAYER mcon ;
-        RECT 9.690000 1.105000  9.860000 1.275000 ;
-        RECT 9.945000 0.765000 10.115000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 6.445000 0.735000  7.095000 0.780000 ;
-        RECT 6.445000 0.780000 10.175000 0.920000 ;
-        RECT 6.445000 0.920000  7.095000 0.965000 ;
-        RECT 9.630000 0.920000 10.175000 0.965000 ;
-        RECT 9.630000 0.965000  9.920000 1.305000 ;
-        RECT 9.885000 0.735000 10.175000 0.780000 ;
-    END
-  END RESET_B
-  PIN SCD
-    ANTENNAGATEAREA  0.156600 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.020000 0.285000 4.275000 0.710000 ;
-        RECT 4.020000 0.710000 4.395000 1.700000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.435000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.465000 1.985000 1.730000 2.465000 ;
-        RECT 1.485000 1.070000 1.730000 1.985000 ;
-    END
-  END SCE
-  PIN CLK_N
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.140000 0.975000 0.490000 1.625000 ;
-    END
-  END CLK_N
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 11.500000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.215000 -0.010000 0.235000 0.015000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000  1.970000 1.425000 ;
-        RECT -0.190000 1.425000 11.690000 2.910000 ;
-        RECT  4.405000 1.305000 11.690000 1.425000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 11.500000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 11.500000 0.085000 ;
-      RECT  0.000000  2.635000 11.500000 2.805000 ;
-      RECT  0.090000  1.795000  0.865000 1.965000 ;
-      RECT  0.090000  1.965000  0.345000 2.465000 ;
-      RECT  0.095000  0.345000  0.345000 0.635000 ;
-      RECT  0.095000  0.635000  0.835000 0.805000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.530000  2.135000  0.860000 2.635000 ;
-      RECT  0.660000  0.805000  0.835000 0.995000 ;
-      RECT  0.660000  0.995000  0.975000 1.325000 ;
-      RECT  0.660000  1.325000  0.865000 1.795000 ;
-      RECT  1.015000  0.345000  1.315000 0.675000 ;
-      RECT  1.035000  1.730000  1.315000 1.900000 ;
-      RECT  1.035000  1.900000  1.205000 2.465000 ;
-      RECT  1.145000  0.675000  1.315000 1.730000 ;
-      RECT  1.535000  0.395000  1.705000 0.730000 ;
-      RECT  1.535000  0.730000  2.225000 0.900000 ;
-      RECT  1.875000  0.085000  2.205000 0.560000 ;
-      RECT  1.900000  2.055000  2.150000 2.400000 ;
-      RECT  1.980000  1.260000  2.470000 1.455000 ;
-      RECT  1.980000  1.455000  2.150000 2.055000 ;
-      RECT  2.055000  0.900000  2.225000 0.995000 ;
-      RECT  2.055000  0.995000  3.085000 1.185000 ;
-      RECT  2.055000  1.185000  2.470000 1.260000 ;
-      RECT  2.320000  2.040000  2.490000 2.635000 ;
-      RECT  2.395000  0.085000  2.725000 0.825000 ;
-      RECT  2.915000  0.255000  3.850000 0.425000 ;
-      RECT  2.915000  0.425000  3.085000 0.995000 ;
-      RECT  3.255000  0.675000  3.425000 1.015000 ;
-      RECT  3.255000  1.015000  3.460000 1.185000 ;
-      RECT  3.290000  1.185000  3.460000 1.935000 ;
-      RECT  3.290000  1.935000  5.075000 2.105000 ;
-      RECT  3.460000  2.105000  3.630000 2.465000 ;
-      RECT  3.680000  0.425000  3.850000 1.685000 ;
-      RECT  4.300000  2.275000  4.630000 2.635000 ;
-      RECT  4.445000  0.085000  4.775000 0.540000 ;
-      RECT  4.565000  0.715000  5.145000 0.895000 ;
-      RECT  4.565000  0.895000  4.735000 1.935000 ;
-      RECT  4.905000  1.065000  5.075000 1.395000 ;
-      RECT  4.905000  2.105000  5.075000 2.185000 ;
-      RECT  4.905000  2.185000  5.275000 2.435000 ;
-      RECT  4.975000  0.335000  5.315000 0.505000 ;
-      RECT  4.975000  0.505000  5.145000 0.715000 ;
-      RECT  5.245000  1.575000  5.495000 1.955000 ;
-      RECT  5.325000  0.705000  5.975000 1.035000 ;
-      RECT  5.325000  1.035000  5.495000 1.575000 ;
-      RECT  5.470000  2.135000  5.835000 2.465000 ;
-      RECT  5.485000  0.305000  6.335000 0.475000 ;
-      RECT  5.665000  1.215000  7.375000 1.385000 ;
-      RECT  5.665000  1.385000  5.835000 2.135000 ;
-      RECT  6.005000  1.935000  7.165000 2.105000 ;
-      RECT  6.005000  2.105000  6.175000 2.375000 ;
-      RECT  6.165000  0.475000  6.335000 1.215000 ;
-      RECT  6.285000  1.595000  7.715000 1.765000 ;
-      RECT  6.410000  2.355000  6.740000 2.635000 ;
-      RECT  6.915000  0.085000  7.245000 0.545000 ;
-      RECT  6.995000  2.105000  7.165000 2.375000 ;
-      RECT  7.205000  1.005000  7.375000 1.215000 ;
-      RECT  7.375000  2.175000  7.745000 2.635000 ;
-      RECT  7.455000  0.275000  7.785000 0.445000 ;
-      RECT  7.455000  0.445000  7.715000 0.835000 ;
-      RECT  7.455000  1.765000  7.715000 1.835000 ;
-      RECT  7.455000  1.835000  8.140000 2.005000 ;
-      RECT  7.545000  0.835000  7.715000 1.595000 ;
-      RECT  7.885000  0.705000  8.095000 1.495000 ;
-      RECT  7.885000  1.495000  8.520000 1.655000 ;
-      RECT  7.885000  1.655000  8.870000 1.665000 ;
-      RECT  7.970000  2.005000  8.140000 2.465000 ;
-      RECT  8.005000  0.255000  8.915000 0.535000 ;
-      RECT  8.310000  1.665000  8.870000 1.935000 ;
-      RECT  8.310000  1.935000  8.840000 1.955000 ;
-      RECT  8.320000  2.125000  9.190000 2.465000 ;
-      RECT  8.405000  0.920000  8.575000 1.325000 ;
-      RECT  8.745000  0.535000  8.915000 1.315000 ;
-      RECT  8.745000  1.315000  9.210000 1.485000 ;
-      RECT  9.015000  2.035000  9.210000 2.115000 ;
-      RECT  9.015000  2.115000  9.190000 2.125000 ;
-      RECT  9.040000  1.485000  9.210000 1.575000 ;
-      RECT  9.040000  1.575000 10.205000 1.745000 ;
-      RECT  9.040000  1.745000  9.210000 2.035000 ;
-      RECT  9.085000  0.085000  9.255000 0.525000 ;
-      RECT  9.125000  0.695000  9.655000 0.865000 ;
-      RECT  9.125000  0.865000  9.295000 1.145000 ;
-      RECT  9.360000  2.195000  9.610000 2.635000 ;
-      RECT  9.485000  0.295000 10.515000 0.465000 ;
-      RECT  9.485000  0.465000  9.655000 0.695000 ;
-      RECT  9.780000  1.915000 10.545000 2.085000 ;
-      RECT  9.780000  2.085000  9.950000 2.375000 ;
-      RECT 10.120000  2.255000 10.450000 2.635000 ;
-      RECT 10.345000  0.465000 10.515000 0.995000 ;
-      RECT 10.345000  0.995000 11.020000 1.295000 ;
-      RECT 10.375000  1.295000 11.020000 1.325000 ;
-      RECT 10.375000  1.325000 10.545000 1.915000 ;
-      RECT 10.720000  0.085000 10.890000 0.545000 ;
-      RECT 10.720000  1.495000 10.970000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.675000  1.785000  0.845000 1.955000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.145000  1.105000  1.315000 1.275000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  4.905000  1.105000  5.075000 1.275000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.325000  1.785000  5.495000 1.955000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.405000  1.105000  8.575000 1.275000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.445000  1.785000  8.615000 1.955000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.615000 1.755000 0.915000 1.800000 ;
-      RECT 0.615000 1.800000 8.675000 1.940000 ;
-      RECT 0.615000 1.940000 0.915000 1.985000 ;
-      RECT 1.085000 1.075000 1.375000 1.120000 ;
-      RECT 1.085000 1.120000 8.635000 1.260000 ;
-      RECT 1.085000 1.260000 1.375000 1.305000 ;
-      RECT 4.845000 1.075000 5.135000 1.120000 ;
-      RECT 4.845000 1.260000 5.135000 1.305000 ;
-      RECT 5.265000 1.755000 5.555000 1.800000 ;
-      RECT 5.265000 1.940000 5.555000 1.985000 ;
-      RECT 8.345000 1.075000 8.635000 1.120000 ;
-      RECT 8.345000 1.260000 8.635000 1.305000 ;
-      RECT 8.385000 1.755000 8.675000 1.800000 ;
-      RECT 8.385000 1.940000 8.675000 1.985000 ;
-  END
-END sky130_fd_sc_hd__sdfrtn_1
-MACRO sky130_fd_sc_hd__sedfxbp_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sedfxbp_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  15.18000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.695000 0.765000 1.915000 1.720000 ;
-    END
-  END D
-  PIN DE
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.110000 0.765000 2.565000 1.185000 ;
-        RECT 2.110000 1.185000 2.325000 1.370000 ;
-    END
-  END DE
-  PIN Q
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 13.935000 0.255000 14.265000 2.420000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 11.700000 1.065000 12.145000 1.300000 ;
-        RECT 11.700000 1.300000 12.030000 2.465000 ;
-        RECT 11.815000 0.255000 12.145000 1.065000 ;
-    END
-  END Q_N
-  PIN SCD
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.760000 1.105000 6.215000 1.665000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.025000 1.105000 5.250000 1.615000 ;
-    END
-  END SCE
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 0.975000 0.445000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 15.180000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000  4.885000 1.435000 ;
-        RECT -0.190000 1.435000 15.370000 2.910000 ;
-        RECT  7.200000 1.305000 15.370000 1.435000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 15.180000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 15.180000 0.085000 ;
-      RECT  0.000000  2.635000 15.180000 2.805000 ;
-      RECT  0.175000  0.345000  0.345000 0.635000 ;
-      RECT  0.175000  0.635000  0.845000 0.805000 ;
-      RECT  0.175000  1.795000  0.845000 1.965000 ;
-      RECT  0.175000  1.965000  0.345000 2.465000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.515000  2.135000  0.845000 2.635000 ;
-      RECT  0.615000  0.805000  0.845000 1.795000 ;
-      RECT  1.015000  0.345000  1.185000 2.465000 ;
-      RECT  1.355000  0.255000  1.785000 0.515000 ;
-      RECT  1.355000  0.515000  1.525000 1.890000 ;
-      RECT  1.355000  1.890000  1.785000 2.465000 ;
-      RECT  2.235000  0.085000  2.565000 0.515000 ;
-      RECT  2.235000  1.890000  2.565000 2.635000 ;
-      RECT  2.495000  1.355000  3.085000 1.720000 ;
-      RECT  2.755000  1.720000  3.085000 2.425000 ;
-      RECT  2.780000  0.255000  3.005000 0.845000 ;
-      RECT  2.780000  0.845000  3.635000 1.175000 ;
-      RECT  2.780000  1.175000  3.085000 1.355000 ;
-      RECT  3.185000  0.085000  3.515000 0.610000 ;
-      RECT  3.265000  1.825000  3.460000 2.635000 ;
-      RECT  3.805000  0.685000  3.975000 1.320000 ;
-      RECT  3.805000  1.320000  4.175000 1.650000 ;
-      RECT  4.125000  1.820000  4.515000 2.020000 ;
-      RECT  4.125000  2.020000  4.455000 2.465000 ;
-      RECT  4.145000  0.255000  4.415000 0.980000 ;
-      RECT  4.145000  0.980000  4.515000 1.150000 ;
-      RECT  4.345000  1.150000  4.515000 1.820000 ;
-      RECT  4.595000  0.255000  4.795000 0.645000 ;
-      RECT  4.595000  0.645000  4.855000 0.825000 ;
-      RECT  4.635000  2.210000  4.965000 2.465000 ;
-      RECT  4.685000  0.825000  4.855000 1.785000 ;
-      RECT  4.685000  1.785000  4.965000 2.210000 ;
-      RECT  4.965000  0.255000  5.590000 0.515000 ;
-      RECT  5.155000  1.835000  6.585000 2.005000 ;
-      RECT  5.155000  2.005000  5.495000 2.465000 ;
-      RECT  5.260000  0.515000  5.590000 0.935000 ;
-      RECT  5.420000  0.935000  5.590000 1.835000 ;
-      RECT  5.665000  2.175000  6.010000 2.635000 ;
-      RECT  5.760000  0.085000  6.010000 0.905000 ;
-      RECT  6.385000  1.355000  6.585000 1.835000 ;
-      RECT  6.515000  0.255000  7.135000 0.565000 ;
-      RECT  6.515000  0.565000  6.925000 1.185000 ;
-      RECT  6.675000  2.150000  7.005000 2.465000 ;
-      RECT  6.755000  1.185000  6.925000 1.865000 ;
-      RECT  6.755000  1.865000  7.005000 2.150000 ;
-      RECT  7.095000  1.125000  7.280000 1.720000 ;
-      RECT  7.115000  0.735000  7.620000 0.955000 ;
-      RECT  7.215000  2.175000  8.255000 2.375000 ;
-      RECT  7.305000  0.255000  7.980000 0.565000 ;
-      RECT  7.450000  0.955000  7.620000 1.655000 ;
-      RECT  7.450000  1.655000  7.915000 2.005000 ;
-      RECT  7.810000  0.565000  7.980000 1.315000 ;
-      RECT  7.810000  1.315000  8.660000 1.485000 ;
-      RECT  8.085000  1.485000  8.660000 1.575000 ;
-      RECT  8.085000  1.575000  8.255000 2.175000 ;
-      RECT  8.170000  0.765000  9.235000 1.045000 ;
-      RECT  8.170000  1.045000  9.745000 1.065000 ;
-      RECT  8.170000  1.065000  8.370000 1.095000 ;
-      RECT  8.245000  0.085000  8.640000 0.560000 ;
-      RECT  8.425000  1.835000  8.660000 2.635000 ;
-      RECT  8.490000  1.245000  8.660000 1.315000 ;
-      RECT  8.830000  0.255000  9.235000 0.765000 ;
-      RECT  8.830000  1.065000  9.745000 1.375000 ;
-      RECT  8.830000  1.375000  9.160000 2.465000 ;
-      RECT  9.370000  2.105000  9.660000 2.635000 ;
-      RECT  9.465000  0.085000  9.740000 0.615000 ;
-      RECT 10.090000  1.245000 10.280000 1.965000 ;
-      RECT 10.225000  2.165000 11.190000 2.355000 ;
-      RECT 10.305000  0.705000 10.770000 1.035000 ;
-      RECT 10.325000  0.330000 11.190000 0.535000 ;
-      RECT 10.450000  1.035000 10.770000 1.995000 ;
-      RECT 10.940000  0.535000 11.190000 2.165000 ;
-      RECT 11.360000  1.495000 11.530000 2.635000 ;
-      RECT 11.395000  0.085000 11.645000 0.900000 ;
-      RECT 12.200000  1.465000 12.450000 2.635000 ;
-      RECT 12.315000  0.085000 12.565000 0.900000 ;
-      RECT 12.620000  1.575000 12.850000 2.010000 ;
-      RECT 12.735000  0.890000 13.360000 1.220000 ;
-      RECT 13.020000  0.255000 13.360000 0.890000 ;
-      RECT 13.020000  1.220000 13.360000 2.465000 ;
-      RECT 13.530000  0.085000 13.765000 0.900000 ;
-      RECT 13.530000  1.465000 13.765000 2.635000 ;
-      RECT 14.435000  0.085000 14.695000 0.900000 ;
-      RECT 14.435000  1.465000 14.695000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.635000  1.785000  0.805000 1.955000 ;
-      RECT  1.015000  1.445000  1.185000 1.615000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.355000  0.425000  1.525000 0.595000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.805000  0.765000  3.975000 0.935000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.185000  0.425000  4.355000 0.595000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.615000  0.425000  4.785000 0.595000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.530000  0.425000  6.700000 0.595000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.100000  1.445000  7.270000 1.615000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.510000  1.785000  7.680000 1.955000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.100000  1.785000 10.270000 1.955000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.520000  1.445000 10.690000 1.615000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 10.980000  1.785000 11.150000 1.955000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-      RECT 12.105000  2.635000 12.275000 2.805000 ;
-      RECT 12.565000 -0.085000 12.735000 0.085000 ;
-      RECT 12.565000  2.635000 12.735000 2.805000 ;
-      RECT 12.650000  1.785000 12.820000 1.955000 ;
-      RECT 13.025000 -0.085000 13.195000 0.085000 ;
-      RECT 13.025000  2.635000 13.195000 2.805000 ;
-      RECT 13.110000  0.765000 13.280000 0.935000 ;
-      RECT 13.485000 -0.085000 13.655000 0.085000 ;
-      RECT 13.485000  2.635000 13.655000 2.805000 ;
-      RECT 13.945000 -0.085000 14.115000 0.085000 ;
-      RECT 13.945000  2.635000 14.115000 2.805000 ;
-      RECT 14.405000 -0.085000 14.575000 0.085000 ;
-      RECT 14.405000  2.635000 14.575000 2.805000 ;
-      RECT 14.865000 -0.085000 15.035000 0.085000 ;
-      RECT 14.865000  2.635000 15.035000 2.805000 ;
-    LAYER met1 ;
-      RECT  0.575000 1.755000  0.865000 1.800000 ;
-      RECT  0.575000 1.800000 10.330000 1.940000 ;
-      RECT  0.575000 1.940000  0.865000 1.985000 ;
-      RECT  0.955000 1.415000  1.245000 1.460000 ;
-      RECT  0.955000 1.460000 10.750000 1.600000 ;
-      RECT  0.955000 1.600000  1.245000 1.645000 ;
-      RECT  1.295000 0.395000  4.415000 0.580000 ;
-      RECT  1.295000 0.580000  1.585000 0.625000 ;
-      RECT  3.745000 0.735000  4.035000 0.780000 ;
-      RECT  3.745000 0.780000 13.340000 0.920000 ;
-      RECT  3.745000 0.920000  4.035000 0.965000 ;
-      RECT  4.125000 0.580000  4.415000 0.625000 ;
-      RECT  4.555000 0.395000  6.760000 0.580000 ;
-      RECT  4.555000 0.580000  4.845000 0.625000 ;
-      RECT  6.470000 0.580000  6.760000 0.625000 ;
-      RECT  7.040000 1.415000  7.330000 1.460000 ;
-      RECT  7.040000 1.600000  7.330000 1.645000 ;
-      RECT  7.450000 1.755000  7.740000 1.800000 ;
-      RECT  7.450000 1.940000  7.740000 1.985000 ;
-      RECT 10.040000 1.755000 10.330000 1.800000 ;
-      RECT 10.040000 1.940000 10.330000 1.985000 ;
-      RECT 10.460000 1.415000 10.750000 1.460000 ;
-      RECT 10.460000 1.600000 10.750000 1.645000 ;
-      RECT 10.920000 1.755000 11.210000 1.800000 ;
-      RECT 10.920000 1.800000 12.880000 1.940000 ;
-      RECT 10.920000 1.940000 11.210000 1.985000 ;
-      RECT 12.590000 1.755000 12.880000 1.800000 ;
-      RECT 12.590000 1.940000 12.880000 1.985000 ;
-      RECT 13.050000 0.735000 13.340000 0.780000 ;
-      RECT 13.050000 0.920000 13.340000 0.965000 ;
-  END
-END sky130_fd_sc_hd__sedfxbp_2
-MACRO sky130_fd_sc_hd__sedfxbp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sedfxbp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  14.26000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.695000 0.765000 1.915000 1.720000 ;
-    END
-  END D
-  PIN DE
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.110000 0.765000 2.565000 1.185000 ;
-        RECT 2.110000 1.185000 2.325000 1.370000 ;
-    END
-  END DE
-  PIN Q
-    ANTENNADIFFAREA  0.462000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 13.525000 0.255000 13.855000 2.420000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 11.700000 1.065000 12.145000 1.410000 ;
-        RECT 11.700000 1.410000 12.030000 2.465000 ;
-        RECT 11.815000 0.255000 12.145000 1.065000 ;
-    END
-  END Q_N
-  PIN SCD
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.760000 1.105000 6.215000 1.665000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.025000 1.105000 5.250000 1.615000 ;
-    END
-  END SCE
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 0.975000 0.445000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 14.260000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000  4.885000 1.435000 ;
-        RECT -0.190000 1.435000 14.450000 2.910000 ;
-        RECT  7.200000 1.305000 14.450000 1.435000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 14.260000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 14.260000 0.085000 ;
-      RECT  0.000000  2.635000 14.260000 2.805000 ;
-      RECT  0.175000  0.345000  0.345000 0.635000 ;
-      RECT  0.175000  0.635000  0.845000 0.805000 ;
-      RECT  0.175000  1.795000  0.845000 1.965000 ;
-      RECT  0.175000  1.965000  0.345000 2.465000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.515000  2.135000  0.845000 2.635000 ;
-      RECT  0.615000  0.805000  0.845000 1.795000 ;
-      RECT  1.015000  0.345000  1.185000 2.465000 ;
-      RECT  1.355000  0.255000  1.785000 0.515000 ;
-      RECT  1.355000  0.515000  1.525000 1.890000 ;
-      RECT  1.355000  1.890000  1.785000 2.465000 ;
-      RECT  2.235000  0.085000  2.565000 0.515000 ;
-      RECT  2.235000  1.890000  2.565000 2.635000 ;
-      RECT  2.495000  1.355000  3.085000 1.720000 ;
-      RECT  2.755000  1.720000  3.085000 2.425000 ;
-      RECT  2.780000  0.255000  3.005000 0.845000 ;
-      RECT  2.780000  0.845000  3.635000 1.175000 ;
-      RECT  2.780000  1.175000  3.085000 1.355000 ;
-      RECT  3.185000  0.085000  3.515000 0.610000 ;
-      RECT  3.265000  1.825000  3.460000 2.635000 ;
-      RECT  3.805000  0.685000  3.975000 1.320000 ;
-      RECT  3.805000  1.320000  4.175000 1.650000 ;
-      RECT  4.125000  1.820000  4.515000 2.020000 ;
-      RECT  4.125000  2.020000  4.455000 2.465000 ;
-      RECT  4.145000  0.255000  4.415000 0.980000 ;
-      RECT  4.145000  0.980000  4.515000 1.150000 ;
-      RECT  4.345000  1.150000  4.515000 1.820000 ;
-      RECT  4.595000  0.255000  4.795000 0.645000 ;
-      RECT  4.595000  0.645000  4.855000 0.825000 ;
-      RECT  4.635000  2.210000  4.965000 2.465000 ;
-      RECT  4.685000  0.825000  4.855000 1.785000 ;
-      RECT  4.685000  1.785000  4.965000 2.210000 ;
-      RECT  4.965000  0.255000  5.590000 0.515000 ;
-      RECT  5.155000  1.835000  6.585000 2.005000 ;
-      RECT  5.155000  2.005000  5.495000 2.465000 ;
-      RECT  5.260000  0.515000  5.590000 0.935000 ;
-      RECT  5.420000  0.935000  5.590000 1.835000 ;
-      RECT  5.665000  2.175000  6.010000 2.635000 ;
-      RECT  5.760000  0.085000  6.010000 0.905000 ;
-      RECT  6.385000  1.355000  6.585000 1.835000 ;
-      RECT  6.515000  0.255000  7.135000 0.565000 ;
-      RECT  6.515000  0.565000  6.925000 1.185000 ;
-      RECT  6.675000  2.150000  7.005000 2.465000 ;
-      RECT  6.755000  1.185000  6.925000 1.865000 ;
-      RECT  6.755000  1.865000  7.005000 2.150000 ;
-      RECT  7.095000  1.125000  7.280000 1.720000 ;
-      RECT  7.115000  0.735000  7.620000 0.955000 ;
-      RECT  7.215000  2.175000  8.255000 2.375000 ;
-      RECT  7.305000  0.255000  7.980000 0.565000 ;
-      RECT  7.450000  0.955000  7.620000 1.655000 ;
-      RECT  7.450000  1.655000  7.915000 2.005000 ;
-      RECT  7.810000  0.565000  7.980000 1.315000 ;
-      RECT  7.810000  1.315000  8.660000 1.485000 ;
-      RECT  8.085000  1.485000  8.660000 1.575000 ;
-      RECT  8.085000  1.575000  8.255000 2.175000 ;
-      RECT  8.170000  0.765000  9.235000 1.045000 ;
-      RECT  8.170000  1.045000  9.745000 1.065000 ;
-      RECT  8.170000  1.065000  8.370000 1.095000 ;
-      RECT  8.245000  0.085000  8.640000 0.560000 ;
-      RECT  8.425000  1.835000  8.660000 2.635000 ;
-      RECT  8.490000  1.245000  8.660000 1.315000 ;
-      RECT  8.830000  0.255000  9.235000 0.765000 ;
-      RECT  8.830000  1.065000  9.745000 1.375000 ;
-      RECT  8.830000  1.375000  9.160000 2.465000 ;
-      RECT  9.370000  2.105000  9.660000 2.635000 ;
-      RECT  9.465000  0.085000  9.740000 0.615000 ;
-      RECT 10.090000  1.245000 10.280000 1.965000 ;
-      RECT 10.225000  2.165000 11.190000 2.355000 ;
-      RECT 10.305000  0.705000 10.770000 1.035000 ;
-      RECT 10.325000  0.330000 11.190000 0.535000 ;
-      RECT 10.450000  1.035000 10.770000 1.995000 ;
-      RECT 10.940000  0.535000 11.190000 2.165000 ;
-      RECT 11.360000  1.495000 11.530000 2.635000 ;
-      RECT 11.395000  0.085000 11.645000 0.900000 ;
-      RECT 12.200000  1.575000 12.430000 2.010000 ;
-      RECT 12.315000  0.890000 12.940000 1.220000 ;
-      RECT 12.600000  0.255000 12.940000 0.890000 ;
-      RECT 12.600000  1.220000 12.940000 2.465000 ;
-      RECT 13.110000  0.085000 13.355000 0.900000 ;
-      RECT 13.110000  1.465000 13.355000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.635000  1.785000  0.805000 1.955000 ;
-      RECT  1.015000  1.445000  1.185000 1.615000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.355000  0.425000  1.525000 0.595000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.805000  0.765000  3.975000 0.935000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.185000  0.425000  4.355000 0.595000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.615000  0.425000  4.785000 0.595000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.530000  0.425000  6.700000 0.595000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.100000  1.445000  7.270000 1.615000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.510000  1.785000  7.680000 1.955000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.100000  1.785000 10.270000 1.955000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.520000  1.445000 10.690000 1.615000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 10.980000  1.785000 11.150000 1.955000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-      RECT 12.105000  2.635000 12.275000 2.805000 ;
-      RECT 12.230000  1.785000 12.400000 1.955000 ;
-      RECT 12.565000 -0.085000 12.735000 0.085000 ;
-      RECT 12.565000  2.635000 12.735000 2.805000 ;
-      RECT 12.690000  0.765000 12.860000 0.935000 ;
-      RECT 13.025000 -0.085000 13.195000 0.085000 ;
-      RECT 13.025000  2.635000 13.195000 2.805000 ;
-      RECT 13.485000 -0.085000 13.655000 0.085000 ;
-      RECT 13.485000  2.635000 13.655000 2.805000 ;
-      RECT 13.945000 -0.085000 14.115000 0.085000 ;
-      RECT 13.945000  2.635000 14.115000 2.805000 ;
-    LAYER met1 ;
-      RECT  0.575000 1.755000  0.865000 1.800000 ;
-      RECT  0.575000 1.800000 10.330000 1.940000 ;
-      RECT  0.575000 1.940000  0.865000 1.985000 ;
-      RECT  0.955000 1.415000  1.245000 1.460000 ;
-      RECT  0.955000 1.460000 10.750000 1.600000 ;
-      RECT  0.955000 1.600000  1.245000 1.645000 ;
-      RECT  1.295000 0.395000  4.415000 0.580000 ;
-      RECT  1.295000 0.580000  1.585000 0.625000 ;
-      RECT  3.745000 0.735000  4.035000 0.780000 ;
-      RECT  3.745000 0.780000 12.920000 0.920000 ;
-      RECT  3.745000 0.920000  4.035000 0.965000 ;
-      RECT  4.125000 0.580000  4.415000 0.625000 ;
-      RECT  4.555000 0.395000  6.760000 0.580000 ;
-      RECT  4.555000 0.580000  4.845000 0.625000 ;
-      RECT  6.470000 0.580000  6.760000 0.625000 ;
-      RECT  7.040000 1.415000  7.330000 1.460000 ;
-      RECT  7.040000 1.600000  7.330000 1.645000 ;
-      RECT  7.450000 1.755000  7.740000 1.800000 ;
-      RECT  7.450000 1.940000  7.740000 1.985000 ;
-      RECT 10.040000 1.755000 10.330000 1.800000 ;
-      RECT 10.040000 1.940000 10.330000 1.985000 ;
-      RECT 10.460000 1.415000 10.750000 1.460000 ;
-      RECT 10.460000 1.600000 10.750000 1.645000 ;
-      RECT 10.920000 1.755000 11.210000 1.800000 ;
-      RECT 10.920000 1.800000 12.460000 1.940000 ;
-      RECT 10.920000 1.940000 11.210000 1.985000 ;
-      RECT 12.170000 1.755000 12.460000 1.800000 ;
-      RECT 12.170000 1.940000 12.460000 1.985000 ;
-      RECT 12.630000 0.735000 12.920000 0.780000 ;
-      RECT 12.630000 0.920000 12.920000 0.965000 ;
-  END
-END sky130_fd_sc_hd__sedfxbp_1
-MACRO sky130_fd_sc_hd__a31oi_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a31oi_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.820000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.825000 0.995000 5.420000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.935000 0.995000 3.550000 1.325000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.120000 0.995000 1.735000 1.325000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.670000 0.995000 6.855000 1.630000 ;
-    END
-  END B1
-  PIN Y
-    ANTENNADIFFAREA  1.443500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.975000 0.635000 7.585000 0.805000 ;
-        RECT 6.075000 1.915000 7.245000 2.085000 ;
-        RECT 6.575000 0.255000 6.745000 0.635000 ;
-        RECT 7.045000 0.805000 7.245000 1.915000 ;
-        RECT 7.415000 0.255000 7.585000 0.635000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.820000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.010000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.820000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.820000 0.085000 ;
-      RECT 0.000000  2.635000 7.820000 2.805000 ;
-      RECT 0.175000  0.255000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 3.785000 0.805000 ;
-      RECT 0.175000  1.495000 5.405000 1.665000 ;
-      RECT 0.175000  1.665000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  1.915000 0.845000 2.635000 ;
-      RECT 1.015000  0.255000 1.185000 0.635000 ;
-      RECT 1.015000  1.665000 1.185000 2.465000 ;
-      RECT 1.355000  0.085000 1.685000 0.465000 ;
-      RECT 1.355000  1.915000 1.685000 2.635000 ;
-      RECT 1.855000  0.255000 2.025000 0.635000 ;
-      RECT 1.855000  1.665000 2.025000 2.465000 ;
-      RECT 2.195000  0.295000 5.565000 0.465000 ;
-      RECT 2.195000  1.915000 2.525000 2.635000 ;
-      RECT 2.695000  1.665000 2.865000 2.465000 ;
-      RECT 3.035000  1.915000 3.365000 2.635000 ;
-      RECT 3.535000  1.665000 3.705000 2.465000 ;
-      RECT 3.895000  1.915000 4.225000 2.635000 ;
-      RECT 4.395000  1.665000 4.565000 2.465000 ;
-      RECT 4.735000  2.255000 5.065000 2.635000 ;
-      RECT 5.235000  1.665000 5.405000 2.255000 ;
-      RECT 5.235000  2.255000 7.665000 2.425000 ;
-      RECT 5.235000  2.425000 5.405000 2.465000 ;
-      RECT 6.075000  0.085000 6.405000 0.465000 ;
-      RECT 6.915000  0.085000 7.245000 0.465000 ;
-      RECT 7.415000  1.495000 7.665000 2.255000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a31oi_4
-MACRO sky130_fd_sc_hd__a31oi_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a31oi_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.600000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.955000 0.995000 2.665000 1.615000 ;
-        RECT 2.905000 0.995000 3.075000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.050000 0.995000 1.755000 1.615000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.145000 0.995000 0.820000 1.615000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.820000 1.075000 4.490000 1.275000 ;
-        RECT 4.265000 1.275000 4.490000 1.625000 ;
-    END
-  END B1
-  PIN Y
-    ANTENNADIFFAREA  0.922000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.295000 0.655000 4.505000 0.825000 ;
-        RECT 3.255000 0.255000 3.425000 0.655000 ;
-        RECT 3.255000 0.825000 3.570000 1.445000 ;
-        RECT 3.255000 1.445000 4.085000 1.615000 ;
-        RECT 3.755000 1.615000 4.085000 2.115000 ;
-        RECT 4.175000 0.295000 4.505000 0.655000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.600000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.790000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.600000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.600000 0.085000 ;
-      RECT 0.000000  2.635000 4.600000 2.805000 ;
-      RECT 0.095000  0.655000 2.105000 0.825000 ;
-      RECT 0.175000  1.785000 3.505000 1.955000 ;
-      RECT 0.175000  1.955000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.125000 0.845000 2.635000 ;
-      RECT 1.015000  1.955000 1.185000 2.465000 ;
-      RECT 1.355000  0.295000 3.075000 0.465000 ;
-      RECT 1.355000  2.125000 1.685000 2.635000 ;
-      RECT 1.855000  1.955000 2.025000 2.465000 ;
-      RECT 2.310000  2.125000 2.980000 2.635000 ;
-      RECT 3.335000  1.955000 3.505000 2.295000 ;
-      RECT 3.335000  2.295000 4.425000 2.465000 ;
-      RECT 3.675000  0.085000 4.005000 0.465000 ;
-      RECT 4.255000  1.795000 4.425000 2.295000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a31oi_2
-MACRO sky130_fd_sc_hd__a31oi_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a31oi_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.300000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.070000 1.445000 1.455000 1.665000 ;
-        RECT 1.270000 0.995000 1.455000 1.445000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.610000 0.335000 1.055000 1.275000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.365000 1.325000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.965000 0.995000 2.215000 1.325000 ;
-    END
-  END B1
-  PIN Y
-    ANTENNADIFFAREA  0.481250 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.380000 0.295000 1.785000 0.715000 ;
-        RECT 1.380000 0.715000 1.795000 0.825000 ;
-        RECT 1.625000 0.825000 1.795000 1.495000 ;
-        RECT 1.625000 1.495000 2.210000 1.665000 ;
-        RECT 1.875000 1.665000 2.210000 2.445000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.300000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.490000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.300000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.300000 0.085000 ;
-      RECT 0.000000  2.635000 2.300000 2.805000 ;
-      RECT 0.090000  0.085000 0.430000 0.815000 ;
-      RECT 0.090000  1.495000 0.420000 2.635000 ;
-      RECT 0.590000  1.835000 1.695000 2.005000 ;
-      RECT 0.590000  2.005000 0.765000 2.415000 ;
-      RECT 0.935000  2.175000 1.265000 2.635000 ;
-      RECT 1.470000  2.005000 1.695000 2.415000 ;
-      RECT 1.955000  0.085000 2.215000 0.565000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a31oi_1
-MACRO sky130_fd_sc_hd__dlxtp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlxtp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.520000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.460000 0.955000 1.790000 1.325000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.470250 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.150000 0.415000 5.435000 0.745000 ;
-        RECT 5.150000 1.670000 5.435000 2.455000 ;
-        RECT 5.265000 0.745000 5.435000 1.670000 ;
-    END
-  END Q
-  PIN GATE
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.985000 0.330000 1.625000 ;
-    END
-  END GATE
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.520000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.710000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.520000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.520000 0.085000 ;
-      RECT 0.000000  2.635000 5.520000 2.805000 ;
-      RECT 0.175000  0.345000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 0.780000 0.805000 ;
-      RECT 0.175000  1.795000 0.780000 1.965000 ;
-      RECT 0.175000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.780000 1.070000 ;
-      RECT 0.610000  1.070000 0.840000 1.400000 ;
-      RECT 0.610000  1.400000 0.780000 1.795000 ;
-      RECT 1.015000  0.345000 1.185000 1.685000 ;
-      RECT 1.015000  1.685000 1.240000 2.465000 ;
-      RECT 1.455000  1.495000 2.140000 1.665000 ;
-      RECT 1.455000  1.665000 1.785000 2.415000 ;
-      RECT 1.535000  0.345000 1.705000 0.615000 ;
-      RECT 1.535000  0.615000 2.140000 0.765000 ;
-      RECT 1.535000  0.765000 2.340000 0.785000 ;
-      RECT 1.875000  0.085000 2.205000 0.445000 ;
-      RECT 1.955000  1.835000 2.270000 2.635000 ;
-      RECT 1.970000  0.785000 2.340000 1.095000 ;
-      RECT 1.970000  1.095000 2.140000 1.495000 ;
-      RECT 2.470000  1.355000 2.755000 1.685000 ;
-      RECT 2.715000  0.705000 3.095000 1.035000 ;
-      RECT 2.770000  2.255000 3.605000 2.425000 ;
-      RECT 2.840000  0.365000 3.500000 0.535000 ;
-      RECT 2.925000  1.035000 3.095000 1.575000 ;
-      RECT 2.925000  1.575000 3.265000 1.995000 ;
-      RECT 3.330000  0.535000 3.500000 0.995000 ;
-      RECT 3.330000  0.995000 4.175000 1.165000 ;
-      RECT 3.435000  1.165000 4.175000 1.325000 ;
-      RECT 3.435000  1.325000 3.605000 2.255000 ;
-      RECT 3.685000  0.085000 4.015000 0.530000 ;
-      RECT 3.775000  2.135000 3.945000 2.635000 ;
-      RECT 3.840000  1.535000 4.515000 1.865000 ;
-      RECT 4.295000  0.415000 4.515000 0.745000 ;
-      RECT 4.295000  1.865000 4.515000 2.435000 ;
-      RECT 4.345000  0.745000 4.515000 0.995000 ;
-      RECT 4.345000  0.995000 5.095000 1.325000 ;
-      RECT 4.345000  1.325000 4.515000 1.535000 ;
-      RECT 4.695000  0.085000 4.900000 0.715000 ;
-      RECT 4.695000  1.570000 4.900000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.610000  1.445000 0.780000 1.615000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.070000  1.785000 1.240000 1.955000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.470000  1.445000 2.640000 1.615000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 2.930000  1.785000 3.100000 1.955000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.415000 0.840000 1.460000 ;
-      RECT 0.550000 1.460000 2.700000 1.600000 ;
-      RECT 0.550000 1.600000 0.840000 1.645000 ;
-      RECT 1.010000 1.755000 1.300000 1.800000 ;
-      RECT 1.010000 1.800000 3.160000 1.940000 ;
-      RECT 1.010000 1.940000 1.300000 1.985000 ;
-      RECT 2.410000 1.415000 2.700000 1.460000 ;
-      RECT 2.410000 1.600000 2.700000 1.645000 ;
-      RECT 2.870000 1.755000 3.160000 1.800000 ;
-      RECT 2.870000 1.940000 3.160000 1.985000 ;
-  END
-END sky130_fd_sc_hd__dlxtp_1
-MACRO sky130_fd_sc_hd__clkdlybuf4s18_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__clkdlybuf4s18_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.213000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.100000 1.055000 0.550000 1.325000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.376300 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.210000 0.255000 3.590000 0.545000 ;
-        RECT 3.220000 1.760000 3.590000 2.465000 ;
-        RECT 3.365000 0.545000 3.590000 1.760000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.095000  0.255000 0.425000 0.715000 ;
-      RECT 0.095000  0.715000 1.215000 0.885000 ;
-      RECT 0.095000  1.495000 1.215000 1.665000 ;
-      RECT 0.095000  1.665000 0.425000 2.465000 ;
-      RECT 0.595000  0.085000 0.910000 0.545000 ;
-      RECT 0.595000  1.835000 0.925000 2.635000 ;
-      RECT 0.720000  0.885000 1.215000 1.495000 ;
-      RECT 1.385000  0.255000 1.760000 0.825000 ;
-      RECT 1.385000  1.835000 1.760000 2.465000 ;
-      RECT 1.590000  0.825000 1.760000 1.055000 ;
-      RECT 1.590000  1.055000 2.685000 1.250000 ;
-      RECT 1.590000  1.250000 1.760000 1.835000 ;
-      RECT 1.930000  0.255000 2.260000 0.715000 ;
-      RECT 1.930000  0.715000 3.195000 0.885000 ;
-      RECT 1.930000  1.420000 3.195000 1.590000 ;
-      RECT 1.930000  1.590000 2.260000 2.465000 ;
-      RECT 2.710000  0.085000 3.040000 0.545000 ;
-      RECT 2.710000  1.760000 3.040000 2.635000 ;
-      RECT 2.855000  0.885000 3.195000 1.420000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__clkdlybuf4s18_1
-MACRO sky130_fd_sc_hd__clkdlybuf4s18_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__clkdlybuf4s18_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.213000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.560000 1.290000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.397600 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.705000 0.270000 3.150000 0.640000 ;
-        RECT 2.715000 1.420000 3.180000 1.525000 ;
-        RECT 2.715000 1.525000 3.150000 2.465000 ;
-        RECT 2.965000 0.640000 3.150000 0.780000 ;
-        RECT 2.965000 0.780000 3.180000 0.945000 ;
-        RECT 3.010000 0.945000 3.180000 1.420000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.085000  0.270000 0.415000 0.735000 ;
-      RECT 0.085000  0.735000 1.055000 0.905000 ;
-      RECT 0.085000  1.460000 1.055000 1.630000 ;
-      RECT 0.085000  1.630000 0.430000 2.465000 ;
-      RECT 0.585000  0.085000 0.915000 0.565000 ;
-      RECT 0.600000  1.800000 0.930000 2.635000 ;
-      RECT 0.730000  0.905000 1.055000 1.460000 ;
-      RECT 1.110000  1.800000 1.440000 2.465000 ;
-      RECT 1.160000  0.270000 1.440000 0.600000 ;
-      RECT 1.270000  0.600000 1.440000 1.075000 ;
-      RECT 1.270000  1.075000 2.205000 1.255000 ;
-      RECT 1.270000  1.255000 1.440000 1.800000 ;
-      RECT 1.630000  0.270000 1.960000 0.735000 ;
-      RECT 1.630000  0.735000 2.545000 0.905000 ;
-      RECT 1.630000  1.460000 2.545000 1.630000 ;
-      RECT 1.630000  1.630000 1.960000 2.465000 ;
-      RECT 2.130000  1.800000 2.545000 2.635000 ;
-      RECT 2.165000  0.085000 2.535000 0.565000 ;
-      RECT 2.375000  0.905000 2.545000 1.075000 ;
-      RECT 2.375000  1.075000 2.840000 1.245000 ;
-      RECT 2.375000  1.245000 2.545000 1.460000 ;
-      RECT 3.320000  0.085000 3.595000 0.645000 ;
-      RECT 3.320000  1.625000 3.595000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__clkdlybuf4s18_2
-MACRO sky130_fd_sc_hd__and4_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__and4_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.125000 0.755000 0.330000 2.075000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.890000 0.420000 1.245000 1.325000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.420000 0.415000 1.720000 1.305000 ;
-        RECT 1.420000 1.305000 1.590000 1.325000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.900000 0.415000 2.160000 1.325000 ;
-    END
-  END D
-  PIN X
-    ANTENNADIFFAREA  0.544500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.735000 0.295000 3.065000 0.340000 ;
-        RECT 2.735000 0.340000 3.070000 0.805000 ;
-        RECT 2.735000 1.495000 3.070000 2.465000 ;
-        RECT 2.895000 0.805000 3.070000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.095000  2.255000 0.425000 2.635000 ;
-      RECT 0.175000  0.255000 0.670000 0.585000 ;
-      RECT 0.500000  0.585000 0.670000 1.495000 ;
-      RECT 0.500000  1.495000 2.555000 1.665000 ;
-      RECT 0.600000  1.665000 0.850000 2.465000 ;
-      RECT 1.070000  1.915000 1.400000 2.635000 ;
-      RECT 1.585000  1.665000 1.835000 2.465000 ;
-      RECT 2.235000  1.835000 2.565000 2.635000 ;
-      RECT 2.330000  0.085000 2.565000 0.890000 ;
-      RECT 2.330000  1.075000 2.725000 1.315000 ;
-      RECT 2.330000  1.315000 2.555000 1.495000 ;
-      RECT 3.245000  1.835000 3.575000 2.635000 ;
-      RECT 3.255000  0.085000 3.585000 0.810000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__and4_2
-MACRO sky130_fd_sc_hd__and4_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__and4_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.765000 0.325000 2.075000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.885000 0.360000 1.235000 1.325000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.415000 0.355000 1.715000 1.325000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.895000 0.355000 2.175000 1.325000 ;
-    END
-  END D
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.795000 0.295000 3.135000 0.805000 ;
-        RECT 2.795000 2.205000 3.135000 2.465000 ;
-        RECT 2.875000 0.805000 3.135000 2.205000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.090000  2.255000 0.425000 2.635000 ;
-      RECT 0.170000  0.255000 0.665000 0.585000 ;
-      RECT 0.495000  0.585000 0.665000 1.495000 ;
-      RECT 0.495000  1.495000 2.685000 1.665000 ;
-      RECT 0.595000  1.665000 0.845000 2.465000 ;
-      RECT 1.065000  1.915000 1.395000 2.635000 ;
-      RECT 1.580000  1.665000 1.830000 2.465000 ;
-      RECT 2.295000  1.835000 2.625000 2.635000 ;
-      RECT 2.355000  0.085000 2.625000 0.885000 ;
-      RECT 2.370000  1.075000 2.700000 1.325000 ;
-      RECT 2.370000  1.325000 2.685000 1.495000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__and4_1
-MACRO sky130_fd_sc_hd__and4_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__and4_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.125000 0.765000 0.330000 1.655000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.840000 0.995000 1.245000 1.325000 ;
-        RECT 0.890000 0.420000 1.245000 0.995000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.415000 0.425000 1.700000 1.325000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.905000 0.730000 2.155000 0.935000 ;
-        RECT 1.905000 0.935000 2.075000 1.325000 ;
-    END
-  END D
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.535000 0.255000 2.705000 0.640000 ;
-        RECT 2.535000 0.640000 4.050000 0.810000 ;
-        RECT 2.535000 1.795000 2.785000 2.465000 ;
-        RECT 2.615000 1.485000 4.050000 1.655000 ;
-        RECT 2.615000 1.655000 2.785000 1.795000 ;
-        RECT 3.375000 0.255000 3.545000 0.640000 ;
-        RECT 3.375000 1.655000 4.050000 1.745000 ;
-        RECT 3.375000 1.745000 3.545000 2.465000 ;
-        RECT 3.800000 0.810000 4.050000 1.485000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.105000  1.835000 0.385000 2.635000 ;
-      RECT 0.175000  0.255000 0.670000 0.585000 ;
-      RECT 0.500000  0.585000 0.670000 1.495000 ;
-      RECT 0.500000  1.495000 2.415000 1.665000 ;
-      RECT 0.555000  1.665000 0.765000 2.465000 ;
-      RECT 0.955000  1.935000 1.285000 2.635000 ;
-      RECT 1.455000  1.665000 1.645000 2.465000 ;
-      RECT 2.025000  0.085000 2.335000 0.550000 ;
-      RECT 2.025000  1.855000 2.355000 2.635000 ;
-      RECT 2.245000  1.105000 3.585000 1.305000 ;
-      RECT 2.245000  1.305000 2.415000 1.495000 ;
-      RECT 2.575000  1.075000 3.585000 1.105000 ;
-      RECT 2.875000  0.085000 3.205000 0.470000 ;
-      RECT 2.955000  1.835000 3.205000 2.635000 ;
-      RECT 3.715000  0.085000 4.045000 0.470000 ;
-      RECT 3.715000  1.915000 4.045000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__and4_4
-MACRO sky130_fd_sc_hd__o21a_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o21a_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.345000 1.075000 2.675000 1.275000 ;
-        RECT 2.445000 1.275000 2.675000 1.615000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.705000 1.075000 2.035000 1.095000 ;
-        RECT 1.705000 1.095000 2.155000 1.275000 ;
-        RECT 1.940000 1.275000 2.155000 2.390000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.065000 1.075000 1.535000 1.305000 ;
-    END
-  END B1
-  PIN X
-    ANTENNADIFFAREA  0.449000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.255000 0.425000 1.030000 ;
-        RECT 0.085000 1.030000 0.365000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.535000  1.860000 1.245000 2.635000 ;
-      RECT 0.595000  0.085000 0.765000 0.545000 ;
-      RECT 0.595000  0.715000 1.305000 0.905000 ;
-      RECT 0.595000  0.905000 0.880000 1.475000 ;
-      RECT 0.595000  1.475000 1.745000 1.690000 ;
-      RECT 1.005000  0.255000 1.365000 0.520000 ;
-      RECT 1.005000  0.520000 1.360000 0.525000 ;
-      RECT 1.005000  0.525000 1.355000 0.535000 ;
-      RECT 1.005000  0.535000 1.350000 0.540000 ;
-      RECT 1.005000  0.540000 1.345000 0.550000 ;
-      RECT 1.005000  0.550000 1.340000 0.555000 ;
-      RECT 1.005000  0.555000 1.330000 0.565000 ;
-      RECT 1.005000  0.565000 1.320000 0.575000 ;
-      RECT 1.005000  0.575000 1.305000 0.715000 ;
-      RECT 1.415000  1.690000 1.745000 2.465000 ;
-      RECT 1.495000  0.635000 1.825000 0.715000 ;
-      RECT 1.495000  0.715000 2.675000 0.905000 ;
-      RECT 1.995000  0.085000 2.165000 0.545000 ;
-      RECT 2.335000  0.255000 2.675000 0.715000 ;
-      RECT 2.335000  1.915000 2.665000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o21a_1
-MACRO sky130_fd_sc_hd__o21a_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o21a_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.865000 0.995000 3.125000 1.450000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.025000 1.025000 2.610000 1.400000 ;
-        RECT 2.405000 1.400000 2.610000 1.985000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.445000 1.010000 1.855000 1.615000 ;
-    END
-  END B1
-  PIN X
-    ANTENNADIFFAREA  0.453750 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.530000 0.255000 0.775000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.090000  1.635000 0.345000 2.635000 ;
-      RECT 0.105000  0.085000 0.345000 0.885000 ;
-      RECT 0.945000  0.085000 1.275000 0.465000 ;
-      RECT 0.945000  0.635000 1.795000 0.840000 ;
-      RECT 0.945000  0.840000 1.275000 1.330000 ;
-      RECT 0.945000  2.185000 1.795000 2.635000 ;
-      RECT 1.105000  1.330000 1.275000 1.785000 ;
-      RECT 1.105000  1.785000 2.225000 2.005000 ;
-      RECT 1.465000  0.255000 1.795000 0.635000 ;
-      RECT 1.965000  0.465000 2.175000 0.635000 ;
-      RECT 1.965000  0.635000 3.120000 0.825000 ;
-      RECT 1.965000  2.005000 2.225000 2.465000 ;
-      RECT 2.345000  0.085000 2.675000 0.465000 ;
-      RECT 2.795000  1.650000 3.120000 2.635000 ;
-      RECT 2.845000  0.495000 3.120000 0.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o21a_2
-MACRO sky130_fd_sc_hd__o21a_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o21a_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.520000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.480000 0.990000 3.785000 1.495000 ;
-        RECT 3.480000 1.495000 5.400000 1.705000 ;
-        RECT 5.030000 0.995000 5.400000 1.495000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.140000 0.995000 4.690000 1.325000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.485000 1.075000 3.155000 1.615000 ;
-    END
-  END B1
-  PIN X
-    ANTENNADIFFAREA  0.924000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.635000 1.715000 0.805000 ;
-        RECT 0.090000 0.805000 0.320000 1.530000 ;
-        RECT 0.090000 1.530000 1.955000 1.700000 ;
-        RECT 0.595000 0.615000 1.715000 0.635000 ;
-        RECT 0.915000 1.700000 1.105000 2.465000 ;
-        RECT 1.775000 1.700000 1.955000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.520000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.710000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.520000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.520000 0.085000 ;
-      RECT 0.000000  2.635000 5.520000 2.805000 ;
-      RECT 0.095000  0.085000 0.425000 0.465000 ;
-      RECT 0.415000  1.870000 0.745000 2.635000 ;
-      RECT 0.490000  0.995000 2.315000 1.335000 ;
-      RECT 0.955000  0.085000 1.285000 0.445000 ;
-      RECT 1.275000  1.870000 1.605000 2.635000 ;
-      RECT 1.815000  0.085000 2.145000 0.465000 ;
-      RECT 2.115000  0.655000 3.095000 0.870000 ;
-      RECT 2.115000  0.870000 2.315000 0.995000 ;
-      RECT 2.125000  1.335000 2.315000 1.830000 ;
-      RECT 2.125000  1.830000 2.845000 1.875000 ;
-      RECT 2.125000  1.875000 4.545000 2.085000 ;
-      RECT 2.135000  2.255000 2.485000 2.635000 ;
-      RECT 2.335000  0.255000 3.605000 0.485000 ;
-      RECT 2.655000  2.085000 4.545000 2.105000 ;
-      RECT 2.655000  2.105000 2.845000 2.465000 ;
-      RECT 3.015000  2.275000 3.685000 2.635000 ;
-      RECT 3.275000  0.485000 3.605000 0.615000 ;
-      RECT 3.275000  0.615000 5.405000 0.785000 ;
-      RECT 3.775000  0.085000 4.115000 0.445000 ;
-      RECT 4.215000  2.105000 4.545000 2.445000 ;
-      RECT 4.645000  0.085000 4.975000 0.445000 ;
-      RECT 5.075000  1.935000 5.435000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o21a_4
-MACRO sky130_fd_sc_hd__probe_p_8
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__probe_p_8 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.520000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.742500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.140000 1.075000 1.240000 1.275000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  1.782000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met5 ;
-        RECT 1.250000 0.560000 4.270000 2.160000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.520000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.710000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.520000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.520000 0.085000 ;
-      RECT 0.000000  2.635000 5.520000 2.805000 ;
-      RECT 0.095000  1.445000 1.595000 1.615000 ;
-      RECT 0.095000  1.615000 0.425000 2.465000 ;
-      RECT 0.175000  0.255000 0.345000 0.735000 ;
-      RECT 0.175000  0.735000 1.595000 0.905000 ;
-      RECT 0.515000  0.085000 0.845000 0.565000 ;
-      RECT 0.595000  1.835000 0.765000 2.635000 ;
-      RECT 0.935000  1.615000 1.265000 2.465000 ;
-      RECT 1.015000  0.260000 1.185000 0.735000 ;
-      RECT 1.355000  0.085000 1.685000 0.565000 ;
-      RECT 1.420000  0.905000 1.595000 1.075000 ;
-      RECT 1.420000  1.075000 4.045000 1.245000 ;
-      RECT 1.420000  1.245000 1.595000 1.445000 ;
-      RECT 1.435000  1.835000 1.605000 2.635000 ;
-      RECT 1.855000  0.255000 2.025000 0.735000 ;
-      RECT 1.855000  0.735000 4.545000 0.905000 ;
-      RECT 1.855000  1.445000 4.545000 1.615000 ;
-      RECT 1.855000  1.615000 2.025000 2.465000 ;
-      RECT 2.195000  0.085000 2.525000 0.565000 ;
-      RECT 2.195000  1.835000 2.525000 2.635000 ;
-      RECT 2.695000  0.255000 2.865000 0.735000 ;
-      RECT 2.695000  1.615000 2.865000 2.465000 ;
-      RECT 3.035000  0.085000 3.365000 0.565000 ;
-      RECT 3.035000  1.835000 3.365000 2.635000 ;
-      RECT 3.535000  0.255000 3.705000 0.735000 ;
-      RECT 3.535000  1.615000 3.705000 2.465000 ;
-      RECT 3.875000  0.085000 4.205000 0.565000 ;
-      RECT 3.875000  1.835000 4.205000 2.635000 ;
-      RECT 4.290000  0.905000 4.545000 1.055000 ;
-      RECT 4.290000  1.055000 4.885000 1.315000 ;
-      RECT 4.290000  1.315000 4.545000 1.445000 ;
-      RECT 4.375000  0.255000 4.545000 0.735000 ;
-      RECT 4.375000  1.615000 4.545000 2.465000 ;
-      RECT 4.715000  0.085000 5.045000 0.885000 ;
-      RECT 4.715000  1.485000 5.045000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.320000  1.105000 4.490000 1.275000 ;
-      RECT 4.680000  1.105000 4.850000 1.275000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-    LAYER met1 ;
-      RECT 3.465000 1.060000 4.105000 1.075000 ;
-      RECT 3.465000 1.075000 4.910000 1.305000 ;
-      RECT 3.465000 1.305000 4.105000 1.320000 ;
-    LAYER met2 ;
-      RECT 3.445000 1.005000 4.125000 1.375000 ;
-    LAYER met3 ;
-      RECT 3.395000 1.025000 4.175000 1.355000 ;
-    LAYER met4 ;
-      RECT 1.370000 0.680000 4.150000 1.860000 ;
-    LAYER via ;
-      RECT 3.495000 1.060000 3.755000 1.320000 ;
-      RECT 3.815000 1.060000 4.075000 1.320000 ;
-    LAYER via2 ;
-      RECT 3.445000 1.050000 3.725000 1.330000 ;
-      RECT 3.845000 1.050000 4.125000 1.330000 ;
-    LAYER via3 ;
-      RECT 3.425000 1.030000 3.745000 1.350000 ;
-      RECT 3.825000 1.030000 4.145000 1.350000 ;
-    LAYER via4 ;
-      RECT 2.970000 0.680000 4.150000 1.860000 ;
-  END
-END sky130_fd_sc_hd__probe_p_8
-MACRO sky130_fd_sc_hd__clkinv_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__clkinv_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.380000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.315000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.375000 0.325000 1.325000 ;
-    END
-  END A
-  PIN Y
-    ANTENNADIFFAREA  0.336000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 0.255000 0.840000 0.760000 ;
-        RECT 0.515000 0.760000 1.295000 1.290000 ;
-        RECT 0.515000 1.290000 0.845000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.380000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 1.570000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.380000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.380000 0.085000 ;
-      RECT 0.000000  2.635000 1.380000 2.805000 ;
-      RECT 0.085000  1.665000 0.345000 2.635000 ;
-      RECT 1.010000  0.085000 1.295000 0.590000 ;
-      RECT 1.015000  1.665000 1.295000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-  END
-END sky130_fd_sc_hd__clkinv_1
-MACRO sky130_fd_sc_hd__clkinv_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__clkinv_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.840000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.576000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.065000 1.305000 1.290000 ;
-    END
-  END A
-  PIN Y
-    ANTENNADIFFAREA  0.662600 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.155000 1.460000 1.755000 1.630000 ;
-        RECT 0.155000 1.630000 0.410000 2.435000 ;
-        RECT 1.010000 1.630000 1.270000 2.435000 ;
-        RECT 1.025000 0.280000 1.250000 0.725000 ;
-        RECT 1.025000 0.725000 1.755000 0.895000 ;
-        RECT 1.475000 0.895000 1.755000 1.460000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.840000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.030000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.840000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.840000 0.085000 ;
-      RECT 0.000000  2.635000 1.840000 2.805000 ;
-      RECT 0.560000  0.085000 0.855000 0.610000 ;
-      RECT 0.580000  1.800000 0.840000 2.635000 ;
-      RECT 1.420000  0.085000 1.750000 0.555000 ;
-      RECT 1.440000  1.800000 1.695000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-  END
-END sky130_fd_sc_hd__clkinv_2
-MACRO sky130_fd_sc_hd__clkinv_16
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__clkinv_16 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  11.04000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  4.608000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.345000 0.895000  2.155000 1.275000 ;
-        RECT 8.930000 0.895000 10.710000 1.275000 ;
-      LAYER mcon ;
-        RECT 1.525000 1.105000 1.695000 1.275000 ;
-        RECT 1.985000 1.105000 2.155000 1.275000 ;
-        RECT 9.345000 1.105000 9.515000 1.275000 ;
-        RECT 9.805000 1.105000 9.975000 1.275000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 1.465000 1.075000  2.215000 1.120000 ;
-        RECT 1.465000 1.120000 10.035000 1.260000 ;
-        RECT 1.465000 1.260000  2.215000 1.305000 ;
-        RECT 9.285000 1.075000 10.035000 1.120000 ;
-        RECT 9.285000 1.260000 10.035000 1.305000 ;
-    END
-  END A
-  PIN Y
-    ANTENNADIFFAREA  4.520900 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT  0.575000 1.455000 10.480000 1.665000 ;
-        RECT  0.575000 1.665000  0.830000 2.465000 ;
-        RECT  1.435000 1.665000  1.690000 2.450000 ;
-        RECT  2.325000 0.280000  2.550000 1.415000 ;
-        RECT  2.325000 1.415000  8.755000 1.455000 ;
-        RECT  2.325000 1.665000  2.550000 2.465000 ;
-        RECT  3.155000 0.280000  3.410000 1.415000 ;
-        RECT  3.155000 1.665000  3.410000 2.450000 ;
-        RECT  4.015000 0.280000  4.255000 1.415000 ;
-        RECT  4.015000 1.665000  4.255000 2.450000 ;
-        RECT  4.905000 0.280000  5.255000 1.415000 ;
-        RECT  4.905000 1.665000  5.280000 2.450000 ;
-        RECT  5.925000 0.280000  6.175000 1.415000 ;
-        RECT  5.925000 1.665000  6.175000 2.450000 ;
-        RECT  6.785000 0.280000  7.035000 1.415000 ;
-        RECT  6.785000 1.665000  7.035000 2.450000 ;
-        RECT  7.645000 0.280000  7.895000 1.415000 ;
-        RECT  7.645000 1.665000  7.895000 2.450000 ;
-        RECT  8.505000 0.280000  8.755000 1.415000 ;
-        RECT  8.505000 1.665000  8.755000 2.450000 ;
-        RECT  9.365000 1.665000  9.605000 2.450000 ;
-        RECT 10.225000 1.665000 10.480000 2.450000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 11.040000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 11.230000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 11.040000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 11.040000 0.085000 ;
-      RECT  0.000000  2.635000 11.040000 2.805000 ;
-      RECT  0.140000  1.495000  0.405000 2.635000 ;
-      RECT  1.000000  1.835000  1.260000 2.635000 ;
-      RECT  1.855000  0.085000  2.125000 0.610000 ;
-      RECT  1.865000  1.835000  2.120000 2.635000 ;
-      RECT  2.720000  0.085000  2.985000 0.610000 ;
-      RECT  2.720000  1.835000  2.980000 2.635000 ;
-      RECT  3.580000  0.085000  3.845000 0.610000 ;
-      RECT  3.585000  1.835000  3.840000 2.635000 ;
-      RECT  4.465000  0.085000  4.730000 0.610000 ;
-      RECT  4.465000  1.835000  4.720000 2.635000 ;
-      RECT  5.490000  0.085000  5.755000 0.610000 ;
-      RECT  5.490000  1.835000  5.745000 2.120000 ;
-      RECT  5.490000  2.120000  5.750000 2.635000 ;
-      RECT  6.350000  0.085000  6.575000 0.610000 ;
-      RECT  6.355000  1.835000  6.610000 2.635000 ;
-      RECT  7.210000  0.085000  7.475000 0.610000 ;
-      RECT  7.215000  1.835000  7.470000 2.635000 ;
-      RECT  8.070000  0.085000  8.335000 0.610000 ;
-      RECT  8.075000  1.835000  8.330000 2.635000 ;
-      RECT  8.930000  0.085000  9.195000 0.610000 ;
-      RECT  8.935000  1.835000  9.190000 2.635000 ;
-      RECT  9.795000  1.835000 10.050000 2.635000 ;
-      RECT 10.650000  1.835000 10.910000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-  END
-END sky130_fd_sc_hd__clkinv_16
-MACRO sky130_fd_sc_hd__clkinv_8
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__clkinv_8 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.980000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  2.304000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.455000 1.035000 4.865000 1.290000 ;
-    END
-  END A
-  PIN Y
-    ANTENNADIFFAREA  2.090400 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.115000 0.695000 5.440000 0.865000 ;
-        RECT 0.115000 0.865000 0.285000 1.460000 ;
-        RECT 0.115000 1.460000 5.440000 1.630000 ;
-        RECT 0.565000 1.630000 0.805000 2.435000 ;
-        RECT 1.405000 1.630000 1.645000 2.435000 ;
-        RECT 1.535000 0.280000 1.725000 0.695000 ;
-        RECT 2.245000 1.630000 2.495000 2.435000 ;
-        RECT 2.395000 0.280000 2.585000 0.695000 ;
-        RECT 3.080000 1.630000 3.325000 2.435000 ;
-        RECT 3.255000 0.280000 3.445000 0.695000 ;
-        RECT 3.920000 1.630000 4.175000 2.435000 ;
-        RECT 4.115000 0.280000 4.305000 0.695000 ;
-        RECT 4.765000 1.630000 5.005000 2.435000 ;
-        RECT 5.170000 0.865000 5.440000 1.460000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.980000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.170000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.980000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.980000 0.085000 ;
-      RECT 0.000000  2.635000 5.980000 2.805000 ;
-      RECT 0.135000  1.800000 0.395000 2.635000 ;
-      RECT 0.975000  1.800000 1.235000 2.635000 ;
-      RECT 1.035000  0.085000 1.365000 0.525000 ;
-      RECT 1.815000  1.800000 2.075000 2.635000 ;
-      RECT 1.895000  0.085000 2.225000 0.525000 ;
-      RECT 2.665000  1.800000 2.910000 2.635000 ;
-      RECT 2.755000  0.085000 3.085000 0.525000 ;
-      RECT 3.495000  1.800000 3.750000 2.635000 ;
-      RECT 3.615000  0.085000 3.945000 0.525000 ;
-      RECT 4.345000  1.800000 4.595000 2.635000 ;
-      RECT 4.475000  0.085000 4.805000 0.525000 ;
-      RECT 5.175000  1.800000 5.430000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-  END
-END sky130_fd_sc_hd__clkinv_8
-MACRO sky130_fd_sc_hd__clkinv_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__clkinv_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  1.152000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.445000 1.065000 2.660000 1.290000 ;
-    END
-  END A
-  PIN Y
-    ANTENNADIFFAREA  1.075200 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.105000 0.725000 3.135000 0.895000 ;
-        RECT 0.105000 0.895000 0.275000 1.460000 ;
-        RECT 0.105000 1.460000 3.135000 1.630000 ;
-        RECT 0.605000 1.630000 0.860000 2.435000 ;
-        RECT 1.030000 0.280000 1.290000 0.725000 ;
-        RECT 1.465000 1.630000 1.720000 2.435000 ;
-        RECT 1.890000 0.280000 2.145000 0.725000 ;
-        RECT 2.320000 1.630000 2.580000 2.435000 ;
-        RECT 2.835000 0.895000 3.135000 1.460000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.085000  1.800000 0.430000 2.635000 ;
-      RECT 0.565000  0.085000 0.860000 0.555000 ;
-      RECT 1.030000  1.800000 1.290000 2.635000 ;
-      RECT 1.460000  0.085000 1.720000 0.555000 ;
-      RECT 1.890000  1.800000 2.150000 2.635000 ;
-      RECT 2.315000  0.085000 2.615000 0.555000 ;
-      RECT 2.750000  1.800000 3.135000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__clkinv_4
-MACRO sky130_fd_sc_hd__sdfrtp_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sdfrtp_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  12.88000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.144000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.735000 1.355000 3.120000 1.785000 ;
-        RECT 2.865000 1.785000 3.120000 2.465000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 11.140000 0.265000 11.400000 0.795000 ;
-        RECT 11.140000 1.460000 11.400000 2.325000 ;
-        RECT 11.150000 1.445000 11.400000 1.460000 ;
-        RECT 11.190000 0.795000 11.400000 0.995000 ;
-        RECT 11.190000 0.995000 12.240000 1.325000 ;
-        RECT 11.190000 1.325000 11.400000 1.445000 ;
-        RECT 11.990000 0.265000 12.240000 0.995000 ;
-        RECT 11.990000 1.325000 12.240000 2.325000 ;
-    END
-  END Q
-  PIN RESET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.505000 0.765000 7.035000 1.045000 ;
-      LAYER mcon ;
-        RECT 6.865000 0.765000 7.035000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 9.525000 1.065000 10.115000 1.275000 ;
-        RECT 9.825000 0.635000 10.115000 1.065000 ;
-      LAYER mcon ;
-        RECT 9.690000 1.105000  9.860000 1.275000 ;
-        RECT 9.945000 0.765000 10.115000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 6.445000 0.735000  7.095000 0.780000 ;
-        RECT 6.445000 0.780000 10.175000 0.920000 ;
-        RECT 6.445000 0.920000  7.095000 0.965000 ;
-        RECT 9.630000 0.920000 10.175000 0.965000 ;
-        RECT 9.630000 0.965000  9.920000 1.305000 ;
-        RECT 9.885000 0.735000 10.175000 0.780000 ;
-    END
-  END RESET_B
-  PIN SCD
-    ANTENNAGATEAREA  0.156600 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.020000 0.285000 4.275000 0.710000 ;
-        RECT 4.020000 0.710000 4.395000 1.700000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.435000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.465000 1.985000 1.730000 2.465000 ;
-        RECT 1.485000 1.070000 1.730000 1.985000 ;
-    END
-  END SCE
-  PIN CLK
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.140000 0.975000 0.490000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 12.880000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.215000 -0.010000 0.235000 0.015000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000  1.970000 1.425000 ;
-        RECT -0.190000 1.425000 13.070000 2.910000 ;
-        RECT  4.405000 1.305000 13.070000 1.425000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 12.880000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 12.880000 0.085000 ;
-      RECT  0.000000  2.635000 12.880000 2.805000 ;
-      RECT  0.090000  1.795000  0.865000 1.965000 ;
-      RECT  0.090000  1.965000  0.345000 2.465000 ;
-      RECT  0.095000  0.345000  0.345000 0.635000 ;
-      RECT  0.095000  0.635000  0.835000 0.805000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.530000  2.135000  0.860000 2.635000 ;
-      RECT  0.660000  0.805000  0.835000 0.995000 ;
-      RECT  0.660000  0.995000  0.975000 1.325000 ;
-      RECT  0.660000  1.325000  0.865000 1.795000 ;
-      RECT  1.015000  0.345000  1.315000 0.675000 ;
-      RECT  1.035000  1.730000  1.315000 1.900000 ;
-      RECT  1.035000  1.900000  1.205000 2.465000 ;
-      RECT  1.145000  0.675000  1.315000 1.730000 ;
-      RECT  1.535000  0.395000  1.705000 0.730000 ;
-      RECT  1.535000  0.730000  2.225000 0.900000 ;
-      RECT  1.875000  0.085000  2.205000 0.560000 ;
-      RECT  1.900000  2.055000  2.150000 2.400000 ;
-      RECT  1.980000  1.260000  2.470000 1.455000 ;
-      RECT  1.980000  1.455000  2.150000 2.055000 ;
-      RECT  2.055000  0.900000  2.225000 0.995000 ;
-      RECT  2.055000  0.995000  3.085000 1.185000 ;
-      RECT  2.055000  1.185000  2.470000 1.260000 ;
-      RECT  2.320000  2.040000  2.490000 2.635000 ;
-      RECT  2.395000  0.085000  2.725000 0.825000 ;
-      RECT  2.915000  0.255000  3.850000 0.425000 ;
-      RECT  2.915000  0.425000  3.085000 0.995000 ;
-      RECT  3.255000  0.675000  3.425000 1.015000 ;
-      RECT  3.255000  1.015000  3.460000 1.185000 ;
-      RECT  3.290000  1.185000  3.460000 1.935000 ;
-      RECT  3.290000  1.935000  5.075000 2.105000 ;
-      RECT  3.460000  2.105000  3.630000 2.465000 ;
-      RECT  3.680000  0.425000  3.850000 1.685000 ;
-      RECT  4.300000  2.275000  4.630000 2.635000 ;
-      RECT  4.445000  0.085000  4.775000 0.540000 ;
-      RECT  4.565000  0.715000  5.145000 0.895000 ;
-      RECT  4.565000  0.895000  4.735000 1.935000 ;
-      RECT  4.905000  1.065000  5.075000 1.395000 ;
-      RECT  4.905000  2.105000  5.075000 2.185000 ;
-      RECT  4.905000  2.185000  5.275000 2.435000 ;
-      RECT  4.975000  0.335000  5.315000 0.505000 ;
-      RECT  4.975000  0.505000  5.145000 0.715000 ;
-      RECT  5.245000  1.575000  5.495000 1.955000 ;
-      RECT  5.325000  0.705000  5.975000 1.035000 ;
-      RECT  5.325000  1.035000  5.495000 1.575000 ;
-      RECT  5.470000  2.135000  5.835000 2.465000 ;
-      RECT  5.485000  0.305000  6.335000 0.475000 ;
-      RECT  5.665000  1.215000  7.375000 1.385000 ;
-      RECT  5.665000  1.385000  5.835000 2.135000 ;
-      RECT  6.005000  1.935000  7.165000 2.105000 ;
-      RECT  6.005000  2.105000  6.175000 2.375000 ;
-      RECT  6.165000  0.475000  6.335000 1.215000 ;
-      RECT  6.285000  1.595000  7.715000 1.765000 ;
-      RECT  6.410000  2.355000  6.740000 2.635000 ;
-      RECT  6.915000  0.085000  7.245000 0.545000 ;
-      RECT  6.995000  2.105000  7.165000 2.375000 ;
-      RECT  7.205000  1.005000  7.375000 1.215000 ;
-      RECT  7.375000  2.175000  7.745000 2.635000 ;
-      RECT  7.455000  0.275000  7.785000 0.445000 ;
-      RECT  7.455000  0.445000  7.715000 0.835000 ;
-      RECT  7.455000  1.765000  7.715000 1.835000 ;
-      RECT  7.455000  1.835000  8.140000 2.005000 ;
-      RECT  7.545000  0.835000  7.715000 1.595000 ;
-      RECT  7.885000  0.705000  8.095000 1.495000 ;
-      RECT  7.885000  1.495000  8.520000 1.655000 ;
-      RECT  7.885000  1.655000  8.870000 1.665000 ;
-      RECT  7.970000  2.005000  8.140000 2.465000 ;
-      RECT  8.005000  0.255000  8.915000 0.535000 ;
-      RECT  8.310000  1.665000  8.870000 1.935000 ;
-      RECT  8.310000  1.935000  8.840000 1.955000 ;
-      RECT  8.320000  2.125000  9.190000 2.465000 ;
-      RECT  8.405000  0.920000  8.575000 1.325000 ;
-      RECT  8.745000  0.535000  8.915000 1.315000 ;
-      RECT  8.745000  1.315000  9.210000 1.485000 ;
-      RECT  9.015000  2.035000  9.210000 2.115000 ;
-      RECT  9.015000  2.115000  9.190000 2.125000 ;
-      RECT  9.040000  1.485000  9.210000 1.575000 ;
-      RECT  9.040000  1.575000 10.205000 1.745000 ;
-      RECT  9.040000  1.745000  9.210000 2.035000 ;
-      RECT  9.085000  0.085000  9.255000 0.525000 ;
-      RECT  9.125000  0.695000  9.655000 0.865000 ;
-      RECT  9.125000  0.865000  9.295000 1.145000 ;
-      RECT  9.360000  2.195000  9.610000 2.635000 ;
-      RECT  9.485000  0.295000 10.515000 0.465000 ;
-      RECT  9.485000  0.465000  9.655000 0.695000 ;
-      RECT  9.780000  1.915000 10.545000 2.085000 ;
-      RECT  9.780000  2.085000  9.950000 2.375000 ;
-      RECT 10.120000  2.255000 10.450000 2.635000 ;
-      RECT 10.345000  0.465000 10.515000 0.995000 ;
-      RECT 10.345000  0.995000 11.020000 1.295000 ;
-      RECT 10.375000  1.295000 11.020000 1.325000 ;
-      RECT 10.375000  1.325000 10.545000 1.915000 ;
-      RECT 10.720000  0.085000 10.890000 0.545000 ;
-      RECT 10.720000  1.495000 10.970000 2.635000 ;
-      RECT 11.570000  0.085000 11.740000 0.545000 ;
-      RECT 11.570000  1.495000 11.820000 2.635000 ;
-      RECT 12.410000  0.085000 12.580000 0.545000 ;
-      RECT 12.410000  1.495000 12.660000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.805000  1.105000  0.975000 1.275000 ;
-      RECT  1.035000  1.785000  1.205000 1.955000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  4.905000  1.105000  5.075000 1.275000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.325000  1.785000  5.495000 1.955000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.405000  1.105000  8.575000 1.275000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.445000  1.785000  8.615000 1.955000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-      RECT 12.105000  2.635000 12.275000 2.805000 ;
-      RECT 12.565000 -0.085000 12.735000 0.085000 ;
-      RECT 12.565000  2.635000 12.735000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.745000 1.075000 1.035000 1.120000 ;
-      RECT 0.745000 1.120000 8.635000 1.260000 ;
-      RECT 0.745000 1.260000 1.035000 1.305000 ;
-      RECT 0.970000 1.755000 1.270000 1.800000 ;
-      RECT 0.970000 1.800000 8.675000 1.940000 ;
-      RECT 0.970000 1.940000 1.270000 1.985000 ;
-      RECT 4.845000 1.075000 5.135000 1.120000 ;
-      RECT 4.845000 1.260000 5.135000 1.305000 ;
-      RECT 5.265000 1.755000 5.555000 1.800000 ;
-      RECT 5.265000 1.940000 5.555000 1.985000 ;
-      RECT 8.345000 1.075000 8.635000 1.120000 ;
-      RECT 8.345000 1.260000 8.635000 1.305000 ;
-      RECT 8.385000 1.755000 8.675000 1.800000 ;
-      RECT 8.385000 1.940000 8.675000 1.985000 ;
-  END
-END sky130_fd_sc_hd__sdfrtp_4
-MACRO sky130_fd_sc_hd__sdfrtp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sdfrtp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  11.50000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.144000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.735000 1.355000 3.120000 1.785000 ;
-        RECT 2.865000 1.785000 3.120000 2.465000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 11.140000 0.265000 11.400000 0.795000 ;
-        RECT 11.140000 1.460000 11.400000 2.325000 ;
-        RECT 11.150000 1.445000 11.400000 1.460000 ;
-        RECT 11.190000 0.795000 11.400000 1.445000 ;
-    END
-  END Q
-  PIN RESET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.505000 0.765000 7.035000 1.045000 ;
-      LAYER mcon ;
-        RECT 6.865000 0.765000 7.035000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 9.525000 1.065000 10.115000 1.275000 ;
-        RECT 9.825000 0.635000 10.115000 1.065000 ;
-      LAYER mcon ;
-        RECT 9.690000 1.105000  9.860000 1.275000 ;
-        RECT 9.945000 0.765000 10.115000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 6.445000 0.735000  7.095000 0.780000 ;
-        RECT 6.445000 0.780000 10.175000 0.920000 ;
-        RECT 6.445000 0.920000  7.095000 0.965000 ;
-        RECT 9.630000 0.920000 10.175000 0.965000 ;
-        RECT 9.630000 0.965000  9.920000 1.305000 ;
-        RECT 9.885000 0.735000 10.175000 0.780000 ;
-    END
-  END RESET_B
-  PIN SCD
-    ANTENNAGATEAREA  0.156600 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.020000 0.285000 4.275000 0.710000 ;
-        RECT 4.020000 0.710000 4.395000 1.700000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.435000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.465000 1.985000 1.730000 2.465000 ;
-        RECT 1.485000 1.070000 1.730000 1.985000 ;
-    END
-  END SCE
-  PIN CLK
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.140000 0.975000 0.490000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 11.500000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.215000 -0.010000 0.235000 0.015000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000  1.970000 1.425000 ;
-        RECT -0.190000 1.425000 11.690000 2.910000 ;
-        RECT  4.405000 1.305000 11.690000 1.425000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 11.500000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 11.500000 0.085000 ;
-      RECT  0.000000  2.635000 11.500000 2.805000 ;
-      RECT  0.090000  1.795000  0.865000 1.965000 ;
-      RECT  0.090000  1.965000  0.345000 2.465000 ;
-      RECT  0.095000  0.345000  0.345000 0.635000 ;
-      RECT  0.095000  0.635000  0.835000 0.805000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.530000  2.135000  0.860000 2.635000 ;
-      RECT  0.660000  0.805000  0.835000 0.995000 ;
-      RECT  0.660000  0.995000  0.975000 1.325000 ;
-      RECT  0.660000  1.325000  0.865000 1.795000 ;
-      RECT  1.015000  0.345000  1.315000 0.675000 ;
-      RECT  1.035000  1.730000  1.315000 1.900000 ;
-      RECT  1.035000  1.900000  1.205000 2.465000 ;
-      RECT  1.145000  0.675000  1.315000 1.730000 ;
-      RECT  1.535000  0.395000  1.705000 0.730000 ;
-      RECT  1.535000  0.730000  2.225000 0.900000 ;
-      RECT  1.875000  0.085000  2.205000 0.560000 ;
-      RECT  1.900000  2.055000  2.150000 2.400000 ;
-      RECT  1.980000  1.260000  2.470000 1.455000 ;
-      RECT  1.980000  1.455000  2.150000 2.055000 ;
-      RECT  2.055000  0.900000  2.225000 0.995000 ;
-      RECT  2.055000  0.995000  3.085000 1.185000 ;
-      RECT  2.055000  1.185000  2.470000 1.260000 ;
-      RECT  2.320000  2.040000  2.490000 2.635000 ;
-      RECT  2.395000  0.085000  2.725000 0.825000 ;
-      RECT  2.915000  0.255000  3.850000 0.425000 ;
-      RECT  2.915000  0.425000  3.085000 0.995000 ;
-      RECT  3.255000  0.675000  3.425000 1.015000 ;
-      RECT  3.255000  1.015000  3.460000 1.185000 ;
-      RECT  3.290000  1.185000  3.460000 1.935000 ;
-      RECT  3.290000  1.935000  5.075000 2.105000 ;
-      RECT  3.460000  2.105000  3.630000 2.465000 ;
-      RECT  3.680000  0.425000  3.850000 1.685000 ;
-      RECT  4.300000  2.275000  4.630000 2.635000 ;
-      RECT  4.445000  0.085000  4.775000 0.540000 ;
-      RECT  4.565000  0.715000  5.145000 0.895000 ;
-      RECT  4.565000  0.895000  4.735000 1.935000 ;
-      RECT  4.905000  1.065000  5.075000 1.395000 ;
-      RECT  4.905000  2.105000  5.075000 2.185000 ;
-      RECT  4.905000  2.185000  5.275000 2.435000 ;
-      RECT  4.975000  0.335000  5.315000 0.505000 ;
-      RECT  4.975000  0.505000  5.145000 0.715000 ;
-      RECT  5.245000  1.575000  5.495000 1.955000 ;
-      RECT  5.325000  0.705000  5.975000 1.035000 ;
-      RECT  5.325000  1.035000  5.495000 1.575000 ;
-      RECT  5.470000  2.135000  5.835000 2.465000 ;
-      RECT  5.485000  0.305000  6.335000 0.475000 ;
-      RECT  5.665000  1.215000  7.375000 1.385000 ;
-      RECT  5.665000  1.385000  5.835000 2.135000 ;
-      RECT  6.005000  1.935000  7.165000 2.105000 ;
-      RECT  6.005000  2.105000  6.175000 2.375000 ;
-      RECT  6.165000  0.475000  6.335000 1.215000 ;
-      RECT  6.285000  1.595000  7.715000 1.765000 ;
-      RECT  6.410000  2.355000  6.740000 2.635000 ;
-      RECT  6.915000  0.085000  7.245000 0.545000 ;
-      RECT  6.995000  2.105000  7.165000 2.375000 ;
-      RECT  7.205000  1.005000  7.375000 1.215000 ;
-      RECT  7.375000  2.175000  7.745000 2.635000 ;
-      RECT  7.455000  0.275000  7.785000 0.445000 ;
-      RECT  7.455000  0.445000  7.715000 0.835000 ;
-      RECT  7.455000  1.765000  7.715000 1.835000 ;
-      RECT  7.455000  1.835000  8.140000 2.005000 ;
-      RECT  7.545000  0.835000  7.715000 1.595000 ;
-      RECT  7.885000  0.705000  8.095000 1.495000 ;
-      RECT  7.885000  1.495000  8.520000 1.655000 ;
-      RECT  7.885000  1.655000  8.870000 1.665000 ;
-      RECT  7.970000  2.005000  8.140000 2.465000 ;
-      RECT  8.005000  0.255000  8.915000 0.535000 ;
-      RECT  8.310000  1.665000  8.870000 1.935000 ;
-      RECT  8.310000  1.935000  8.840000 1.955000 ;
-      RECT  8.320000  2.125000  9.190000 2.465000 ;
-      RECT  8.405000  0.920000  8.575000 1.325000 ;
-      RECT  8.745000  0.535000  8.915000 1.315000 ;
-      RECT  8.745000  1.315000  9.210000 1.485000 ;
-      RECT  9.015000  2.035000  9.210000 2.115000 ;
-      RECT  9.015000  2.115000  9.190000 2.125000 ;
-      RECT  9.040000  1.485000  9.210000 1.575000 ;
-      RECT  9.040000  1.575000 10.205000 1.745000 ;
-      RECT  9.040000  1.745000  9.210000 2.035000 ;
-      RECT  9.085000  0.085000  9.255000 0.525000 ;
-      RECT  9.125000  0.695000  9.655000 0.865000 ;
-      RECT  9.125000  0.865000  9.295000 1.145000 ;
-      RECT  9.360000  2.195000  9.610000 2.635000 ;
-      RECT  9.485000  0.295000 10.515000 0.465000 ;
-      RECT  9.485000  0.465000  9.655000 0.695000 ;
-      RECT  9.780000  1.915000 10.545000 2.085000 ;
-      RECT  9.780000  2.085000  9.950000 2.375000 ;
-      RECT 10.120000  2.255000 10.450000 2.635000 ;
-      RECT 10.345000  0.465000 10.515000 0.995000 ;
-      RECT 10.345000  0.995000 11.020000 1.295000 ;
-      RECT 10.375000  1.295000 11.020000 1.325000 ;
-      RECT 10.375000  1.325000 10.545000 1.915000 ;
-      RECT 10.720000  0.085000 10.890000 0.545000 ;
-      RECT 10.720000  1.495000 10.970000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.805000  1.105000  0.975000 1.275000 ;
-      RECT  1.035000  1.785000  1.205000 1.955000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  4.905000  1.105000  5.075000 1.275000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.325000  1.785000  5.495000 1.955000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.405000  1.105000  8.575000 1.275000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.445000  1.785000  8.615000 1.955000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.745000 1.075000 1.035000 1.120000 ;
-      RECT 0.745000 1.120000 8.635000 1.260000 ;
-      RECT 0.745000 1.260000 1.035000 1.305000 ;
-      RECT 0.970000 1.755000 1.270000 1.800000 ;
-      RECT 0.970000 1.800000 8.675000 1.940000 ;
-      RECT 0.970000 1.940000 1.270000 1.985000 ;
-      RECT 4.845000 1.075000 5.135000 1.120000 ;
-      RECT 4.845000 1.260000 5.135000 1.305000 ;
-      RECT 5.265000 1.755000 5.555000 1.800000 ;
-      RECT 5.265000 1.940000 5.555000 1.985000 ;
-      RECT 8.345000 1.075000 8.635000 1.120000 ;
-      RECT 8.345000 1.260000 8.635000 1.305000 ;
-      RECT 8.385000 1.755000 8.675000 1.800000 ;
-      RECT 8.385000 1.940000 8.675000 1.985000 ;
-  END
-END sky130_fd_sc_hd__sdfrtp_1
-MACRO sky130_fd_sc_hd__sdfrtp_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sdfrtp_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  11.96000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.144000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.735000 1.355000 3.120000 1.785000 ;
-        RECT 2.865000 1.785000 3.120000 2.465000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 11.140000 0.265000 11.400000 0.795000 ;
-        RECT 11.140000 1.460000 11.400000 2.325000 ;
-        RECT 11.150000 1.445000 11.400000 1.460000 ;
-        RECT 11.190000 0.795000 11.400000 1.445000 ;
-    END
-  END Q
-  PIN RESET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.505000 0.765000 7.035000 1.045000 ;
-      LAYER mcon ;
-        RECT 6.865000 0.765000 7.035000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 9.525000 1.065000 10.115000 1.275000 ;
-        RECT 9.825000 0.635000 10.115000 1.065000 ;
-      LAYER mcon ;
-        RECT 9.690000 1.105000  9.860000 1.275000 ;
-        RECT 9.945000 0.765000 10.115000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 6.445000 0.735000  7.095000 0.780000 ;
-        RECT 6.445000 0.780000 10.175000 0.920000 ;
-        RECT 6.445000 0.920000  7.095000 0.965000 ;
-        RECT 9.630000 0.920000 10.175000 0.965000 ;
-        RECT 9.630000 0.965000  9.920000 1.305000 ;
-        RECT 9.885000 0.735000 10.175000 0.780000 ;
-    END
-  END RESET_B
-  PIN SCD
-    ANTENNAGATEAREA  0.156600 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.020000 0.285000 4.275000 0.710000 ;
-        RECT 4.020000 0.710000 4.395000 1.700000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.435000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.465000 1.985000 1.730000 2.465000 ;
-        RECT 1.485000 1.070000 1.730000 1.985000 ;
-    END
-  END SCE
-  PIN CLK
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.140000 0.975000 0.490000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 11.960000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.215000 -0.010000 0.235000 0.015000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000  1.970000 1.425000 ;
-        RECT -0.190000 1.425000 12.150000 2.910000 ;
-        RECT  4.405000 1.305000 12.150000 1.425000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 11.960000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 11.960000 0.085000 ;
-      RECT  0.000000  2.635000 11.960000 2.805000 ;
-      RECT  0.090000  1.795000  0.865000 1.965000 ;
-      RECT  0.090000  1.965000  0.345000 2.465000 ;
-      RECT  0.095000  0.345000  0.345000 0.635000 ;
-      RECT  0.095000  0.635000  0.835000 0.805000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.530000  2.135000  0.860000 2.635000 ;
-      RECT  0.660000  0.805000  0.835000 0.995000 ;
-      RECT  0.660000  0.995000  0.975000 1.325000 ;
-      RECT  0.660000  1.325000  0.865000 1.795000 ;
-      RECT  1.015000  0.345000  1.315000 0.675000 ;
-      RECT  1.035000  1.730000  1.315000 1.900000 ;
-      RECT  1.035000  1.900000  1.205000 2.465000 ;
-      RECT  1.145000  0.675000  1.315000 1.730000 ;
-      RECT  1.535000  0.395000  1.705000 0.730000 ;
-      RECT  1.535000  0.730000  2.225000 0.900000 ;
-      RECT  1.875000  0.085000  2.205000 0.560000 ;
-      RECT  1.900000  2.055000  2.150000 2.400000 ;
-      RECT  1.980000  1.260000  2.470000 1.455000 ;
-      RECT  1.980000  1.455000  2.150000 2.055000 ;
-      RECT  2.055000  0.900000  2.225000 0.995000 ;
-      RECT  2.055000  0.995000  3.085000 1.185000 ;
-      RECT  2.055000  1.185000  2.470000 1.260000 ;
-      RECT  2.320000  2.040000  2.490000 2.635000 ;
-      RECT  2.395000  0.085000  2.725000 0.825000 ;
-      RECT  2.915000  0.255000  3.850000 0.425000 ;
-      RECT  2.915000  0.425000  3.085000 0.995000 ;
-      RECT  3.255000  0.675000  3.425000 1.015000 ;
-      RECT  3.255000  1.015000  3.460000 1.185000 ;
-      RECT  3.290000  1.185000  3.460000 1.935000 ;
-      RECT  3.290000  1.935000  5.075000 2.105000 ;
-      RECT  3.460000  2.105000  3.630000 2.465000 ;
-      RECT  3.680000  0.425000  3.850000 1.685000 ;
-      RECT  4.300000  2.275000  4.630000 2.635000 ;
-      RECT  4.445000  0.085000  4.775000 0.540000 ;
-      RECT  4.565000  0.715000  5.145000 0.895000 ;
-      RECT  4.565000  0.895000  4.735000 1.935000 ;
-      RECT  4.905000  1.065000  5.075000 1.395000 ;
-      RECT  4.905000  2.105000  5.075000 2.185000 ;
-      RECT  4.905000  2.185000  5.275000 2.435000 ;
-      RECT  4.975000  0.335000  5.315000 0.505000 ;
-      RECT  4.975000  0.505000  5.145000 0.715000 ;
-      RECT  5.245000  1.575000  5.495000 1.955000 ;
-      RECT  5.325000  0.705000  5.975000 1.035000 ;
-      RECT  5.325000  1.035000  5.495000 1.575000 ;
-      RECT  5.470000  2.135000  5.835000 2.465000 ;
-      RECT  5.485000  0.305000  6.335000 0.475000 ;
-      RECT  5.665000  1.215000  7.375000 1.385000 ;
-      RECT  5.665000  1.385000  5.835000 2.135000 ;
-      RECT  6.005000  1.935000  7.165000 2.105000 ;
-      RECT  6.005000  2.105000  6.175000 2.375000 ;
-      RECT  6.165000  0.475000  6.335000 1.215000 ;
-      RECT  6.285000  1.595000  7.715000 1.765000 ;
-      RECT  6.410000  2.355000  6.740000 2.635000 ;
-      RECT  6.915000  0.085000  7.245000 0.545000 ;
-      RECT  6.995000  2.105000  7.165000 2.375000 ;
-      RECT  7.205000  1.005000  7.375000 1.215000 ;
-      RECT  7.375000  2.175000  7.745000 2.635000 ;
-      RECT  7.455000  0.275000  7.785000 0.445000 ;
-      RECT  7.455000  0.445000  7.715000 0.835000 ;
-      RECT  7.455000  1.765000  7.715000 1.835000 ;
-      RECT  7.455000  1.835000  8.140000 2.005000 ;
-      RECT  7.545000  0.835000  7.715000 1.595000 ;
-      RECT  7.885000  0.705000  8.095000 1.495000 ;
-      RECT  7.885000  1.495000  8.520000 1.655000 ;
-      RECT  7.885000  1.655000  8.870000 1.665000 ;
-      RECT  7.970000  2.005000  8.140000 2.465000 ;
-      RECT  8.005000  0.255000  8.915000 0.535000 ;
-      RECT  8.310000  1.665000  8.870000 1.935000 ;
-      RECT  8.310000  1.935000  8.840000 1.955000 ;
-      RECT  8.320000  2.125000  9.190000 2.465000 ;
-      RECT  8.405000  0.920000  8.575000 1.325000 ;
-      RECT  8.745000  0.535000  8.915000 1.315000 ;
-      RECT  8.745000  1.315000  9.210000 1.485000 ;
-      RECT  9.015000  2.035000  9.210000 2.115000 ;
-      RECT  9.015000  2.115000  9.190000 2.125000 ;
-      RECT  9.040000  1.485000  9.210000 1.575000 ;
-      RECT  9.040000  1.575000 10.205000 1.745000 ;
-      RECT  9.040000  1.745000  9.210000 2.035000 ;
-      RECT  9.085000  0.085000  9.255000 0.525000 ;
-      RECT  9.125000  0.695000  9.655000 0.865000 ;
-      RECT  9.125000  0.865000  9.295000 1.145000 ;
-      RECT  9.360000  2.195000  9.610000 2.635000 ;
-      RECT  9.485000  0.295000 10.515000 0.465000 ;
-      RECT  9.485000  0.465000  9.655000 0.695000 ;
-      RECT  9.780000  1.915000 10.545000 2.085000 ;
-      RECT  9.780000  2.085000  9.950000 2.375000 ;
-      RECT 10.120000  2.255000 10.450000 2.635000 ;
-      RECT 10.345000  0.465000 10.515000 0.995000 ;
-      RECT 10.345000  0.995000 11.020000 1.295000 ;
-      RECT 10.375000  1.295000 11.020000 1.325000 ;
-      RECT 10.375000  1.325000 10.545000 1.915000 ;
-      RECT 10.720000  0.085000 10.890000 0.545000 ;
-      RECT 10.720000  1.495000 10.970000 2.635000 ;
-      RECT 11.570000  0.085000 11.740000 0.545000 ;
-      RECT 11.570000  1.495000 11.820000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.805000  1.105000  0.975000 1.275000 ;
-      RECT  1.035000  1.785000  1.205000 1.955000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  4.905000  1.105000  5.075000 1.275000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.325000  1.785000  5.495000 1.955000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.405000  1.105000  8.575000 1.275000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.445000  1.785000  8.615000 1.955000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.745000 1.075000 1.035000 1.120000 ;
-      RECT 0.745000 1.120000 8.635000 1.260000 ;
-      RECT 0.745000 1.260000 1.035000 1.305000 ;
-      RECT 0.970000 1.755000 1.270000 1.800000 ;
-      RECT 0.970000 1.800000 8.675000 1.940000 ;
-      RECT 0.970000 1.940000 1.270000 1.985000 ;
-      RECT 4.845000 1.075000 5.135000 1.120000 ;
-      RECT 4.845000 1.260000 5.135000 1.305000 ;
-      RECT 5.265000 1.755000 5.555000 1.800000 ;
-      RECT 5.265000 1.940000 5.555000 1.985000 ;
-      RECT 8.345000 1.075000 8.635000 1.120000 ;
-      RECT 8.345000 1.260000 8.635000 1.305000 ;
-      RECT 8.385000 1.755000 8.675000 1.800000 ;
-      RECT 8.385000 1.940000 8.675000 1.985000 ;
-  END
-END sky130_fd_sc_hd__sdfrtp_2
-MACRO sky130_fd_sc_hd__xor3_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__xor3_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  8.740000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.246000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.505000 1.075000 7.915000 1.325000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.661500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.685000 0.995000 6.855000 1.445000 ;
-        RECT 6.685000 1.445000 7.265000 1.615000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.381000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.860000 0.995000 2.495000 1.325000 ;
-    END
-  END C
-  PIN X
-    ANTENNADIFFAREA  0.449000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.350000 0.590000 0.925000 ;
-        RECT 0.085000 0.925000 0.400000 1.440000 ;
-        RECT 0.085000 1.440000 0.610000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 8.740000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.930000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 8.740000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 8.740000 0.085000 ;
-      RECT 0.000000  2.635000 8.740000 2.805000 ;
-      RECT 0.750000  0.995000 0.950000 1.325000 ;
-      RECT 0.760000  0.085000 1.010000 0.525000 ;
-      RECT 0.780000  0.695000 1.350000 0.865000 ;
-      RECT 0.780000  0.865000 0.950000 0.995000 ;
-      RECT 0.780000  1.325000 0.950000 1.875000 ;
-      RECT 0.780000  1.875000 1.470000 2.045000 ;
-      RECT 0.780000  2.215000 1.115000 2.635000 ;
-      RECT 1.180000  0.255000 2.740000 0.425000 ;
-      RECT 1.180000  0.425000 1.350000 0.695000 ;
-      RECT 1.185000  1.535000 2.835000 1.705000 ;
-      RECT 1.300000  2.045000 1.470000 2.235000 ;
-      RECT 1.300000  2.235000 2.895000 2.405000 ;
-      RECT 1.520000  0.595000 1.690000 1.535000 ;
-      RECT 1.870000  1.895000 3.175000 2.065000 ;
-      RECT 1.970000  0.655000 3.080000 0.825000 ;
-      RECT 2.390000  0.425000 2.740000 0.455000 ;
-      RECT 2.665000  0.995000 2.940000 1.325000 ;
-      RECT 2.665000  1.325000 2.835000 1.535000 ;
-      RECT 2.910000  0.255000 3.760000 0.425000 ;
-      RECT 2.910000  0.425000 3.080000 0.655000 ;
-      RECT 3.005000  1.525000 3.535000 1.695000 ;
-      RECT 3.005000  1.695000 3.175000 1.895000 ;
-      RECT 3.110000  2.235000 3.515000 2.405000 ;
-      RECT 3.250000  0.595000 3.420000 1.375000 ;
-      RECT 3.250000  1.375000 3.535000 1.525000 ;
-      RECT 3.345000  1.895000 4.520000 2.065000 ;
-      RECT 3.345000  2.065000 3.515000 2.235000 ;
-      RECT 3.590000  0.425000 3.760000 1.035000 ;
-      RECT 3.590000  1.035000 3.875000 1.205000 ;
-      RECT 3.685000  2.235000 4.015000 2.635000 ;
-      RECT 3.705000  1.205000 3.875000 1.895000 ;
-      RECT 3.930000  0.085000 4.100000 0.865000 ;
-      RECT 4.105000  1.445000 4.520000 1.715000 ;
-      RECT 4.280000  0.415000 4.520000 1.445000 ;
-      RECT 4.350000  2.065000 4.520000 2.275000 ;
-      RECT 4.350000  2.275000 7.445000 2.445000 ;
-      RECT 4.695000  0.265000 5.110000 0.485000 ;
-      RECT 4.695000  0.485000 4.915000 0.595000 ;
-      RECT 4.695000  0.595000 4.865000 2.105000 ;
-      RECT 5.035000  0.720000 5.450000 0.825000 ;
-      RECT 5.035000  0.825000 5.255000 0.890000 ;
-      RECT 5.035000  0.890000 5.205000 2.275000 ;
-      RECT 5.085000  0.655000 5.450000 0.720000 ;
-      RECT 5.280000  0.320000 5.450000 0.655000 ;
-      RECT 5.395000  1.445000 6.175000 1.615000 ;
-      RECT 5.395000  1.615000 5.810000 2.045000 ;
-      RECT 5.410000  0.995000 5.835000 1.270000 ;
-      RECT 5.620000  0.630000 5.835000 0.995000 ;
-      RECT 6.005000  0.255000 7.150000 0.425000 ;
-      RECT 6.005000  0.425000 6.175000 1.445000 ;
-      RECT 6.345000  0.595000 6.515000 1.935000 ;
-      RECT 6.345000  1.935000 8.655000 2.105000 ;
-      RECT 6.685000  0.425000 7.150000 0.465000 ;
-      RECT 7.025000  0.730000 7.230000 0.945000 ;
-      RECT 7.025000  0.945000 7.335000 1.275000 ;
-      RECT 7.435000  1.495000 8.255000 1.705000 ;
-      RECT 7.475000  0.295000 7.765000 0.735000 ;
-      RECT 7.475000  0.735000 8.255000 0.750000 ;
-      RECT 7.515000  0.750000 8.255000 0.905000 ;
-      RECT 7.855000  2.275000 8.190000 2.635000 ;
-      RECT 7.935000  0.085000 8.105000 0.565000 ;
-      RECT 8.085000  0.905000 8.255000 0.995000 ;
-      RECT 8.085000  0.995000 8.315000 1.325000 ;
-      RECT 8.085000  1.325000 8.255000 1.495000 ;
-      RECT 8.170000  1.875000 8.655000 1.935000 ;
-      RECT 8.355000  0.255000 8.655000 0.585000 ;
-      RECT 8.360000  2.105000 8.655000 2.465000 ;
-      RECT 8.485000  0.585000 8.655000 1.875000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  1.445000 3.535000 1.615000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  0.765000 4.455000 0.935000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  0.425000 4.915000 0.595000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  0.765000 5.835000 0.935000 ;
-      RECT 5.665000  1.445000 5.835000 1.615000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  0.765000 7.215000 0.935000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  0.425000 7.675000 0.595000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-    LAYER met1 ;
-      RECT 3.305000 1.415000 3.595000 1.460000 ;
-      RECT 3.305000 1.460000 5.895000 1.600000 ;
-      RECT 3.305000 1.600000 3.595000 1.645000 ;
-      RECT 4.225000 0.735000 4.515000 0.780000 ;
-      RECT 4.225000 0.780000 7.275000 0.920000 ;
-      RECT 4.225000 0.920000 4.515000 0.965000 ;
-      RECT 4.685000 0.395000 4.975000 0.440000 ;
-      RECT 4.685000 0.440000 7.735000 0.580000 ;
-      RECT 4.685000 0.580000 4.975000 0.625000 ;
-      RECT 5.605000 0.735000 5.895000 0.780000 ;
-      RECT 5.605000 0.920000 5.895000 0.965000 ;
-      RECT 5.605000 1.415000 5.895000 1.460000 ;
-      RECT 5.605000 1.600000 5.895000 1.645000 ;
-      RECT 6.985000 0.735000 7.275000 0.780000 ;
-      RECT 6.985000 0.920000 7.275000 0.965000 ;
-      RECT 7.445000 0.395000 7.735000 0.440000 ;
-      RECT 7.445000 0.580000 7.735000 0.625000 ;
-  END
-END sky130_fd_sc_hd__xor3_1
-MACRO sky130_fd_sc_hd__xor3_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__xor3_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  10.12000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.246000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 8.525000 1.075000 8.935000 1.325000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.661500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.705000 0.995000 7.875000 1.445000 ;
-        RECT 7.705000 1.445000 8.285000 1.615000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.381000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.880000 0.995000 3.515000 1.325000 ;
-    END
-  END C
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.595000 0.350000 0.765000 0.660000 ;
-        RECT 0.595000 0.660000 1.605000 0.830000 ;
-        RECT 0.595000 0.830000 1.535000 0.925000 ;
-        RECT 0.695000 1.440000 1.420000 1.455000 ;
-        RECT 0.695000 1.455000 1.705000 2.045000 ;
-        RECT 0.695000 2.045000 0.865000 2.465000 ;
-        RECT 1.105000 0.925000 1.420000 1.440000 ;
-        RECT 1.435000 0.350000 1.605000 0.660000 ;
-        RECT 1.535000 2.045000 1.705000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER li1 ;
-        RECT 0.000000 -0.085000 10.120000 0.085000 ;
-        RECT 0.175000  0.085000  0.345000 0.545000 ;
-        RECT 0.935000  0.085000  1.265000 0.465000 ;
-        RECT 1.855000  0.085000  2.025000 0.525000 ;
-        RECT 4.950000  0.085000  5.120000 0.885000 ;
-        RECT 8.995000  0.085000  9.165000 0.565000 ;
-      LAYER mcon ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-        RECT 0.605000 -0.085000 0.775000 0.085000 ;
-        RECT 1.065000 -0.085000 1.235000 0.085000 ;
-        RECT 1.525000 -0.085000 1.695000 0.085000 ;
-        RECT 1.985000 -0.085000 2.155000 0.085000 ;
-        RECT 2.445000 -0.085000 2.615000 0.085000 ;
-        RECT 2.905000 -0.085000 3.075000 0.085000 ;
-        RECT 3.365000 -0.085000 3.535000 0.085000 ;
-        RECT 3.825000 -0.085000 3.995000 0.085000 ;
-        RECT 4.285000 -0.085000 4.455000 0.085000 ;
-        RECT 4.745000 -0.085000 4.915000 0.085000 ;
-        RECT 5.205000 -0.085000 5.375000 0.085000 ;
-        RECT 5.665000 -0.085000 5.835000 0.085000 ;
-        RECT 6.125000 -0.085000 6.295000 0.085000 ;
-        RECT 6.585000 -0.085000 6.755000 0.085000 ;
-        RECT 7.045000 -0.085000 7.215000 0.085000 ;
-        RECT 7.505000 -0.085000 7.675000 0.085000 ;
-        RECT 7.965000 -0.085000 8.135000 0.085000 ;
-        RECT 8.425000 -0.085000 8.595000 0.085000 ;
-        RECT 8.885000 -0.085000 9.055000 0.085000 ;
-        RECT 9.345000 -0.085000 9.515000 0.085000 ;
-        RECT 9.805000 -0.085000 9.975000 0.085000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 10.120000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.235000 -0.085000 0.405000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 10.310000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 0.000000 2.635000 10.120000 2.805000 ;
-        RECT 0.275000 2.135000  0.445000 2.635000 ;
-        RECT 1.035000 2.215000  1.365000 2.635000 ;
-        RECT 1.875000 2.215000  2.205000 2.635000 ;
-        RECT 4.705000 2.235000  5.035000 2.635000 ;
-        RECT 8.915000 2.275000  9.245000 2.635000 ;
-      LAYER mcon ;
-        RECT 0.145000 2.635000 0.315000 2.805000 ;
-        RECT 0.605000 2.635000 0.775000 2.805000 ;
-        RECT 1.065000 2.635000 1.235000 2.805000 ;
-        RECT 1.525000 2.635000 1.695000 2.805000 ;
-        RECT 1.985000 2.635000 2.155000 2.805000 ;
-        RECT 2.445000 2.635000 2.615000 2.805000 ;
-        RECT 2.905000 2.635000 3.075000 2.805000 ;
-        RECT 3.365000 2.635000 3.535000 2.805000 ;
-        RECT 3.825000 2.635000 3.995000 2.805000 ;
-        RECT 4.285000 2.635000 4.455000 2.805000 ;
-        RECT 4.745000 2.635000 4.915000 2.805000 ;
-        RECT 5.205000 2.635000 5.375000 2.805000 ;
-        RECT 5.665000 2.635000 5.835000 2.805000 ;
-        RECT 6.125000 2.635000 6.295000 2.805000 ;
-        RECT 6.585000 2.635000 6.755000 2.805000 ;
-        RECT 7.045000 2.635000 7.215000 2.805000 ;
-        RECT 7.505000 2.635000 7.675000 2.805000 ;
-        RECT 7.965000 2.635000 8.135000 2.805000 ;
-        RECT 8.425000 2.635000 8.595000 2.805000 ;
-        RECT 8.885000 2.635000 9.055000 2.805000 ;
-        RECT 9.345000 2.635000 9.515000 2.805000 ;
-        RECT 9.805000 2.635000 9.975000 2.805000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 10.120000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 1.820000 0.965000 2.045000 1.325000 ;
-      RECT 1.875000 0.695000 2.365000 0.865000 ;
-      RECT 1.875000 0.865000 2.045000 0.965000 ;
-      RECT 1.875000 1.325000 2.045000 1.875000 ;
-      RECT 1.875000 1.875000 2.545000 2.045000 ;
-      RECT 2.195000 0.255000 3.760000 0.425000 ;
-      RECT 2.195000 0.425000 2.365000 0.695000 ;
-      RECT 2.370000 1.535000 3.855000 1.705000 ;
-      RECT 2.375000 2.045000 2.545000 2.235000 ;
-      RECT 2.375000 2.235000 3.915000 2.405000 ;
-      RECT 2.540000 0.595000 2.710000 1.535000 ;
-      RECT 2.890000 1.895000 4.195000 2.065000 ;
-      RECT 2.990000 0.655000 4.100000 0.825000 ;
-      RECT 3.410000 0.425000 3.760000 0.455000 ;
-      RECT 3.685000 0.995000 4.055000 1.325000 ;
-      RECT 3.685000 1.325000 3.855000 1.535000 ;
-      RECT 3.930000 0.255000 4.780000 0.425000 ;
-      RECT 3.930000 0.425000 4.100000 0.655000 ;
-      RECT 4.025000 1.525000 4.555000 1.695000 ;
-      RECT 4.025000 1.695000 4.195000 1.895000 ;
-      RECT 4.130000 2.235000 4.535000 2.405000 ;
-      RECT 4.270000 0.595000 4.440000 1.375000 ;
-      RECT 4.270000 1.375000 4.555000 1.525000 ;
-      RECT 4.365000 1.895000 5.540000 2.065000 ;
-      RECT 4.365000 2.065000 4.535000 2.235000 ;
-      RECT 4.610000 0.425000 4.780000 1.035000 ;
-      RECT 4.610000 1.035000 4.865000 1.040000 ;
-      RECT 4.610000 1.040000 4.880000 1.045000 ;
-      RECT 4.610000 1.045000 4.890000 1.050000 ;
-      RECT 4.610000 1.050000 4.895000 1.205000 ;
-      RECT 4.725000 1.205000 4.895000 1.895000 ;
-      RECT 5.125000 1.445000 5.540000 1.715000 ;
-      RECT 5.300000 0.415000 5.540000 1.445000 ;
-      RECT 5.370000 2.065000 5.540000 2.275000 ;
-      RECT 5.370000 2.275000 8.465000 2.445000 ;
-      RECT 5.715000 0.265000 6.130000 0.485000 ;
-      RECT 5.715000 0.485000 5.935000 0.595000 ;
-      RECT 5.715000 0.595000 5.885000 2.105000 ;
-      RECT 6.075000 0.720000 6.470000 0.825000 ;
-      RECT 6.075000 0.825000 6.275000 0.890000 ;
-      RECT 6.075000 0.890000 6.245000 2.275000 ;
-      RECT 6.105000 0.655000 6.470000 0.720000 ;
-      RECT 6.300000 0.320000 6.470000 0.655000 ;
-      RECT 6.415000 1.445000 7.195000 1.615000 ;
-      RECT 6.415000 1.615000 6.830000 2.045000 ;
-      RECT 6.430000 0.995000 6.855000 1.270000 ;
-      RECT 6.640000 0.630000 6.855000 0.995000 ;
-      RECT 7.025000 0.255000 8.170000 0.425000 ;
-      RECT 7.025000 0.425000 7.195000 1.445000 ;
-      RECT 7.365000 0.595000 7.535000 1.935000 ;
-      RECT 7.365000 1.935000 9.675000 2.105000 ;
-      RECT 7.705000 0.425000 8.170000 0.465000 ;
-      RECT 8.045000 0.730000 8.250000 0.945000 ;
-      RECT 8.045000 0.945000 8.355000 1.275000 ;
-      RECT 8.455000 1.495000 9.275000 1.705000 ;
-      RECT 8.495000 0.295000 8.785000 0.735000 ;
-      RECT 8.495000 0.735000 9.275000 0.750000 ;
-      RECT 8.535000 0.750000 9.275000 0.905000 ;
-      RECT 9.105000 0.905000 9.275000 0.995000 ;
-      RECT 9.105000 0.995000 9.335000 1.325000 ;
-      RECT 9.105000 1.325000 9.275000 1.495000 ;
-      RECT 9.190000 1.875000 9.675000 1.935000 ;
-      RECT 9.415000 0.255000 9.675000 0.585000 ;
-      RECT 9.415000 2.105000 9.675000 2.465000 ;
-      RECT 9.505000 0.585000 9.675000 1.875000 ;
-    LAYER mcon ;
-      RECT 4.385000 1.445000 4.555000 1.615000 ;
-      RECT 5.305000 0.765000 5.475000 0.935000 ;
-      RECT 5.765000 0.425000 5.935000 0.595000 ;
-      RECT 6.685000 0.765000 6.855000 0.935000 ;
-      RECT 6.685000 1.445000 6.855000 1.615000 ;
-      RECT 8.065000 0.765000 8.235000 0.935000 ;
-      RECT 8.525000 0.425000 8.695000 0.595000 ;
-    LAYER met1 ;
-      RECT 4.325000 1.415000 4.615000 1.460000 ;
-      RECT 4.325000 1.460000 6.915000 1.600000 ;
-      RECT 4.325000 1.600000 4.615000 1.645000 ;
-      RECT 5.245000 0.735000 5.535000 0.780000 ;
-      RECT 5.245000 0.780000 8.295000 0.920000 ;
-      RECT 5.245000 0.920000 5.535000 0.965000 ;
-      RECT 5.705000 0.395000 5.995000 0.440000 ;
-      RECT 5.705000 0.440000 8.755000 0.580000 ;
-      RECT 5.705000 0.580000 5.995000 0.625000 ;
-      RECT 6.625000 0.735000 6.915000 0.780000 ;
-      RECT 6.625000 0.920000 6.915000 0.965000 ;
-      RECT 6.625000 1.415000 6.915000 1.460000 ;
-      RECT 6.625000 1.600000 6.915000 1.645000 ;
-      RECT 8.005000 0.735000 8.295000 0.780000 ;
-      RECT 8.005000 0.920000 8.295000 0.965000 ;
-      RECT 8.465000 0.395000 8.755000 0.440000 ;
-      RECT 8.465000 0.580000 8.755000 0.625000 ;
-  END
-END sky130_fd_sc_hd__xor3_4
-MACRO sky130_fd_sc_hd__xor3_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__xor3_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.200000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.246000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.965000 1.075000 8.375000 1.325000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.661500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.145000 0.995000 7.315000 1.445000 ;
-        RECT 7.145000 1.445000 7.725000 1.615000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.381000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.320000 0.995000 2.955000 1.325000 ;
-    END
-  END C
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.545000 0.660000 1.050000 0.925000 ;
-        RECT 0.545000 0.925000 0.860000 1.440000 ;
-        RECT 0.545000 1.440000 1.070000 2.045000 ;
-        RECT 0.800000 0.350000 1.050000 0.660000 ;
-        RECT 0.820000 2.045000 1.070000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.200000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.390000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.200000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.200000 0.085000 ;
-      RECT 0.000000  2.635000 9.200000 2.805000 ;
-      RECT 0.300000  0.085000 0.630000 0.465000 ;
-      RECT 0.300000  2.215000 0.650000 2.635000 ;
-      RECT 1.210000  0.995000 1.410000 1.325000 ;
-      RECT 1.220000  0.085000 1.470000 0.525000 ;
-      RECT 1.240000  0.695000 1.810000 0.865000 ;
-      RECT 1.240000  0.865000 1.410000 0.995000 ;
-      RECT 1.240000  1.325000 1.410000 1.875000 ;
-      RECT 1.240000  1.875000 1.930000 2.045000 ;
-      RECT 1.240000  2.215000 1.575000 2.635000 ;
-      RECT 1.640000  0.255000 3.200000 0.425000 ;
-      RECT 1.640000  0.425000 1.810000 0.695000 ;
-      RECT 1.645000  1.535000 3.295000 1.705000 ;
-      RECT 1.760000  2.045000 1.930000 2.235000 ;
-      RECT 1.760000  2.235000 3.355000 2.405000 ;
-      RECT 1.980000  0.595000 2.150000 1.535000 ;
-      RECT 2.330000  1.895000 3.635000 2.065000 ;
-      RECT 2.430000  0.655000 3.540000 0.825000 ;
-      RECT 2.850000  0.425000 3.200000 0.455000 ;
-      RECT 3.125000  0.995000 3.400000 1.325000 ;
-      RECT 3.125000  1.325000 3.295000 1.535000 ;
-      RECT 3.370000  0.255000 4.220000 0.425000 ;
-      RECT 3.370000  0.425000 3.540000 0.655000 ;
-      RECT 3.465000  1.525000 3.995000 1.695000 ;
-      RECT 3.465000  1.695000 3.635000 1.895000 ;
-      RECT 3.570000  2.235000 3.975000 2.405000 ;
-      RECT 3.710000  0.595000 3.880000 1.375000 ;
-      RECT 3.710000  1.375000 3.995000 1.525000 ;
-      RECT 3.805000  1.895000 4.980000 2.065000 ;
-      RECT 3.805000  2.065000 3.975000 2.235000 ;
-      RECT 4.050000  0.425000 4.220000 1.035000 ;
-      RECT 4.050000  1.035000 4.335000 1.205000 ;
-      RECT 4.145000  2.235000 4.475000 2.635000 ;
-      RECT 4.165000  1.205000 4.335000 1.895000 ;
-      RECT 4.390000  0.085000 4.560000 0.865000 ;
-      RECT 4.565000  1.445000 4.980000 1.715000 ;
-      RECT 4.740000  0.415000 4.980000 1.445000 ;
-      RECT 4.810000  2.065000 4.980000 2.275000 ;
-      RECT 4.810000  2.275000 7.905000 2.445000 ;
-      RECT 5.155000  0.265000 5.570000 0.485000 ;
-      RECT 5.155000  0.485000 5.375000 0.595000 ;
-      RECT 5.155000  0.595000 5.325000 2.105000 ;
-      RECT 5.495000  0.720000 5.910000 0.825000 ;
-      RECT 5.495000  0.825000 5.715000 0.890000 ;
-      RECT 5.495000  0.890000 5.665000 2.275000 ;
-      RECT 5.545000  0.655000 5.910000 0.720000 ;
-      RECT 5.740000  0.320000 5.910000 0.655000 ;
-      RECT 5.855000  1.445000 6.635000 1.615000 ;
-      RECT 5.855000  1.615000 6.270000 2.045000 ;
-      RECT 5.870000  0.995000 6.295000 1.270000 ;
-      RECT 6.080000  0.630000 6.295000 0.995000 ;
-      RECT 6.465000  0.255000 7.610000 0.425000 ;
-      RECT 6.465000  0.425000 6.635000 1.445000 ;
-      RECT 6.805000  0.595000 6.975000 1.935000 ;
-      RECT 6.805000  1.935000 9.115000 2.105000 ;
-      RECT 7.145000  0.425000 7.610000 0.465000 ;
-      RECT 7.485000  0.730000 7.690000 0.945000 ;
-      RECT 7.485000  0.945000 7.795000 1.275000 ;
-      RECT 7.895000  1.495000 8.715000 1.705000 ;
-      RECT 7.935000  0.295000 8.225000 0.735000 ;
-      RECT 7.935000  0.735000 8.715000 0.750000 ;
-      RECT 7.975000  0.750000 8.715000 0.905000 ;
-      RECT 8.315000  2.275000 8.650000 2.635000 ;
-      RECT 8.395000  0.085000 8.565000 0.565000 ;
-      RECT 8.545000  0.905000 8.715000 0.995000 ;
-      RECT 8.545000  0.995000 8.775000 1.325000 ;
-      RECT 8.545000  1.325000 8.715000 1.495000 ;
-      RECT 8.630000  1.875000 9.115000 1.935000 ;
-      RECT 8.815000  0.255000 9.115000 0.585000 ;
-      RECT 8.820000  2.105000 9.115000 2.465000 ;
-      RECT 8.945000  0.585000 9.115000 1.875000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  1.445000 3.995000 1.615000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  0.765000 4.915000 0.935000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  0.425000 5.375000 0.595000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  0.765000 6.295000 0.935000 ;
-      RECT 6.125000  1.445000 6.295000 1.615000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  0.765000 7.675000 0.935000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  0.425000 8.135000 0.595000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-    LAYER met1 ;
-      RECT 3.765000 1.415000 4.055000 1.460000 ;
-      RECT 3.765000 1.460000 6.355000 1.600000 ;
-      RECT 3.765000 1.600000 4.055000 1.645000 ;
-      RECT 4.685000 0.735000 4.975000 0.780000 ;
-      RECT 4.685000 0.780000 7.735000 0.920000 ;
-      RECT 4.685000 0.920000 4.975000 0.965000 ;
-      RECT 5.145000 0.395000 5.435000 0.440000 ;
-      RECT 5.145000 0.440000 8.195000 0.580000 ;
-      RECT 5.145000 0.580000 5.435000 0.625000 ;
-      RECT 6.065000 0.735000 6.355000 0.780000 ;
-      RECT 6.065000 0.920000 6.355000 0.965000 ;
-      RECT 6.065000 1.415000 6.355000 1.460000 ;
-      RECT 6.065000 1.600000 6.355000 1.645000 ;
-      RECT 7.445000 0.735000 7.735000 0.780000 ;
-      RECT 7.445000 0.920000 7.735000 0.965000 ;
-      RECT 7.905000 0.395000 8.195000 0.440000 ;
-      RECT 7.905000 0.580000 8.195000 0.625000 ;
-  END
-END sky130_fd_sc_hd__xor3_2
-MACRO sky130_fd_sc_hd__o221a_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o221a_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.635000 1.075000 3.075000 1.285000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.980000 1.075000 2.465000 1.285000 ;
-        RECT 1.980000 1.285000 2.285000 1.705000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.885000 1.075000 1.230000 1.275000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.400000 1.075000 1.790000 1.275000 ;
-        RECT 1.500000 1.275000 1.790000 1.705000 ;
-    END
-  END B2
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.975000 0.345000 1.325000 ;
-    END
-  END C1
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.295000 0.265000 3.625000 0.735000 ;
-        RECT 3.295000 0.735000 4.055000 0.905000 ;
-        RECT 3.295000 1.875000 4.055000 2.045000 ;
-        RECT 3.295000 2.045000 3.545000 2.465000 ;
-        RECT 3.745000 0.905000 4.055000 1.875000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.120000 -0.085000 0.290000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.170000  0.255000 0.500000 0.635000 ;
-      RECT 0.170000  0.635000 0.715000 0.805000 ;
-      RECT 0.250000  1.495000 1.330000 1.670000 ;
-      RECT 0.250000  1.670000 0.580000 2.465000 ;
-      RECT 0.545000  0.805000 0.715000 1.445000 ;
-      RECT 0.545000  1.445000 1.330000 1.495000 ;
-      RECT 0.670000  0.295000 1.855000 0.465000 ;
-      RECT 0.750000  1.850000 0.990000 2.635000 ;
-      RECT 1.085000  0.645000 1.470000 0.735000 ;
-      RECT 1.085000  0.735000 2.785000 0.905000 ;
-      RECT 1.160000  1.670000 1.330000 1.875000 ;
-      RECT 1.160000  1.875000 2.625000 2.045000 ;
-      RECT 1.550000  2.045000 2.305000 2.465000 ;
-      RECT 2.115000  0.085000 2.285000 0.555000 ;
-      RECT 2.455000  0.270000 2.785000 0.735000 ;
-      RECT 2.455000  1.455000 3.415000 1.625000 ;
-      RECT 2.455000  1.625000 2.625000 1.875000 ;
-      RECT 2.795000  1.795000 3.125000 2.635000 ;
-      RECT 2.955000  0.085000 3.125000 0.905000 ;
-      RECT 3.245000  1.075000 3.575000 1.285000 ;
-      RECT 3.245000  1.285000 3.415000 1.455000 ;
-      RECT 3.715000  2.215000 4.055000 2.635000 ;
-      RECT 3.795000  0.085000 3.965000 0.565000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o221a_2
-MACRO sky130_fd_sc_hd__o221a_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o221a_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.360000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.005000 1.075000 3.605000 1.445000 ;
-        RECT 3.005000 1.445000 4.775000 1.615000 ;
-        RECT 4.525000 1.075000 5.035000 1.275000 ;
-        RECT 4.525000 1.275000 4.775000 1.445000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.775000 1.075000 4.355000 1.275000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.025000 1.075000 1.520000 1.445000 ;
-        RECT 1.025000 1.445000 2.745000 1.615000 ;
-        RECT 2.415000 1.075000 2.745000 1.445000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.690000 1.075000 2.245000 1.275000 ;
-    END
-  END B2
-  PIN C1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 1.075000 0.440000 1.275000 ;
-    END
-  END C1
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.235000 0.255000 5.565000 0.725000 ;
-        RECT 5.235000 0.725000 6.405000 0.735000 ;
-        RECT 5.235000 0.735000 6.920000 0.905000 ;
-        RECT 5.315000 1.785000 5.900000 1.955000 ;
-        RECT 5.315000 1.955000 5.525000 2.465000 ;
-        RECT 5.730000 1.445000 6.920000 1.615000 ;
-        RECT 5.730000 1.615000 5.900000 1.785000 ;
-        RECT 6.075000 0.255000 6.405000 0.725000 ;
-        RECT 6.115000 1.615000 6.365000 2.465000 ;
-        RECT 6.575000 0.905000 6.920000 1.445000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.360000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.550000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.360000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.360000 0.085000 ;
-      RECT 0.000000  2.635000 7.360000 2.805000 ;
-      RECT 0.085000  0.255000 2.955000 0.475000 ;
-      RECT 0.085000  0.475000 0.345000 0.895000 ;
-      RECT 0.145000  1.455000 0.395000 2.635000 ;
-      RECT 0.515000  0.645000 0.845000 0.865000 ;
-      RECT 0.565000  1.445000 0.845000 1.785000 ;
-      RECT 0.565000  1.785000 5.145000 1.955000 ;
-      RECT 0.565000  1.955000 0.815000 2.465000 ;
-      RECT 0.610000  0.865000 0.845000 1.445000 ;
-      RECT 0.985000  2.125000 1.235000 2.635000 ;
-      RECT 1.015000  0.475000 1.185000 0.905000 ;
-      RECT 1.355000  0.645000 2.535000 0.715000 ;
-      RECT 1.355000  0.715000 3.885000 0.725000 ;
-      RECT 1.355000  0.725000 4.725000 0.905000 ;
-      RECT 1.405000  2.125000 1.655000 2.295000 ;
-      RECT 1.405000  2.295000 2.495000 2.465000 ;
-      RECT 1.825000  1.955000 2.075000 2.125000 ;
-      RECT 2.245000  2.125000 2.495000 2.295000 ;
-      RECT 2.665000  2.125000 3.425000 2.635000 ;
-      RECT 3.145000  0.085000 3.385000 0.545000 ;
-      RECT 3.555000  0.255000 3.885000 0.715000 ;
-      RECT 3.595000  2.125000 3.845000 2.295000 ;
-      RECT 3.595000  2.295000 4.685000 2.465000 ;
-      RECT 4.015000  1.955000 4.265000 2.125000 ;
-      RECT 4.055000  0.085000 4.225000 0.555000 ;
-      RECT 4.395000  0.255000 4.725000 0.725000 ;
-      RECT 4.435000  2.125000 4.685000 2.295000 ;
-      RECT 4.855000  2.125000 5.105000 2.635000 ;
-      RECT 4.895000  0.085000 5.065000 0.905000 ;
-      RECT 4.975000  1.445000 5.375000 1.615000 ;
-      RECT 4.975000  1.615000 5.145000 1.785000 ;
-      RECT 5.205000  1.075000 6.405000 1.275000 ;
-      RECT 5.205000  1.275000 5.375000 1.445000 ;
-      RECT 5.695000  2.125000 5.945000 2.635000 ;
-      RECT 5.735000  0.085000 5.905000 0.555000 ;
-      RECT 6.535000  1.795000 6.785000 2.635000 ;
-      RECT 6.575000  0.085000 6.830000 0.565000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o221a_4
-MACRO sky130_fd_sc_hd__o221a_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o221a_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.680000 1.075000 3.130000 1.285000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.005000 1.075000 2.490000 1.285000 ;
-        RECT 2.005000 1.285000 2.380000 1.705000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.925000 1.075000 1.255000 1.285000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.435000 1.075000 1.815000 1.325000 ;
-        RECT 1.495000 1.325000 1.815000 1.705000 ;
-    END
-  END B2
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.415000 1.285000 ;
-    END
-  END C1
-  PIN X
-    ANTENNADIFFAREA  0.462000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.370000 0.265000 4.055000 0.905000 ;
-        RECT 3.390000 1.875000 4.055000 2.465000 ;
-        RECT 3.805000 0.905000 4.055000 1.875000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.240000  1.455000 1.325000 1.625000 ;
-      RECT 0.240000  1.625000 0.540000 2.465000 ;
-      RECT 0.245000  0.255000 0.575000 0.645000 ;
-      RECT 0.245000  0.645000 0.755000 0.825000 ;
-      RECT 0.585000  0.825000 0.755000 1.455000 ;
-      RECT 0.735000  1.795000 0.985000 2.635000 ;
-      RECT 0.745000  0.305000 1.930000 0.475000 ;
-      RECT 1.155000  1.625000 1.325000 1.875000 ;
-      RECT 1.155000  1.875000 2.720000 2.045000 ;
-      RECT 1.160000  0.645000 1.545000 0.735000 ;
-      RECT 1.160000  0.735000 2.860000 0.905000 ;
-      RECT 1.575000  2.045000 2.380000 2.465000 ;
-      RECT 2.190000  0.085000 2.360000 0.555000 ;
-      RECT 2.530000  0.270000 2.860000 0.735000 ;
-      RECT 2.550000  1.455000 3.470000 1.625000 ;
-      RECT 2.550000  1.625000 2.720000 1.875000 ;
-      RECT 2.890000  1.795000 3.220000 2.635000 ;
-      RECT 3.030000  0.085000 3.200000 0.905000 ;
-      RECT 3.300000  1.075000 3.635000 1.285000 ;
-      RECT 3.300000  1.285000 3.470000 1.455000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o221a_1
-MACRO sky130_fd_sc_hd__mux4_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__mux4_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.200000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A0
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.540000 0.375000 6.850000 0.995000 ;
-        RECT 6.540000 0.995000 6.950000 1.075000 ;
-        RECT 6.640000 1.075000 6.950000 1.325000 ;
-    END
-  END A0
-  PIN A1
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.750000 0.715000 5.120000 1.395000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.840000 0.765000 1.240000 1.095000 ;
-        RECT 1.025000 0.395000 1.240000 0.765000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.245000 0.715000 2.620000 1.015000 ;
-        RECT 2.415000 1.015000 2.620000 1.320000 ;
-    END
-  END A3
-  PIN S0
-    ANTENNAGATEAREA  0.393000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.150000 0.975000 0.330000 1.745000 ;
-      LAYER mcon ;
-        RECT 0.150000 1.445000 0.320000 1.615000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 1.010000 1.445000 1.395000 1.615000 ;
-        RECT 1.225000 1.285000 1.395000 1.445000 ;
-      LAYER mcon ;
-        RECT 1.070000 1.445000 1.240000 1.615000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 6.130000 1.245000 6.470000 1.645000 ;
-      LAYER mcon ;
-        RECT 6.130000 1.445000 6.300000 1.615000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.085000 1.415000 0.380000 1.460000 ;
-        RECT 0.085000 1.460000 6.360000 1.600000 ;
-        RECT 0.085000 1.600000 0.380000 1.645000 ;
-        RECT 1.010000 1.415000 1.300000 1.460000 ;
-        RECT 1.010000 1.600000 1.300000 1.645000 ;
-        RECT 6.070000 1.415000 6.360000 1.460000 ;
-        RECT 6.070000 1.600000 6.360000 1.645000 ;
-    END
-  END S0
-  PIN S1
-    ANTENNAGATEAREA  0.303000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.790000 0.715000 3.080000 1.320000 ;
-    END
-  END S1
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.360000 1.835000 7.770000 2.455000 ;
-        RECT 7.440000 0.265000 7.770000 0.725000 ;
-        RECT 7.460000 1.495000 7.770000 1.835000 ;
-        RECT 7.600000 0.725000 7.770000 1.065000 ;
-        RECT 7.600000 1.065000 8.685000 1.305000 ;
-        RECT 7.600000 1.305000 7.770000 1.495000 ;
-        RECT 8.360000 0.265000 8.685000 1.065000 ;
-        RECT 8.360000 1.305000 8.685000 2.455000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.200000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.390000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.200000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.200000 0.085000 ;
-      RECT 0.000000  2.635000 9.200000 2.805000 ;
-      RECT 0.135000  0.345000 0.345000 0.635000 ;
-      RECT 0.135000  0.635000 0.670000 0.805000 ;
-      RECT 0.135000  1.915000 1.905000 1.955000 ;
-      RECT 0.135000  1.955000 0.670000 2.085000 ;
-      RECT 0.135000  2.085000 0.345000 2.375000 ;
-      RECT 0.500000  0.805000 0.670000 1.785000 ;
-      RECT 0.500000  1.785000 1.905000 1.915000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.255000 0.845000 2.635000 ;
-      RECT 1.410000  0.705000 1.735000 1.035000 ;
-      RECT 1.415000  2.125000 2.245000 2.295000 ;
-      RECT 1.475000  0.365000 2.075000 0.535000 ;
-      RECT 1.565000  1.035000 1.735000 1.575000 ;
-      RECT 1.565000  1.575000 1.905000 1.785000 ;
-      RECT 1.905000  0.535000 2.075000 1.235000 ;
-      RECT 1.905000  1.235000 2.245000 1.405000 ;
-      RECT 2.075000  1.405000 2.245000 2.125000 ;
-      RECT 2.455000  0.085000 2.785000 0.545000 ;
-      RECT 2.600000  2.055000 2.830000 2.635000 ;
-      RECT 2.975000  1.785000 3.320000 1.955000 ;
-      RECT 2.990000  0.295000 3.420000 0.465000 ;
-      RECT 3.150000  1.490000 3.420000 1.660000 ;
-      RECT 3.150000  1.660000 3.320000 1.785000 ;
-      RECT 3.250000  0.465000 3.420000 1.060000 ;
-      RECT 3.250000  1.060000 3.485000 1.390000 ;
-      RECT 3.250000  1.390000 3.420000 1.490000 ;
-      RECT 3.310000  2.125000 3.825000 2.295000 ;
-      RECT 3.575000  1.810000 3.825000 2.125000 ;
-      RECT 3.590000  0.345000 3.825000 0.675000 ;
-      RECT 3.655000  0.675000 3.825000 1.810000 ;
-      RECT 3.995000  0.345000 4.185000 2.125000 ;
-      RECT 3.995000  2.125000 4.520000 2.295000 ;
-      RECT 4.400000  0.255000 4.605000 0.585000 ;
-      RECT 4.400000  0.585000 4.570000 1.565000 ;
-      RECT 4.400000  1.565000 5.500000 1.735000 ;
-      RECT 4.400000  1.735000 4.590000 1.895000 ;
-      RECT 4.760000  2.005000 5.105000 2.635000 ;
-      RECT 4.800000  0.085000 5.130000 0.545000 ;
-      RECT 5.330000  0.295000 6.225000 0.465000 ;
-      RECT 5.330000  0.465000 5.500000 1.565000 ;
-      RECT 5.330000  1.735000 5.500000 2.155000 ;
-      RECT 5.330000  2.155000 6.280000 2.325000 ;
-      RECT 5.670000  0.705000 6.290000 1.035000 ;
-      RECT 5.670000  1.035000 5.960000 1.985000 ;
-      RECT 6.530000  2.125000 6.850000 2.295000 ;
-      RECT 6.680000  1.495000 7.290000 1.665000 ;
-      RECT 6.680000  1.665000 6.850000 2.125000 ;
-      RECT 7.020000  0.085000 7.270000 0.815000 ;
-      RECT 7.020000  1.835000 7.190000 2.635000 ;
-      RECT 7.120000  0.995000 7.430000 1.325000 ;
-      RECT 7.120000  1.325000 7.290000 1.495000 ;
-      RECT 7.940000  0.085000 8.190000 0.885000 ;
-      RECT 7.940000  1.495000 8.190000 2.635000 ;
-      RECT 8.855000  0.085000 9.105000 0.885000 ;
-      RECT 8.855000  1.495000 9.105000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.530000  1.785000 1.700000 1.955000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 1.990000  2.125000 2.160000 2.295000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.370000  2.125000 3.540000 2.295000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.290000  2.125000 4.460000 2.295000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 5.670000  1.785000 5.840000 1.955000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 6.590000  2.125000 6.760000 2.295000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-    LAYER met1 ;
-      RECT 1.470000 1.755000 1.760000 1.800000 ;
-      RECT 1.470000 1.800000 5.900000 1.940000 ;
-      RECT 1.470000 1.940000 1.760000 1.985000 ;
-      RECT 1.930000 2.095000 2.220000 2.140000 ;
-      RECT 1.930000 2.140000 3.600000 2.280000 ;
-      RECT 1.930000 2.280000 2.220000 2.325000 ;
-      RECT 3.310000 2.095000 3.600000 2.140000 ;
-      RECT 3.310000 2.280000 3.600000 2.325000 ;
-      RECT 4.230000 2.095000 4.520000 2.140000 ;
-      RECT 4.230000 2.140000 6.820000 2.280000 ;
-      RECT 4.230000 2.280000 4.520000 2.325000 ;
-      RECT 5.610000 1.755000 5.900000 1.800000 ;
-      RECT 5.610000 1.940000 5.900000 1.985000 ;
-      RECT 6.530000 2.095000 6.820000 2.140000 ;
-      RECT 6.530000 2.280000 6.820000 2.325000 ;
-  END
-END sky130_fd_sc_hd__mux4_4
-MACRO sky130_fd_sc_hd__mux4_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__mux4_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  8.280000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A0
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.535000 0.375000 6.845000 0.995000 ;
-        RECT 6.535000 0.995000 6.945000 1.075000 ;
-        RECT 6.635000 1.075000 6.945000 1.325000 ;
-    END
-  END A0
-  PIN A1
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.745000 0.715000 5.115000 1.395000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.835000 0.765000 1.235000 1.095000 ;
-        RECT 1.020000 0.395000 1.235000 0.765000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.240000 0.715000 2.615000 1.015000 ;
-        RECT 2.410000 1.015000 2.615000 1.320000 ;
-    END
-  END A3
-  PIN S0
-    ANTENNAGATEAREA  0.393000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.145000 0.975000 0.325000 1.745000 ;
-      LAYER mcon ;
-        RECT 0.145000 1.445000 0.315000 1.615000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 1.005000 1.445000 1.390000 1.615000 ;
-        RECT 1.220000 1.285000 1.390000 1.445000 ;
-      LAYER mcon ;
-        RECT 1.065000 1.445000 1.235000 1.615000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 6.125000 1.245000 6.465000 1.645000 ;
-      LAYER mcon ;
-        RECT 6.125000 1.445000 6.295000 1.615000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.085000 1.415000 0.375000 1.460000 ;
-        RECT 0.085000 1.460000 6.355000 1.600000 ;
-        RECT 0.085000 1.600000 0.375000 1.645000 ;
-        RECT 1.005000 1.415000 1.295000 1.460000 ;
-        RECT 1.005000 1.600000 1.295000 1.645000 ;
-        RECT 6.065000 1.415000 6.355000 1.460000 ;
-        RECT 6.065000 1.600000 6.355000 1.645000 ;
-    END
-  END S0
-  PIN S1
-    ANTENNAGATEAREA  0.303000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.785000 0.715000 3.075000 1.320000 ;
-    END
-  END S1
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.355000 1.835000 7.765000 2.455000 ;
-        RECT 7.435000 0.265000 7.765000 0.725000 ;
-        RECT 7.455000 1.495000 7.765000 1.835000 ;
-        RECT 7.595000 0.725000 7.765000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 8.280000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.470000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 8.280000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 8.280000 0.085000 ;
-      RECT 0.000000  2.635000 8.280000 2.805000 ;
-      RECT 0.170000  0.345000 0.345000 0.635000 ;
-      RECT 0.170000  0.635000 0.665000 0.805000 ;
-      RECT 0.175000  1.915000 1.900000 1.955000 ;
-      RECT 0.175000  1.955000 0.665000 2.085000 ;
-      RECT 0.175000  2.085000 0.345000 2.375000 ;
-      RECT 0.495000  0.805000 0.665000 1.785000 ;
-      RECT 0.495000  1.785000 1.900000 1.915000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.255000 0.845000 2.635000 ;
-      RECT 1.405000  0.705000 1.730000 1.035000 ;
-      RECT 1.410000  2.125000 2.240000 2.295000 ;
-      RECT 1.470000  0.365000 2.070000 0.535000 ;
-      RECT 1.560000  1.035000 1.730000 1.575000 ;
-      RECT 1.560000  1.575000 1.900000 1.785000 ;
-      RECT 1.900000  0.535000 2.070000 1.235000 ;
-      RECT 1.900000  1.235000 2.240000 1.405000 ;
-      RECT 2.070000  1.405000 2.240000 2.125000 ;
-      RECT 2.450000  0.085000 2.780000 0.545000 ;
-      RECT 2.595000  2.055000 2.825000 2.635000 ;
-      RECT 2.970000  1.785000 3.315000 1.955000 ;
-      RECT 2.985000  0.295000 3.415000 0.465000 ;
-      RECT 3.145000  1.490000 3.415000 1.660000 ;
-      RECT 3.145000  1.660000 3.315000 1.785000 ;
-      RECT 3.245000  0.465000 3.415000 1.060000 ;
-      RECT 3.245000  1.060000 3.480000 1.390000 ;
-      RECT 3.245000  1.390000 3.415000 1.490000 ;
-      RECT 3.305000  2.125000 3.820000 2.295000 ;
-      RECT 3.565000  1.810000 3.820000 2.125000 ;
-      RECT 3.585000  0.345000 3.820000 0.675000 ;
-      RECT 3.650000  0.675000 3.820000 1.810000 ;
-      RECT 3.990000  0.345000 4.180000 2.125000 ;
-      RECT 3.990000  2.125000 4.515000 2.295000 ;
-      RECT 4.395000  0.255000 4.600000 0.585000 ;
-      RECT 4.395000  0.585000 4.565000 1.565000 ;
-      RECT 4.395000  1.565000 5.495000 1.735000 ;
-      RECT 4.395000  1.735000 4.585000 1.895000 ;
-      RECT 4.755000  2.005000 5.100000 2.635000 ;
-      RECT 4.795000  0.085000 5.125000 0.545000 ;
-      RECT 5.325000  0.295000 6.220000 0.465000 ;
-      RECT 5.325000  0.465000 5.495000 1.565000 ;
-      RECT 5.325000  1.735000 5.495000 2.155000 ;
-      RECT 5.325000  2.155000 6.275000 2.325000 ;
-      RECT 5.665000  0.705000 6.285000 1.035000 ;
-      RECT 5.665000  1.035000 5.955000 1.985000 ;
-      RECT 6.525000  2.125000 6.845000 2.295000 ;
-      RECT 6.675000  1.495000 7.285000 1.665000 ;
-      RECT 6.675000  1.665000 6.845000 2.125000 ;
-      RECT 7.015000  0.085000 7.265000 0.815000 ;
-      RECT 7.015000  1.835000 7.185000 2.635000 ;
-      RECT 7.115000  0.995000 7.425000 1.325000 ;
-      RECT 7.115000  1.325000 7.285000 1.495000 ;
-      RECT 7.935000  0.085000 8.190000 0.885000 ;
-      RECT 7.935000  1.495000 8.185000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  1.785000 1.695000 1.955000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.125000 2.155000 2.295000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.125000 3.535000 2.295000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.125000 4.455000 2.295000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  1.785000 5.835000 1.955000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.125000 6.755000 2.295000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-    LAYER met1 ;
-      RECT 1.465000 1.755000 1.755000 1.800000 ;
-      RECT 1.465000 1.800000 5.895000 1.940000 ;
-      RECT 1.465000 1.940000 1.755000 1.985000 ;
-      RECT 1.925000 2.095000 2.215000 2.140000 ;
-      RECT 1.925000 2.140000 3.595000 2.280000 ;
-      RECT 1.925000 2.280000 2.215000 2.325000 ;
-      RECT 3.305000 2.095000 3.595000 2.140000 ;
-      RECT 3.305000 2.280000 3.595000 2.325000 ;
-      RECT 4.225000 2.095000 4.515000 2.140000 ;
-      RECT 4.225000 2.140000 6.815000 2.280000 ;
-      RECT 4.225000 2.280000 4.515000 2.325000 ;
-      RECT 5.605000 1.755000 5.895000 1.800000 ;
-      RECT 5.605000 1.940000 5.895000 1.985000 ;
-      RECT 6.525000 2.095000 6.815000 2.140000 ;
-      RECT 6.525000 2.280000 6.815000 2.325000 ;
-  END
-END sky130_fd_sc_hd__mux4_2
-MACRO sky130_fd_sc_hd__mux4_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__mux4_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.660000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A0
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.805000 0.995000 1.240000 1.615000 ;
-    END
-  END A0
-  PIN A1
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.150000 0.995000 0.495000 1.615000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.250000 1.055000 5.580000 1.675000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.800000 1.055000 5.045000 1.675000 ;
-    END
-  END A3
-  PIN S0
-    ANTENNAGATEAREA  0.378000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.265000 0.995000 3.565000 1.995000 ;
-    END
-  END S0
-  PIN S1
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.055000 0.995000 6.345000 1.675000 ;
-    END
-  END S1
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 9.315000 0.255000 9.575000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.660000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.850000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.660000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.660000 0.085000 ;
-      RECT 0.000000  2.635000 9.660000 2.805000 ;
-      RECT 0.175000  0.260000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 1.185000 0.805000 ;
-      RECT 0.175000  1.795000 1.705000 1.965000 ;
-      RECT 0.175000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.255000 0.845000 2.635000 ;
-      RECT 1.015000  0.255000 2.090000 0.425000 ;
-      RECT 1.015000  0.425000 1.185000 0.635000 ;
-      RECT 1.015000  2.135000 1.185000 2.295000 ;
-      RECT 1.015000  2.295000 2.545000 2.465000 ;
-      RECT 1.410000  0.595000 1.750000 0.765000 ;
-      RECT 1.410000  0.765000 1.700000 0.935000 ;
-      RECT 1.410000  0.935000 1.580000 1.455000 ;
-      RECT 1.410000  1.455000 2.045000 1.625000 ;
-      RECT 1.535000  1.965000 1.705000 2.125000 ;
-      RECT 1.875000  1.625000 2.045000 1.955000 ;
-      RECT 1.875000  1.955000 2.205000 2.125000 ;
-      RECT 1.920000  0.425000 2.090000 0.760000 ;
-      RECT 2.080000  1.105000 2.620000 1.285000 ;
-      RECT 2.260000  0.430000 2.620000 1.105000 ;
-      RECT 2.260000  1.285000 2.620000 1.395000 ;
-      RECT 2.260000  1.395000 3.065000 1.625000 ;
-      RECT 2.375000  1.795000 2.545000 2.295000 ;
-      RECT 2.715000  1.625000 3.065000 2.465000 ;
-      RECT 2.800000  0.085000 3.090000 0.805000 ;
-      RECT 3.235000  2.255000 3.565000 2.635000 ;
-      RECT 3.380000  0.255000 4.980000 0.425000 ;
-      RECT 3.380000  0.425000 3.550000 0.795000 ;
-      RECT 3.720000  0.595000 4.050000 0.845000 ;
-      RECT 3.735000  0.845000 4.050000 0.920000 ;
-      RECT 3.735000  0.920000 3.905000 1.445000 ;
-      RECT 3.735000  1.445000 4.495000 1.615000 ;
-      RECT 3.825000  1.785000 3.995000 2.295000 ;
-      RECT 3.825000  2.295000 4.835000 2.465000 ;
-      RECT 4.075000  1.095000 4.405000 1.105000 ;
-      RECT 4.075000  1.105000 4.460000 1.265000 ;
-      RECT 4.165000  1.615000 4.495000 2.125000 ;
-      RECT 4.220000  0.595000 4.390000 0.715000 ;
-      RECT 4.220000  0.715000 5.740000 0.885000 ;
-      RECT 4.220000  0.885000 4.390000 0.925000 ;
-      RECT 4.290000  1.265000 4.460000 1.275000 ;
-      RECT 4.625000  0.425000 4.980000 0.465000 ;
-      RECT 4.665000  1.915000 5.730000 2.085000 ;
-      RECT 4.665000  2.085000 4.835000 2.295000 ;
-      RECT 5.060000  2.255000 5.390000 2.635000 ;
-      RECT 5.150000  0.085000 5.320000 0.545000 ;
-      RECT 5.495000  0.295000 5.740000 0.715000 ;
-      RECT 5.560000  2.085000 5.730000 2.465000 ;
-      RECT 5.980000  2.255000 6.330000 2.635000 ;
-      RECT 6.010000  0.085000 6.340000 0.465000 ;
-      RECT 6.500000  2.135000 6.685000 2.465000 ;
-      RECT 6.510000  0.325000 6.685000 0.655000 ;
-      RECT 6.515000  0.655000 6.685000 1.105000 ;
-      RECT 6.515000  1.105000 6.805000 1.275000 ;
-      RECT 6.515000  1.275000 6.685000 2.135000 ;
-      RECT 6.980000  0.765000 7.220000 0.935000 ;
-      RECT 6.980000  0.935000 7.150000 2.135000 ;
-      RECT 6.980000  2.135000 7.190000 2.465000 ;
-      RECT 7.030000  0.255000 7.200000 0.415000 ;
-      RECT 7.030000  0.415000 7.560000 0.585000 ;
-      RECT 7.360000  2.255000 7.690000 2.295000 ;
-      RECT 7.360000  2.295000 8.645000 2.465000 ;
-      RECT 7.390000  0.585000 7.560000 1.755000 ;
-      RECT 7.390000  1.755000 8.175000 1.985000 ;
-      RECT 7.730000  0.255000 8.725000 0.425000 ;
-      RECT 7.730000  0.425000 7.900000 0.585000 ;
-      RECT 7.845000  1.985000 8.175000 2.125000 ;
-      RECT 7.970000  0.765000 8.385000 0.925000 ;
-      RECT 7.970000  0.925000 8.380000 0.935000 ;
-      RECT 8.190000  1.105000 8.645000 1.275000 ;
-      RECT 8.210000  0.595000 8.385000 0.765000 ;
-      RECT 8.475000  1.665000 9.125000 1.835000 ;
-      RECT 8.475000  1.835000 8.645000 2.295000 ;
-      RECT 8.555000  0.425000 8.725000 0.715000 ;
-      RECT 8.555000  0.715000 9.125000 0.885000 ;
-      RECT 8.815000  2.255000 9.145000 2.635000 ;
-      RECT 8.895000  0.085000 9.065000 0.545000 ;
-      RECT 8.955000  0.885000 9.125000 1.665000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.530000  0.765000 1.700000 0.935000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.450000  1.105000 2.620000 1.275000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.290000  1.105000 4.460000 1.275000 ;
-      RECT 4.325000  1.785000 4.495000 1.955000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 6.635000  1.105000 6.805000 1.275000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.050000  0.765000 7.220000 0.935000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.555000  1.785000 7.725000 1.955000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.475000  1.105000 8.645000 1.275000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-    LAYER met1 ;
-      RECT 1.470000 0.735000 1.760000 0.780000 ;
-      RECT 1.470000 0.780000 8.200000 0.920000 ;
-      RECT 1.470000 0.920000 1.760000 0.965000 ;
-      RECT 2.390000 1.075000 2.680000 1.120000 ;
-      RECT 2.390000 1.120000 4.520000 1.260000 ;
-      RECT 2.390000 1.260000 2.680000 1.305000 ;
-      RECT 4.230000 1.075000 4.520000 1.120000 ;
-      RECT 4.230000 1.260000 4.520000 1.305000 ;
-      RECT 4.265000 1.755000 4.555000 1.800000 ;
-      RECT 4.265000 1.800000 7.785000 1.940000 ;
-      RECT 4.265000 1.940000 4.555000 1.985000 ;
-      RECT 6.575000 1.075000 6.865000 1.120000 ;
-      RECT 6.575000 1.120000 8.705000 1.260000 ;
-      RECT 6.575000 1.260000 6.865000 1.305000 ;
-      RECT 6.990000 0.735000 7.280000 0.780000 ;
-      RECT 6.990000 0.920000 7.280000 0.965000 ;
-      RECT 7.495000 1.755000 7.785000 1.800000 ;
-      RECT 7.495000 1.940000 7.785000 1.985000 ;
-      RECT 7.910000 0.735000 8.200000 0.780000 ;
-      RECT 7.910000 0.920000 8.200000 0.965000 ;
-      RECT 8.415000 1.075000 8.705000 1.120000 ;
-      RECT 8.415000 1.260000 8.705000 1.305000 ;
-  END
-END sky130_fd_sc_hd__mux4_1
-MACRO sky130_fd_sc_hd__fa_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__fa_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  10.12000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.633000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.080000 0.995000 2.680000 1.275000 ;
-        RECT 2.080000 1.275000 2.340000 1.325000 ;
-      LAYER mcon ;
-        RECT 2.450000 1.105000 2.620000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 3.610000 1.030000 4.000000 1.360000 ;
-      LAYER mcon ;
-        RECT 3.830000 1.105000 4.000000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 5.645000 0.955000 6.005000 1.275000 ;
-      LAYER mcon ;
-        RECT 5.690000 1.105000 5.860000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 7.030000 0.995000 7.885000 1.275000 ;
-      LAYER mcon ;
-        RECT 7.070000 1.105000 7.240000 1.275000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 2.390000 1.075000 2.680000 1.120000 ;
-        RECT 2.390000 1.120000 7.300000 1.260000 ;
-        RECT 2.390000 1.260000 2.680000 1.305000 ;
-        RECT 3.770000 1.075000 4.060000 1.120000 ;
-        RECT 3.770000 1.260000 4.060000 1.305000 ;
-        RECT 5.630000 1.075000 5.920000 1.120000 ;
-        RECT 5.630000 1.260000 5.920000 1.305000 ;
-        RECT 7.010000 1.075000 7.300000 1.120000 ;
-        RECT 7.010000 1.260000 7.300000 1.305000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.633000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.480000 1.445000 3.080000 1.690000 ;
-      LAYER mcon ;
-        RECT 2.910000 1.445000 3.080000 1.615000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 4.580000 1.435000 4.995000 1.745000 ;
-      LAYER mcon ;
-        RECT 4.770000 1.445000 4.940000 1.615000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 7.075000 1.445000 7.760000 1.735000 ;
-      LAYER mcon ;
-        RECT 7.530000 1.445000 7.700000 1.615000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 2.850000 1.415000 3.140000 1.460000 ;
-        RECT 2.850000 1.460000 7.760000 1.600000 ;
-        RECT 2.850000 1.600000 3.140000 1.645000 ;
-        RECT 4.710000 1.415000 5.000000 1.460000 ;
-        RECT 4.710000 1.600000 5.000000 1.645000 ;
-        RECT 7.470000 1.415000 7.760000 1.460000 ;
-        RECT 7.470000 1.600000 7.760000 1.645000 ;
-    END
-  END B
-  PIN CIN
-    ANTENNAGATEAREA  0.477000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.050000 1.105000 3.420000 1.275000 ;
-        RECT 3.250000 1.275000 3.420000 1.570000 ;
-        RECT 3.250000 1.570000 4.340000 1.740000 ;
-        RECT 4.170000 0.965000 5.390000 1.250000 ;
-        RECT 4.170000 1.250000 4.340000 1.570000 ;
-        RECT 5.220000 1.250000 5.390000 1.435000 ;
-        RECT 5.220000 1.435000 5.580000 1.515000 ;
-        RECT 5.220000 1.515000 6.845000 1.685000 ;
-        RECT 6.595000 1.355000 6.845000 1.515000 ;
-        RECT 6.595000 1.685000 6.845000 1.955000 ;
-    END
-  END CIN
-  PIN COUT
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.735000 1.525000 0.905000 ;
-        RECT 0.085000 0.905000 0.435000 1.415000 ;
-        RECT 0.085000 1.415000 1.570000 1.585000 ;
-        RECT 0.515000 0.255000 0.845000 0.735000 ;
-        RECT 0.515000 1.585000 0.845000 2.445000 ;
-        RECT 1.355000 0.315000 1.685000 0.485000 ;
-        RECT 1.355000 0.485000 1.525000 0.735000 ;
-        RECT 1.400000 1.585000 1.570000 1.780000 ;
-        RECT 1.400000 1.780000 1.645000 1.950000 ;
-        RECT 1.435000 1.950000 1.645000 2.465000 ;
-    END
-  END COUT
-  PIN SUM
-    ANTENNADIFFAREA  0.943000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 8.320000 0.255000  8.650000 0.485000 ;
-        RECT 8.320000 1.795000  8.570000 1.965000 ;
-        RECT 8.320000 1.965000  8.490000 2.465000 ;
-        RECT 8.400000 0.485000  8.650000 0.735000 ;
-        RECT 8.400000 0.735000 10.035000 0.905000 ;
-        RECT 8.400000 1.415000 10.035000 1.585000 ;
-        RECT 8.400000 1.585000  8.570000 1.795000 ;
-        RECT 9.160000 0.270000  9.490000 0.735000 ;
-        RECT 9.160000 1.585000  9.490000 2.425000 ;
-        RECT 9.700000 0.905000 10.035000 1.415000 ;
-    END
-  END SUM
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 10.120000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 10.310000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 10.120000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 10.120000 0.085000 ;
-      RECT 0.000000  2.635000 10.120000 2.805000 ;
-      RECT 0.175000  0.085000  0.345000 0.565000 ;
-      RECT 0.175000  1.795000  0.345000 2.635000 ;
-      RECT 0.605000  1.075000  1.910000 1.245000 ;
-      RECT 1.015000  0.085000  1.185000 0.565000 ;
-      RECT 1.015000  1.795000  1.185000 2.635000 ;
-      RECT 1.740000  0.655000  3.090000 0.825000 ;
-      RECT 1.740000  0.825000  1.910000 1.075000 ;
-      RECT 1.740000  1.245000  1.910000 1.430000 ;
-      RECT 1.740000  1.430000  1.945000 1.495000 ;
-      RECT 1.740000  1.495000  2.310000 1.600000 ;
-      RECT 1.775000  1.600000  2.310000 1.665000 ;
-      RECT 1.815000  2.275000  2.145000 2.635000 ;
-      RECT 1.855000  0.085000  2.185000 0.465000 ;
-      RECT 2.140000  1.665000  2.310000 1.910000 ;
-      RECT 2.140000  1.910000  3.170000 2.080000 ;
-      RECT 2.370000  0.255000  3.090000 0.655000 ;
-      RECT 2.735000  2.080000  3.170000 2.465000 ;
-      RECT 2.850000  0.825000  3.090000 0.935000 ;
-      RECT 3.340000  0.255000  3.510000 0.615000 ;
-      RECT 3.340000  0.615000  4.350000 0.785000 ;
-      RECT 3.340000  1.935000  4.415000 2.105000 ;
-      RECT 3.340000  2.105000  3.510000 2.465000 ;
-      RECT 3.680000  0.085000  4.010000 0.445000 ;
-      RECT 3.680000  2.275000  4.010000 2.635000 ;
-      RECT 4.180000  0.255000  4.350000 0.615000 ;
-      RECT 4.180000  2.105000  4.415000 2.465000 ;
-      RECT 4.620000  0.085000  4.950000 0.490000 ;
-      RECT 4.620000  1.915000  4.950000 2.635000 ;
-      RECT 5.120000  0.255000  5.290000 0.615000 ;
-      RECT 5.120000  0.615000  6.130000 0.785000 ;
-      RECT 5.120000  1.935000  6.130000 2.105000 ;
-      RECT 5.120000  2.105000  5.290000 2.465000 ;
-      RECT 5.460000  0.085000  5.790000 0.445000 ;
-      RECT 5.460000  2.275000  5.790000 2.635000 ;
-      RECT 5.960000  0.255000  6.130000 0.615000 ;
-      RECT 5.960000  2.105000  6.130000 2.465000 ;
-      RECT 6.175000  0.955000  6.860000 1.125000 ;
-      RECT 6.345000  0.765000  6.860000 0.955000 ;
-      RECT 6.410000  2.125000  7.610000 2.465000 ;
-      RECT 6.465000  0.255000  7.475000 0.505000 ;
-      RECT 6.465000  0.505000  6.635000 0.595000 ;
-      RECT 7.305000  0.505000  7.475000 0.655000 ;
-      RECT 7.305000  0.655000  8.225000 0.825000 ;
-      RECT 7.440000  1.935000  8.105000 2.105000 ;
-      RECT 7.440000  2.105000  7.610000 2.125000 ;
-      RECT 7.705000  0.085000  8.035000 0.445000 ;
-      RECT 7.815000  2.275000  8.145000 2.635000 ;
-      RECT 7.935000  1.470000  8.225000 1.640000 ;
-      RECT 7.935000  1.640000  8.105000 1.935000 ;
-      RECT 8.055000  0.825000  8.225000 1.075000 ;
-      RECT 8.055000  1.075000  9.445000 1.245000 ;
-      RECT 8.055000  1.245000  8.225000 1.470000 ;
-      RECT 8.740000  1.795000  8.910000 2.635000 ;
-      RECT 8.820000  0.085000  8.990000 0.565000 ;
-      RECT 9.660000  0.085000  9.830000 0.565000 ;
-      RECT 9.660000  1.795000  9.830000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 2.910000  0.765000 3.080000 0.935000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 6.610000  0.765000 6.780000 0.935000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-      RECT 9.805000 -0.085000 9.975000 0.085000 ;
-      RECT 9.805000  2.635000 9.975000 2.805000 ;
-    LAYER met1 ;
-      RECT 2.850000 0.735000 3.140000 0.780000 ;
-      RECT 2.850000 0.780000 6.840000 0.920000 ;
-      RECT 2.850000 0.920000 3.140000 0.965000 ;
-      RECT 6.550000 0.735000 6.840000 0.780000 ;
-      RECT 6.550000 0.920000 6.840000 0.965000 ;
-  END
-END sky130_fd_sc_hd__fa_4
-MACRO sky130_fd_sc_hd__fa_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__fa_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.360000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.504000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.910000 0.995000 1.240000 1.275000 ;
-        RECT 0.910000 1.275000 1.080000 1.325000 ;
-      LAYER mcon ;
-        RECT 1.070000 1.105000 1.240000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 2.230000 1.030000 2.620000 1.360000 ;
-      LAYER mcon ;
-        RECT 2.450000 1.105000 2.620000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 4.250000 0.955000 4.625000 1.275000 ;
-      LAYER mcon ;
-        RECT 4.310000 1.105000 4.480000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 5.885000 1.035000 6.325000 1.275000 ;
-      LAYER mcon ;
-        RECT 6.150000 1.105000 6.320000 1.275000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 1.010000 1.075000 1.300000 1.120000 ;
-        RECT 1.010000 1.120000 6.380000 1.260000 ;
-        RECT 1.010000 1.260000 1.300000 1.305000 ;
-        RECT 2.390000 1.075000 2.680000 1.120000 ;
-        RECT 2.390000 1.260000 2.680000 1.305000 ;
-        RECT 4.250000 1.075000 4.540000 1.120000 ;
-        RECT 4.250000 1.260000 4.540000 1.305000 ;
-        RECT 6.090000 1.075000 6.380000 1.120000 ;
-        RECT 6.090000 1.260000 6.380000 1.305000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.504000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.300000 1.445000 1.700000 1.880000 ;
-      LAYER mcon ;
-        RECT 1.530000 1.445000 1.700000 1.615000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 3.200000 1.435000 3.560000 1.765000 ;
-      LAYER mcon ;
-        RECT 3.390000 1.445000 3.560000 1.615000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 5.635000 1.445000 6.055000 1.765000 ;
-      LAYER mcon ;
-        RECT 5.690000 1.445000 5.860000 1.615000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 1.470000 1.415000 1.760000 1.460000 ;
-        RECT 1.470000 1.460000 5.920000 1.600000 ;
-        RECT 1.470000 1.600000 1.760000 1.645000 ;
-        RECT 3.330000 1.415000 3.620000 1.460000 ;
-        RECT 3.330000 1.600000 3.620000 1.645000 ;
-        RECT 5.630000 1.415000 5.920000 1.460000 ;
-        RECT 5.630000 1.600000 5.920000 1.645000 ;
-    END
-  END B
-  PIN CIN
-    ANTENNAGATEAREA  0.378000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.670000 1.105000 2.040000 1.275000 ;
-        RECT 1.870000 1.275000 2.040000 1.595000 ;
-        RECT 1.870000 1.595000 2.960000 1.765000 ;
-        RECT 2.790000 0.965000 3.955000 1.250000 ;
-        RECT 2.790000 1.250000 2.960000 1.595000 ;
-        RECT 3.785000 1.250000 3.955000 1.515000 ;
-        RECT 3.785000 1.515000 5.405000 1.685000 ;
-        RECT 5.155000 1.685000 5.405000 1.955000 ;
-    END
-  END CIN
-  PIN COUT
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.255000 0.345000 0.830000 ;
-        RECT 0.085000 0.830000 0.260000 1.485000 ;
-        RECT 0.085000 1.485000 0.345000 2.465000 ;
-    END
-  END COUT
-  PIN SUM
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.840000 0.255000 7.240000 0.810000 ;
-        RECT 6.840000 1.485000 7.240000 2.465000 ;
-        RECT 6.910000 0.810000 7.240000 1.485000 ;
-    END
-  END SUM
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.360000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.550000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.360000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.360000 0.085000 ;
-      RECT 0.000000  2.635000 7.360000 2.805000 ;
-      RECT 0.430000  0.995000 0.685000 1.325000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  0.635000 1.710000 0.805000 ;
-      RECT 0.515000  0.805000 0.685000 0.995000 ;
-      RECT 0.515000  1.325000 0.685000 1.625000 ;
-      RECT 0.515000  1.625000 1.105000 1.945000 ;
-      RECT 0.515000  2.150000 0.765000 2.635000 ;
-      RECT 0.935000  1.945000 1.105000 2.065000 ;
-      RECT 0.935000  2.065000 1.710000 2.465000 ;
-      RECT 1.110000  0.255000 1.710000 0.635000 ;
-      RECT 1.470000  0.805000 1.710000 0.935000 ;
-      RECT 1.960000  0.255000 2.130000 0.615000 ;
-      RECT 1.960000  0.615000 2.970000 0.785000 ;
-      RECT 1.960000  1.935000 3.035000 2.105000 ;
-      RECT 1.960000  2.105000 2.130000 2.465000 ;
-      RECT 2.300000  0.085000 2.630000 0.445000 ;
-      RECT 2.300000  2.275000 2.630000 2.635000 ;
-      RECT 2.800000  0.255000 2.970000 0.615000 ;
-      RECT 2.800000  2.105000 3.035000 2.465000 ;
-      RECT 3.240000  0.085000 3.570000 0.490000 ;
-      RECT 3.240000  2.255000 3.570000 2.635000 ;
-      RECT 3.740000  0.255000 3.910000 0.615000 ;
-      RECT 3.740000  0.615000 4.750000 0.785000 ;
-      RECT 3.740000  1.935000 4.750000 2.105000 ;
-      RECT 3.740000  2.105000 3.910000 2.465000 ;
-      RECT 4.080000  0.085000 4.410000 0.445000 ;
-      RECT 4.080000  2.275000 4.410000 2.635000 ;
-      RECT 4.580000  0.255000 4.750000 0.615000 ;
-      RECT 4.580000  2.105000 4.750000 2.465000 ;
-      RECT 4.795000  0.955000 5.460000 1.125000 ;
-      RECT 4.965000  0.765000 5.460000 0.955000 ;
-      RECT 5.085000  0.255000 6.095000 0.505000 ;
-      RECT 5.085000  0.505000 5.255000 0.595000 ;
-      RECT 5.085000  2.125000 6.170000 2.465000 ;
-      RECT 5.925000  0.505000 6.095000 0.615000 ;
-      RECT 5.925000  0.615000 6.665000 0.785000 ;
-      RECT 6.000000  1.935000 6.665000 2.105000 ;
-      RECT 6.000000  2.105000 6.170000 2.125000 ;
-      RECT 6.265000  0.085000 6.595000 0.445000 ;
-      RECT 6.340000  2.275000 6.670000 2.635000 ;
-      RECT 6.495000  0.785000 6.665000 0.995000 ;
-      RECT 6.495000  0.995000 6.740000 1.325000 ;
-      RECT 6.495000  1.325000 6.665000 1.935000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.530000  0.765000 1.700000 0.935000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.230000  0.765000 5.400000 0.935000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-    LAYER met1 ;
-      RECT 1.470000 0.735000 1.760000 0.780000 ;
-      RECT 1.470000 0.780000 5.460000 0.920000 ;
-      RECT 1.470000 0.920000 1.760000 0.965000 ;
-      RECT 5.170000 0.735000 5.460000 0.780000 ;
-      RECT 5.170000 0.920000 5.460000 0.965000 ;
-  END
-END sky130_fd_sc_hd__fa_1
-MACRO sky130_fd_sc_hd__fa_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__fa_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  8.280000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.631500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.245000 0.995000 1.755000 1.275000 ;
-        RECT 1.245000 1.275000 1.505000 1.325000 ;
-      LAYER mcon ;
-        RECT 1.525000 1.105000 1.695000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 2.685000 1.030000 3.075000 1.360000 ;
-      LAYER mcon ;
-        RECT 2.905000 1.105000 3.075000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 4.720000 0.955000 5.080000 1.275000 ;
-      LAYER mcon ;
-        RECT 4.765000 1.105000 4.935000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 6.105000 0.995000 6.960000 1.275000 ;
-      LAYER mcon ;
-        RECT 6.145000 1.105000 6.315000 1.275000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 1.465000 1.075000 1.755000 1.120000 ;
-        RECT 1.465000 1.120000 6.375000 1.260000 ;
-        RECT 1.465000 1.260000 1.755000 1.305000 ;
-        RECT 2.845000 1.075000 3.135000 1.120000 ;
-        RECT 2.845000 1.260000 3.135000 1.305000 ;
-        RECT 4.705000 1.075000 4.995000 1.120000 ;
-        RECT 4.705000 1.260000 4.995000 1.305000 ;
-        RECT 6.085000 1.075000 6.375000 1.120000 ;
-        RECT 6.085000 1.260000 6.375000 1.305000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.631500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.645000 1.445000 2.155000 1.690000 ;
-      LAYER mcon ;
-        RECT 1.985000 1.445000 2.155000 1.615000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 3.655000 1.435000 4.070000 1.745000 ;
-      LAYER mcon ;
-        RECT 3.845000 1.445000 4.015000 1.615000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 6.150000 1.445000 6.835000 1.735000 ;
-      LAYER mcon ;
-        RECT 6.605000 1.445000 6.775000 1.615000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 1.925000 1.415000 2.215000 1.460000 ;
-        RECT 1.925000 1.460000 6.835000 1.600000 ;
-        RECT 1.925000 1.600000 2.215000 1.645000 ;
-        RECT 3.785000 1.415000 4.075000 1.460000 ;
-        RECT 3.785000 1.600000 4.075000 1.645000 ;
-        RECT 6.545000 1.415000 6.835000 1.460000 ;
-        RECT 6.545000 1.600000 6.835000 1.645000 ;
-    END
-  END B
-  PIN CIN
-    ANTENNAGATEAREA  0.475500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.125000 1.105000 2.495000 1.275000 ;
-        RECT 2.325000 1.275000 2.495000 1.570000 ;
-        RECT 2.325000 1.570000 3.415000 1.740000 ;
-        RECT 3.245000 0.965000 4.465000 1.250000 ;
-        RECT 3.245000 1.250000 3.415000 1.570000 ;
-        RECT 4.295000 1.250000 4.465000 1.435000 ;
-        RECT 4.295000 1.435000 4.655000 1.515000 ;
-        RECT 4.295000 1.515000 5.920000 1.685000 ;
-        RECT 5.670000 1.355000 5.920000 1.515000 ;
-        RECT 5.670000 1.685000 5.920000 1.955000 ;
-    END
-  END CIN
-  PIN COUT
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.735000 0.690000 0.905000 ;
-        RECT 0.085000 0.905000 0.370000 1.415000 ;
-        RECT 0.085000 1.415000 0.735000 1.585000 ;
-        RECT 0.520000 0.315000 0.850000 0.485000 ;
-        RECT 0.520000 0.485000 0.690000 0.735000 ;
-        RECT 0.565000 1.585000 0.735000 1.780000 ;
-        RECT 0.565000 1.780000 0.810000 1.950000 ;
-        RECT 0.600000 1.950000 0.810000 2.465000 ;
-    END
-  END COUT
-  PIN SUM
-    ANTENNADIFFAREA  0.523500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.395000 0.255000 7.725000 0.485000 ;
-        RECT 7.395000 1.795000 7.645000 1.965000 ;
-        RECT 7.395000 1.965000 7.565000 2.465000 ;
-        RECT 7.475000 0.485000 7.725000 0.735000 ;
-        RECT 7.475000 0.735000 8.195000 0.905000 ;
-        RECT 7.475000 1.415000 8.195000 1.585000 ;
-        RECT 7.475000 1.585000 7.645000 1.795000 ;
-        RECT 7.970000 0.905000 8.195000 1.415000 ;
-    END
-  END SUM
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 8.280000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.470000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 8.280000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 8.280000 0.085000 ;
-      RECT 0.000000  2.635000 8.280000 2.805000 ;
-      RECT 0.180000  0.085000 0.350000 0.565000 ;
-      RECT 0.180000  1.795000 0.350000 2.635000 ;
-      RECT 0.540000  1.075000 1.075000 1.245000 ;
-      RECT 0.905000  0.655000 2.165000 0.825000 ;
-      RECT 0.905000  0.825000 1.075000 1.075000 ;
-      RECT 0.905000  1.245000 1.075000 1.430000 ;
-      RECT 0.905000  1.430000 1.110000 1.495000 ;
-      RECT 0.905000  1.495000 1.475000 1.600000 ;
-      RECT 0.940000  1.600000 1.475000 1.665000 ;
-      RECT 0.980000  2.275000 1.310000 2.635000 ;
-      RECT 1.020000  0.085000 1.350000 0.465000 ;
-      RECT 1.305000  1.665000 1.475000 1.910000 ;
-      RECT 1.305000  1.910000 2.245000 2.080000 ;
-      RECT 1.535000  0.255000 2.165000 0.655000 ;
-      RECT 1.900000  2.080000 2.245000 2.465000 ;
-      RECT 1.925000  0.825000 2.165000 0.935000 ;
-      RECT 2.415000  0.255000 2.585000 0.615000 ;
-      RECT 2.415000  0.615000 3.425000 0.785000 ;
-      RECT 2.415000  1.935000 3.490000 2.105000 ;
-      RECT 2.415000  2.105000 2.585000 2.465000 ;
-      RECT 2.755000  0.085000 3.085000 0.445000 ;
-      RECT 2.755000  2.275000 3.085000 2.635000 ;
-      RECT 3.255000  0.255000 3.425000 0.615000 ;
-      RECT 3.255000  2.105000 3.490000 2.465000 ;
-      RECT 3.695000  0.085000 4.025000 0.490000 ;
-      RECT 3.695000  1.915000 4.025000 2.635000 ;
-      RECT 4.195000  0.255000 4.365000 0.615000 ;
-      RECT 4.195000  0.615000 5.205000 0.785000 ;
-      RECT 4.195000  1.935000 5.205000 2.105000 ;
-      RECT 4.195000  2.105000 4.365000 2.465000 ;
-      RECT 4.535000  0.085000 4.865000 0.445000 ;
-      RECT 4.535000  2.275000 4.865000 2.635000 ;
-      RECT 5.035000  0.255000 5.205000 0.615000 ;
-      RECT 5.035000  2.105000 5.205000 2.465000 ;
-      RECT 5.250000  0.955000 5.935000 1.125000 ;
-      RECT 5.420000  0.765000 5.935000 0.955000 ;
-      RECT 5.485000  2.125000 6.685000 2.465000 ;
-      RECT 5.540000  0.255000 6.550000 0.505000 ;
-      RECT 5.540000  0.505000 5.710000 0.595000 ;
-      RECT 6.380000  0.505000 6.550000 0.655000 ;
-      RECT 6.380000  0.655000 7.300000 0.825000 ;
-      RECT 6.515000  1.935000 7.180000 2.105000 ;
-      RECT 6.515000  2.105000 6.685000 2.125000 ;
-      RECT 6.780000  0.085000 7.110000 0.445000 ;
-      RECT 6.890000  2.275000 7.220000 2.635000 ;
-      RECT 7.010000  1.470000 7.300000 1.640000 ;
-      RECT 7.010000  1.640000 7.180000 1.935000 ;
-      RECT 7.130000  0.825000 7.300000 1.075000 ;
-      RECT 7.130000  1.075000 7.800000 1.245000 ;
-      RECT 7.130000  1.245000 7.300000 1.470000 ;
-      RECT 7.815000  1.795000 7.985000 2.635000 ;
-      RECT 7.895000  0.085000 8.065000 0.565000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  0.765000 2.155000 0.935000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 5.685000  0.765000 5.855000 0.935000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-    LAYER met1 ;
-      RECT 1.925000 0.735000 2.215000 0.780000 ;
-      RECT 1.925000 0.780000 5.915000 0.920000 ;
-      RECT 1.925000 0.920000 2.215000 0.965000 ;
-      RECT 5.625000 0.735000 5.915000 0.780000 ;
-      RECT 5.625000 0.920000 5.915000 0.965000 ;
-  END
-END sky130_fd_sc_hd__fa_2
-MACRO sky130_fd_sc_hd__mux2i_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__mux2i_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  8.280000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A0
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.560000 0.995000 1.070000 1.105000 ;
-        RECT 0.560000 1.105000 1.240000 1.325000 ;
-    END
-  END A0
-  PIN A1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.955000 0.995000 3.550000 1.325000 ;
-    END
-  END A1
-  PIN S
-    ANTENNAGATEAREA  1.237500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.845000 1.075000 5.930000 1.290000 ;
-        RECT 5.760000 1.290000 5.930000 1.425000 ;
-        RECT 5.760000 1.425000 7.850000 1.595000 ;
-        RECT 7.680000 0.995000 7.850000 1.425000 ;
-    END
-  END S
-  PIN Y
-    ANTENNADIFFAREA  2.194500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 0.315000 3.785000 0.485000 ;
-        RECT 0.095000 0.485000 0.320000 2.255000 ;
-        RECT 0.095000 2.255000 3.785000 2.425000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 8.280000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.470000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 8.280000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 8.280000 0.085000 ;
-      RECT 0.000000  2.635000 8.280000 2.805000 ;
-      RECT 0.515000  0.655000 1.700000 0.825000 ;
-      RECT 0.515000  1.575000 5.580000 1.745000 ;
-      RECT 1.355000  0.825000 1.700000 0.935000 ;
-      RECT 2.195000  0.655000 5.485000 0.825000 ;
-      RECT 2.195000  1.915000 7.165000 2.085000 ;
-      RECT 3.975000  0.085000 4.305000 0.465000 ;
-      RECT 3.975000  2.255000 4.305000 2.635000 ;
-      RECT 4.475000  0.255000 4.645000 0.655000 ;
-      RECT 4.815000  0.085000 5.145000 0.465000 ;
-      RECT 4.815000  2.255000 5.145000 2.635000 ;
-      RECT 5.315000  0.255000 5.485000 0.655000 ;
-      RECT 5.655000  0.085000 5.980000 0.590000 ;
-      RECT 5.655000  2.255000 5.985000 2.635000 ;
-      RECT 6.150000  0.255000 6.325000 0.715000 ;
-      RECT 6.150000  0.715000 7.165000 0.905000 ;
-      RECT 6.150000  0.905000 6.450000 0.935000 ;
-      RECT 6.155000  1.795000 6.325000 1.915000 ;
-      RECT 6.155000  2.085000 6.325000 2.465000 ;
-      RECT 6.495000  2.255000 6.825000 2.635000 ;
-      RECT 6.545000  0.085000 6.795000 0.545000 ;
-      RECT 6.730000  1.075000 7.510000 1.245000 ;
-      RECT 6.995000  0.510000 7.165000 0.715000 ;
-      RECT 6.995000  1.795000 7.165000 1.915000 ;
-      RECT 6.995000  2.085000 7.165000 2.465000 ;
-      RECT 7.340000  0.655000 8.195000 0.825000 ;
-      RECT 7.340000  0.825000 7.510000 1.075000 ;
-      RECT 7.435000  0.085000 7.765000 0.465000 ;
-      RECT 7.435000  2.255000 7.765000 2.635000 ;
-      RECT 7.935000  0.255000 8.195000 0.655000 ;
-      RECT 7.935000  1.795000 8.195000 2.465000 ;
-      RECT 8.020000  0.825000 8.195000 1.795000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.530000  0.765000 1.700000 0.935000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.150000  0.765000 6.320000 0.935000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-    LAYER met1 ;
-      RECT 1.470000 0.735000 1.760000 0.780000 ;
-      RECT 1.470000 0.780000 6.380000 0.920000 ;
-      RECT 1.470000 0.920000 1.760000 0.965000 ;
-      RECT 6.090000 0.735000 6.380000 0.780000 ;
-      RECT 6.090000 0.920000 6.380000 0.965000 ;
-  END
-END sky130_fd_sc_hd__mux2i_4
-MACRO sky130_fd_sc_hd__mux2i_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__mux2i_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.060000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A0
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.470000 1.075000 3.560000 1.275000 ;
-    END
-  END A0
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.310000 0.995000 4.635000 1.615000 ;
-    END
-  END A1
-  PIN S
-    ANTENNAGATEAREA  0.742500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.430000 0.995000 0.780000 1.325000 ;
-        RECT 0.580000 0.725000 0.780000 0.995000 ;
-    END
-  END S
-  PIN Y
-    ANTENNADIFFAREA  1.691250 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.715000 0.295000 4.975000 0.465000 ;
-        RECT 2.715000 2.255000 4.975000 2.425000 ;
-        RECT 4.750000 1.785000 4.975000 2.255000 ;
-        RECT 4.805000 0.465000 4.975000 1.785000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.060000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.250000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.060000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.060000 0.085000 ;
-      RECT 0.000000  2.635000 5.060000 2.805000 ;
-      RECT 0.085000  0.345000 0.345000 0.675000 ;
-      RECT 0.085000  0.675000 0.260000 1.495000 ;
-      RECT 0.085000  1.495000 1.395000 1.665000 ;
-      RECT 0.085000  1.665000 0.260000 2.135000 ;
-      RECT 0.085000  2.135000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.835000 0.545000 ;
-      RECT 0.515000  2.255000 0.845000 2.635000 ;
-      RECT 0.935000  1.835000 1.735000 2.005000 ;
-      RECT 1.015000  0.575000 1.255000 0.935000 ;
-      RECT 1.225000  1.155000 1.985000 1.325000 ;
-      RECT 1.225000  1.325000 1.395000 1.495000 ;
-      RECT 1.355000  2.255000 1.685000 2.635000 ;
-      RECT 1.435000  0.085000 1.685000 0.885000 ;
-      RECT 1.565000  1.495000 3.465000 1.665000 ;
-      RECT 1.565000  1.665000 1.735000 1.835000 ;
-      RECT 1.655000  1.075000 1.985000 1.155000 ;
-      RECT 1.855000  0.295000 2.025000 0.735000 ;
-      RECT 1.855000  0.735000 3.465000 0.905000 ;
-      RECT 1.855000  2.135000 2.080000 2.465000 ;
-      RECT 1.910000  1.835000 2.885000 1.915000 ;
-      RECT 1.910000  1.915000 4.350000 2.005000 ;
-      RECT 1.910000  2.005000 2.080000 2.135000 ;
-      RECT 2.275000  0.085000 2.445000 0.545000 ;
-      RECT 2.275000  2.175000 2.525000 2.635000 ;
-      RECT 2.715000  2.005000 4.350000 2.085000 ;
-      RECT 3.135000  0.655000 3.465000 0.735000 ;
-      RECT 3.135000  1.665000 3.465000 1.715000 ;
-      RECT 3.850000  0.655000 4.345000 0.825000 ;
-      RECT 3.850000  0.825000 4.105000 0.935000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.070000  0.765000 1.240000 0.935000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 3.850000  0.765000 4.020000 0.935000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-    LAYER met1 ;
-      RECT 1.010000 0.735000 1.300000 0.780000 ;
-      RECT 1.010000 0.780000 4.080000 0.920000 ;
-      RECT 1.010000 0.920000 1.300000 0.965000 ;
-      RECT 3.790000 0.735000 4.080000 0.780000 ;
-      RECT 3.790000 0.920000 4.080000 0.965000 ;
-  END
-END sky130_fd_sc_hd__mux2i_2
-MACRO sky130_fd_sc_hd__mux2i_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__mux2i_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A0
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.060000 0.420000 1.285000 ;
-    END
-  END A0
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.955000 0.995000 1.125000 1.155000 ;
-        RECT 0.955000 1.155000 1.205000 1.325000 ;
-        RECT 1.035000 1.325000 1.205000 1.445000 ;
-        RECT 1.035000 1.445000 1.235000 2.110000 ;
-    END
-  END A1
-  PIN S
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.260000 0.760000 3.595000 1.620000 ;
-    END
-  END S
-  PIN Y
-    ANTENNADIFFAREA  0.480500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.590000 0.595000 0.780000 1.455000 ;
-        RECT 0.590000 1.455000 0.840000 2.125000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.085000  0.255000 1.805000 0.425000 ;
-      RECT 0.085000  0.425000 0.440000 0.465000 ;
-      RECT 0.085000  0.465000 0.345000 0.885000 ;
-      RECT 0.120000  1.455000 0.420000 2.295000 ;
-      RECT 0.120000  2.295000 1.575000 2.465000 ;
-      RECT 0.955000  0.655000 1.520000 0.715000 ;
-      RECT 0.955000  0.715000 2.620000 0.825000 ;
-      RECT 0.965000  0.425000 1.805000 0.465000 ;
-      RECT 1.295000  0.825000 2.620000 0.885000 ;
-      RECT 1.385000  1.075000 3.085000 1.310000 ;
-      RECT 1.405000  1.480000 2.615000 1.650000 ;
-      RECT 1.405000  1.650000 1.575000 2.295000 ;
-      RECT 1.745000  1.835000 1.975000 2.635000 ;
-      RECT 1.975000  0.085000 2.145000 0.545000 ;
-      RECT 2.285000  1.650000 2.615000 2.465000 ;
-      RECT 2.385000  0.255000 2.620000 0.715000 ;
-      RECT 2.800000  0.255000 3.165000 0.485000 ;
-      RECT 2.800000  0.485000 3.085000 1.075000 ;
-      RECT 2.860000  1.310000 3.085000 2.465000 ;
-      RECT 3.295000  1.835000 3.590000 2.635000 ;
-      RECT 3.335000  0.085000 3.555000 0.545000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__mux2i_1
-MACRO sky130_fd_sc_hd__nand2_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nand2_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.300000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.015000 1.075000 1.765000 1.325000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.845000 1.325000 ;
-    END
-  END B
-  PIN Y
-    ANTENNADIFFAREA  0.715500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 1.495000 2.215000 1.665000 ;
-        RECT 0.515000 1.665000 0.845000 2.465000 ;
-        RECT 1.355000 0.655000 2.215000 0.905000 ;
-        RECT 1.355000 1.665000 1.685000 2.465000 ;
-        RECT 1.935000 0.905000 2.215000 1.495000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.300000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.490000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.300000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.300000 0.085000 ;
-      RECT 0.000000  2.635000 2.300000 2.805000 ;
-      RECT 0.085000  0.255000 0.425000 0.715000 ;
-      RECT 0.085000  0.715000 1.185000 0.885000 ;
-      RECT 0.085000  1.495000 0.345000 2.635000 ;
-      RECT 0.595000  0.085000 0.765000 0.545000 ;
-      RECT 0.935000  0.255000 2.105000 0.465000 ;
-      RECT 0.935000  0.465000 1.185000 0.715000 ;
-      RECT 1.015000  1.835000 1.185000 2.635000 ;
-      RECT 1.775000  0.465000 2.105000 0.485000 ;
-      RECT 1.855000  1.835000 2.110000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nand2_2
-MACRO sky130_fd_sc_hd__nand2_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nand2_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.380000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.940000 1.075000 1.275000 1.325000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 1.055000 0.430000 1.325000 ;
-    END
-  END B
-  PIN Y
-    ANTENNADIFFAREA  0.439000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.535000 1.485000 0.865000 2.465000 ;
-        RECT 0.600000 0.255000 1.295000 0.885000 ;
-        RECT 0.600000 0.885000 0.770000 1.485000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.380000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.140000 -0.085000 0.310000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 1.570000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.380000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.380000 0.085000 ;
-      RECT 0.000000  2.635000 1.380000 2.805000 ;
-      RECT 0.085000  0.085000 0.395000 0.885000 ;
-      RECT 0.085000  1.495000 0.365000 2.635000 ;
-      RECT 1.035000  1.495000 1.295000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nand2_1
-MACRO sky130_fd_sc_hd__nand2_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nand2_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.615000 1.075000 4.055000 1.325000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.110000 1.075000 1.730000 1.325000 ;
-    END
-  END B
-  PIN Y
-    ANTENNADIFFAREA  1.431000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 1.495000 3.365000 1.665000 ;
-        RECT 0.515000 1.665000 0.845000 2.465000 ;
-        RECT 1.355000 1.665000 1.685000 2.465000 ;
-        RECT 1.910000 1.075000 2.445000 1.495000 ;
-        RECT 2.195000 0.635000 3.365000 0.805000 ;
-        RECT 2.195000 0.805000 2.445000 1.075000 ;
-        RECT 2.195000 1.665000 2.525000 2.465000 ;
-        RECT 3.035000 1.665000 3.365000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.090000  0.255000 0.425000 0.715000 ;
-      RECT 0.090000  0.715000 2.025000 0.905000 ;
-      RECT 0.090000  1.495000 0.345000 2.635000 ;
-      RECT 0.595000  0.085000 0.765000 0.545000 ;
-      RECT 0.935000  0.255000 1.265000 0.715000 ;
-      RECT 1.015000  1.835000 1.185000 2.635000 ;
-      RECT 1.435000  0.085000 1.605000 0.545000 ;
-      RECT 1.775000  0.255000 3.785000 0.465000 ;
-      RECT 1.775000  0.465000 2.025000 0.715000 ;
-      RECT 1.855000  1.835000 2.025000 2.635000 ;
-      RECT 2.695000  1.835000 2.865000 2.635000 ;
-      RECT 3.535000  0.465000 3.785000 0.885000 ;
-      RECT 3.535000  1.835000 3.785000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nand2_4
-MACRO sky130_fd_sc_hd__nand2_8
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nand2_8 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.360000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  1.980000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.290000 1.075000 6.305000 1.275000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  1.980000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.510000 1.075000 3.365000 1.295000 ;
-    END
-  END B
-  PIN Y
-    ANTENNADIFFAREA  2.862000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 1.465000 6.725000 1.665000 ;
-        RECT 0.515000 1.665000 0.845000 2.465000 ;
-        RECT 1.355000 1.665000 1.685000 2.465000 ;
-        RECT 2.195000 1.665000 2.525000 2.465000 ;
-        RECT 3.035000 1.665000 3.365000 2.465000 ;
-        RECT 3.640000 1.075000 4.120000 1.465000 ;
-        RECT 3.875000 0.655000 6.725000 0.905000 ;
-        RECT 3.875000 0.905000 4.120000 1.075000 ;
-        RECT 3.875000 1.665000 4.205000 2.465000 ;
-        RECT 4.715000 1.665000 5.045000 2.465000 ;
-        RECT 5.555000 1.665000 5.885000 2.465000 ;
-        RECT 6.395000 1.665000 6.725000 2.465000 ;
-        RECT 6.475000 0.905000 6.725000 1.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.360000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.550000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.360000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.360000 0.085000 ;
-      RECT 0.000000  2.635000 7.360000 2.805000 ;
-      RECT 0.090000  0.255000 0.425000 0.735000 ;
-      RECT 0.090000  0.735000 3.705000 0.905000 ;
-      RECT 0.090000  1.495000 0.345000 2.635000 ;
-      RECT 0.595000  0.085000 0.765000 0.565000 ;
-      RECT 0.935000  0.255000 1.265000 0.735000 ;
-      RECT 1.015000  1.835000 1.185000 2.635000 ;
-      RECT 1.435000  0.085000 1.605000 0.565000 ;
-      RECT 1.775000  0.255000 2.105000 0.735000 ;
-      RECT 1.855000  1.835000 2.025000 2.635000 ;
-      RECT 2.275000  0.085000 2.445000 0.565000 ;
-      RECT 2.615000  0.255000 2.945000 0.735000 ;
-      RECT 2.695000  1.835000 2.865000 2.635000 ;
-      RECT 3.115000  0.085000 3.285000 0.565000 ;
-      RECT 3.455000  0.255000 7.270000 0.485000 ;
-      RECT 3.455000  0.485000 3.705000 0.735000 ;
-      RECT 3.535000  1.835000 3.705000 2.635000 ;
-      RECT 4.375000  1.835000 4.545000 2.635000 ;
-      RECT 5.215000  1.835000 5.385000 2.635000 ;
-      RECT 6.055000  1.835000 6.225000 2.635000 ;
-      RECT 6.895000  0.485000 7.270000 0.905000 ;
-      RECT 6.915000  1.495000 7.270000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nand2_8
-MACRO sky130_fd_sc_hd__a32oi_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a32oi_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.230000 1.075000 1.595000 1.255000 ;
-        RECT 1.405000 0.345000 1.705000 0.765000 ;
-        RECT 1.405000 0.765000 1.595000 1.075000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.805000 0.995000 2.165000 1.325000 ;
-        RECT 1.965000 0.415000 2.165000 0.995000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.335000 1.015000 2.750000 1.325000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.855000 0.995000 1.025000 1.425000 ;
-        RECT 0.855000 1.425000 1.255000 1.615000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.345000 1.325000 ;
-    END
-  END B2
-  PIN Y
-    ANTENNADIFFAREA  0.575500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 0.635000 1.165000 0.805000 ;
-        RECT 0.515000 0.805000 0.685000 1.785000 ;
-        RECT 0.515000 1.785000 0.865000 2.085000 ;
-        RECT 0.915000 0.295000 1.165000 0.635000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.085000  1.835000 0.345000 2.255000 ;
-      RECT 0.085000  2.255000 1.345000 2.465000 ;
-      RECT 0.095000  0.085000 0.425000 0.465000 ;
-      RECT 1.095000  1.785000 2.185000 1.955000 ;
-      RECT 1.095000  1.955000 1.345000 2.255000 ;
-      RECT 1.555000  2.135000 1.805000 2.635000 ;
-      RECT 2.015000  1.745000 2.185000 1.785000 ;
-      RECT 2.015000  1.955000 2.185000 2.465000 ;
-      RECT 2.355000  0.085000 2.695000 0.805000 ;
-      RECT 2.355000  1.495000 2.695000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a32oi_1
-MACRO sky130_fd_sc_hd__a32oi_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a32oi_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  10.12000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.775000 1.075000 5.465000 1.285000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.095000 1.075000 7.695000 1.300000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 8.295000 1.075000 9.985000 1.280000 ;
-        RECT 9.805000 0.755000 9.985000 1.075000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.585000 0.995000 3.555000 1.325000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.110000 1.075000 1.750000 1.305000 ;
-        RECT 0.110000 1.305000 0.330000 1.965000 ;
-    END
-  END B2
-  PIN Y
-    ANTENNADIFFAREA  1.782000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 1.575000 3.365000 1.745000 ;
-        RECT 0.515000 1.745000 0.845000 2.085000 ;
-        RECT 1.355000 1.745000 1.685000 2.085000 ;
-        RECT 1.975000 0.990000 2.365000 1.575000 ;
-        RECT 1.975000 1.745000 2.525000 2.085000 ;
-        RECT 2.195000 0.635000 5.565000 0.805000 ;
-        RECT 2.195000 0.805000 2.365000 0.990000 ;
-        RECT 3.035000 1.745000 3.365000 2.085000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 10.120000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 10.310000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 10.120000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 10.120000 0.085000 ;
-      RECT 0.000000  2.635000 10.120000 2.805000 ;
-      RECT 0.095000  2.255000  3.705000 2.425000 ;
-      RECT 0.175000  0.255000  0.345000 0.635000 ;
-      RECT 0.175000  0.635000  2.025000 0.805000 ;
-      RECT 0.515000  0.085000  0.845000 0.465000 ;
-      RECT 1.015000  0.255000  1.185000 0.635000 ;
-      RECT 1.355000  0.085000  1.685000 0.465000 ;
-      RECT 1.855000  0.295000  3.785000 0.465000 ;
-      RECT 1.855000  0.465000  2.025000 0.635000 ;
-      RECT 3.535000  1.575000  9.925000 1.745000 ;
-      RECT 3.535000  1.745000  3.705000 2.255000 ;
-      RECT 3.895000  1.915000  4.225000 2.635000 ;
-      RECT 3.975000  0.295000  7.805000 0.465000 ;
-      RECT 4.395000  1.745000  4.565000 2.465000 ;
-      RECT 4.770000  1.915000  5.440000 2.635000 ;
-      RECT 5.640000  1.745000  5.810000 2.465000 ;
-      RECT 6.215000  0.635000  9.505000 0.805000 ;
-      RECT 6.215000  1.915000  6.545000 2.635000 ;
-      RECT 6.715000  1.745000  6.885000 2.465000 ;
-      RECT 7.055000  1.915000  7.385000 2.635000 ;
-      RECT 7.555000  1.745000  7.725000 2.465000 ;
-      RECT 7.995000  0.085000  8.325000 0.465000 ;
-      RECT 8.415000  1.915000  8.745000 2.635000 ;
-      RECT 8.495000  0.255000  8.665000 0.635000 ;
-      RECT 8.835000  0.085000  9.165000 0.465000 ;
-      RECT 8.915000  1.745000  9.085000 2.465000 ;
-      RECT 9.255000  1.915000  9.585000 2.635000 ;
-      RECT 9.335000  0.255000  9.505000 0.635000 ;
-      RECT 9.685000  0.085000 10.025000 0.465000 ;
-      RECT 9.755000  1.745000  9.925000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-      RECT 9.805000 -0.085000 9.975000 0.085000 ;
-      RECT 9.805000  2.635000 9.975000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a32oi_4
-MACRO sky130_fd_sc_hd__a32oi_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a32oi_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.980000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.415000 1.075000 3.220000 1.625000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.725000 1.075000 4.480000 1.625000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.715000 1.075000 5.860000 1.625000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.045000 1.080000 1.725000 1.285000 ;
-        RECT 1.175000 1.075000 1.505000 1.080000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.145000 1.075000 0.825000 1.285000 ;
-        RECT 0.145000 1.285000 0.325000 1.625000 ;
-    END
-  END B2
-  PIN Y
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 1.955000 0.845000 2.125000 ;
-        RECT 0.595000 1.455000 2.180000 1.625000 ;
-        RECT 0.595000 1.625000 0.765000 1.955000 ;
-        RECT 1.355000 0.655000 3.100000 0.825000 ;
-        RECT 1.435000 1.625000 1.605000 2.125000 ;
-        RECT 1.965000 0.825000 2.180000 1.455000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.980000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.170000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.980000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.980000 0.085000 ;
-      RECT 0.000000  2.635000 5.980000 2.805000 ;
-      RECT 0.095000  0.295000 0.425000 0.465000 ;
-      RECT 0.175000  0.465000 0.345000 0.715000 ;
-      RECT 0.175000  0.715000 1.185000 0.885000 ;
-      RECT 0.175000  1.795000 0.345000 2.295000 ;
-      RECT 0.175000  2.295000 2.025000 2.465000 ;
-      RECT 0.595000  0.085000 0.765000 0.545000 ;
-      RECT 0.935000  0.295000 2.115000 0.465000 ;
-      RECT 1.015000  0.465000 1.185000 0.715000 ;
-      RECT 1.015000  1.795000 1.185000 2.295000 ;
-      RECT 1.855000  1.795000 2.025000 1.915000 ;
-      RECT 1.855000  1.915000 5.805000 2.085000 ;
-      RECT 1.855000  2.085000 2.025000 2.295000 ;
-      RECT 2.270000  2.255000 2.940000 2.635000 ;
-      RECT 2.350000  0.295000 4.370000 0.465000 ;
-      RECT 3.180000  1.795000 3.350000 1.915000 ;
-      RECT 3.180000  2.085000 3.350000 2.465000 ;
-      RECT 3.550000  2.255000 4.220000 2.635000 ;
-      RECT 3.620000  0.635000 5.390000 0.805000 ;
-      RECT 4.390000  1.795000 4.560000 1.915000 ;
-      RECT 4.390000  2.085000 4.560000 2.465000 ;
-      RECT 4.555000  0.085000 4.890000 0.465000 ;
-      RECT 4.765000  2.255000 5.435000 2.635000 ;
-      RECT 5.060000  0.275000 5.390000 0.635000 ;
-      RECT 5.560000  0.085000 5.885000 0.885000 ;
-      RECT 5.635000  1.795000 5.805000 1.915000 ;
-      RECT 5.635000  2.085000 5.805000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a32oi_2
-MACRO sky130_fd_sc_hd__lpflow_inputisolatch_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_inputisolatch_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.060000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.750000 0.765000 2.125000 1.095000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.690000 0.415000 4.975000 0.745000 ;
-        RECT 4.690000 1.670000 4.975000 2.455000 ;
-        RECT 4.805000 0.745000 4.975000 1.670000 ;
-    END
-  END Q
-  PIN SLEEP_B
-    ANTENNAGATEAREA  0.145500 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.985000 0.330000 1.625000 ;
-    END
-  END SLEEP_B
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.060000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.250000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.060000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.060000 0.085000 ;
-      RECT 0.000000  2.635000 5.060000 2.805000 ;
-      RECT 0.175000  0.345000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 0.780000 0.805000 ;
-      RECT 0.175000  1.795000 0.780000 1.965000 ;
-      RECT 0.175000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.780000 1.130000 ;
-      RECT 0.610000  1.130000 0.810000 1.460000 ;
-      RECT 0.610000  1.460000 0.780000 1.795000 ;
-      RECT 0.980000  0.740000 1.185000 0.910000 ;
-      RECT 0.980000  0.910000 1.150000 1.825000 ;
-      RECT 0.980000  1.825000 1.185000 1.915000 ;
-      RECT 0.980000  1.915000 2.845000 1.965000 ;
-      RECT 1.015000  0.345000 1.185000 0.740000 ;
-      RECT 1.015000  1.965000 2.845000 2.085000 ;
-      RECT 1.015000  2.085000 1.185000 2.465000 ;
-      RECT 1.320000  1.240000 1.490000 1.525000 ;
-      RECT 1.320000  1.525000 2.335000 1.695000 ;
-      RECT 1.455000  0.085000 1.785000 0.465000 ;
-      RECT 1.455000  2.255000 1.850000 2.635000 ;
-      RECT 2.050000  1.355000 2.335000 1.525000 ;
-      RECT 2.295000  0.705000 2.675000 1.035000 ;
-      RECT 2.310000  2.255000 3.185000 2.425000 ;
-      RECT 2.380000  0.365000 3.040000 0.535000 ;
-      RECT 2.505000  1.035000 2.675000 1.575000 ;
-      RECT 2.505000  1.575000 2.845000 1.915000 ;
-      RECT 2.870000  0.535000 3.040000 0.995000 ;
-      RECT 2.870000  0.995000 3.780000 1.165000 ;
-      RECT 3.015000  1.165000 3.780000 1.325000 ;
-      RECT 3.015000  1.325000 3.185000 2.255000 ;
-      RECT 3.265000  0.085000 3.595000 0.530000 ;
-      RECT 3.355000  2.135000 3.525000 2.635000 ;
-      RECT 3.420000  1.535000 4.125000 1.865000 ;
-      RECT 3.835000  0.415000 4.125000 0.745000 ;
-      RECT 3.835000  1.865000 4.125000 2.435000 ;
-      RECT 3.950000  0.745000 4.125000 1.535000 ;
-      RECT 4.295000  0.085000 4.465000 0.715000 ;
-      RECT 4.295000  1.570000 4.465000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_inputisolatch_1
-MACRO sky130_fd_sc_hd__o21ai_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o21ai_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.120000 1.055000 0.450000 1.445000 ;
-        RECT 0.120000 1.445000 2.095000 1.615000 ;
-        RECT 1.600000 1.075000 2.095000 1.445000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.620000 1.075000 1.420000 1.275000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.815000 0.765000 3.130000 1.400000 ;
-    END
-  END B1
-  PIN Y
-    ANTENNADIFFAREA  0.742000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.995000 1.785000 2.645000 1.965000 ;
-        RECT 0.995000 1.965000 1.295000 2.125000 ;
-        RECT 2.410000 1.965000 2.645000 2.465000 ;
-        RECT 2.435000 0.595000 2.645000 1.785000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.140000 -0.085000 0.310000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.105000  0.255000 0.435000 0.715000 ;
-      RECT 0.105000  0.715000 2.265000 0.885000 ;
-      RECT 0.105000  1.785000 0.435000 2.635000 ;
-      RECT 0.605000  1.785000 0.825000 2.295000 ;
-      RECT 0.605000  2.295000 1.715000 2.465000 ;
-      RECT 0.615000  0.085000 0.785000 0.545000 ;
-      RECT 0.965000  0.255000 1.295000 0.715000 ;
-      RECT 1.525000  0.085000 1.695000 0.545000 ;
-      RECT 1.525000  2.135000 1.715000 2.295000 ;
-      RECT 1.910000  2.175000 2.240000 2.635000 ;
-      RECT 1.935000  0.255000 3.125000 0.425000 ;
-      RECT 1.935000  0.425000 2.265000 0.715000 ;
-      RECT 2.815000  0.425000 3.125000 0.595000 ;
-      RECT 2.815000  1.570000 3.125000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o21ai_2
-MACRO sky130_fd_sc_hd__o21ai_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o21ai_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.840000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.150000 0.995000 0.410000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.590000 0.995000 0.975000 1.325000 ;
-        RECT 0.590000 1.325000 0.785000 2.375000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.202500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.505000 1.295000 1.750000 1.655000 ;
-    END
-  END B1
-  PIN Y
-    ANTENNADIFFAREA  0.517000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.965000 1.505000 1.315000 1.785000 ;
-        RECT 0.965000 1.785000 1.295000 2.465000 ;
-        RECT 1.145000 0.955000 1.665000 1.125000 ;
-        RECT 1.145000 1.125000 1.315000 1.505000 ;
-        RECT 1.495000 0.390000 1.665000 0.955000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.840000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.030000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.840000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.840000 0.085000 ;
-      RECT 0.000000  2.635000 1.840000 2.805000 ;
-      RECT 0.090000  0.265000 0.380000 0.615000 ;
-      RECT 0.090000  0.615000 1.305000 0.785000 ;
-      RECT 0.090000  1.495000 0.410000 2.635000 ;
-      RECT 0.575000  0.085000 0.905000 0.445000 ;
-      RECT 1.075000  0.310000 1.305000 0.615000 ;
-      RECT 1.495000  1.835000 1.750000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o21ai_1
-MACRO sky130_fd_sc_hd__o21ai_0
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o21ai_0 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.840000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.955000 0.415000 1.615000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.605000 1.100000 1.005000 1.340000 ;
-        RECT 0.605000 1.340000 0.775000 1.645000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.515000 1.355000 1.730000 1.685000 ;
-    END
-  END B1
-  PIN Y
-    ANTENNADIFFAREA  0.290500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.965000 1.510000 1.345000 1.680000 ;
-        RECT 0.965000 1.680000 1.300000 2.465000 ;
-        RECT 1.175000 0.955000 1.740000 1.125000 ;
-        RECT 1.175000 1.125000 1.345000 1.510000 ;
-        RECT 1.455000 0.280000 1.740000 0.955000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.840000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.030000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.840000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.840000 0.085000 ;
-      RECT 0.000000  2.635000 1.840000 2.805000 ;
-      RECT 0.120000  0.280000 0.380000 0.615000 ;
-      RECT 0.120000  0.615000 1.285000 0.785000 ;
-      RECT 0.145000  1.825000 0.475000 2.635000 ;
-      RECT 0.550000  0.085000 0.880000 0.445000 ;
-      RECT 1.050000  0.280000 1.285000 0.615000 ;
-      RECT 1.470000  1.855000 1.725000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o21ai_0
-MACRO sky130_fd_sc_hd__o21ai_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o21ai_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.980000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.125000 1.015000 1.475000 1.320000 ;
-        RECT 0.575000 1.320000 1.475000 1.515000 ;
-        RECT 0.575000 1.515000 3.695000 1.685000 ;
-        RECT 3.445000 0.990000 3.695000 1.515000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.985000 1.070000 3.275000 1.345000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.905000 1.015000 5.255000 1.275000 ;
-    END
-  END B1
-  PIN Y
-    ANTENNADIFFAREA  1.484000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.840000 1.855000 5.150000 2.025000 ;
-        RECT 3.935000 1.445000 5.835000 1.700000 ;
-        RECT 3.935000 1.700000 5.150000 1.855000 ;
-        RECT 4.030000 0.615000 5.835000 0.845000 ;
-        RECT 4.080000 2.025000 5.150000 2.085000 ;
-        RECT 4.080000 2.085000 4.290000 2.465000 ;
-        RECT 4.960000 2.085000 5.150000 2.465000 ;
-        RECT 5.425000 0.845000 5.835000 1.445000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.980000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.170000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.980000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.980000 0.085000 ;
-      RECT 0.000000  2.635000 5.980000 2.805000 ;
-      RECT 0.120000  0.615000 3.860000 0.820000 ;
-      RECT 0.120000  1.820000 0.405000 2.635000 ;
-      RECT 0.550000  0.085000 0.880000 0.445000 ;
-      RECT 0.575000  1.915000 1.670000 2.085000 ;
-      RECT 0.575000  2.085000 0.810000 2.465000 ;
-      RECT 0.980000  2.255000 1.310000 2.635000 ;
-      RECT 1.410000  0.085000 1.740000 0.445000 ;
-      RECT 1.480000  2.085000 1.670000 2.275000 ;
-      RECT 1.480000  2.275000 3.460000 2.465000 ;
-      RECT 2.270000  0.085000 2.600000 0.445000 ;
-      RECT 3.130000  0.085000 3.460000 0.445000 ;
-      RECT 3.630000  0.255000 5.650000 0.445000 ;
-      RECT 3.630000  0.445000 3.860000 0.615000 ;
-      RECT 3.630000  2.195000 3.910000 2.635000 ;
-      RECT 4.460000  2.255000 4.790000 2.635000 ;
-      RECT 5.320000  1.880000 5.650000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o21ai_4
-MACRO sky130_fd_sc_hd__dlrbn_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlrbn_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.820000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.460000 0.955000 1.790000 1.325000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.060000 0.255000 6.380000 2.465000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.475000 0.255000 7.735000 0.595000 ;
-        RECT 7.475000 1.785000 7.735000 2.465000 ;
-        RECT 7.560000 0.595000 7.735000 1.785000 ;
-    END
-  END Q_N
-  PIN RESET_B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.470000 0.995000 5.455000 1.325000 ;
-    END
-  END RESET_B
-  PIN GATE_N
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.985000 0.330000 1.625000 ;
-    END
-  END GATE_N
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.820000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.010000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.820000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.820000 0.085000 ;
-      RECT 0.000000  2.635000 7.820000 2.805000 ;
-      RECT 0.085000  0.345000 0.345000 0.635000 ;
-      RECT 0.085000  0.635000 0.780000 0.805000 ;
-      RECT 0.085000  1.795000 0.780000 1.965000 ;
-      RECT 0.085000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.780000 1.070000 ;
-      RECT 0.610000  1.070000 0.840000 1.400000 ;
-      RECT 0.610000  1.400000 0.780000 1.795000 ;
-      RECT 1.015000  0.345000 1.185000 1.685000 ;
-      RECT 1.015000  1.685000 1.240000 2.465000 ;
-      RECT 1.455000  1.495000 2.140000 1.665000 ;
-      RECT 1.455000  1.665000 1.785000 2.415000 ;
-      RECT 1.535000  0.345000 1.705000 0.615000 ;
-      RECT 1.535000  0.615000 2.140000 0.765000 ;
-      RECT 1.535000  0.765000 2.340000 0.785000 ;
-      RECT 1.875000  0.085000 2.205000 0.445000 ;
-      RECT 1.955000  1.835000 2.270000 2.635000 ;
-      RECT 1.970000  0.785000 2.340000 1.095000 ;
-      RECT 1.970000  1.095000 2.140000 1.495000 ;
-      RECT 2.470000  1.355000 2.755000 2.005000 ;
-      RECT 2.715000  0.705000 3.095000 1.035000 ;
-      RECT 2.840000  0.365000 3.500000 0.535000 ;
-      RECT 2.900000  2.255000 3.650000 2.425000 ;
-      RECT 2.925000  1.035000 3.095000 1.415000 ;
-      RECT 2.925000  1.415000 3.265000 1.995000 ;
-      RECT 3.330000  0.535000 3.500000 0.995000 ;
-      RECT 3.330000  0.995000 4.300000 1.165000 ;
-      RECT 3.480000  1.165000 4.300000 1.325000 ;
-      RECT 3.480000  1.325000 3.650000 2.255000 ;
-      RECT 3.740000  0.085000 4.070000 0.530000 ;
-      RECT 3.820000  2.135000 4.090000 2.635000 ;
-      RECT 3.840000  1.535000 5.875000 1.765000 ;
-      RECT 3.840000  1.765000 4.970000 1.865000 ;
-      RECT 4.240000  0.255000 4.540000 0.655000 ;
-      RECT 4.240000  0.655000 5.875000 0.825000 ;
-      RECT 4.260000  2.135000 4.590000 2.635000 ;
-      RECT 4.760000  1.865000 4.970000 2.435000 ;
-      RECT 5.135000  0.085000 5.875000 0.485000 ;
-      RECT 5.150000  1.935000 5.890000 2.635000 ;
-      RECT 5.625000  0.825000 5.875000 1.535000 ;
-      RECT 6.580000  0.255000 6.750000 0.985000 ;
-      RECT 6.580000  0.985000 6.830000 0.995000 ;
-      RECT 6.580000  0.995000 7.390000 1.325000 ;
-      RECT 6.580000  1.325000 6.830000 2.465000 ;
-      RECT 6.975000  0.085000 7.305000 0.465000 ;
-      RECT 7.010000  1.835000 7.305000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.610000  1.445000 0.780000 1.615000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.070000  1.785000 1.240000 1.955000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.470000  1.785000 2.640000 1.955000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 2.930000  1.445000 3.100000 1.615000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.415000 0.840000 1.460000 ;
-      RECT 0.550000 1.460000 3.160000 1.600000 ;
-      RECT 0.550000 1.600000 0.840000 1.645000 ;
-      RECT 1.010000 1.755000 1.300000 1.800000 ;
-      RECT 1.010000 1.800000 2.700000 1.940000 ;
-      RECT 1.010000 1.940000 1.300000 1.985000 ;
-      RECT 2.410000 1.755000 2.700000 1.800000 ;
-      RECT 2.410000 1.940000 2.700000 1.985000 ;
-      RECT 2.870000 1.415000 3.160000 1.460000 ;
-      RECT 2.870000 1.600000 3.160000 1.645000 ;
-  END
-END sky130_fd_sc_hd__dlrbn_1
-MACRO sky130_fd_sc_hd__dlrbn_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlrbn_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  8.280000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.460000 0.955000 1.790000 1.325000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.536250 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.650000 0.415000 5.910000 0.655000 ;
-        RECT 5.650000 0.655000 5.950000 0.685000 ;
-        RECT 5.650000 0.685000 5.975000 0.825000 ;
-        RECT 5.650000 1.495000 5.975000 1.660000 ;
-        RECT 5.650000 1.660000 5.915000 2.465000 ;
-        RECT 5.740000 0.825000 5.975000 0.860000 ;
-        RECT 5.790000 0.860000 5.975000 0.885000 ;
-        RECT 5.790000 0.885000 6.355000 1.325000 ;
-        RECT 5.790000 1.325000 5.975000 1.495000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.453750 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.500000 0.255000 7.755000 0.825000 ;
-        RECT 7.500000 1.445000 7.755000 2.465000 ;
-        RECT 7.545000 0.825000 7.755000 1.055000 ;
-        RECT 7.545000 1.055000 8.195000 1.325000 ;
-        RECT 7.545000 1.325000 7.755000 1.445000 ;
-    END
-  END Q_N
-  PIN RESET_B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.390000 0.995000 5.140000 1.325000 ;
-    END
-  END RESET_B
-  PIN GATE_N
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.985000 0.330000 1.625000 ;
-    END
-  END GATE_N
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 8.280000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.470000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 8.280000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 8.280000 0.085000 ;
-      RECT 0.000000  2.635000 8.280000 2.805000 ;
-      RECT 0.085000  0.345000 0.345000 0.635000 ;
-      RECT 0.085000  0.635000 0.780000 0.805000 ;
-      RECT 0.085000  1.795000 0.780000 1.965000 ;
-      RECT 0.085000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.605000  0.805000 0.780000 1.070000 ;
-      RECT 0.605000  1.070000 0.840000 1.400000 ;
-      RECT 0.605000  1.400000 0.780000 1.795000 ;
-      RECT 1.015000  0.345000 1.185000 1.685000 ;
-      RECT 1.015000  1.685000 1.240000 2.465000 ;
-      RECT 1.455000  1.495000 2.140000 1.665000 ;
-      RECT 1.455000  1.665000 1.785000 2.415000 ;
-      RECT 1.535000  0.345000 1.705000 0.615000 ;
-      RECT 1.535000  0.615000 2.140000 0.765000 ;
-      RECT 1.535000  0.765000 2.340000 0.785000 ;
-      RECT 1.875000  0.085000 2.205000 0.445000 ;
-      RECT 1.955000  1.835000 2.270000 2.635000 ;
-      RECT 1.970000  0.785000 2.340000 1.095000 ;
-      RECT 1.970000  1.095000 2.140000 1.495000 ;
-      RECT 2.470000  1.355000 2.755000 2.005000 ;
-      RECT 2.715000  0.705000 3.095000 1.035000 ;
-      RECT 2.840000  0.365000 3.500000 0.535000 ;
-      RECT 2.900000  2.255000 3.650000 2.425000 ;
-      RECT 2.925000  1.035000 3.095000 1.415000 ;
-      RECT 2.925000  1.415000 3.265000 1.995000 ;
-      RECT 3.330000  0.535000 3.500000 0.995000 ;
-      RECT 3.330000  0.995000 4.200000 1.165000 ;
-      RECT 3.480000  1.165000 4.200000 1.325000 ;
-      RECT 3.480000  1.325000 3.650000 2.255000 ;
-      RECT 3.740000  0.085000 4.070000 0.825000 ;
-      RECT 3.820000  2.135000 4.590000 2.635000 ;
-      RECT 3.840000  1.495000 5.480000 1.665000 ;
-      RECT 3.840000  1.665000 4.930000 1.865000 ;
-      RECT 4.340000  0.415000 4.560000 0.655000 ;
-      RECT 4.340000  0.655000 5.480000 0.825000 ;
-      RECT 4.760000  1.865000 4.930000 2.435000 ;
-      RECT 5.100000  0.085000 5.480000 0.485000 ;
-      RECT 5.100000  1.855000 5.350000 2.635000 ;
-      RECT 5.310000  0.825000 5.480000 0.995000 ;
-      RECT 5.310000  0.995000 5.620000 1.325000 ;
-      RECT 5.310000  1.325000 5.480000 1.495000 ;
-      RECT 6.085000  0.085000 6.355000 0.545000 ;
-      RECT 6.085000  1.830000 6.355000 2.635000 ;
-      RECT 6.525000  0.255000 6.855000 0.995000 ;
-      RECT 6.525000  0.995000 7.375000 1.325000 ;
-      RECT 6.525000  1.325000 6.855000 2.465000 ;
-      RECT 7.025000  0.085000 7.330000 0.545000 ;
-      RECT 7.035000  1.835000 7.330000 2.635000 ;
-      RECT 7.925000  0.085000 8.195000 0.885000 ;
-      RECT 7.925000  1.495000 8.195000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.610000  1.445000 0.780000 1.615000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.070000  1.785000 1.240000 1.955000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.470000  1.785000 2.640000 1.955000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 2.930000  1.445000 3.100000 1.615000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.415000 0.840000 1.460000 ;
-      RECT 0.550000 1.460000 3.160000 1.600000 ;
-      RECT 0.550000 1.600000 0.840000 1.645000 ;
-      RECT 1.010000 1.755000 1.300000 1.800000 ;
-      RECT 1.010000 1.800000 2.700000 1.940000 ;
-      RECT 1.010000 1.940000 1.300000 1.985000 ;
-      RECT 2.410000 1.755000 2.700000 1.800000 ;
-      RECT 2.410000 1.940000 2.700000 1.985000 ;
-      RECT 2.870000 1.415000 3.160000 1.460000 ;
-      RECT 2.870000 1.600000 3.160000 1.645000 ;
-  END
-END sky130_fd_sc_hd__dlrbn_2
-MACRO sky130_fd_sc_hd__lpflow_inputiso0p_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_inputiso0p_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.480000 1.645000 2.175000 1.955000 ;
-    END
-  END A
-  PIN SLEEP
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.145000 0.765000 0.445000 1.615000 ;
-    END
-  END SLEEP
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.350000 1.580000 2.655000 2.365000 ;
-        RECT 2.415000 0.255000 2.655000 0.775000 ;
-        RECT 2.480000 0.775000 2.655000 1.580000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.090000  0.085000 0.425000 0.590000 ;
-      RECT 0.175000  1.785000 0.850000 2.015000 ;
-      RECT 0.175000  2.015000 0.345000 2.445000 ;
-      RECT 0.515000  2.185000 0.845000 2.635000 ;
-      RECT 0.595000  0.280000 0.835000 0.655000 ;
-      RECT 0.615000  0.655000 0.835000 0.805000 ;
-      RECT 0.615000  0.805000 1.150000 1.135000 ;
-      RECT 0.615000  1.135000 0.850000 1.785000 ;
-      RECT 1.020000  1.305000 2.305000 1.325000 ;
-      RECT 1.020000  1.325000 1.880000 1.475000 ;
-      RECT 1.020000  1.475000 1.305000 2.420000 ;
-      RECT 1.115000  0.270000 1.285000 0.415000 ;
-      RECT 1.115000  0.415000 1.490000 0.610000 ;
-      RECT 1.320000  0.610000 1.490000 0.945000 ;
-      RECT 1.320000  0.945000 2.305000 1.305000 ;
-      RECT 1.485000  2.165000 2.170000 2.635000 ;
-      RECT 1.850000  0.085000 2.245000 0.580000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_inputiso0p_1
-MACRO sky130_fd_sc_hd__inv_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__inv_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.300000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.105000 1.075000 1.735000 1.325000 ;
-    END
-  END A
-  PIN Y
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.565000 0.255000 0.895000 0.725000 ;
-        RECT 0.565000 0.725000 2.170000 0.905000 ;
-        RECT 0.565000 1.495000 2.170000 1.665000 ;
-        RECT 0.565000 1.665000 0.895000 2.465000 ;
-        RECT 1.405000 0.255000 1.735000 0.725000 ;
-        RECT 1.405000 1.665000 2.170000 1.685000 ;
-        RECT 1.405000 1.685000 1.735000 2.465000 ;
-        RECT 1.905000 0.905000 2.170000 1.495000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.300000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.490000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.300000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.300000 0.085000 ;
-      RECT 0.000000  2.635000 2.300000 2.805000 ;
-      RECT 0.130000  0.085000 0.395000 0.545000 ;
-      RECT 0.130000  1.495000 0.395000 2.635000 ;
-      RECT 1.065000  0.085000 1.235000 0.545000 ;
-      RECT 1.065000  1.835000 1.235000 2.635000 ;
-      RECT 1.905000  0.085000 2.155000 0.550000 ;
-      RECT 1.905000  2.175000 2.115000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-  END
-END sky130_fd_sc_hd__inv_4
-MACRO sky130_fd_sc_hd__inv_6
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__inv_6 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  1.485000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.105000 1.075000 2.615000 1.325000 ;
-    END
-  END A
-  PIN Y
-    ANTENNADIFFAREA  1.336500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.685000 1.495000 3.135000 1.665000 ;
-        RECT 0.685000 1.665000 1.015000 2.465000 ;
-        RECT 0.765000 0.255000 0.935000 0.725000 ;
-        RECT 0.765000 0.725000 3.135000 0.905000 ;
-        RECT 1.525000 1.665000 1.855000 2.465000 ;
-        RECT 1.605000 0.255000 1.775000 0.725000 ;
-        RECT 2.365000 1.665000 3.135000 1.685000 ;
-        RECT 2.365000 1.685000 2.695000 2.465000 ;
-        RECT 2.445000 0.255000 2.615000 0.725000 ;
-        RECT 2.785000 0.905000 3.135000 1.495000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.130000  0.085000 0.395000 0.545000 ;
-      RECT 0.130000  1.495000 0.425000 2.635000 ;
-      RECT 1.185000  0.085000 1.355000 0.545000 ;
-      RECT 1.185000  1.835000 1.355000 2.635000 ;
-      RECT 2.025000  0.085000 2.195000 0.545000 ;
-      RECT 2.025000  1.835000 2.195000 2.635000 ;
-      RECT 2.785000  0.085000 3.035000 0.550000 ;
-      RECT 2.865000  2.175000 3.035000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__inv_6
-MACRO sky130_fd_sc_hd__inv_12
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__inv_12 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.980000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  2.970000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.680000 1.075000 5.270000 1.325000 ;
-    END
-  END A
-  PIN Y
-    ANTENNADIFFAREA  2.673000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.715000 5.895000 0.905000 ;
-        RECT 0.085000 0.905000 0.510000 1.495000 ;
-        RECT 0.085000 1.495000 5.895000 1.665000 ;
-        RECT 0.680000 0.255000 1.010000 0.715000 ;
-        RECT 0.680000 1.665000 1.010000 2.465000 ;
-        RECT 1.520000 0.255000 1.850000 0.715000 ;
-        RECT 1.520000 1.665000 1.850000 2.465000 ;
-        RECT 2.360000 0.255000 2.690000 0.715000 ;
-        RECT 2.360000 1.665000 2.690000 2.465000 ;
-        RECT 3.200000 0.255000 3.530000 0.715000 ;
-        RECT 3.200000 1.665000 3.530000 2.465000 ;
-        RECT 4.040000 0.255000 4.370000 0.715000 ;
-        RECT 4.040000 1.665000 4.370000 2.465000 ;
-        RECT 4.880000 0.255000 5.210000 0.715000 ;
-        RECT 4.880000 1.665000 5.210000 2.465000 ;
-        RECT 5.545000 0.905000 5.895000 1.495000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.980000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.170000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.980000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.980000 0.085000 ;
-      RECT 0.000000  2.635000 5.980000 2.805000 ;
-      RECT 0.255000  0.085000 0.510000 0.545000 ;
-      RECT 0.255000  1.835000 0.510000 2.635000 ;
-      RECT 1.180000  0.085000 1.350000 0.545000 ;
-      RECT 1.180000  1.835000 1.350000 2.635000 ;
-      RECT 2.020000  0.085000 2.190000 0.545000 ;
-      RECT 2.020000  1.835000 2.190000 2.635000 ;
-      RECT 2.860000  0.085000 3.030000 0.545000 ;
-      RECT 2.860000  1.835000 3.030000 2.635000 ;
-      RECT 3.700000  0.085000 3.870000 0.545000 ;
-      RECT 3.700000  1.835000 3.870000 2.635000 ;
-      RECT 4.540000  0.085000 4.710000 0.545000 ;
-      RECT 4.540000  1.835000 4.710000 2.635000 ;
-      RECT 5.555000  0.085000 5.895000 0.545000 ;
-      RECT 5.555000  1.835000 5.895000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-  END
-END sky130_fd_sc_hd__inv_12
-MACRO sky130_fd_sc_hd__inv_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__inv_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.380000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.320000 1.075000 0.650000 1.315000 ;
-    END
-  END A
-  PIN Y
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.720000 0.255000 1.050000 0.885000 ;
-        RECT 0.720000 1.485000 1.050000 2.465000 ;
-        RECT 0.820000 0.885000 1.050000 1.485000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.380000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 1.570000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.380000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.380000 0.085000 ;
-      RECT 0.000000  2.635000 1.380000 2.805000 ;
-      RECT 0.320000  0.085000 0.550000 0.905000 ;
-      RECT 0.340000  1.495000 0.550000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-  END
-END sky130_fd_sc_hd__inv_1
-MACRO sky130_fd_sc_hd__inv_16
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__inv_16 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.360000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  3.960000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 5.525000 1.315000 ;
-    END
-  END A
-  PIN Y
-    ANTENNADIFFAREA  3.564000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.580000 0.255000 0.910000 0.715000 ;
-        RECT 0.580000 0.715000 6.790000 0.905000 ;
-        RECT 0.580000 1.495000 6.790000 1.665000 ;
-        RECT 0.580000 1.665000 0.910000 2.465000 ;
-        RECT 1.420000 0.255000 1.750000 0.715000 ;
-        RECT 1.420000 1.665000 1.750000 2.465000 ;
-        RECT 2.260000 0.255000 2.590000 0.715000 ;
-        RECT 2.260000 1.665000 2.590000 2.465000 ;
-        RECT 3.100000 0.255000 3.430000 0.715000 ;
-        RECT 3.100000 1.665000 3.430000 2.465000 ;
-        RECT 3.940000 0.255000 4.270000 0.715000 ;
-        RECT 3.940000 1.665000 4.270000 2.465000 ;
-        RECT 4.780000 0.255000 5.110000 0.715000 ;
-        RECT 4.780000 1.665000 5.110000 2.465000 ;
-        RECT 5.620000 0.255000 5.950000 0.715000 ;
-        RECT 5.620000 1.665000 5.950000 2.465000 ;
-        RECT 6.460000 0.255000 6.790000 0.715000 ;
-        RECT 6.460000 0.905000 6.790000 1.495000 ;
-        RECT 6.460000 1.665000 6.790000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.360000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.550000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.360000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.360000 0.085000 ;
-      RECT 0.000000  2.635000 7.360000 2.805000 ;
-      RECT 0.180000  0.085000 0.410000 0.885000 ;
-      RECT 0.200000  1.485000 0.410000 2.635000 ;
-      RECT 1.080000  0.085000 1.250000 0.545000 ;
-      RECT 1.080000  1.835000 1.250000 2.635000 ;
-      RECT 1.920000  0.085000 2.090000 0.545000 ;
-      RECT 1.920000  1.835000 2.090000 2.635000 ;
-      RECT 2.760000  0.085000 2.930000 0.545000 ;
-      RECT 2.760000  1.835000 2.930000 2.635000 ;
-      RECT 3.600000  0.085000 3.770000 0.545000 ;
-      RECT 3.600000  1.835000 3.770000 2.635000 ;
-      RECT 4.440000  0.085000 4.610000 0.545000 ;
-      RECT 4.440000  1.835000 4.610000 2.635000 ;
-      RECT 5.280000  0.085000 5.450000 0.545000 ;
-      RECT 5.280000  1.835000 5.450000 2.635000 ;
-      RECT 6.120000  0.085000 6.290000 0.545000 ;
-      RECT 6.120000  1.835000 6.290000 2.635000 ;
-      RECT 6.960000  0.085000 7.170000 0.885000 ;
-      RECT 6.960000  1.835000 7.170000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-  END
-END sky130_fd_sc_hd__inv_16
-MACRO sky130_fd_sc_hd__inv_8
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__inv_8 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  1.980000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.680000 1.075000 3.535000 1.325000 ;
-    END
-  END A
-  PIN Y
-    ANTENNADIFFAREA  1.782000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.715000 4.055000 0.905000 ;
-        RECT 0.085000 0.905000 0.430000 1.495000 ;
-        RECT 0.085000 1.495000 4.055000 1.665000 ;
-        RECT 0.680000 0.255000 1.010000 0.715000 ;
-        RECT 0.680000 1.665000 1.010000 2.465000 ;
-        RECT 1.520000 0.255000 1.850000 0.715000 ;
-        RECT 1.520000 1.665000 1.850000 2.465000 ;
-        RECT 2.360000 0.255000 2.690000 0.715000 ;
-        RECT 2.360000 1.665000 2.690000 2.465000 ;
-        RECT 3.200000 0.255000 3.530000 0.715000 ;
-        RECT 3.200000 1.665000 3.530000 2.465000 ;
-        RECT 3.735000 0.905000 4.055000 1.495000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.255000  0.085000 0.510000 0.545000 ;
-      RECT 0.255000  1.835000 0.510000 2.635000 ;
-      RECT 1.180000  0.085000 1.350000 0.545000 ;
-      RECT 1.180000  1.835000 1.350000 2.635000 ;
-      RECT 2.020000  0.085000 2.190000 0.545000 ;
-      RECT 2.020000  1.835000 2.190000 2.635000 ;
-      RECT 2.860000  0.085000 3.030000 0.545000 ;
-      RECT 2.860000  1.835000 3.030000 2.635000 ;
-      RECT 3.700000  0.085000 4.005000 0.545000 ;
-      RECT 3.700000  1.835000 4.000000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__inv_8
-MACRO sky130_fd_sc_hd__inv_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__inv_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.380000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.105000 1.075000 0.435000 1.325000 ;
-    END
-  END A
-  PIN Y
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.525000 0.255000 0.855000 0.885000 ;
-        RECT 0.525000 1.485000 0.855000 2.465000 ;
-        RECT 0.605000 0.885000 0.855000 1.485000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.380000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 1.570000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.380000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.380000 0.085000 ;
-      RECT 0.000000  2.635000 1.380000 2.805000 ;
-      RECT 0.125000  0.085000 0.355000 0.905000 ;
-      RECT 0.125000  1.495000 0.355000 2.635000 ;
-      RECT 1.025000  0.085000 1.235000 0.905000 ;
-      RECT 1.025000  1.495000 1.235000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-  END
-END sky130_fd_sc_hd__inv_2
-MACRO sky130_fd_sc_hd__o32a_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o32a_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.005000 0.995000 1.175000 1.075000 ;
-        RECT 1.005000 1.075000 1.255000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.465000 0.995000 1.810000 1.325000 ;
-        RECT 1.485000 1.325000 1.810000 2.125000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.980000 0.995000 2.255000 1.660000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.320000 0.995000 3.595000 1.325000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.440000 0.995000 2.795000 1.660000 ;
-    END
-  END B2
-  PIN X
-    ANTENNADIFFAREA  0.504000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.255000 0.595000 0.825000 ;
-        RECT 0.085000 0.825000 0.260000 1.495000 ;
-        RECT 0.085000 1.495000 0.470000 2.455000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.140000 -0.085000 0.310000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.445000  0.995000 0.635000 1.075000 ;
-      RECT 0.445000  1.075000 0.810000 1.325000 ;
-      RECT 0.640000  1.325000 0.810000 1.495000 ;
-      RECT 0.640000  1.495000 1.315000 1.665000 ;
-      RECT 0.685000  1.835000 0.975000 2.635000 ;
-      RECT 0.765000  0.085000 0.935000 0.645000 ;
-      RECT 1.140000  0.255000 1.470000 0.655000 ;
-      RECT 1.140000  0.655000 2.540000 0.825000 ;
-      RECT 1.145000  1.665000 1.315000 2.295000 ;
-      RECT 1.145000  2.295000 2.510000 2.465000 ;
-      RECT 1.645000  0.085000 1.975000 0.485000 ;
-      RECT 2.180000  1.835000 3.135000 2.085000 ;
-      RECT 2.180000  2.085000 2.510000 2.295000 ;
-      RECT 2.210000  0.255000 3.595000 0.465000 ;
-      RECT 2.210000  0.465000 2.540000 0.655000 ;
-      RECT 2.710000  0.635000 3.135000 0.825000 ;
-      RECT 2.965000  0.825000 3.135000 1.835000 ;
-      RECT 3.305000  0.465000 3.595000 0.735000 ;
-      RECT 3.305000  1.495000 3.595000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o32a_1
-MACRO sky130_fd_sc_hd__o32a_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o32a_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.495000 0.995000 1.715000 1.615000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.985000 0.995000 2.160000 1.615000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.415000 0.995000 2.635000 1.615000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.695000 1.075000 4.055000 1.245000 ;
-        RECT 3.725000 1.245000 4.055000 1.325000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.910000 0.995000 3.155000 1.615000 ;
-    END
-  END B2
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 0.255000 0.845000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.085000  0.085000 0.345000 0.885000 ;
-      RECT 0.085000  1.495000 0.345000 2.635000 ;
-      RECT 1.015000  0.995000 1.325000 1.785000 ;
-      RECT 1.015000  1.785000 3.525000 1.955000 ;
-      RECT 1.015000  2.125000 1.525000 2.635000 ;
-      RECT 1.095000  0.085000 1.425000 0.825000 ;
-      RECT 1.695000  0.255000 2.025000 0.655000 ;
-      RECT 1.695000  0.655000 3.025000 0.825000 ;
-      RECT 2.195000  0.085000 2.525000 0.485000 ;
-      RECT 2.695000  0.255000 4.055000 0.425000 ;
-      RECT 2.695000  0.425000 3.025000 0.655000 ;
-      RECT 2.695000  1.955000 3.025000 2.465000 ;
-      RECT 3.195000  0.595000 3.525000 0.825000 ;
-      RECT 3.325000  0.825000 3.525000 1.785000 ;
-      RECT 3.695000  0.425000 4.055000 0.905000 ;
-      RECT 3.695000  1.495000 4.055000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o32a_2
-MACRO sky130_fd_sc_hd__o32a_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o32a_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  8.280000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.150000 1.075000 0.780000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.070000 1.075000 1.700000 1.275000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.010000 1.075000 2.625000 1.275000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.870000 1.075000 4.230000 1.275000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.790000 1.075000 5.260000 1.275000 ;
-    END
-  END B2
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.305000 0.255000 6.635000 0.715000 ;
-        RECT 6.305000 0.715000 8.135000 0.905000 ;
-        RECT 6.305000 1.495000 8.135000 1.665000 ;
-        RECT 6.305000 1.665000 6.635000 2.465000 ;
-        RECT 7.145000 0.255000 7.475000 0.715000 ;
-        RECT 7.145000 1.665000 7.475000 2.465000 ;
-        RECT 7.645000 0.905000 8.135000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 8.280000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.470000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 8.280000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 8.280000 0.085000 ;
-      RECT 0.000000  2.635000 8.280000 2.805000 ;
-      RECT 0.085000  0.255000 0.345000 0.635000 ;
-      RECT 0.085000  0.635000 2.965000 0.885000 ;
-      RECT 0.085000  1.445000 1.265000 1.665000 ;
-      RECT 0.085000  1.665000 0.425000 2.465000 ;
-      RECT 0.515000  0.085000 2.545000 0.465000 ;
-      RECT 0.595000  1.835000 0.765000 2.635000 ;
-      RECT 0.935000  1.665000 1.265000 2.295000 ;
-      RECT 0.935000  2.295000 2.105000 2.465000 ;
-      RECT 1.435000  1.445000 2.625000 1.690000 ;
-      RECT 1.435000  1.690000 1.605000 2.045000 ;
-      RECT 1.775000  1.860000 2.105000 2.295000 ;
-      RECT 2.295000  1.690000 2.625000 2.295000 ;
-      RECT 2.295000  2.295000 3.465000 2.465000 ;
-      RECT 2.715000  0.255000 5.695000 0.465000 ;
-      RECT 2.715000  0.465000 2.965000 0.635000 ;
-      RECT 2.795000  1.105000 3.645000 1.275000 ;
-      RECT 2.795000  1.275000 2.965000 2.045000 ;
-      RECT 3.135000  1.445000 3.465000 2.295000 ;
-      RECT 3.455000  0.635000 5.775000 0.805000 ;
-      RECT 3.455000  0.805000 3.645000 1.105000 ;
-      RECT 3.655000  1.445000 3.985000 1.785000 ;
-      RECT 3.655000  1.785000 4.825000 1.955000 ;
-      RECT 3.655000  1.955000 3.985000 2.465000 ;
-      RECT 4.155000  2.125000 4.325000 2.635000 ;
-      RECT 4.400000  0.805000 4.620000 1.445000 ;
-      RECT 4.400000  1.445000 5.195000 1.615000 ;
-      RECT 4.495000  1.955000 4.825000 2.285000 ;
-      RECT 4.495000  2.285000 5.695000 2.465000 ;
-      RECT 5.025000  1.615000 5.195000 2.115000 ;
-      RECT 5.365000  1.445000 5.695000 2.285000 ;
-      RECT 5.520000  0.805000 5.775000 1.075000 ;
-      RECT 5.520000  1.075000 7.475000 1.245000 ;
-      RECT 5.520000  1.245000 6.135000 1.265000 ;
-      RECT 5.965000  0.085000 6.135000 0.885000 ;
-      RECT 5.965000  1.835000 6.135000 2.635000 ;
-      RECT 6.805000  0.085000 6.975000 0.545000 ;
-      RECT 6.805000  1.835000 6.975000 2.635000 ;
-      RECT 7.645000  0.085000 7.900000 0.545000 ;
-      RECT 7.645000  1.835000 7.900000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o32a_4
-MACRO sky130_fd_sc_hd__tap_1
-  CLASS CORE WELLTAP ;
-  FOREIGN sky130_fd_sc_hd__tap_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  0.460000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 0.460000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.265000 0.375000 0.810000 ;
-      LAYER pwell ;
-        RECT 0.145000 0.320000 0.315000 0.845000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.470000 0.375000 2.455000 ;
-      LAYER nwell ;
-        RECT -0.190000 1.305000 0.650000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 0.460000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 0.460000 0.085000 ;
-      RECT 0.000000  2.635000 0.460000 2.805000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-  END
-END sky130_fd_sc_hd__tap_1
-MACRO sky130_fd_sc_hd__tap_2
-  CLASS CORE WELLTAP ;
-  FOREIGN sky130_fd_sc_hd__tap_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  0.920000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 0.920000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.265000 0.835000 0.810000 ;
-      LAYER pwell ;
-        RECT 0.145000 0.320000 0.775000 0.845000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.470000 0.835000 2.455000 ;
-      LAYER nwell ;
-        RECT -0.190000 1.305000 1.110000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 0.920000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 0.920000 0.085000 ;
-      RECT 0.000000  2.635000 0.920000 2.805000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-  END
-END sky130_fd_sc_hd__tap_2
-MACRO sky130_fd_sc_hd__dlxbn_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlxbn_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.820000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.480000 0.955000 1.810000 1.325000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.215000 0.415000 5.465000 0.660000 ;
-        RECT 5.215000 0.660000 5.500000 0.825000 ;
-        RECT 5.215000 1.495000 5.500000 1.710000 ;
-        RECT 5.215000 1.710000 5.465000 2.455000 ;
-        RECT 5.330000 0.825000 5.500000 0.995000 ;
-        RECT 5.330000 0.995000 5.905000 1.325000 ;
-        RECT 5.330000 1.325000 5.500000 1.495000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.453750 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.050000 0.255000 7.305000 0.825000 ;
-        RECT 7.050000 1.445000 7.305000 2.465000 ;
-        RECT 7.095000 0.825000 7.305000 1.055000 ;
-        RECT 7.095000 1.055000 7.735000 1.325000 ;
-        RECT 7.095000 1.325000 7.305000 1.445000 ;
-    END
-  END Q_N
-  PIN GATE_N
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.985000 0.330000 1.625000 ;
-    END
-  END GATE_N
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.820000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.010000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.820000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.820000 0.085000 ;
-      RECT 0.000000  2.635000 7.820000 2.805000 ;
-      RECT 0.175000  0.345000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 0.780000 0.805000 ;
-      RECT 0.175000  1.795000 0.780000 1.965000 ;
-      RECT 0.175000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.780000 1.070000 ;
-      RECT 0.610000  1.070000 0.840000 1.400000 ;
-      RECT 0.610000  1.400000 0.780000 1.795000 ;
-      RECT 1.015000  0.345000 1.185000 1.685000 ;
-      RECT 1.015000  1.685000 1.240000 2.465000 ;
-      RECT 1.475000  1.495000 2.160000 1.665000 ;
-      RECT 1.475000  1.665000 1.805000 2.415000 ;
-      RECT 1.555000  0.345000 1.725000 0.615000 ;
-      RECT 1.555000  0.615000 2.160000 0.765000 ;
-      RECT 1.555000  0.765000 2.360000 0.785000 ;
-      RECT 1.895000  0.085000 2.225000 0.445000 ;
-      RECT 1.975000  1.835000 2.290000 2.635000 ;
-      RECT 1.990000  0.785000 2.360000 1.095000 ;
-      RECT 1.990000  1.095000 2.160000 1.495000 ;
-      RECT 2.490000  1.355000 2.775000 2.005000 ;
-      RECT 2.735000  0.705000 3.115000 1.035000 ;
-      RECT 2.860000  0.365000 3.520000 0.535000 ;
-      RECT 2.920000  2.255000 3.670000 2.425000 ;
-      RECT 2.945000  1.035000 3.115000 1.415000 ;
-      RECT 2.945000  1.415000 3.285000 1.995000 ;
-      RECT 3.350000  0.535000 3.520000 0.995000 ;
-      RECT 3.350000  0.995000 4.220000 1.165000 ;
-      RECT 3.500000  1.165000 4.220000 1.325000 ;
-      RECT 3.500000  1.325000 3.670000 2.255000 ;
-      RECT 3.760000  0.085000 4.090000 0.825000 ;
-      RECT 3.840000  2.135000 4.140000 2.635000 ;
-      RECT 3.860000  1.535000 4.580000 1.865000 ;
-      RECT 4.360000  0.415000 4.580000 0.825000 ;
-      RECT 4.360000  1.865000 4.580000 2.435000 ;
-      RECT 4.410000  0.825000 4.580000 0.995000 ;
-      RECT 4.410000  0.995000 5.160000 1.325000 ;
-      RECT 4.410000  1.325000 4.580000 1.535000 ;
-      RECT 4.760000  0.085000 5.045000 0.825000 ;
-      RECT 4.760000  1.495000 5.045000 2.635000 ;
-      RECT 5.635000  0.085000 5.905000 0.545000 ;
-      RECT 5.635000  1.835000 5.905000 2.635000 ;
-      RECT 6.075000  0.255000 6.405000 0.995000 ;
-      RECT 6.075000  0.995000 6.925000 1.325000 ;
-      RECT 6.075000  1.325000 6.405000 2.465000 ;
-      RECT 6.585000  0.085000 6.880000 0.545000 ;
-      RECT 6.585000  1.835000 6.880000 2.635000 ;
-      RECT 7.475000  0.085000 7.735000 0.885000 ;
-      RECT 7.475000  1.495000 7.735000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.610000  1.445000 0.780000 1.615000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.070000  1.785000 1.240000 1.955000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.490000  1.785000 2.660000 1.955000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 2.950000  1.445000 3.120000 1.615000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.415000 0.840000 1.460000 ;
-      RECT 0.550000 1.460000 3.180000 1.600000 ;
-      RECT 0.550000 1.600000 0.840000 1.645000 ;
-      RECT 1.010000 1.755000 1.300000 1.800000 ;
-      RECT 1.010000 1.800000 2.720000 1.940000 ;
-      RECT 1.010000 1.940000 1.300000 1.985000 ;
-      RECT 2.430000 1.755000 2.720000 1.800000 ;
-      RECT 2.430000 1.940000 2.720000 1.985000 ;
-      RECT 2.890000 1.415000 3.180000 1.460000 ;
-      RECT 2.890000 1.600000 3.180000 1.645000 ;
-  END
-END sky130_fd_sc_hd__dlxbn_2
-MACRO sky130_fd_sc_hd__dlxbn_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlxbn_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.900000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.445000 0.955000 1.785000 1.325000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.140000 0.415000 5.480000 0.745000 ;
-        RECT 5.140000 1.670000 5.480000 2.465000 ;
-        RECT 5.310000 0.745000 5.480000 1.670000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.555000 0.255000 6.815000 0.825000 ;
-        RECT 6.555000 1.505000 6.815000 2.465000 ;
-        RECT 6.625000 0.825000 6.815000 1.505000 ;
-    END
-  END Q_N
-  PIN GATE_N
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.985000 0.330000 1.625000 ;
-    END
-  END GATE_N
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 6.900000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.090000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.900000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.900000 0.085000 ;
-      RECT 0.000000  2.635000 6.900000 2.805000 ;
-      RECT 0.175000  0.345000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 0.780000 0.805000 ;
-      RECT 0.175000  1.795000 0.780000 1.965000 ;
-      RECT 0.175000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.780000 1.070000 ;
-      RECT 0.610000  1.070000 0.840000 1.400000 ;
-      RECT 0.610000  1.400000 0.780000 1.795000 ;
-      RECT 1.015000  0.345000 1.185000 1.685000 ;
-      RECT 1.015000  1.685000 1.240000 2.465000 ;
-      RECT 1.480000  1.495000 2.165000 1.665000 ;
-      RECT 1.480000  1.665000 1.810000 2.415000 ;
-      RECT 1.535000  0.345000 1.705000 0.615000 ;
-      RECT 1.535000  0.615000 2.165000 0.785000 ;
-      RECT 1.875000  0.085000 2.230000 0.445000 ;
-      RECT 1.980000  1.835000 2.295000 2.635000 ;
-      RECT 1.995000  0.785000 2.165000 0.905000 ;
-      RECT 1.995000  0.905000 2.365000 1.235000 ;
-      RECT 1.995000  1.235000 2.165000 1.495000 ;
-      RECT 2.495000  1.355000 2.780000 2.005000 ;
-      RECT 2.565000  0.705000 3.120000 1.035000 ;
-      RECT 2.790000  0.365000 3.525000 0.535000 ;
-      RECT 2.920000  2.105000 3.620000 2.115000 ;
-      RECT 2.920000  2.115000 3.615000 2.130000 ;
-      RECT 2.920000  2.130000 3.610000 2.275000 ;
-      RECT 2.950000  1.035000 3.120000 1.415000 ;
-      RECT 2.950000  1.415000 3.290000 1.910000 ;
-      RECT 3.355000  0.535000 3.525000 0.995000 ;
-      RECT 3.355000  0.995000 4.225000 1.165000 ;
-      RECT 3.360000  2.075000 3.630000 2.090000 ;
-      RECT 3.360000  2.090000 3.625000 2.105000 ;
-      RECT 3.375000  2.060000 3.630000 2.075000 ;
-      RECT 3.420000  2.030000 3.630000 2.060000 ;
-      RECT 3.430000  2.015000 3.630000 2.030000 ;
-      RECT 3.460000  1.165000 4.225000 1.325000 ;
-      RECT 3.460000  1.325000 3.630000 2.015000 ;
-      RECT 3.765000  0.085000 4.095000 0.610000 ;
-      RECT 3.780000  2.175000 3.950000 2.635000 ;
-      RECT 3.800000  1.535000 4.580000 1.620000 ;
-      RECT 3.800000  1.620000 4.550000 1.865000 ;
-      RECT 4.300000  0.415000 4.470000 0.660000 ;
-      RECT 4.300000  0.660000 4.580000 0.840000 ;
-      RECT 4.300000  1.865000 4.550000 2.435000 ;
-      RECT 4.395000  0.840000 4.580000 0.995000 ;
-      RECT 4.395000  0.995000 5.140000 1.325000 ;
-      RECT 4.395000  1.325000 4.580000 1.535000 ;
-      RECT 4.640000  0.085000 4.970000 0.495000 ;
-      RECT 4.720000  1.830000 4.970000 2.635000 ;
-      RECT 5.660000  0.255000 5.910000 0.995000 ;
-      RECT 5.660000  0.995000 6.455000 1.325000 ;
-      RECT 5.660000  1.325000 5.910000 2.465000 ;
-      RECT 6.090000  0.085000 6.385000 0.545000 ;
-      RECT 6.090000  1.835000 6.385000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.610000  1.445000 0.780000 1.615000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.070000  1.785000 1.240000 1.955000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.495000  1.785000 2.665000 1.955000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 2.955000  1.445000 3.125000 1.615000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.415000 0.840000 1.460000 ;
-      RECT 0.550000 1.460000 3.185000 1.600000 ;
-      RECT 0.550000 1.600000 0.840000 1.645000 ;
-      RECT 1.010000 1.755000 1.300000 1.800000 ;
-      RECT 1.010000 1.800000 2.725000 1.940000 ;
-      RECT 1.010000 1.940000 1.300000 1.985000 ;
-      RECT 2.435000 1.755000 2.725000 1.800000 ;
-      RECT 2.435000 1.940000 2.725000 1.985000 ;
-      RECT 2.895000 1.415000 3.185000 1.460000 ;
-      RECT 2.895000 1.600000 3.185000 1.645000 ;
-  END
-END sky130_fd_sc_hd__dlxbn_1
-MACRO sky130_fd_sc_hd__buf_12
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__buf_12 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.360000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.135000 1.075000 1.660000 1.275000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  2.673000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.275000 0.255000 2.445000 0.735000 ;
-        RECT 2.275000 0.735000 6.645000 0.905000 ;
-        RECT 2.275000 1.445000 6.645000 1.615000 ;
-        RECT 2.275000 1.615000 2.445000 2.465000 ;
-        RECT 3.115000 0.255000 3.285000 0.735000 ;
-        RECT 3.115000 1.615000 3.285000 2.465000 ;
-        RECT 3.955000 0.255000 4.125000 0.735000 ;
-        RECT 3.955000 1.615000 4.125000 2.465000 ;
-        RECT 4.710000 0.905000 6.645000 1.445000 ;
-        RECT 4.795000 0.255000 4.965000 0.735000 ;
-        RECT 4.795000 1.615000 4.965000 2.465000 ;
-        RECT 5.635000 0.255000 5.805000 0.735000 ;
-        RECT 5.635000 1.615000 5.805000 2.465000 ;
-        RECT 6.475000 0.255000 6.645000 0.735000 ;
-        RECT 6.475000 1.615000 6.645000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.360000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.570000 -0.085000 0.740000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.550000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.360000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.360000 0.085000 ;
-      RECT 0.000000  2.635000 7.360000 2.805000 ;
-      RECT 0.095000  0.085000 0.425000 0.565000 ;
-      RECT 0.175000  1.835000 0.345000 2.635000 ;
-      RECT 0.515000  1.445000 2.015000 1.615000 ;
-      RECT 0.515000  1.615000 0.845000 2.465000 ;
-      RECT 0.595000  0.255000 0.765000 0.735000 ;
-      RECT 0.595000  0.735000 2.015000 0.905000 ;
-      RECT 0.935000  0.085000 1.265000 0.565000 ;
-      RECT 1.015000  1.835000 1.185000 2.635000 ;
-      RECT 1.355000  1.615000 1.685000 2.465000 ;
-      RECT 1.435000  0.260000 1.605000 0.735000 ;
-      RECT 1.775000  0.085000 2.105000 0.565000 ;
-      RECT 1.840000  0.905000 2.015000 1.075000 ;
-      RECT 1.840000  1.075000 4.465000 1.245000 ;
-      RECT 1.840000  1.245000 2.015000 1.445000 ;
-      RECT 1.855000  1.835000 2.025000 2.635000 ;
-      RECT 2.615000  0.085000 2.945000 0.565000 ;
-      RECT 2.615000  1.835000 2.945000 2.635000 ;
-      RECT 3.455000  0.085000 3.785000 0.565000 ;
-      RECT 3.455000  1.835000 3.785000 2.635000 ;
-      RECT 4.295000  0.085000 4.625000 0.565000 ;
-      RECT 4.295000  1.835000 4.625000 2.635000 ;
-      RECT 5.135000  0.085000 5.465000 0.565000 ;
-      RECT 5.135000  1.835000 5.465000 2.635000 ;
-      RECT 5.975000  0.085000 6.305000 0.565000 ;
-      RECT 5.975000  1.835000 6.305000 2.635000 ;
-      RECT 6.815000  0.085000 7.145000 0.885000 ;
-      RECT 6.815000  1.485000 7.145000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-  END
-END sky130_fd_sc_hd__buf_12
-MACRO sky130_fd_sc_hd__buf_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__buf_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 1.075000 0.470000 1.315000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.015000 0.255000 1.185000 0.735000 ;
-        RECT 1.015000 0.735000 2.025000 0.905000 ;
-        RECT 1.015000 1.445000 2.025000 1.615000 ;
-        RECT 1.015000 1.615000 1.185000 2.465000 ;
-        RECT 1.530000 0.905000 2.025000 1.445000 ;
-        RECT 1.855000 0.255000 2.025000 0.735000 ;
-        RECT 1.855000 1.615000 2.025000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.095000  1.485000 0.810000 1.655000 ;
-      RECT 0.095000  1.655000 0.425000 2.465000 ;
-      RECT 0.175000  0.255000 0.345000 0.735000 ;
-      RECT 0.175000  0.735000 0.810000 0.905000 ;
-      RECT 0.525000  0.085000 0.765000 0.565000 ;
-      RECT 0.595000  1.835000 0.835000 2.635000 ;
-      RECT 0.640000  0.905000 0.810000 1.075000 ;
-      RECT 0.640000  1.075000 1.140000 1.245000 ;
-      RECT 0.640000  1.245000 0.810000 1.485000 ;
-      RECT 1.355000  0.085000 1.685000 0.565000 ;
-      RECT 1.355000  1.835000 1.685000 2.635000 ;
-      RECT 2.195000  0.085000 2.525000 0.885000 ;
-      RECT 2.195000  1.485000 2.525000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__buf_4
-MACRO sky130_fd_sc_hd__buf_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__buf_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.380000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.196500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.105000 0.985000 0.445000 1.355000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.340600 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.025000 1.560000 1.295000 2.465000 ;
-        RECT 1.035000 0.255000 1.295000 0.760000 ;
-        RECT 1.115000 0.760000 1.295000 1.560000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.380000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.155000 -0.085000 0.325000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 1.570000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.380000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.380000 0.085000 ;
-      RECT 0.000000  2.635000 1.380000 2.805000 ;
-      RECT 0.165000  1.535000 0.840000 1.705000 ;
-      RECT 0.165000  1.705000 0.345000 2.465000 ;
-      RECT 0.175000  0.255000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 0.840000 0.805000 ;
-      RECT 0.525000  0.085000 0.855000 0.465000 ;
-      RECT 0.525000  1.875000 0.855000 2.635000 ;
-      RECT 0.670000  0.805000 0.840000 1.060000 ;
-      RECT 0.670000  1.060000 0.945000 1.390000 ;
-      RECT 0.670000  1.390000 0.840000 1.535000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-  END
-END sky130_fd_sc_hd__buf_1
-MACRO sky130_fd_sc_hd__buf_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__buf_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.840000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.985000 0.440000 1.355000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.060000 0.255000 1.315000 0.830000 ;
-        RECT 1.060000 1.560000 1.315000 2.465000 ;
-        RECT 1.145000 0.830000 1.315000 1.560000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.840000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.030000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.840000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.840000 0.085000 ;
-      RECT 0.000000  2.635000 1.840000 2.805000 ;
-      RECT 0.175000  0.255000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 0.890000 0.805000 ;
-      RECT 0.175000  1.535000 0.890000 1.705000 ;
-      RECT 0.175000  1.705000 0.345000 2.465000 ;
-      RECT 0.560000  0.085000 0.890000 0.465000 ;
-      RECT 0.560000  1.875000 0.890000 2.635000 ;
-      RECT 0.720000  0.805000 0.890000 0.995000 ;
-      RECT 0.720000  0.995000 0.975000 1.325000 ;
-      RECT 0.720000  1.325000 0.890000 1.535000 ;
-      RECT 1.490000  0.085000 1.750000 0.925000 ;
-      RECT 1.490000  1.485000 1.750000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-  END
-END sky130_fd_sc_hd__buf_2
-MACRO sky130_fd_sc_hd__buf_6
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__buf_6 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.280000 1.075000 1.185000 1.315000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  1.336500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.695000 0.255000 1.865000 0.735000 ;
-        RECT 1.695000 0.735000 3.545000 0.905000 ;
-        RECT 1.695000 1.445000 3.545000 1.615000 ;
-        RECT 1.695000 1.615000 1.865000 2.465000 ;
-        RECT 2.210000 0.905000 3.545000 1.445000 ;
-        RECT 2.535000 0.255000 2.705000 0.735000 ;
-        RECT 2.535000 1.615000 2.705000 2.465000 ;
-        RECT 3.375000 0.255000 3.545000 0.735000 ;
-        RECT 3.375000 1.615000 3.545000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.435000  0.085000 0.605000 0.565000 ;
-      RECT 0.435000  1.485000 0.605000 2.635000 ;
-      RECT 0.775000  0.255000 1.105000 0.735000 ;
-      RECT 0.775000  0.735000 1.525000 0.905000 ;
-      RECT 0.775000  1.485000 1.525000 1.655000 ;
-      RECT 0.775000  1.655000 1.105000 2.465000 ;
-      RECT 1.275000  0.085000 1.445000 0.565000 ;
-      RECT 1.275000  1.835000 1.515000 2.635000 ;
-      RECT 1.355000  0.905000 1.525000 1.075000 ;
-      RECT 1.355000  1.075000 1.825000 1.245000 ;
-      RECT 1.355000  1.245000 1.525000 1.485000 ;
-      RECT 2.035000  0.085000 2.365000 0.565000 ;
-      RECT 2.035000  1.835000 2.365000 2.635000 ;
-      RECT 2.875000  0.085000 3.205000 0.565000 ;
-      RECT 2.875000  1.835000 3.205000 2.635000 ;
-      RECT 3.715000  0.085000 4.045000 0.885000 ;
-      RECT 3.715000  1.485000 4.045000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__buf_6
-MACRO sky130_fd_sc_hd__buf_8
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__buf_8 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.520000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.742500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.140000 1.075000 1.240000 1.275000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  1.782000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.855000 0.255000 2.025000 0.735000 ;
-        RECT 1.855000 0.735000 4.545000 0.905000 ;
-        RECT 1.855000 1.445000 4.545000 1.615000 ;
-        RECT 1.855000 1.615000 2.025000 2.465000 ;
-        RECT 2.695000 0.255000 2.865000 0.735000 ;
-        RECT 2.695000 1.615000 2.865000 2.465000 ;
-        RECT 3.535000 0.255000 3.705000 0.735000 ;
-        RECT 3.535000 1.615000 3.705000 2.465000 ;
-        RECT 4.290000 0.905000 4.545000 1.445000 ;
-        RECT 4.375000 0.255000 4.545000 0.735000 ;
-        RECT 4.375000 1.615000 4.545000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.520000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.710000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.520000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.520000 0.085000 ;
-      RECT 0.000000  2.635000 5.520000 2.805000 ;
-      RECT 0.095000  1.445000 1.595000 1.615000 ;
-      RECT 0.095000  1.615000 0.425000 2.465000 ;
-      RECT 0.175000  0.255000 0.345000 0.735000 ;
-      RECT 0.175000  0.735000 1.595000 0.905000 ;
-      RECT 0.515000  0.085000 0.845000 0.565000 ;
-      RECT 0.595000  1.835000 0.765000 2.635000 ;
-      RECT 0.935000  1.615000 1.265000 2.465000 ;
-      RECT 1.015000  0.260000 1.185000 0.735000 ;
-      RECT 1.355000  0.085000 1.685000 0.565000 ;
-      RECT 1.420000  0.905000 1.595000 1.075000 ;
-      RECT 1.420000  1.075000 4.045000 1.245000 ;
-      RECT 1.420000  1.245000 1.595000 1.445000 ;
-      RECT 1.435000  1.835000 1.605000 2.635000 ;
-      RECT 2.195000  0.085000 2.525000 0.565000 ;
-      RECT 2.195000  1.835000 2.525000 2.635000 ;
-      RECT 3.035000  0.085000 3.365000 0.565000 ;
-      RECT 3.035000  1.835000 3.365000 2.635000 ;
-      RECT 3.875000  0.085000 4.205000 0.565000 ;
-      RECT 3.875000  1.835000 4.205000 2.635000 ;
-      RECT 4.715000  0.085000 5.045000 0.885000 ;
-      RECT 4.715000  1.485000 5.045000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-  END
-END sky130_fd_sc_hd__buf_8
-MACRO sky130_fd_sc_hd__buf_16
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__buf_16 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  10.12000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  1.485000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 2.485000 1.275000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  3.564000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.035000 0.255000  3.285000 0.260000 ;
-        RECT 3.035000 0.260000  3.365000 0.735000 ;
-        RECT 3.035000 0.735000 10.035000 0.905000 ;
-        RECT 3.035000 1.445000 10.035000 1.615000 ;
-        RECT 3.035000 1.615000  3.365000 2.465000 ;
-        RECT 3.875000 0.260000  4.205000 0.735000 ;
-        RECT 3.875000 1.615000  4.205000 2.465000 ;
-        RECT 3.955000 0.255000  4.125000 0.260000 ;
-        RECT 4.715000 0.260000  5.045000 0.735000 ;
-        RECT 4.715000 1.615000  5.045000 2.465000 ;
-        RECT 4.795000 0.255000  4.965000 0.260000 ;
-        RECT 5.555000 0.260000  5.885000 0.735000 ;
-        RECT 5.555000 1.615000  5.885000 2.465000 ;
-        RECT 6.395000 0.260000  6.725000 0.735000 ;
-        RECT 6.395000 1.615000  6.725000 2.465000 ;
-        RECT 7.235000 0.260000  7.565000 0.735000 ;
-        RECT 7.235000 1.615000  7.565000 2.465000 ;
-        RECT 8.075000 0.260000  8.405000 0.735000 ;
-        RECT 8.075000 1.615000  8.405000 2.465000 ;
-        RECT 8.915000 0.260000  9.245000 0.735000 ;
-        RECT 8.915000 1.615000  9.245000 2.465000 ;
-        RECT 9.655000 0.905000 10.035000 1.445000 ;
-        RECT 9.760000 0.365000 10.035000 0.735000 ;
-        RECT 9.760000 1.615000 10.035000 2.360000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 10.120000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 10.310000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 10.120000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 10.120000 0.085000 ;
-      RECT 0.000000  2.635000 10.120000 2.805000 ;
-      RECT 0.175000  0.085000  0.345000 0.905000 ;
-      RECT 0.175000  1.445000  0.345000 2.635000 ;
-      RECT 0.515000  0.260000  0.845000 0.735000 ;
-      RECT 0.515000  0.735000  2.865000 0.905000 ;
-      RECT 0.515000  1.445000  2.865000 1.615000 ;
-      RECT 0.515000  1.615000  0.845000 2.465000 ;
-      RECT 1.015000  0.085000  1.185000 0.565000 ;
-      RECT 1.015000  1.835000  1.185000 2.635000 ;
-      RECT 1.355000  0.260000  1.685000 0.735000 ;
-      RECT 1.355000  1.615000  1.685000 2.465000 ;
-      RECT 1.855000  0.085000  2.025000 0.565000 ;
-      RECT 1.855000  1.835000  2.025000 2.635000 ;
-      RECT 2.195000  0.260000  2.525000 0.735000 ;
-      RECT 2.195000  1.615000  2.525000 2.465000 ;
-      RECT 2.690000  0.905000  2.865000 1.075000 ;
-      RECT 2.690000  1.075000  9.410000 1.275000 ;
-      RECT 2.690000  1.275000  2.865000 1.445000 ;
-      RECT 2.695000  0.085000  2.865000 0.565000 ;
-      RECT 2.695000  1.835000  2.865000 2.635000 ;
-      RECT 3.535000  0.085000  3.705000 0.565000 ;
-      RECT 3.535000  1.835000  3.705000 2.635000 ;
-      RECT 4.375000  0.085000  4.545000 0.565000 ;
-      RECT 4.375000  1.835000  4.545000 2.635000 ;
-      RECT 5.215000  0.085000  5.385000 0.565000 ;
-      RECT 5.215000  1.835000  5.385000 2.635000 ;
-      RECT 6.055000  0.085000  6.225000 0.565000 ;
-      RECT 6.055000  1.835000  6.225000 2.635000 ;
-      RECT 6.895000  0.085000  7.065000 0.565000 ;
-      RECT 6.895000  1.835000  7.065000 2.635000 ;
-      RECT 7.735000  0.085000  7.905000 0.565000 ;
-      RECT 7.735000  1.835000  7.905000 2.635000 ;
-      RECT 8.575000  0.085000  8.745000 0.565000 ;
-      RECT 8.575000  1.835000  8.745000 2.635000 ;
-      RECT 9.415000  0.085000  9.585000 0.565000 ;
-      RECT 9.415000  1.835000  9.585000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-      RECT 9.805000 -0.085000 9.975000 0.085000 ;
-      RECT 9.805000  2.635000 9.975000 2.805000 ;
-  END
-END sky130_fd_sc_hd__buf_16
-MACRO sky130_fd_sc_hd__o21ba_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o21ba_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.100000 1.075000 3.595000 1.625000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.445000 1.075000 2.930000 1.285000 ;
-    END
-  END A2
-  PIN B1_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.425000 0.995000 0.775000 1.325000 ;
-        RECT 0.595000 1.325000 0.775000 1.695000 ;
-    END
-  END B1_N
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.945000 0.255000 1.240000 0.595000 ;
-        RECT 0.945000 0.595000 1.115000 1.495000 ;
-        RECT 0.945000 1.495000 1.350000 1.695000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.085000  0.430000 0.345000 0.825000 ;
-      RECT 0.085000  0.825000 0.255000 1.495000 ;
-      RECT 0.085000  1.495000 0.395000 1.865000 ;
-      RECT 0.085000  1.865000 1.935000 2.035000 ;
-      RECT 0.520000  2.205000 0.910000 2.635000 ;
-      RECT 0.595000  0.085000 0.775000 0.825000 ;
-      RECT 1.285000  0.890000 1.595000 1.060000 ;
-      RECT 1.285000  1.060000 1.455000 1.325000 ;
-      RECT 1.410000  0.085000 1.770000 0.485000 ;
-      RECT 1.415000  2.205000 2.230000 2.635000 ;
-      RECT 1.425000  0.655000 2.275000 0.825000 ;
-      RECT 1.425000  0.825000 1.595000 0.890000 ;
-      RECT 1.765000  0.995000 1.935000 1.865000 ;
-      RECT 1.940000  0.255000 2.275000 0.655000 ;
-      RECT 2.105000  0.825000 2.275000 1.455000 ;
-      RECT 2.105000  1.455000 2.725000 2.035000 ;
-      RECT 2.400000  2.035000 2.725000 2.465000 ;
-      RECT 2.445000  0.365000 2.745000 0.735000 ;
-      RECT 2.445000  0.735000 3.590000 0.905000 ;
-      RECT 2.915000  0.085000 3.085000 0.555000 ;
-      RECT 3.200000  1.875000 3.530000 2.635000 ;
-      RECT 3.255000  0.270000 3.590000 0.735000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o21ba_2
-MACRO sky130_fd_sc_hd__o21ba_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o21ba_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.980000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.990000 1.075000 5.895000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.780000 1.075000 4.820000 1.275000 ;
-    END
-  END A2
-  PIN B1_N
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.425000 1.075000 0.885000 1.285000 ;
-        RECT 0.605000 1.285000 0.885000 1.705000 ;
-    END
-  END B1_N
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.055000 0.255000 1.385000 0.725000 ;
-        RECT 1.055000 0.725000 2.225000 0.905000 ;
-        RECT 1.055000 0.905000 1.455000 1.445000 ;
-        RECT 1.055000 1.445000 2.225000 1.705000 ;
-        RECT 1.895000 0.255000 2.225000 0.725000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.980000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.170000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.980000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.980000 0.085000 ;
-      RECT 0.000000  2.635000 5.980000 2.805000 ;
-      RECT 0.085000  0.265000 0.545000 0.855000 ;
-      RECT 0.085000  0.855000 0.255000 1.455000 ;
-      RECT 0.085000  1.455000 0.435000 1.875000 ;
-      RECT 0.085000  1.875000 2.565000 2.045000 ;
-      RECT 0.085000  2.045000 0.435000 2.465000 ;
-      RECT 0.635000  2.215000 0.965000 2.635000 ;
-      RECT 0.715000  0.085000 0.885000 0.905000 ;
-      RECT 1.475000  2.215000 1.805000 2.635000 ;
-      RECT 1.555000  0.085000 1.725000 0.555000 ;
-      RECT 1.625000  1.075000 2.565000 1.275000 ;
-      RECT 2.315000  2.215000 2.645000 2.635000 ;
-      RECT 2.395000  0.085000 2.565000 0.555000 ;
-      RECT 2.395000  0.725000 3.585000 0.895000 ;
-      RECT 2.395000  0.895000 2.565000 1.075000 ;
-      RECT 2.395000  1.445000 2.905000 1.615000 ;
-      RECT 2.395000  1.615000 2.565000 1.875000 ;
-      RECT 2.735000  1.075000 3.135000 1.245000 ;
-      RECT 2.735000  1.245000 2.905000 1.445000 ;
-      RECT 2.805000  0.255000 4.005000 0.475000 ;
-      RECT 2.815000  1.795000 4.380000 1.965000 ;
-      RECT 2.815000  1.965000 2.985000 2.465000 ;
-      RECT 3.200000  2.135000 3.450000 2.635000 ;
-      RECT 3.235000  0.645000 3.585000 0.725000 ;
-      RECT 3.395000  0.895000 3.585000 1.795000 ;
-      RECT 3.685000  2.135000 3.925000 2.295000 ;
-      RECT 3.685000  2.295000 4.765000 2.465000 ;
-      RECT 3.755000  0.475000 4.005000 0.725000 ;
-      RECT 3.755000  0.725000 5.710000 0.905000 ;
-      RECT 4.135000  1.445000 4.380000 1.795000 ;
-      RECT 4.135000  1.965000 4.380000 2.125000 ;
-      RECT 4.175000  0.085000 4.345000 0.555000 ;
-      RECT 4.515000  0.255000 4.845000 0.725000 ;
-      RECT 4.595000  1.455000 5.710000 1.665000 ;
-      RECT 4.595000  1.665000 4.765000 2.295000 ;
-      RECT 4.935000  1.835000 5.265000 2.635000 ;
-      RECT 5.015000  0.085000 5.185000 0.555000 ;
-      RECT 5.355000  0.265000 5.710000 0.725000 ;
-      RECT 5.435000  1.665000 5.710000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o21ba_4
-MACRO sky130_fd_sc_hd__o21ba_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o21ba_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.950000 1.075000 3.595000 1.285000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.210000 1.075000 2.780000 1.285000 ;
-    END
-  END A2
-  PIN B1_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.030000 0.995000 1.360000 1.325000 ;
-    END
-  END B1_N
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.450000 0.445000 0.825000 ;
-        RECT 0.085000 0.825000 0.340000 1.480000 ;
-        RECT 0.085000 1.480000 0.425000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.510000  0.995000 0.860000 1.325000 ;
-      RECT 0.595000  1.325000 0.860000 1.865000 ;
-      RECT 0.595000  1.865000 2.575000 2.035000 ;
-      RECT 0.595000  2.205000 1.005000 2.635000 ;
-      RECT 0.710000  0.085000 0.880000 0.825000 ;
-      RECT 1.075000  1.525000 1.700000 1.695000 ;
-      RECT 1.160000  0.450000 1.330000 0.655000 ;
-      RECT 1.160000  0.655000 1.700000 0.825000 ;
-      RECT 1.530000  0.825000 1.700000 1.525000 ;
-      RECT 1.750000  2.215000 2.080000 2.635000 ;
-      RECT 1.870000  0.255000 2.040000 1.455000 ;
-      RECT 1.870000  1.455000 2.575000 1.865000 ;
-      RECT 2.250000  2.035000 2.575000 2.465000 ;
-      RECT 2.270000  0.255000 2.600000 0.735000 ;
-      RECT 2.270000  0.735000 3.440000 0.905000 ;
-      RECT 2.770000  0.085000 2.940000 0.555000 ;
-      RECT 3.050000  1.535000 3.380000 2.635000 ;
-      RECT 3.110000  0.270000 3.440000 0.735000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o21ba_1
-MACRO sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.360000 BY  5.440000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.603000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.970000 1.070000 3.290000 1.540000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  1.072500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.335000 0.255000 5.635000 0.980000 ;
-        RECT 5.360000 0.980000 5.635000 1.085000 ;
-        RECT 5.360000 1.085000 6.555000 1.410000 ;
-        RECT 5.360000 1.410000 5.635000 2.370000 ;
-        RECT 6.280000 1.410000 6.555000 2.370000 ;
-        RECT 6.335000 0.255000 6.555000 1.085000 ;
-    END
-  END X
-  PIN LOWLVPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 1.380000 2.065000 2.390000 2.335000 ;
-        RECT 2.060000 1.635000 2.390000 2.065000 ;
-        RECT 2.060000 2.335000 2.390000 2.660000 ;
-        RECT 2.060000 2.660000 2.810000 3.750000 ;
-      LAYER mcon ;
-        RECT 1.420000 2.115000 1.590000 2.285000 ;
-        RECT 1.780000 2.115000 1.950000 2.285000 ;
-        RECT 2.140000 2.115000 2.310000 2.285000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 2.140000 7.290000 2.280000 ;
-        RECT 1.360000 2.085000 2.370000 2.140000 ;
-        RECT 1.360000 2.280000 2.370000 2.315000 ;
-      LAYER nwell ;
-        RECT 1.920000 1.305000 2.980000 4.135000 ;
-    END
-  END LOWLVPWR
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 5.200000 7.360000 5.680000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.075000 5.245000 0.200000 5.395000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT 4.250000 1.305000 7.405000 4.135000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.360000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.360000 0.085000 ;
-      RECT 0.000000  2.635000 1.890000 2.805000 ;
-      RECT 0.000000  5.355000 7.360000 5.525000 ;
-      RECT 2.020000  0.085000 2.350000 0.895000 ;
-      RECT 2.560000  0.375000 2.800000 2.130000 ;
-      RECT 2.560000  2.130000 3.390000 2.370000 ;
-      RECT 2.645000  4.515000 2.905000 5.355000 ;
-      RECT 3.060000  2.370000 3.390000 3.965000 ;
-      RECT 3.075000  4.265000 4.265000 4.325000 ;
-      RECT 3.075000  4.325000 3.405000 5.185000 ;
-      RECT 3.115000  0.085000 3.445000 0.900000 ;
-      RECT 3.145000  4.155000 4.195000 4.265000 ;
-      RECT 3.575000  4.515000 3.765000 5.355000 ;
-      RECT 3.615000  0.255000 3.805000 0.730000 ;
-      RECT 3.615000  0.730000 4.665000 0.980000 ;
-      RECT 3.680000  2.405000 4.190000 2.575000 ;
-      RECT 3.680000  2.575000 3.850000 3.470000 ;
-      RECT 3.680000  3.470000 4.720000 3.640000 ;
-      RECT 3.935000  4.325000 4.265000 5.185000 ;
-      RECT 3.975000  0.085000 4.305000 0.560000 ;
-      RECT 4.020000  0.980000 4.190000 2.405000 ;
-      RECT 4.020000  2.745000 4.640000 2.915000 ;
-      RECT 4.020000  2.915000 4.190000 3.300000 ;
-      RECT 4.020000  3.810000 4.190000 4.155000 ;
-      RECT 4.390000  3.085000 4.720000 3.470000 ;
-      RECT 4.410000  3.640000 4.720000 3.740000 ;
-      RECT 4.445000  4.515000 4.955000 5.355000 ;
-      RECT 4.470000  1.625000 4.640000 2.745000 ;
-      RECT 4.475000  0.255000 4.665000 0.730000 ;
-      RECT 4.835000  0.085000 5.165000 0.900000 ;
-      RECT 4.890000  1.625000 5.120000 2.635000 ;
-      RECT 4.890000  2.635000 7.360000 2.805000 ;
-      RECT 4.890000  2.805000 5.120000 3.740000 ;
-      RECT 5.135000  4.405000 5.765000 4.460000 ;
-      RECT 5.135000  4.460000 5.695000 4.820000 ;
-      RECT 5.135000  4.820000 5.485000 5.160000 ;
-      RECT 5.360000  3.070000 5.550000 4.125000 ;
-      RECT 5.360000  4.125000 6.085000 4.355000 ;
-      RECT 5.360000  4.355000 5.765000 4.405000 ;
-      RECT 5.825000  0.085000 6.155000 0.845000 ;
-      RECT 5.905000  1.610000 6.075000 2.635000 ;
-      RECT 6.755000  0.085000 7.005000 0.925000 ;
-      RECT 6.755000  1.610000 6.935000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.145000  5.355000 0.315000 5.525000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.605000  5.355000 0.775000 5.525000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.065000  5.355000 1.235000 5.525000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.525000  5.355000 1.695000 5.525000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  5.355000 2.155000 5.525000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  5.355000 2.615000 5.525000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  5.355000 3.075000 5.525000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  5.355000 3.535000 5.525000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  5.355000 3.995000 5.525000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  5.355000 4.455000 5.525000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  5.355000 4.915000 5.525000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.205000  5.355000 5.375000 5.525000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 5.665000  5.355000 5.835000 5.525000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.125000  5.355000 6.295000 5.525000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 6.585000  5.355000 6.755000 5.525000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.045000  5.355000 7.215000 5.525000 ;
-    LAYER met1 ;
-      RECT 0.000000 -0.240000 7.360000 0.240000 ;
-    LAYER nwell ;
-      RECT -0.190000 1.305000 0.650000 4.135000 ;
-  END
-END sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4
-MACRO sky130_fd_sc_hd__dlymetal6s2s_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlymetal6s2s_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.600000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.570000 1.700000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.245000 0.255000 1.670000 0.825000 ;
-        RECT 1.245000 1.495000 2.150000 1.675000 ;
-        RECT 1.245000 1.675000 1.670000 2.465000 ;
-        RECT 1.320000 0.825000 1.670000 0.995000 ;
-        RECT 1.320000 0.995000 2.150000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.600000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.120000 -0.085000 0.290000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.790000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.600000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.600000 0.085000 ;
-      RECT 0.000000  2.635000 4.600000 2.805000 ;
-      RECT 0.085000  0.255000 0.520000 0.655000 ;
-      RECT 0.085000  0.655000 1.075000 0.825000 ;
-      RECT 0.085000  1.870000 1.075000 2.040000 ;
-      RECT 0.085000  2.040000 0.520000 2.465000 ;
-      RECT 0.690000  0.085000 1.075000 0.485000 ;
-      RECT 0.690000  2.210000 1.075000 2.635000 ;
-      RECT 0.740000  0.825000 1.075000 0.995000 ;
-      RECT 0.740000  0.995000 1.150000 1.325000 ;
-      RECT 0.740000  1.325000 1.075000 1.870000 ;
-      RECT 1.840000  1.845000 2.670000 2.040000 ;
-      RECT 1.840000  2.040000 2.115000 2.465000 ;
-      RECT 1.860000  0.255000 2.115000 0.655000 ;
-      RECT 1.860000  0.655000 2.670000 0.825000 ;
-      RECT 2.285000  0.085000 2.670000 0.485000 ;
-      RECT 2.285000  2.210000 2.670000 2.635000 ;
-      RECT 2.320000  0.825000 2.670000 0.995000 ;
-      RECT 2.320000  0.995000 2.745000 1.325000 ;
-      RECT 2.320000  1.325000 2.670000 1.845000 ;
-      RECT 2.840000  0.255000 3.085000 0.825000 ;
-      RECT 2.840000  1.495000 3.565000 1.675000 ;
-      RECT 2.840000  1.675000 3.085000 2.465000 ;
-      RECT 2.915000  0.825000 3.085000 0.995000 ;
-      RECT 2.915000  0.995000 3.565000 1.495000 ;
-      RECT 3.275000  0.255000 3.530000 0.655000 ;
-      RECT 3.275000  0.655000 4.085000 0.825000 ;
-      RECT 3.275000  1.845000 4.085000 2.040000 ;
-      RECT 3.275000  2.040000 3.530000 2.465000 ;
-      RECT 3.700000  0.085000 4.085000 0.485000 ;
-      RECT 3.700000  2.210000 4.085000 2.635000 ;
-      RECT 3.735000  0.825000 4.085000 0.995000 ;
-      RECT 3.735000  0.995000 4.160000 1.325000 ;
-      RECT 3.735000  1.325000 4.085000 1.845000 ;
-      RECT 4.255000  0.255000 4.515000 0.825000 ;
-      RECT 4.255000  1.495000 4.515000 2.465000 ;
-      RECT 4.330000  0.825000 4.515000 1.495000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-  END
-END sky130_fd_sc_hd__dlymetal6s2s_1
-MACRO sky130_fd_sc_hd__o2111ai_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o2111ai_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.520000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.635000 1.075000 5.435000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.365000 1.075000 4.455000 1.325000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.200000 1.075000 3.185000 1.325000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.045000 1.075000 1.790000 1.325000 ;
-    END
-  END C1
-  PIN D1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.425000 1.355000 ;
-    END
-  END D1
-  PIN Y
-    ANTENNADIFFAREA  1.302000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.605000 0.615000 0.935000 0.905000 ;
-        RECT 0.605000 0.905000 0.865000 1.495000 ;
-        RECT 0.605000 1.495000 4.005000 1.665000 ;
-        RECT 0.605000 1.665000 0.865000 2.465000 ;
-        RECT 1.535000 1.665000 1.725000 2.465000 ;
-        RECT 2.395000 1.665000 2.575000 2.465000 ;
-        RECT 3.815000 1.665000 4.005000 2.105000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.520000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.710000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.520000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.520000 0.085000 ;
-      RECT 0.000000  2.635000 5.520000 2.805000 ;
-      RECT 0.175000  0.260000 1.300000 0.445000 ;
-      RECT 0.175000  0.445000 0.435000 0.865000 ;
-      RECT 0.175000  1.525000 0.425000 2.635000 ;
-      RECT 1.035000  1.835000 1.365000 2.635000 ;
-      RECT 1.115000  0.445000 1.300000 0.735000 ;
-      RECT 1.115000  0.735000 2.275000 0.905000 ;
-      RECT 1.470000  0.255000 3.210000 0.445000 ;
-      RECT 1.470000  0.445000 1.775000 0.530000 ;
-      RECT 1.470000  0.530000 1.760000 0.565000 ;
-      RECT 1.895000  1.840000 2.225000 2.635000 ;
-      RECT 1.925000  0.620000 2.275000 0.735000 ;
-      RECT 2.450000  0.655000 5.435000 0.840000 ;
-      RECT 2.755000  1.835000 3.085000 2.635000 ;
-      RECT 2.880000  0.445000 3.210000 0.485000 ;
-      RECT 3.310000  1.835000 3.570000 2.275000 ;
-      RECT 3.310000  2.275000 4.500000 2.465000 ;
-      RECT 3.380000  0.365000 3.570000 0.655000 ;
-      RECT 3.740000  0.085000 4.070000 0.485000 ;
-      RECT 4.240000  0.365000 4.430000 0.650000 ;
-      RECT 4.240000  0.650000 5.435000 0.655000 ;
-      RECT 4.240000  1.515000 5.360000 1.685000 ;
-      RECT 4.240000  1.685000 4.500000 2.275000 ;
-      RECT 4.600000  0.085000 4.930000 0.480000 ;
-      RECT 4.670000  1.855000 4.930000 2.635000 ;
-      RECT 5.100000  0.365000 5.435000 0.650000 ;
-      RECT 5.100000  1.685000 5.360000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o2111ai_2
-MACRO sky130_fd_sc_hd__o2111ai_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o2111ai_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.785000 1.005000 3.115000 1.615000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.985000 0.995000 2.615000 1.615000 ;
-        RECT 2.270000 1.615000 2.615000 2.370000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.525000 0.995000 1.815000 1.615000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.025000 0.255000 1.355000 1.615000 ;
-    END
-  END C1
-  PIN D1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.485000 1.075000 0.815000 1.615000 ;
-    END
-  END D1
-  PIN Y
-    ANTENNADIFFAREA  0.857250 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.255000 0.690000 0.885000 ;
-        RECT 0.085000 0.885000 0.315000 1.785000 ;
-        RECT 0.085000 1.785000 2.095000 2.025000 ;
-        RECT 0.790000 2.025000 1.025000 2.465000 ;
-        RECT 1.750000 2.025000 2.095000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.290000  2.195000 0.620000 2.635000 ;
-      RECT 1.210000  2.255000 1.540000 2.635000 ;
-      RECT 1.750000  0.255000 2.095000 0.625000 ;
-      RECT 1.750000  0.625000 3.115000 0.825000 ;
-      RECT 2.285000  0.085000 2.615000 0.455000 ;
-      RECT 2.785000  0.255000 3.115000 0.625000 ;
-      RECT 2.785000  1.795000 3.115000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o2111ai_1
-MACRO sky130_fd_sc_hd__o2111ai_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o2111ai_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.660000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.820000 1.075000 9.575000 1.340000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.110000 1.075000 7.325000 1.345000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.815000 1.075000 5.455000 1.345000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.940000 1.075000 3.550000 1.345000 ;
-    END
-  END C1
-  PIN D1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.545000 1.075000 1.755000 1.345000 ;
-    END
-  END D1
-  PIN Y
-    ANTENNADIFFAREA  2.984350 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.645000 1.685000 0.815000 ;
-        RECT 0.085000 0.815000 0.375000 1.515000 ;
-        RECT 0.085000 1.515000 7.390000 1.685000 ;
-        RECT 0.085000 1.685000 0.360000 2.465000 ;
-        RECT 1.015000 1.685000 1.195000 2.465000 ;
-        RECT 1.845000 1.685000 2.035000 2.465000 ;
-        RECT 2.685000 1.685000 2.875000 2.465000 ;
-        RECT 3.525000 1.685000 3.715000 2.465000 ;
-        RECT 4.570000 1.685000 4.760000 2.465000 ;
-        RECT 5.410000 1.685000 5.600000 2.465000 ;
-        RECT 6.285000 1.685000 6.480000 2.100000 ;
-        RECT 7.045000 1.685000 7.390000 1.720000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.660000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.850000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.660000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.660000 0.085000 ;
-      RECT 0.000000  2.635000 9.660000 2.805000 ;
-      RECT 0.095000  0.285000 2.025000 0.475000 ;
-      RECT 0.530000  1.855000 0.845000 2.635000 ;
-      RECT 1.390000  1.855000 1.675000 2.635000 ;
-      RECT 1.855000  0.475000 2.025000 0.615000 ;
-      RECT 1.855000  0.615000 3.785000 0.825000 ;
-      RECT 2.195000  0.255000 5.565000 0.445000 ;
-      RECT 2.205000  1.855000 2.515000 2.635000 ;
-      RECT 3.045000  1.855000 3.355000 2.635000 ;
-      RECT 3.975000  0.655000 9.440000 0.905000 ;
-      RECT 4.075000  1.855000 4.400000 2.635000 ;
-      RECT 4.930000  1.855000 5.220000 2.635000 ;
-      RECT 5.785000  1.855000 6.115000 2.270000 ;
-      RECT 5.785000  2.270000 7.005000 2.465000 ;
-      RECT 6.100000  0.085000 6.430000 0.485000 ;
-      RECT 6.705000  1.890000 8.235000 2.060000 ;
-      RECT 6.705000  2.060000 7.005000 2.270000 ;
-      RECT 6.960000  0.085000 7.290000 0.485000 ;
-      RECT 7.555000  2.230000 7.885000 2.635000 ;
-      RECT 7.825000  0.085000 8.155000 0.485000 ;
-      RECT 8.045000  1.515000 9.080000 1.685000 ;
-      RECT 8.045000  1.685000 8.235000 1.890000 ;
-      RECT 8.055000  2.060000 8.235000 2.465000 ;
-      RECT 8.410000  1.855000 8.720000 2.635000 ;
-      RECT 8.665000  0.085000 8.995000 0.485000 ;
-      RECT 8.890000  1.685000 9.080000 2.465000 ;
-      RECT 9.265000  1.535000 9.575000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o2111ai_4
-MACRO sky130_fd_sc_hd__nor4b_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nor4b_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  8.740000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.395000 1.075000 1.805000 1.285000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.075000 1.075000 3.750000 1.285000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.985000 1.075000 5.685000 1.285000 ;
-    END
-  END C
-  PIN D_N
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.810000 1.075000 8.655000 1.285000 ;
-    END
-  END D_N
-  PIN Y
-    ANTENNADIFFAREA  1.944000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 0.255000 0.845000 0.725000 ;
-        RECT 0.515000 0.725000 7.245000 0.905000 ;
-        RECT 1.355000 0.255000 1.685000 0.725000 ;
-        RECT 2.195000 0.255000 2.525000 0.725000 ;
-        RECT 3.035000 0.255000 3.365000 0.725000 ;
-        RECT 4.395000 0.255000 4.725000 0.725000 ;
-        RECT 5.235000 0.255000 5.565000 0.725000 ;
-        RECT 6.075000 0.255000 6.405000 0.725000 ;
-        RECT 6.115000 0.905000 6.465000 1.455000 ;
-        RECT 6.115000 1.455000 7.205000 1.625000 ;
-        RECT 6.115000 1.625000 6.365000 2.125000 ;
-        RECT 6.915000 0.255000 7.245000 0.725000 ;
-        RECT 6.955000 1.625000 7.205000 2.125000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 8.740000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.930000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 8.740000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 8.740000 0.085000 ;
-      RECT 0.000000  2.635000 8.740000 2.805000 ;
-      RECT 0.095000  1.455000 2.065000 1.625000 ;
-      RECT 0.095000  1.625000 0.425000 2.465000 ;
-      RECT 0.175000  0.085000 0.345000 0.895000 ;
-      RECT 0.595000  1.795000 0.805000 2.635000 ;
-      RECT 0.975000  1.625000 1.225000 2.465000 ;
-      RECT 1.015000  0.085000 1.185000 0.555000 ;
-      RECT 1.395000  1.795000 1.645000 2.635000 ;
-      RECT 1.815000  1.625000 2.065000 2.295000 ;
-      RECT 1.815000  2.295000 3.745000 2.465000 ;
-      RECT 1.855000  0.085000 2.025000 0.555000 ;
-      RECT 2.235000  1.455000 5.525000 1.625000 ;
-      RECT 2.235000  1.625000 2.485000 2.125000 ;
-      RECT 2.655000  1.795000 2.905000 2.295000 ;
-      RECT 2.695000  0.085000 2.865000 0.555000 ;
-      RECT 3.075000  1.625000 3.325000 2.125000 ;
-      RECT 3.495000  1.795000 3.745000 2.295000 ;
-      RECT 3.535000  0.085000 4.225000 0.555000 ;
-      RECT 4.015000  1.795000 4.265000 2.295000 ;
-      RECT 4.015000  2.295000 7.625000 2.465000 ;
-      RECT 4.435000  1.625000 4.685000 2.125000 ;
-      RECT 4.855000  1.795000 5.105000 2.295000 ;
-      RECT 4.895000  0.085000 5.065000 0.555000 ;
-      RECT 5.275000  1.625000 5.525000 2.125000 ;
-      RECT 5.695000  1.455000 5.945000 2.295000 ;
-      RECT 5.735000  0.085000 5.905000 0.555000 ;
-      RECT 6.535000  1.795000 6.785000 2.295000 ;
-      RECT 6.575000  0.085000 6.745000 0.555000 ;
-      RECT 6.635000  1.075000 7.640000 1.285000 ;
-      RECT 7.375000  1.795000 7.625000 2.295000 ;
-      RECT 7.415000  0.085000 7.585000 0.555000 ;
-      RECT 7.470000  0.735000 8.185000 0.905000 ;
-      RECT 7.470000  0.905000 7.640000 1.075000 ;
-      RECT 7.470000  1.285000 7.640000 1.455000 ;
-      RECT 7.470000  1.455000 8.185000 1.625000 ;
-      RECT 7.810000  0.255000 8.185000 0.735000 ;
-      RECT 7.850000  1.625000 8.185000 2.465000 ;
-      RECT 8.355000  0.085000 8.585000 0.905000 ;
-      RECT 8.355000  1.455000 8.585000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nor4b_4
-MACRO sky130_fd_sc_hd__nor4b_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nor4b_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.520000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.100000 1.075000 1.240000 1.285000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.420000 1.075000 2.635000 1.285000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.815000 1.075000 3.535000 1.285000 ;
-    END
-  END C
-  PIN D_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.805000 1.075000 5.435000 1.285000 ;
-        RECT 5.185000 1.285000 5.435000 1.955000 ;
-    END
-  END D_N
-  PIN Y
-    ANTENNADIFFAREA  0.972000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 0.255000 0.845000 0.725000 ;
-        RECT 0.515000 0.725000 3.920000 0.905000 ;
-        RECT 1.355000 0.255000 1.685000 0.725000 ;
-        RECT 2.750000 0.255000 3.080000 0.725000 ;
-        RECT 3.590000 0.255000 3.920000 0.725000 ;
-        RECT 3.630000 1.455000 4.035000 1.625000 ;
-        RECT 3.630000 1.625000 3.880000 2.125000 ;
-        RECT 3.715000 0.905000 3.920000 1.075000 ;
-        RECT 3.715000 1.075000 4.035000 1.455000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.520000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.710000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.520000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.520000 0.085000 ;
-      RECT 0.000000  2.635000 5.520000 2.805000 ;
-      RECT 0.085000  0.085000 0.345000 0.905000 ;
-      RECT 0.085000  1.455000 2.105000 1.625000 ;
-      RECT 0.085000  1.625000 0.425000 2.465000 ;
-      RECT 0.595000  1.795000 0.805000 2.635000 ;
-      RECT 0.975000  1.625000 1.225000 2.465000 ;
-      RECT 1.015000  0.085000 1.185000 0.555000 ;
-      RECT 1.395000  1.795000 1.605000 2.295000 ;
-      RECT 1.395000  2.295000 3.040000 2.465000 ;
-      RECT 1.775000  1.625000 2.105000 2.125000 ;
-      RECT 1.855000  0.085000 2.580000 0.555000 ;
-      RECT 2.275000  1.455000 3.460000 1.625000 ;
-      RECT 2.275000  1.625000 2.660000 2.125000 ;
-      RECT 2.830000  1.795000 3.040000 2.295000 ;
-      RECT 3.210000  1.625000 3.460000 2.295000 ;
-      RECT 3.210000  2.295000 4.295000 2.465000 ;
-      RECT 3.250000  0.085000 3.420000 0.555000 ;
-      RECT 4.050000  1.795000 4.295000 2.295000 ;
-      RECT 4.090000  0.085000 4.295000 0.895000 ;
-      RECT 4.320000  1.075000 4.635000 1.245000 ;
-      RECT 4.465000  0.380000 4.820000 0.905000 ;
-      RECT 4.465000  0.905000 4.635000 1.075000 ;
-      RECT 4.465000  1.245000 4.635000 2.035000 ;
-      RECT 4.465000  2.035000 4.820000 2.450000 ;
-      RECT 4.990000  0.085000 5.240000 0.825000 ;
-      RECT 4.990000  2.135000 5.240000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nor4b_2
-MACRO sky130_fd_sc_hd__nor4b_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nor4b_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.955000 0.995000 2.275000 1.615000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.455000 0.995000 1.785000 1.615000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.985000 0.995000 1.285000 1.615000 ;
-    END
-  END C
-  PIN D_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.445000 0.995000 2.795000 1.615000 ;
-    END
-  END D_N
-  PIN Y
-    ANTENNADIFFAREA  0.871000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.655000 1.925000 0.825000 ;
-        RECT 0.085000 0.825000 0.345000 2.450000 ;
-        RECT 0.855000 0.300000 1.055000 0.655000 ;
-        RECT 1.725000 0.310000 1.925000 0.655000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.355000  0.085000 0.685000 0.480000 ;
-      RECT 0.525000  0.995000 0.745000 1.795000 ;
-      RECT 0.525000  1.795000 3.135000 2.005000 ;
-      RECT 1.225000  0.085000 1.555000 0.485000 ;
-      RECT 2.095000  0.085000 2.425000 0.825000 ;
-      RECT 2.095000  2.185000 2.425000 2.635000 ;
-      RECT 2.660000  0.405000 2.830000 0.655000 ;
-      RECT 2.660000  0.655000 3.135000 0.825000 ;
-      RECT 2.965000  0.825000 3.135000 1.795000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nor4b_1
-MACRO sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1
-  CLASS CORE WELLTAP ;
-  FOREIGN sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.440000 BY  5.440000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.603000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.970000 1.070000 3.290000 1.540000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.402500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.335000 0.290000 5.635000 0.980000 ;
-        RECT 5.360000 0.980000 5.635000 2.370000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 5.200000 6.440000 5.680000 ;
-      LAYER pwell ;
-        RECT 0.145000 4.595000 0.315000 5.120000 ;
-        RECT 5.925000 4.595000 6.095000 5.120000 ;
-    END
-  END VGND
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 3.500000 6.170000 3.640000 ;
-        RECT 0.080000 3.455000 0.370000 3.500000 ;
-        RECT 0.080000 3.640000 0.370000 3.685000 ;
-        RECT 5.870000 3.455000 6.160000 3.500000 ;
-        RECT 5.870000 3.640000 6.160000 3.685000 ;
-      LAYER nwell ;
-        RECT -0.190000 1.305000 0.650000 4.135000 ;
-        RECT  4.250000 1.305000 6.630000 4.135000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.440000 2.960000 ;
-    END
-  END VPWR
-  PIN VPWRIN
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 1.380000 2.065000 2.390000 2.335000 ;
-        RECT 2.060000 1.635000 2.390000 2.065000 ;
-        RECT 2.060000 2.335000 2.390000 2.660000 ;
-        RECT 2.060000 2.660000 2.810000 3.750000 ;
-      LAYER mcon ;
-        RECT 1.420000 2.115000 1.590000 2.285000 ;
-        RECT 1.780000 2.115000 1.950000 2.285000 ;
-        RECT 2.140000 2.115000 2.310000 2.285000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 2.140000 6.170000 2.280000 ;
-        RECT 1.360000 2.085000 2.370000 2.140000 ;
-        RECT 1.360000 2.280000 2.370000 2.315000 ;
-      LAYER nwell ;
-        RECT 1.920000 1.305000 2.980000 4.135000 ;
-    END
-  END VPWRIN
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.440000 0.085000 ;
-      RECT 0.000000  2.635000 1.890000 2.805000 ;
-      RECT 0.000000  5.355000 6.440000 5.525000 ;
-      RECT 0.085000  0.085000 0.375000 0.810000 ;
-      RECT 0.085000  2.985000 0.375000 3.970000 ;
-      RECT 0.085000  4.630000 0.375000 5.355000 ;
-      RECT 2.020000  0.085000 2.350000 0.895000 ;
-      RECT 2.560000  0.375000 2.800000 2.130000 ;
-      RECT 2.560000  2.130000 3.390000 2.370000 ;
-      RECT 2.645000  4.515000 2.905000 5.355000 ;
-      RECT 3.060000  2.370000 3.390000 3.965000 ;
-      RECT 3.075000  4.265000 4.265000 4.325000 ;
-      RECT 3.075000  4.325000 3.405000 5.185000 ;
-      RECT 3.115000  0.085000 3.445000 0.900000 ;
-      RECT 3.145000  4.155000 4.195000 4.265000 ;
-      RECT 3.575000  4.515000 3.765000 5.355000 ;
-      RECT 3.615000  0.290000 3.805000 0.730000 ;
-      RECT 3.615000  0.730000 4.665000 0.980000 ;
-      RECT 3.680000  2.405000 4.190000 2.575000 ;
-      RECT 3.680000  2.575000 3.850000 3.470000 ;
-      RECT 3.680000  3.470000 4.720000 3.640000 ;
-      RECT 3.935000  4.325000 4.265000 5.185000 ;
-      RECT 3.975000  0.085000 4.305000 0.560000 ;
-      RECT 4.020000  0.980000 4.190000 2.405000 ;
-      RECT 4.020000  2.745000 4.640000 2.915000 ;
-      RECT 4.020000  2.915000 4.190000 3.300000 ;
-      RECT 4.020000  3.810000 4.190000 4.155000 ;
-      RECT 4.390000  3.085000 4.720000 3.470000 ;
-      RECT 4.410000  3.640000 4.720000 3.740000 ;
-      RECT 4.445000  4.515000 4.955000 5.355000 ;
-      RECT 4.470000  1.625000 4.640000 2.745000 ;
-      RECT 4.475000  0.290000 4.665000 0.730000 ;
-      RECT 4.835000  0.085000 5.165000 0.900000 ;
-      RECT 4.890000  1.625000 5.120000 2.635000 ;
-      RECT 4.890000  2.635000 6.440000 2.805000 ;
-      RECT 4.890000  2.805000 5.120000 3.740000 ;
-      RECT 5.135000  4.405000 5.765000 4.460000 ;
-      RECT 5.135000  4.460000 5.695000 4.820000 ;
-      RECT 5.135000  4.820000 5.485000 5.160000 ;
-      RECT 5.360000  3.070000 5.550000 4.125000 ;
-      RECT 5.360000  4.125000 6.085000 4.355000 ;
-      RECT 5.360000  4.355000 5.765000 4.405000 ;
-      RECT 5.865000  0.085000 6.155000 0.810000 ;
-      RECT 5.865000  2.985000 6.155000 3.955000 ;
-      RECT 5.865000  4.630000 6.155000 5.355000 ;
-    LAYER mcon ;
-      RECT 0.140000  3.485000 0.310000 3.655000 ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.145000  5.355000 0.315000 5.525000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.605000  5.355000 0.775000 5.525000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.065000  5.355000 1.235000 5.525000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.525000  5.355000 1.695000 5.525000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  5.355000 2.155000 5.525000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  5.355000 2.615000 5.525000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  5.355000 3.075000 5.525000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  5.355000 3.535000 5.525000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  5.355000 3.995000 5.525000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  5.355000 4.455000 5.525000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  5.355000 4.915000 5.525000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.205000  5.355000 5.375000 5.525000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 5.665000  5.355000 5.835000 5.525000 ;
-      RECT 5.930000  3.485000 6.100000 3.655000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.125000  5.355000 6.295000 5.525000 ;
-    LAYER met1 ;
-      RECT 0.000000 -0.240000 6.440000 0.240000 ;
-    LAYER pwell ;
-      RECT 0.145000 0.320000 0.315000 0.845000 ;
-      RECT 5.925000 0.320000 6.095000 0.845000 ;
-  END
-END sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1
-MACRO sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4
-  CLASS CORE WELLTAP ;
-  FOREIGN sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.360000 BY  5.440000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.603000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.970000 1.070000 3.290000 1.540000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  1.072500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.335000 0.255000 5.635000 0.980000 ;
-        RECT 5.360000 0.980000 5.635000 1.085000 ;
-        RECT 5.360000 1.085000 6.555000 1.410000 ;
-        RECT 5.360000 1.410000 5.635000 2.370000 ;
-        RECT 6.280000 1.410000 6.555000 2.370000 ;
-        RECT 6.335000 0.255000 6.555000 1.085000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 5.200000 7.360000 5.680000 ;
-      LAYER pwell ;
-        RECT 0.145000 4.595000 0.315000 5.120000 ;
-        RECT 7.045000 4.595000 7.215000 5.120000 ;
-    END
-  END VGND
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 3.500000 7.290000 3.640000 ;
-        RECT 0.080000 3.455000 0.370000 3.500000 ;
-        RECT 0.080000 3.640000 0.370000 3.685000 ;
-        RECT 6.930000 3.455000 7.220000 3.500000 ;
-        RECT 6.930000 3.640000 7.220000 3.685000 ;
-      LAYER nwell ;
-        RECT -0.190000 1.305000 0.650000 4.135000 ;
-        RECT  4.250000 1.305000 7.405000 4.135000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.360000 2.960000 ;
-    END
-  END VPWR
-  PIN VPWRIN
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 1.380000 2.065000 2.390000 2.335000 ;
-        RECT 2.060000 1.635000 2.390000 2.065000 ;
-        RECT 2.060000 2.335000 2.390000 2.660000 ;
-        RECT 2.060000 2.660000 2.810000 3.750000 ;
-      LAYER mcon ;
-        RECT 1.420000 2.115000 1.590000 2.285000 ;
-        RECT 1.780000 2.115000 1.950000 2.285000 ;
-        RECT 2.140000 2.115000 2.310000 2.285000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 2.140000 7.290000 2.280000 ;
-        RECT 1.360000 2.085000 2.370000 2.140000 ;
-        RECT 1.360000 2.280000 2.370000 2.315000 ;
-      LAYER nwell ;
-        RECT 1.920000 1.305000 2.980000 4.135000 ;
-    END
-  END VPWRIN
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.360000 0.085000 ;
-      RECT 0.000000  2.635000 1.890000 2.805000 ;
-      RECT 0.000000  5.355000 7.360000 5.525000 ;
-      RECT 0.085000  0.085000 0.375000 0.810000 ;
-      RECT 0.085000  2.985000 0.375000 3.970000 ;
-      RECT 0.085000  4.630000 0.375000 5.355000 ;
-      RECT 2.020000  0.085000 2.350000 0.895000 ;
-      RECT 2.560000  0.375000 2.800000 2.130000 ;
-      RECT 2.560000  2.130000 3.390000 2.370000 ;
-      RECT 2.645000  4.515000 2.905000 5.355000 ;
-      RECT 3.060000  2.370000 3.390000 3.965000 ;
-      RECT 3.075000  4.265000 4.265000 4.325000 ;
-      RECT 3.075000  4.325000 3.405000 5.185000 ;
-      RECT 3.115000  0.085000 3.445000 0.900000 ;
-      RECT 3.145000  4.155000 4.195000 4.265000 ;
-      RECT 3.575000  4.515000 3.765000 5.355000 ;
-      RECT 3.615000  0.255000 3.805000 0.730000 ;
-      RECT 3.615000  0.730000 4.665000 0.980000 ;
-      RECT 3.680000  2.405000 4.190000 2.575000 ;
-      RECT 3.680000  2.575000 3.850000 3.470000 ;
-      RECT 3.680000  3.470000 4.720000 3.640000 ;
-      RECT 3.935000  4.325000 4.265000 5.185000 ;
-      RECT 3.975000  0.085000 4.305000 0.560000 ;
-      RECT 4.020000  0.980000 4.190000 2.405000 ;
-      RECT 4.020000  2.745000 4.640000 2.915000 ;
-      RECT 4.020000  2.915000 4.190000 3.300000 ;
-      RECT 4.020000  3.810000 4.190000 4.155000 ;
-      RECT 4.390000  3.085000 4.720000 3.470000 ;
-      RECT 4.410000  3.640000 4.720000 3.740000 ;
-      RECT 4.445000  4.515000 4.955000 5.355000 ;
-      RECT 4.470000  1.625000 4.640000 2.745000 ;
-      RECT 4.475000  0.255000 4.665000 0.730000 ;
-      RECT 4.835000  0.085000 5.165000 0.900000 ;
-      RECT 4.890000  1.625000 5.120000 2.635000 ;
-      RECT 4.890000  2.635000 7.360000 2.805000 ;
-      RECT 4.890000  2.805000 5.120000 3.740000 ;
-      RECT 5.135000  4.405000 5.765000 4.460000 ;
-      RECT 5.135000  4.460000 5.695000 4.820000 ;
-      RECT 5.135000  4.820000 5.485000 5.160000 ;
-      RECT 5.360000  3.070000 5.550000 4.125000 ;
-      RECT 5.360000  4.125000 6.085000 4.355000 ;
-      RECT 5.360000  4.355000 5.765000 4.405000 ;
-      RECT 5.825000  0.085000 6.155000 0.845000 ;
-      RECT 5.905000  1.610000 6.075000 2.635000 ;
-      RECT 6.755000  0.085000 7.005000 0.925000 ;
-      RECT 6.755000  1.610000 6.935000 2.635000 ;
-      RECT 6.985000  2.985000 7.275000 3.955000 ;
-      RECT 6.985000  4.630000 7.275000 5.355000 ;
-    LAYER mcon ;
-      RECT 0.140000  3.485000 0.310000 3.655000 ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.145000  5.355000 0.315000 5.525000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.605000  5.355000 0.775000 5.525000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.065000  5.355000 1.235000 5.525000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.525000  5.355000 1.695000 5.525000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  5.355000 2.155000 5.525000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  5.355000 2.615000 5.525000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  5.355000 3.075000 5.525000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  5.355000 3.535000 5.525000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  5.355000 3.995000 5.525000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  5.355000 4.455000 5.525000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  5.355000 4.915000 5.525000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.205000  5.355000 5.375000 5.525000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 5.665000  5.355000 5.835000 5.525000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.125000  5.355000 6.295000 5.525000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 6.585000  5.355000 6.755000 5.525000 ;
-      RECT 6.990000  3.485000 7.160000 3.655000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.045000  5.355000 7.215000 5.525000 ;
-    LAYER met1 ;
-      RECT 0.000000 -0.240000 7.360000 0.240000 ;
-    LAYER pwell ;
-      RECT 0.145000 0.320000 0.315000 0.845000 ;
-  END
-END sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4
-MACRO sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2
-  CLASS CORE WELLTAP ;
-  FOREIGN sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.440000 BY  5.440000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.603000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.970000 1.070000 3.290000 1.540000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.610500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.335000 0.255000 5.635000 0.980000 ;
-        RECT 5.360000 0.980000 5.635000 2.370000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 5.200000 6.440000 5.680000 ;
-      LAYER pwell ;
-        RECT 0.145000 4.595000 0.315000 5.120000 ;
-        RECT 6.125000 4.595000 6.295000 5.120000 ;
-    END
-  END VGND
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 3.500000 6.300000 3.640000 ;
-        RECT 0.080000 3.455000 0.370000 3.500000 ;
-        RECT 0.080000 3.640000 0.370000 3.685000 ;
-        RECT 6.010000 3.455000 6.300000 3.500000 ;
-        RECT 6.010000 3.640000 6.300000 3.685000 ;
-      LAYER nwell ;
-        RECT -0.190000 1.305000 0.650000 4.135000 ;
-        RECT  4.250000 1.305000 6.630000 4.135000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.440000 2.960000 ;
-    END
-  END VPWR
-  PIN VPWRIN
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 1.380000 2.065000 2.390000 2.335000 ;
-        RECT 2.060000 1.635000 2.390000 2.065000 ;
-        RECT 2.060000 2.335000 2.390000 2.660000 ;
-        RECT 2.060000 2.660000 2.810000 3.750000 ;
-      LAYER mcon ;
-        RECT 1.420000 2.115000 1.590000 2.285000 ;
-        RECT 1.780000 2.115000 1.950000 2.285000 ;
-        RECT 2.140000 2.115000 2.310000 2.285000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 2.140000 6.370000 2.280000 ;
-        RECT 1.360000 2.085000 2.370000 2.140000 ;
-        RECT 1.360000 2.280000 2.370000 2.315000 ;
-      LAYER nwell ;
-        RECT 1.920000 1.305000 2.980000 4.135000 ;
-    END
-  END VPWRIN
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.440000 0.085000 ;
-      RECT 0.000000  2.635000 1.890000 2.805000 ;
-      RECT 0.000000  5.355000 6.440000 5.525000 ;
-      RECT 0.085000  0.085000 0.375000 0.810000 ;
-      RECT 0.085000  2.985000 0.375000 3.970000 ;
-      RECT 0.085000  4.630000 0.375000 5.355000 ;
-      RECT 2.020000  0.085000 2.350000 0.895000 ;
-      RECT 2.560000  0.375000 2.800000 2.130000 ;
-      RECT 2.560000  2.130000 3.390000 2.370000 ;
-      RECT 2.645000  4.515000 2.905000 5.355000 ;
-      RECT 3.060000  2.370000 3.390000 3.965000 ;
-      RECT 3.075000  4.265000 4.265000 4.325000 ;
-      RECT 3.075000  4.325000 3.405000 5.185000 ;
-      RECT 3.115000  0.085000 3.445000 0.900000 ;
-      RECT 3.145000  4.155000 4.195000 4.265000 ;
-      RECT 3.575000  4.515000 3.765000 5.355000 ;
-      RECT 3.615000  0.255000 3.805000 0.730000 ;
-      RECT 3.615000  0.730000 4.665000 0.980000 ;
-      RECT 3.680000  2.405000 4.190000 2.575000 ;
-      RECT 3.680000  2.575000 3.850000 3.470000 ;
-      RECT 3.680000  3.470000 4.720000 3.640000 ;
-      RECT 3.935000  4.325000 4.265000 5.185000 ;
-      RECT 3.975000  0.085000 4.305000 0.560000 ;
-      RECT 4.020000  0.980000 4.190000 2.405000 ;
-      RECT 4.020000  2.745000 4.640000 2.915000 ;
-      RECT 4.020000  2.915000 4.190000 3.300000 ;
-      RECT 4.020000  3.810000 4.190000 4.155000 ;
-      RECT 4.390000  3.085000 4.720000 3.470000 ;
-      RECT 4.410000  3.640000 4.720000 3.740000 ;
-      RECT 4.445000  4.515000 4.955000 5.355000 ;
-      RECT 4.470000  1.625000 4.640000 2.745000 ;
-      RECT 4.475000  0.255000 4.665000 0.730000 ;
-      RECT 4.835000  0.085000 5.165000 0.900000 ;
-      RECT 4.890000  1.625000 5.120000 2.635000 ;
-      RECT 4.890000  2.635000 6.440000 2.805000 ;
-      RECT 4.890000  2.805000 5.120000 3.740000 ;
-      RECT 5.135000  4.405000 5.765000 4.460000 ;
-      RECT 5.135000  4.460000 5.695000 4.820000 ;
-      RECT 5.135000  4.820000 5.485000 5.160000 ;
-      RECT 5.360000  3.070000 5.550000 4.125000 ;
-      RECT 5.360000  4.125000 6.085000 4.355000 ;
-      RECT 5.360000  4.355000 5.765000 4.405000 ;
-      RECT 5.825000  0.085000 6.155000 0.900000 ;
-      RECT 5.905000  1.610000 6.075000 2.635000 ;
-      RECT 6.065000  2.985000 6.355000 3.955000 ;
-      RECT 6.065000  4.630000 6.355000 5.355000 ;
-    LAYER mcon ;
-      RECT 0.140000  3.485000 0.310000 3.655000 ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.145000  5.355000 0.315000 5.525000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.605000  5.355000 0.775000 5.525000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.065000  5.355000 1.235000 5.525000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.525000  5.355000 1.695000 5.525000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  5.355000 2.155000 5.525000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  5.355000 2.615000 5.525000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  5.355000 3.075000 5.525000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  5.355000 3.535000 5.525000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  5.355000 3.995000 5.525000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  5.355000 4.455000 5.525000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  5.355000 4.915000 5.525000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.205000  5.355000 5.375000 5.525000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 5.665000  5.355000 5.835000 5.525000 ;
-      RECT 6.070000  3.485000 6.240000 3.655000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.125000  5.355000 6.295000 5.525000 ;
-    LAYER met1 ;
-      RECT 0.000000 -0.240000 6.440000 0.240000 ;
-    LAYER pwell ;
-      RECT 0.145000 0.320000 0.315000 0.845000 ;
-  END
-END sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2
-MACRO sky130_fd_sc_hd__o22ai_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o22ai_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.300000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.755000 1.075000 2.215000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.220000 1.075000 1.585000 1.245000 ;
-        RECT 1.405000 1.245000 1.585000 1.445000 ;
-        RECT 1.405000 1.445000 1.725000 1.615000 ;
-        RECT 1.525000 1.615000 1.725000 2.405000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.665000 0.325000 1.990000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.835000 0.995000 1.005000 1.415000 ;
-        RECT 0.835000 1.415000 1.235000 1.665000 ;
-    END
-  END B2
-  PIN Y
-    ANTENNADIFFAREA  0.650250 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.495000 0.645000 0.845000 0.825000 ;
-        RECT 0.495000 0.825000 0.665000 1.835000 ;
-        RECT 0.495000 1.835000 1.335000 2.045000 ;
-        RECT 0.835000 2.045000 1.335000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.300000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.490000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.300000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.300000 0.085000 ;
-      RECT 0.000000  2.635000 2.300000 2.805000 ;
-      RECT 0.085000  0.295000 1.345000 0.475000 ;
-      RECT 0.135000  2.175000 0.345000 2.635000 ;
-      RECT 1.015000  0.475000 1.345000 0.695000 ;
-      RECT 1.015000  0.695000 2.215000 0.825000 ;
-      RECT 1.185000  0.825000 2.215000 0.865000 ;
-      RECT 1.535000  0.085000 1.705000 0.525000 ;
-      RECT 1.875000  0.280000 2.215000 0.695000 ;
-      RECT 1.895000  1.455000 2.215000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o22ai_1
-MACRO sky130_fd_sc_hd__o22ai_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o22ai_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.600000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.395000 1.075000 4.165000 1.285000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.555000 1.075000 3.225000 1.275000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.200000 1.075000 0.985000 1.285000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.155000 1.075000 1.925000 1.275000 ;
-    END
-  END B2
-  PIN Y
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.535000 0.645000 0.865000 0.725000 ;
-        RECT 0.535000 0.725000 2.340000 0.905000 ;
-        RECT 1.375000 0.645000 1.705000 0.725000 ;
-        RECT 1.415000 1.445000 3.065000 1.625000 ;
-        RECT 1.415000 1.625000 1.665000 2.125000 ;
-        RECT 2.095000 0.905000 2.340000 1.445000 ;
-        RECT 2.815000 1.625000 3.065000 2.125000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.600000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.790000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.600000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.600000 0.085000 ;
-      RECT 0.000000  2.635000 4.600000 2.805000 ;
-      RECT 0.090000  0.305000 2.680000 0.475000 ;
-      RECT 0.090000  0.475000 0.365000 0.905000 ;
-      RECT 0.150000  1.455000 1.245000 1.625000 ;
-      RECT 0.150000  1.625000 0.405000 2.465000 ;
-      RECT 0.575000  1.795000 0.825000 2.635000 ;
-      RECT 0.995000  1.625000 1.245000 2.295000 ;
-      RECT 0.995000  2.295000 2.085000 2.465000 ;
-      RECT 1.835000  1.795000 2.085000 2.295000 ;
-      RECT 2.395000  1.795000 2.645000 2.295000 ;
-      RECT 2.395000  2.295000 3.485000 2.465000 ;
-      RECT 2.510000  0.475000 2.680000 0.725000 ;
-      RECT 2.510000  0.725000 4.365000 0.905000 ;
-      RECT 2.855000  0.085000 3.025000 0.555000 ;
-      RECT 3.195000  0.255000 3.525000 0.725000 ;
-      RECT 3.235000  1.455000 4.330000 1.625000 ;
-      RECT 3.235000  1.625000 3.485000 2.295000 ;
-      RECT 3.655000  1.795000 3.905000 2.635000 ;
-      RECT 3.695000  0.085000 3.865000 0.555000 ;
-      RECT 4.035000  0.255000 4.365000 0.725000 ;
-      RECT 4.075000  1.625000 4.330000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o22ai_2
-MACRO sky130_fd_sc_hd__o22ai_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o22ai_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.360000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 1.415000 1.275000 ;
-        RECT 1.150000 1.275000 1.415000 1.445000 ;
-        RECT 1.150000 1.445000 3.575000 1.615000 ;
-        RECT 3.275000 1.075000 3.605000 1.245000 ;
-        RECT 3.275000 1.245000 3.575000 1.445000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.685000 1.075000 3.095000 1.275000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.295000 0.995000 4.940000 1.445000 ;
-        RECT 4.295000 1.445000 6.935000 1.615000 ;
-        RECT 6.715000 0.995000 6.935000 1.445000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.110000 1.075000 6.460000 1.275000 ;
-    END
-  END B2
-  PIN Y
-    ANTENNADIFFAREA  1.782000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.845000 1.785000 3.915000 1.955000 ;
-        RECT 1.845000 1.955000 2.095000 2.125000 ;
-        RECT 2.685000 1.955000 2.935000 2.125000 ;
-        RECT 3.745000 1.445000 4.125000 1.615000 ;
-        RECT 3.745000 1.615000 3.915000 1.785000 ;
-        RECT 3.955000 0.645000 7.275000 0.820000 ;
-        RECT 3.955000 0.820000 4.125000 1.445000 ;
-        RECT 5.255000 1.785000 7.275000 1.955000 ;
-        RECT 5.255000 1.955000 5.505000 2.125000 ;
-        RECT 6.095000 1.955000 6.345000 2.125000 ;
-        RECT 7.105000 0.820000 7.275000 1.785000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.360000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.550000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.360000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.360000 0.085000 ;
-      RECT 0.000000  2.635000 7.360000 2.805000 ;
-      RECT 0.125000  0.255000 0.455000 0.725000 ;
-      RECT 0.125000  0.725000 1.295000 0.735000 ;
-      RECT 0.125000  0.735000 3.785000 0.905000 ;
-      RECT 0.165000  1.445000 0.415000 2.635000 ;
-      RECT 0.585000  1.445000 0.835000 1.785000 ;
-      RECT 0.585000  1.785000 1.675000 1.955000 ;
-      RECT 0.585000  1.955000 0.835000 2.465000 ;
-      RECT 0.625000  0.085000 0.795000 0.555000 ;
-      RECT 0.965000  0.255000 1.295000 0.725000 ;
-      RECT 1.005000  2.125000 1.255000 2.635000 ;
-      RECT 1.425000  1.955000 1.675000 2.295000 ;
-      RECT 1.425000  2.295000 3.395000 2.465000 ;
-      RECT 1.465000  0.085000 1.635000 0.555000 ;
-      RECT 1.805000  0.255000 2.135000 0.725000 ;
-      RECT 1.805000  0.725000 2.975000 0.735000 ;
-      RECT 2.265000  2.125000 2.515000 2.295000 ;
-      RECT 2.305000  0.085000 2.475000 0.555000 ;
-      RECT 2.645000  0.255000 2.975000 0.725000 ;
-      RECT 3.105000  2.125000 3.395000 2.295000 ;
-      RECT 3.145000  0.085000 3.315000 0.555000 ;
-      RECT 3.485000  0.255000 7.245000 0.475000 ;
-      RECT 3.485000  0.475000 3.785000 0.735000 ;
-      RECT 3.565000  2.125000 3.785000 2.635000 ;
-      RECT 3.955000  2.125000 4.255000 2.465000 ;
-      RECT 4.085000  1.785000 5.085000 1.955000 ;
-      RECT 4.085000  1.955000 4.255000 2.125000 ;
-      RECT 4.425000  2.125000 4.665000 2.635000 ;
-      RECT 4.835000  1.955000 5.085000 2.295000 ;
-      RECT 4.835000  2.295000 6.765000 2.465000 ;
-      RECT 5.675000  2.125000 5.925000 2.295000 ;
-      RECT 6.515000  2.135000 6.765000 2.295000 ;
-      RECT 6.935000  2.125000 7.215000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o22ai_4
-MACRO sky130_fd_sc_hd__edfxtp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__edfxtp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  11.04000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.695000 0.765000 1.915000 1.720000 ;
-    END
-  END D
-  PIN DE
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.110000 0.765000 2.565000 1.185000 ;
-        RECT 2.110000 1.185000 2.325000 1.370000 ;
-    END
-  END DE
-  PIN Q
-    ANTENNADIFFAREA  0.462000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 10.465000 0.305000 10.795000 2.420000 ;
-    END
-  END Q
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 0.975000 0.445000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 11.040000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 11.230000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 11.040000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 11.040000 0.085000 ;
-      RECT  0.000000  2.635000 11.040000 2.805000 ;
-      RECT  0.175000  0.345000  0.345000 0.635000 ;
-      RECT  0.175000  0.635000  0.845000 0.805000 ;
-      RECT  0.175000  1.795000  0.845000 1.965000 ;
-      RECT  0.175000  1.965000  0.345000 2.465000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.515000  2.135000  0.845000 2.635000 ;
-      RECT  0.615000  0.805000  0.845000 1.795000 ;
-      RECT  1.015000  0.345000  1.185000 2.465000 ;
-      RECT  1.355000  0.255000  1.785000 0.515000 ;
-      RECT  1.355000  0.515000  1.525000 1.890000 ;
-      RECT  1.355000  1.890000  1.785000 2.465000 ;
-      RECT  2.235000  0.085000  2.565000 0.515000 ;
-      RECT  2.235000  1.890000  2.565000 2.635000 ;
-      RECT  2.495000  1.355000  3.085000 1.720000 ;
-      RECT  2.755000  1.720000  3.085000 2.425000 ;
-      RECT  2.780000  0.255000  3.005000 0.845000 ;
-      RECT  2.780000  0.845000  3.635000 1.175000 ;
-      RECT  2.780000  1.175000  3.085000 1.355000 ;
-      RECT  3.185000  0.085000  3.515000 0.610000 ;
-      RECT  3.265000  1.825000  3.460000 2.635000 ;
-      RECT  3.805000  0.685000  3.975000 1.320000 ;
-      RECT  3.805000  1.320000  4.175000 1.650000 ;
-      RECT  4.125000  1.820000  4.515000 2.020000 ;
-      RECT  4.125000  2.020000  4.455000 2.465000 ;
-      RECT  4.145000  0.255000  4.415000 0.980000 ;
-      RECT  4.145000  0.980000  4.515000 1.150000 ;
-      RECT  4.345000  1.150000  4.515000 1.820000 ;
-      RECT  4.795000  1.125000  4.980000 1.720000 ;
-      RECT  4.815000  0.735000  5.320000 0.955000 ;
-      RECT  4.915000  2.175000  5.955000 2.375000 ;
-      RECT  5.005000  0.255000  5.680000 0.565000 ;
-      RECT  5.150000  0.955000  5.320000 1.655000 ;
-      RECT  5.150000  1.655000  5.615000 2.005000 ;
-      RECT  5.510000  0.565000  5.680000 1.315000 ;
-      RECT  5.510000  1.315000  6.360000 1.485000 ;
-      RECT  5.785000  1.485000  6.360000 1.575000 ;
-      RECT  5.785000  1.575000  5.955000 2.175000 ;
-      RECT  5.870000  0.765000  6.935000 1.045000 ;
-      RECT  5.870000  1.045000  7.445000 1.065000 ;
-      RECT  5.870000  1.065000  6.070000 1.095000 ;
-      RECT  5.945000  0.085000  6.340000 0.560000 ;
-      RECT  6.125000  1.835000  6.360000 2.635000 ;
-      RECT  6.190000  1.245000  6.360000 1.315000 ;
-      RECT  6.530000  0.255000  6.935000 0.765000 ;
-      RECT  6.530000  1.065000  7.445000 1.375000 ;
-      RECT  6.530000  1.375000  6.860000 2.465000 ;
-      RECT  7.070000  2.105000  7.360000 2.635000 ;
-      RECT  7.165000  0.085000  7.440000 0.615000 ;
-      RECT  7.790000  1.245000  7.980000 1.965000 ;
-      RECT  7.925000  2.165000  8.810000 2.355000 ;
-      RECT  8.005000  0.705000  8.470000 1.035000 ;
-      RECT  8.025000  0.330000  8.810000 0.535000 ;
-      RECT  8.150000  1.035000  8.470000 1.995000 ;
-      RECT  8.640000  0.535000  8.810000 0.995000 ;
-      RECT  8.640000  0.995000  9.510000 1.325000 ;
-      RECT  8.640000  1.325000  8.810000 2.165000 ;
-      RECT  8.980000  1.530000  9.880000 1.905000 ;
-      RECT  8.980000  2.135000  9.240000 2.635000 ;
-      RECT  9.050000  0.085000  9.365000 0.615000 ;
-      RECT  9.540000  1.905000  9.880000 2.465000 ;
-      RECT  9.550000  0.300000  9.880000 0.825000 ;
-      RECT  9.690000  0.825000  9.880000 1.530000 ;
-      RECT 10.050000  0.085000 10.295000 0.900000 ;
-      RECT 10.050000  1.465000 10.295000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.635000  1.785000  0.805000 1.955000 ;
-      RECT  1.015000  1.445000  1.185000 1.615000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.355000  0.425000  1.525000 0.595000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.805000  0.765000  3.975000 0.935000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.185000  0.425000  4.355000 0.595000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  4.800000  1.445000  4.970000 1.615000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.210000  1.785000  5.380000 1.955000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.800000  1.785000  7.970000 1.955000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.220000  1.445000  8.390000 1.615000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.700000  0.765000  9.870000 0.935000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.575000 1.755000 0.865000 1.800000 ;
-      RECT 0.575000 1.800000 8.030000 1.940000 ;
-      RECT 0.575000 1.940000 0.865000 1.985000 ;
-      RECT 0.955000 1.415000 1.245000 1.460000 ;
-      RECT 0.955000 1.460000 8.450000 1.600000 ;
-      RECT 0.955000 1.600000 1.245000 1.645000 ;
-      RECT 1.295000 0.395000 4.415000 0.580000 ;
-      RECT 1.295000 0.580000 1.585000 0.625000 ;
-      RECT 3.745000 0.735000 4.035000 0.780000 ;
-      RECT 3.745000 0.780000 9.930000 0.920000 ;
-      RECT 3.745000 0.920000 4.035000 0.965000 ;
-      RECT 4.125000 0.580000 4.415000 0.625000 ;
-      RECT 4.740000 1.415000 5.030000 1.460000 ;
-      RECT 4.740000 1.600000 5.030000 1.645000 ;
-      RECT 5.150000 1.755000 5.440000 1.800000 ;
-      RECT 5.150000 1.940000 5.440000 1.985000 ;
-      RECT 7.740000 1.755000 8.030000 1.800000 ;
-      RECT 7.740000 1.940000 8.030000 1.985000 ;
-      RECT 8.160000 1.415000 8.450000 1.460000 ;
-      RECT 8.160000 1.600000 8.450000 1.645000 ;
-      RECT 9.640000 0.735000 9.930000 0.780000 ;
-      RECT 9.640000 0.920000 9.930000 0.965000 ;
-  END
-END sky130_fd_sc_hd__edfxtp_1
-MACRO sky130_fd_sc_hd__ebufn_8
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__ebufn_8 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.660000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.430000 1.615000 ;
-    END
-  END A
-  PIN TE_B
-    ANTENNAGATEAREA  1.375500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.970000 0.620000 1.305000 0.995000 ;
-        RECT 0.970000 0.995000 1.430000 1.325000 ;
-        RECT 0.970000 1.325000 1.305000 1.695000 ;
-    END
-  END TE_B
-  PIN Z
-    ANTENNADIFFAREA  1.782000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.995000 1.445000 9.575000 1.725000 ;
-        RECT 6.275000 0.615000 9.575000 0.855000 ;
-        RECT 9.325000 0.855000 9.575000 1.445000 ;
-    END
-  END Z
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.660000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.850000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.660000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.660000 0.085000 ;
-      RECT 0.000000  2.635000 9.660000 2.805000 ;
-      RECT 0.085000  0.085000 0.445000 0.825000 ;
-      RECT 0.085000  1.785000 0.445000 2.635000 ;
-      RECT 0.600000  0.995000 0.800000 1.615000 ;
-      RECT 0.615000  0.280000 0.800000 0.995000 ;
-      RECT 0.615000  1.615000 0.800000 2.465000 ;
-      RECT 0.970000  0.085000 1.305000 0.445000 ;
-      RECT 0.970000  1.865000 1.305000 2.635000 ;
-      RECT 1.475000  0.255000 1.985000 0.825000 ;
-      RECT 1.475000  1.495000 1.825000 2.465000 ;
-      RECT 1.600000  0.825000 1.985000 1.025000 ;
-      RECT 1.600000  1.025000 5.925000 1.275000 ;
-      RECT 1.600000  1.275000 1.825000 1.495000 ;
-      RECT 1.995000  1.895000 9.575000 2.065000 ;
-      RECT 1.995000  2.065000 2.245000 2.465000 ;
-      RECT 2.155000  0.255000 2.485000 0.655000 ;
-      RECT 2.155000  0.655000 6.105000 0.855000 ;
-      RECT 2.415000  2.235000 2.745000 2.635000 ;
-      RECT 2.655000  0.085000 2.985000 0.485000 ;
-      RECT 2.915000  2.065000 3.085000 2.465000 ;
-      RECT 3.155000  0.275000 3.325000 0.655000 ;
-      RECT 3.255000  2.235000 3.585000 2.635000 ;
-      RECT 3.495000  0.085000 3.825000 0.485000 ;
-      RECT 3.755000  2.065000 3.925000 2.465000 ;
-      RECT 3.995000  0.255000 4.165000 0.655000 ;
-      RECT 4.095000  2.235000 4.425000 2.635000 ;
-      RECT 4.335000  0.085000 4.665000 0.485000 ;
-      RECT 4.595000  2.065000 4.765000 2.465000 ;
-      RECT 4.835000  0.275000 5.005000 0.655000 ;
-      RECT 4.935000  2.235000 5.265000 2.635000 ;
-      RECT 5.175000  0.085000 5.505000 0.485000 ;
-      RECT 5.435000  2.065000 9.575000 2.465000 ;
-      RECT 5.675000  0.255000 9.575000 0.445000 ;
-      RECT 5.675000  0.445000 6.105000 0.655000 ;
-      RECT 6.175000  1.025000 9.155000 1.275000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  1.105000 0.775000 1.275000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.580000  1.105000 6.750000 1.275000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.545000 1.075000 0.835000 1.120000 ;
-      RECT 0.545000 1.120000 6.810000 1.260000 ;
-      RECT 0.545000 1.260000 0.835000 1.305000 ;
-      RECT 6.520000 1.075000 6.810000 1.120000 ;
-      RECT 6.520000 1.260000 6.810000 1.305000 ;
-  END
-END sky130_fd_sc_hd__ebufn_8
-MACRO sky130_fd_sc_hd__ebufn_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__ebufn_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.355000 1.615000 ;
-    END
-  END A
-  PIN TE_B
-    ANTENNAGATEAREA  0.309000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.910000 1.075000 1.240000 1.630000 ;
-    END
-  END TE_B
-  PIN Z
-    ANTENNADIFFAREA  0.601000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.975000 1.495000 3.595000 2.465000 ;
-        RECT 3.125000 0.255000 3.595000 0.825000 ;
-        RECT 3.255000 0.825000 3.595000 1.495000 ;
-    END
-  END Z
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.085000  0.280000 0.345000 0.615000 ;
-      RECT 0.085000  0.615000 1.185000 0.825000 ;
-      RECT 0.085000  1.785000 0.740000 2.005000 ;
-      RECT 0.085000  2.005000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.445000 ;
-      RECT 0.515000  2.175000 0.845000 2.635000 ;
-      RECT 0.525000  0.825000 0.740000 1.785000 ;
-      RECT 1.015000  0.255000 2.025000 0.465000 ;
-      RECT 1.015000  0.465000 1.185000 0.615000 ;
-      RECT 1.015000  1.800000 1.805000 2.005000 ;
-      RECT 1.015000  2.005000 1.270000 2.460000 ;
-      RECT 1.355000  0.635000 1.685000 0.885000 ;
-      RECT 1.410000  0.885000 1.685000 1.075000 ;
-      RECT 1.410000  1.075000 2.535000 1.325000 ;
-      RECT 1.410000  1.325000 1.805000 1.800000 ;
-      RECT 1.440000  2.175000 1.805000 2.635000 ;
-      RECT 1.855000  0.465000 2.025000 0.735000 ;
-      RECT 1.855000  0.735000 2.955000 0.905000 ;
-      RECT 2.195000  0.085000 2.955000 0.565000 ;
-      RECT 2.705000  0.905000 2.955000 0.995000 ;
-      RECT 2.705000  0.995000 3.085000 1.325000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__ebufn_1
-MACRO sky130_fd_sc_hd__ebufn_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__ebufn_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.980000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.490000 0.765000 0.780000 1.675000 ;
-    END
-  END A
-  PIN TE_B
-    ANTENNAGATEAREA  0.811500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.950000 0.765000 1.280000 1.425000 ;
-    END
-  END TE_B
-  PIN Z
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.895000 1.445000 5.895000 1.725000 ;
-        RECT 4.145000 0.615000 5.895000 0.855000 ;
-        RECT 5.675000 0.855000 5.895000 1.445000 ;
-    END
-  END Z
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.980000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.170000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.980000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.980000 0.085000 ;
-      RECT 0.000000  2.635000 5.980000 2.805000 ;
-      RECT 0.085000  0.280000 0.345000 0.665000 ;
-      RECT 0.085000  0.665000 0.320000 1.765000 ;
-      RECT 0.085000  1.765000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.930000 0.595000 ;
-      RECT 0.515000  1.845000 0.930000 2.635000 ;
-      RECT 1.100000  0.255000 1.725000 0.595000 ;
-      RECT 1.100000  1.595000 1.725000 1.765000 ;
-      RECT 1.100000  1.765000 1.355000 2.465000 ;
-      RECT 1.450000  0.595000 1.725000 1.025000 ;
-      RECT 1.450000  1.025000 3.810000 1.275000 ;
-      RECT 1.450000  1.275000 1.725000 1.595000 ;
-      RECT 1.565000  1.935000 5.895000 2.105000 ;
-      RECT 1.565000  2.105000 1.810000 2.465000 ;
-      RECT 1.895000  0.255000 2.175000 0.655000 ;
-      RECT 1.895000  0.655000 3.975000 0.855000 ;
-      RECT 1.895000  1.895000 5.895000 1.935000 ;
-      RECT 1.980000  2.275000 2.310000 2.635000 ;
-      RECT 2.345000  0.085000 2.675000 0.485000 ;
-      RECT 2.480000  2.105000 2.650000 2.465000 ;
-      RECT 2.820000  2.275000 3.150000 2.635000 ;
-      RECT 2.845000  0.275000 3.015000 0.655000 ;
-      RECT 3.185000  0.085000 3.515000 0.485000 ;
-      RECT 3.320000  2.105000 5.895000 2.465000 ;
-      RECT 3.685000  0.255000 5.735000 0.445000 ;
-      RECT 3.685000  0.445000 3.975000 0.655000 ;
-      RECT 3.980000  1.025000 5.505000 1.275000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.150000  1.105000 0.320000 1.275000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.310000  1.105000 4.480000 1.275000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.085000 1.075000 0.380000 1.120000 ;
-      RECT 0.085000 1.120000 4.540000 1.260000 ;
-      RECT 0.085000 1.260000 0.380000 1.305000 ;
-      RECT 4.250000 1.075000 4.540000 1.120000 ;
-      RECT 4.250000 1.260000 4.540000 1.305000 ;
-  END
-END sky130_fd_sc_hd__ebufn_4
-MACRO sky130_fd_sc_hd__ebufn_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__ebufn_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.490000 0.765000 0.780000 1.675000 ;
-    END
-  END A
-  PIN TE_B
-    ANTENNAGATEAREA  0.441000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.950000 0.765000 1.280000 1.275000 ;
-    END
-  END TE_B
-  PIN Z
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.905000 1.445000 4.055000 1.625000 ;
-        RECT 1.905000 1.625000 3.625000 1.765000 ;
-        RECT 3.295000 0.635000 4.055000 0.855000 ;
-        RECT 3.295000 1.765000 3.625000 2.125000 ;
-        RECT 3.825000 0.855000 4.055000 1.445000 ;
-    END
-  END Z
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.085000  0.280000 0.345000 0.615000 ;
-      RECT 0.085000  0.615000 0.320000 1.845000 ;
-      RECT 0.085000  1.845000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.850000 0.595000 ;
-      RECT 0.515000  1.845000 0.950000 2.635000 ;
-      RECT 1.020000  0.255000 1.730000 0.595000 ;
-      RECT 1.120000  1.445000 1.735000 1.765000 ;
-      RECT 1.120000  1.765000 1.410000 2.465000 ;
-      RECT 1.450000  0.595000 1.730000 1.025000 ;
-      RECT 1.450000  1.025000 2.965000 1.275000 ;
-      RECT 1.450000  1.275000 1.735000 1.445000 ;
-      RECT 1.600000  1.935000 3.125000 2.105000 ;
-      RECT 1.600000  2.105000 1.810000 2.465000 ;
-      RECT 1.900000  0.255000 2.170000 0.655000 ;
-      RECT 1.900000  0.655000 3.125000 0.855000 ;
-      RECT 1.980000  2.275000 2.310000 2.635000 ;
-      RECT 2.340000  0.085000 2.670000 0.485000 ;
-      RECT 2.480000  2.105000 3.125000 2.295000 ;
-      RECT 2.480000  2.295000 4.055000 2.465000 ;
-      RECT 2.840000  0.275000 4.050000 0.465000 ;
-      RECT 2.840000  0.465000 3.125000 0.655000 ;
-      RECT 3.245000  1.025000 3.655000 1.275000 ;
-      RECT 3.795000  1.795000 4.055000 2.295000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.150000  1.105000 0.320000 1.275000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.380000  1.105000 3.550000 1.275000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.085000 1.075000 0.380000 1.120000 ;
-      RECT 0.085000 1.120000 3.610000 1.260000 ;
-      RECT 0.085000 1.260000 0.380000 1.305000 ;
-      RECT 3.320000 1.075000 3.610000 1.120000 ;
-      RECT 3.320000 1.260000 3.610000 1.305000 ;
-  END
-END sky130_fd_sc_hd__ebufn_2
-MACRO sky130_fd_sc_hd__nor3b_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nor3b_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.900000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.035000 1.075000 2.690000 1.285000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.035000 1.075000 4.300000 1.285000 ;
-    END
-  END B
-  PIN C_N
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.110000 1.075000 0.445000 1.285000 ;
-    END
-  END C_N
-  PIN Y
-    ANTENNADIFFAREA  1.593000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.955000 0.255000 1.285000 0.725000 ;
-        RECT 0.955000 0.725000 6.760000 0.905000 ;
-        RECT 1.795000 0.255000 2.125000 0.725000 ;
-        RECT 3.155000 0.255000 3.485000 0.725000 ;
-        RECT 3.995000 0.255000 4.325000 0.725000 ;
-        RECT 4.835000 0.255000 5.165000 0.725000 ;
-        RECT 4.875000 1.455000 6.760000 1.625000 ;
-        RECT 4.875000 1.625000 5.125000 2.125000 ;
-        RECT 5.675000 0.255000 6.005000 0.725000 ;
-        RECT 5.715000 1.625000 5.965000 2.125000 ;
-        RECT 6.420000 0.905000 6.760000 1.455000 ;
-        RECT 6.515000 0.315000 6.760000 0.725000 ;
-        RECT 6.555000 1.625000 6.760000 2.415000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 6.900000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.090000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.900000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.900000 0.085000 ;
-      RECT 0.000000  2.635000 6.900000 2.805000 ;
-      RECT 0.110000  0.255000 0.445000 0.735000 ;
-      RECT 0.110000  0.735000 0.785000 0.905000 ;
-      RECT 0.110000  1.455000 4.705000 1.625000 ;
-      RECT 0.110000  1.625000 0.405000 2.465000 ;
-      RECT 0.575000  1.795000 0.825000 2.635000 ;
-      RECT 0.615000  0.085000 0.785000 0.555000 ;
-      RECT 0.615000  0.905000 0.785000 1.455000 ;
-      RECT 0.995000  1.795000 4.285000 1.965000 ;
-      RECT 0.995000  1.965000 1.245000 2.465000 ;
-      RECT 1.415000  2.135000 1.665000 2.635000 ;
-      RECT 1.455000  0.085000 1.625000 0.555000 ;
-      RECT 1.835000  1.965000 2.085000 2.465000 ;
-      RECT 2.255000  2.135000 2.505000 2.635000 ;
-      RECT 2.295000  0.085000 2.985000 0.555000 ;
-      RECT 2.775000  2.135000 3.025000 2.295000 ;
-      RECT 2.775000  2.295000 6.385000 2.465000 ;
-      RECT 3.195000  1.965000 3.445000 2.125000 ;
-      RECT 3.615000  2.135000 3.865000 2.295000 ;
-      RECT 3.655000  0.085000 3.825000 0.555000 ;
-      RECT 4.035000  1.965000 4.285000 2.125000 ;
-      RECT 4.455000  1.795000 4.705000 2.295000 ;
-      RECT 4.495000  0.085000 4.665000 0.555000 ;
-      RECT 4.535000  1.075000 6.125000 1.285000 ;
-      RECT 4.535000  1.285000 4.705000 1.455000 ;
-      RECT 5.295000  1.795000 5.545000 2.295000 ;
-      RECT 5.335000  0.085000 5.505000 0.555000 ;
-      RECT 6.135000  1.795000 6.385000 2.295000 ;
-      RECT 6.175000  0.085000 6.345000 0.555000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nor3b_4
-MACRO sky130_fd_sc_hd__nor3b_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nor3b_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.600000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.110000 1.075000 0.965000 1.285000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.135000 1.075000 2.640000 1.285000 ;
-    END
-  END B
-  PIN C_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.030000 1.075000 4.515000 1.285000 ;
-    END
-  END C_N
-  PIN Y
-    ANTENNADIFFAREA  0.796500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.535000 0.255000 0.865000 0.725000 ;
-        RECT 0.535000 0.725000 3.105000 0.905000 ;
-        RECT 1.375000 0.255000 1.705000 0.725000 ;
-        RECT 2.775000 0.255000 3.105000 0.725000 ;
-        RECT 2.815000 0.905000 3.065000 2.125000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.600000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.790000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.600000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.600000 0.085000 ;
-      RECT 0.000000  2.635000 4.600000 2.805000 ;
-      RECT 0.090000  0.085000 0.365000 0.905000 ;
-      RECT 0.090000  1.455000 2.085000 1.625000 ;
-      RECT 0.090000  1.625000 0.405000 2.465000 ;
-      RECT 0.575000  1.795000 0.825000 2.635000 ;
-      RECT 0.995000  1.625000 1.245000 2.465000 ;
-      RECT 1.035000  0.085000 1.205000 0.555000 ;
-      RECT 1.415000  1.795000 1.665000 2.295000 ;
-      RECT 1.415000  2.295000 3.480000 2.465000 ;
-      RECT 1.835000  1.625000 2.085000 2.125000 ;
-      RECT 1.875000  0.085000 2.605000 0.555000 ;
-      RECT 2.375000  1.455000 2.645000 2.295000 ;
-      RECT 3.235000  1.075000 3.860000 1.285000 ;
-      RECT 3.235000  1.455000 3.480000 2.295000 ;
-      RECT 3.275000  0.085000 3.480000 0.895000 ;
-      RECT 3.690000  0.380000 4.045000 0.905000 ;
-      RECT 3.690000  0.905000 3.860000 1.075000 ;
-      RECT 3.690000  1.285000 3.860000 1.455000 ;
-      RECT 3.690000  1.455000 4.045000 1.870000 ;
-      RECT 4.215000  0.085000 4.505000 0.825000 ;
-      RECT 4.215000  1.540000 4.465000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nor3b_2
-MACRO sky130_fd_sc_hd__nor3b_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nor3b_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.475000 0.995000 1.815000 1.615000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.065000 0.995000 1.305000 1.615000 ;
-    END
-  END B
-  PIN C_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.985000 0.995000 2.335000 1.615000 ;
-    END
-  END C_N
-  PIN Y
-    ANTENNADIFFAREA  0.716500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.255000 0.605000 0.655000 ;
-        RECT 0.085000 0.655000 1.445000 0.825000 ;
-        RECT 0.085000 0.825000 0.255000 1.445000 ;
-        RECT 0.085000 1.445000 0.545000 2.455000 ;
-        RECT 1.275000 0.310000 1.445000 0.655000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.425000  1.075000 0.885000 1.245000 ;
-      RECT 0.715000  1.245000 0.885000 1.785000 ;
-      RECT 0.715000  1.785000 2.675000 1.955000 ;
-      RECT 0.775000  0.085000 1.105000 0.485000 ;
-      RECT 1.615000  0.085000 1.945000 0.825000 ;
-      RECT 1.615000  2.125000 1.945000 2.635000 ;
-      RECT 2.180000  0.405000 2.350000 0.655000 ;
-      RECT 2.180000  0.655000 2.675000 0.825000 ;
-      RECT 2.505000  0.825000 2.675000 1.785000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nor3b_1
-MACRO sky130_fd_sc_hd__einvn_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__einvn_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.785000 1.075000 3.135000 1.275000 ;
-    END
-  END A
-  PIN TE_B
-    ANTENNAGATEAREA  0.441000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.325000 1.385000 ;
-    END
-  END TE_B
-  PIN Z
-    ANTENNADIFFAREA  0.694800 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.945000 1.445000 3.135000 1.695000 ;
-        RECT 2.365000 0.595000 2.695000 0.845000 ;
-        RECT 2.365000 0.845000 2.615000 1.445000 ;
-        RECT 2.785000 1.695000 3.135000 2.465000 ;
-    END
-  END Z
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.085000  0.255000 0.345000 0.655000 ;
-      RECT 0.085000  0.655000 0.840000 0.825000 ;
-      RECT 0.085000  1.555000 0.895000 1.725000 ;
-      RECT 0.085000  1.725000 0.345000 2.465000 ;
-      RECT 0.495000  0.825000 0.840000 0.995000 ;
-      RECT 0.495000  0.995000 2.035000 1.275000 ;
-      RECT 0.495000  1.275000 0.895000 1.555000 ;
-      RECT 0.515000  0.085000 0.845000 0.485000 ;
-      RECT 0.515000  1.895000 0.895000 2.635000 ;
-      RECT 1.015000  0.255000 1.280000 0.655000 ;
-      RECT 1.015000  0.655000 2.195000 0.825000 ;
-      RECT 1.070000  1.445000 1.775000 1.865000 ;
-      RECT 1.070000  1.865000 2.615000 2.085000 ;
-      RECT 1.070000  2.085000 1.240000 2.465000 ;
-      RECT 1.410000  2.255000 2.275000 2.635000 ;
-      RECT 1.450000  0.085000 1.780000 0.485000 ;
-      RECT 1.950000  0.255000 3.135000 0.425000 ;
-      RECT 1.950000  0.425000 2.195000 0.655000 ;
-      RECT 2.445000  2.085000 2.615000 2.465000 ;
-      RECT 2.865000  0.425000 3.135000 0.775000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__einvn_2
-MACRO sky130_fd_sc_hd__einvn_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__einvn_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.060000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.530000 0.620000 4.975000 1.325000 ;
-    END
-  END A
-  PIN TE_B
-    ANTENNAGATEAREA  0.811500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.345000 1.325000 ;
-    END
-  END TE_B
-  PIN Z
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.190000 0.620000 4.360000 1.480000 ;
-        RECT 3.190000 1.480000 3.520000 2.075000 ;
-        RECT 4.030000 1.480000 4.360000 2.075000 ;
-    END
-  END Z
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.060000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.250000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.060000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.060000 0.085000 ;
-      RECT 0.000000  2.635000 5.060000 2.805000 ;
-      RECT 0.085000  0.255000 0.345000 0.655000 ;
-      RECT 0.085000  0.655000 0.845000 0.825000 ;
-      RECT 0.085000  1.495000 0.845000 1.665000 ;
-      RECT 0.085000  1.665000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.485000 ;
-      RECT 0.515000  0.825000 0.845000 0.995000 ;
-      RECT 0.515000  0.995000 3.020000 1.325000 ;
-      RECT 0.515000  1.325000 0.845000 1.495000 ;
-      RECT 0.515000  1.835000 0.845000 2.635000 ;
-      RECT 1.015000  0.255000 1.285000 0.655000 ;
-      RECT 1.015000  0.655000 2.995000 0.825000 ;
-      RECT 1.015000  1.495000 3.020000 1.665000 ;
-      RECT 1.015000  1.665000 1.240000 2.465000 ;
-      RECT 1.410000  1.835000 1.740000 2.635000 ;
-      RECT 1.455000  0.085000 1.785000 0.485000 ;
-      RECT 1.910000  1.665000 2.080000 2.465000 ;
-      RECT 1.955000  0.255000 2.125000 0.655000 ;
-      RECT 2.250000  1.835000 2.640000 2.635000 ;
-      RECT 2.295000  0.085000 2.625000 0.485000 ;
-      RECT 2.810000  1.665000 3.020000 2.295000 ;
-      RECT 2.810000  2.295000 4.975000 2.465000 ;
-      RECT 2.825000  0.255000 4.975000 0.450000 ;
-      RECT 2.825000  0.450000 2.995000 0.655000 ;
-      RECT 3.690000  1.650000 3.860000 2.295000 ;
-      RECT 4.530000  1.650000 4.975000 2.295000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-  END
-END sky130_fd_sc_hd__einvn_4
-MACRO sky130_fd_sc_hd__einvn_0
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__einvn_0 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.840000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.500000 0.765000 1.755000 1.955000 ;
-    END
-  END A
-  PIN TE_B
-    ANTENNAGATEAREA  0.222000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.650000 1.725000 ;
-    END
-  END TE_B
-  PIN Z
-    ANTENNADIFFAREA  0.275600 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.160000 0.255000 1.755000 0.595000 ;
-        RECT 1.160000 0.595000 1.330000 2.125000 ;
-        RECT 1.160000 2.125000 1.755000 2.465000 ;
-    END
-  END Z
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.840000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.030000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.840000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.840000 0.085000 ;
-      RECT 0.000000  2.635000 1.840000 2.805000 ;
-      RECT 0.085000  0.255000 0.360000 0.655000 ;
-      RECT 0.085000  0.655000 0.990000 0.825000 ;
-      RECT 0.085000  1.895000 0.990000 2.065000 ;
-      RECT 0.085000  2.065000 0.400000 2.465000 ;
-      RECT 0.530000  0.085000 0.990000 0.485000 ;
-      RECT 0.570000  2.235000 0.990000 2.635000 ;
-      RECT 0.820000  0.825000 0.990000 1.895000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-  END
-END sky130_fd_sc_hd__einvn_0
-MACRO sky130_fd_sc_hd__einvn_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__einvn_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.300000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.970000 0.765000 2.215000 1.615000 ;
-    END
-  END A
-  PIN TE_B
-    ANTENNAGATEAREA  0.309000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.955000 0.510000 1.725000 ;
-    END
-  END TE_B
-  PIN Z
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.040000 1.785000 2.215000 2.465000 ;
-        RECT 1.620000 0.255000 2.215000 0.595000 ;
-        RECT 1.620000 0.595000 1.800000 1.785000 ;
-    END
-  END Z
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.300000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.490000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.300000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.300000 0.085000 ;
-      RECT 0.000000  2.635000 2.300000 2.805000 ;
-      RECT 0.085000  0.255000 0.370000 0.615000 ;
-      RECT 0.085000  0.615000 1.450000 0.785000 ;
-      RECT 0.085000  1.895000 0.870000 2.065000 ;
-      RECT 0.085000  2.065000 0.370000 2.465000 ;
-      RECT 0.540000  0.085000 1.440000 0.445000 ;
-      RECT 0.540000  2.235000 0.870000 2.635000 ;
-      RECT 0.685000  0.785000 1.450000 1.615000 ;
-      RECT 0.685000  1.615000 0.870000 1.895000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-  END
-END sky130_fd_sc_hd__einvn_1
-MACRO sky130_fd_sc_hd__einvn_8
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__einvn_8 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  8.280000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  1.980000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.645000 0.995000 7.800000 1.285000 ;
-    END
-  END A
-  PIN TE_B
-    ANTENNAGATEAREA  1.375500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.995000 0.345000 1.325000 ;
-    END
-  END TE_B
-  PIN Z
-    ANTENNADIFFAREA  1.782000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.870000 0.620000 8.195000 0.825000 ;
-        RECT 4.870000 1.455000 8.195000 1.625000 ;
-        RECT 4.870000 1.625000 5.200000 2.125000 ;
-        RECT 5.710000 1.625000 6.040000 2.125000 ;
-        RECT 6.550000 1.625000 6.880000 2.125000 ;
-        RECT 7.390000 1.625000 7.720000 2.125000 ;
-        RECT 7.970000 0.825000 8.195000 1.455000 ;
-    END
-  END Z
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 8.280000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.470000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 8.280000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 8.280000 0.085000 ;
-      RECT 0.000000  2.635000 8.280000 2.805000 ;
-      RECT 0.090000  0.255000 0.345000 0.655000 ;
-      RECT 0.090000  0.655000 0.845000 0.825000 ;
-      RECT 0.090000  1.495000 0.845000 1.665000 ;
-      RECT 0.090000  1.665000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.485000 ;
-      RECT 0.515000  0.825000 0.845000 0.995000 ;
-      RECT 0.515000  0.995000 4.475000 1.325000 ;
-      RECT 0.515000  1.325000 0.845000 1.495000 ;
-      RECT 0.515000  1.835000 0.845000 2.635000 ;
-      RECT 1.015000  0.255000 1.285000 0.655000 ;
-      RECT 1.015000  0.655000 4.700000 0.825000 ;
-      RECT 1.015000  1.495000 4.700000 1.665000 ;
-      RECT 1.015000  1.665000 1.240000 2.465000 ;
-      RECT 1.410000  1.835000 1.740000 2.635000 ;
-      RECT 1.455000  0.085000 1.785000 0.485000 ;
-      RECT 1.910000  1.665000 2.080000 2.465000 ;
-      RECT 1.955000  0.255000 2.125000 0.655000 ;
-      RECT 2.250000  1.835000 2.580000 2.635000 ;
-      RECT 2.295000  0.085000 2.625000 0.485000 ;
-      RECT 2.750000  1.665000 2.920000 2.465000 ;
-      RECT 2.795000  0.255000 2.965000 0.655000 ;
-      RECT 3.090000  1.835000 3.420000 2.635000 ;
-      RECT 3.135000  0.085000 3.465000 0.485000 ;
-      RECT 3.590000  1.665000 3.760000 2.465000 ;
-      RECT 3.635000  0.255000 3.805000 0.655000 ;
-      RECT 3.930000  1.835000 4.280000 2.635000 ;
-      RECT 3.975000  0.085000 4.315000 0.485000 ;
-      RECT 4.450000  1.665000 4.700000 2.295000 ;
-      RECT 4.450000  2.295000 8.195000 2.465000 ;
-      RECT 4.485000  0.255000 8.195000 0.450000 ;
-      RECT 4.485000  0.450000 4.700000 0.655000 ;
-      RECT 5.370000  1.795000 5.540000 2.295000 ;
-      RECT 6.210000  1.795000 6.380000 2.295000 ;
-      RECT 7.050000  1.795000 7.220000 2.295000 ;
-      RECT 7.890000  1.795000 8.195000 2.295000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-  END
-END sky130_fd_sc_hd__einvn_8
-MACRO sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2
-  CLASS CORE WELLTAP ;
-  FOREIGN sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.440000 BY  5.440000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.603000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.970000 1.070000 3.290000 1.540000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.610500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.335000 0.255000 5.635000 0.980000 ;
-        RECT 5.360000 0.980000 5.635000 2.370000 ;
-    END
-  END X
-  PIN LOWLVPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 1.380000 2.065000 2.390000 2.335000 ;
-        RECT 2.060000 1.635000 2.390000 2.065000 ;
-        RECT 2.060000 2.335000 2.390000 2.660000 ;
-        RECT 2.060000 2.660000 2.810000 3.750000 ;
-      LAYER mcon ;
-        RECT 1.420000 2.115000 1.590000 2.285000 ;
-        RECT 1.780000 2.115000 1.950000 2.285000 ;
-        RECT 2.140000 2.115000 2.310000 2.285000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 2.140000 6.370000 2.280000 ;
-        RECT 1.360000 2.085000 2.370000 2.140000 ;
-        RECT 1.360000 2.280000 2.370000 2.315000 ;
-      LAYER nwell ;
-        RECT 1.920000 1.305000 2.980000 4.135000 ;
-    END
-  END LOWLVPWR
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 5.200000 6.440000 5.680000 ;
-      LAYER pwell ;
-        RECT 0.145000 4.595000 0.315000 5.120000 ;
-        RECT 6.125000 4.595000 6.295000 5.120000 ;
-    END
-  END VGND
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 3.500000 6.300000 3.640000 ;
-        RECT 0.080000 3.455000 0.370000 3.500000 ;
-        RECT 0.080000 3.640000 0.370000 3.685000 ;
-        RECT 6.010000 3.455000 6.300000 3.500000 ;
-        RECT 6.010000 3.640000 6.300000 3.685000 ;
-      LAYER nwell ;
-        RECT -0.190000 1.305000 0.650000 4.135000 ;
-        RECT  4.250000 1.305000 6.630000 4.135000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.440000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.440000 0.085000 ;
-      RECT 0.000000  2.635000 1.890000 2.805000 ;
-      RECT 0.000000  5.355000 6.440000 5.525000 ;
-      RECT 0.085000  0.085000 0.375000 0.810000 ;
-      RECT 0.085000  2.985000 0.375000 3.970000 ;
-      RECT 0.085000  4.630000 0.375000 5.355000 ;
-      RECT 2.020000  0.085000 2.350000 0.895000 ;
-      RECT 2.560000  0.375000 2.800000 2.130000 ;
-      RECT 2.560000  2.130000 3.390000 2.370000 ;
-      RECT 2.645000  4.515000 2.905000 5.355000 ;
-      RECT 3.060000  2.370000 3.390000 3.965000 ;
-      RECT 3.075000  4.265000 4.265000 4.325000 ;
-      RECT 3.075000  4.325000 3.405000 5.185000 ;
-      RECT 3.115000  0.085000 3.445000 0.900000 ;
-      RECT 3.145000  4.155000 4.195000 4.265000 ;
-      RECT 3.575000  4.515000 3.765000 5.355000 ;
-      RECT 3.615000  0.255000 3.805000 0.730000 ;
-      RECT 3.615000  0.730000 4.665000 0.980000 ;
-      RECT 3.680000  2.405000 4.190000 2.575000 ;
-      RECT 3.680000  2.575000 3.850000 3.470000 ;
-      RECT 3.680000  3.470000 4.720000 3.640000 ;
-      RECT 3.935000  4.325000 4.265000 5.185000 ;
-      RECT 3.975000  0.085000 4.305000 0.560000 ;
-      RECT 4.020000  0.980000 4.190000 2.405000 ;
-      RECT 4.020000  2.745000 4.640000 2.915000 ;
-      RECT 4.020000  2.915000 4.190000 3.300000 ;
-      RECT 4.020000  3.810000 4.190000 4.155000 ;
-      RECT 4.390000  3.085000 4.720000 3.470000 ;
-      RECT 4.410000  3.640000 4.720000 3.740000 ;
-      RECT 4.445000  4.515000 4.955000 5.355000 ;
-      RECT 4.470000  1.625000 4.640000 2.745000 ;
-      RECT 4.475000  0.255000 4.665000 0.730000 ;
-      RECT 4.835000  0.085000 5.165000 0.900000 ;
-      RECT 4.890000  1.625000 5.120000 2.635000 ;
-      RECT 4.890000  2.635000 6.440000 2.805000 ;
-      RECT 4.890000  2.805000 5.120000 3.740000 ;
-      RECT 5.135000  4.405000 5.765000 4.460000 ;
-      RECT 5.135000  4.460000 5.695000 4.820000 ;
-      RECT 5.135000  4.820000 5.485000 5.160000 ;
-      RECT 5.360000  3.070000 5.550000 4.125000 ;
-      RECT 5.360000  4.125000 6.085000 4.355000 ;
-      RECT 5.360000  4.355000 5.765000 4.405000 ;
-      RECT 5.825000  0.085000 6.155000 0.900000 ;
-      RECT 5.905000  1.610000 6.075000 2.635000 ;
-      RECT 6.065000  2.985000 6.355000 3.955000 ;
-      RECT 6.065000  4.630000 6.355000 5.355000 ;
-    LAYER mcon ;
-      RECT 0.140000  3.485000 0.310000 3.655000 ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.145000  5.355000 0.315000 5.525000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.605000  5.355000 0.775000 5.525000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.065000  5.355000 1.235000 5.525000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.525000  5.355000 1.695000 5.525000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  5.355000 2.155000 5.525000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  5.355000 2.615000 5.525000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  5.355000 3.075000 5.525000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  5.355000 3.535000 5.525000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  5.355000 3.995000 5.525000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  5.355000 4.455000 5.525000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  5.355000 4.915000 5.525000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.205000  5.355000 5.375000 5.525000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 5.665000  5.355000 5.835000 5.525000 ;
-      RECT 6.070000  3.485000 6.240000 3.655000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.125000  5.355000 6.295000 5.525000 ;
-    LAYER met1 ;
-      RECT 0.000000 -0.240000 6.440000 0.240000 ;
-    LAYER pwell ;
-      RECT 0.145000 0.320000 0.315000 0.845000 ;
-  END
-END sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2
-MACRO sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1
-  CLASS CORE WELLTAP ;
-  FOREIGN sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.440000 BY  5.440000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.603000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.970000 1.070000 3.290000 1.540000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.402500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.335000 0.290000 5.635000 0.980000 ;
-        RECT 5.360000 0.980000 5.635000 2.370000 ;
-    END
-  END X
-  PIN LOWLVPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 1.380000 2.065000 2.390000 2.335000 ;
-        RECT 2.060000 1.635000 2.390000 2.065000 ;
-        RECT 2.060000 2.335000 2.390000 2.660000 ;
-        RECT 2.060000 2.660000 2.810000 3.750000 ;
-      LAYER mcon ;
-        RECT 1.420000 2.115000 1.590000 2.285000 ;
-        RECT 1.780000 2.115000 1.950000 2.285000 ;
-        RECT 2.140000 2.115000 2.310000 2.285000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 2.140000 6.170000 2.280000 ;
-        RECT 1.360000 2.085000 2.370000 2.140000 ;
-        RECT 1.360000 2.280000 2.370000 2.315000 ;
-      LAYER nwell ;
-        RECT 1.920000 1.305000 2.980000 4.135000 ;
-    END
-  END LOWLVPWR
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 5.200000 6.440000 5.680000 ;
-      LAYER pwell ;
-        RECT 0.145000 4.595000 0.315000 5.120000 ;
-        RECT 5.925000 4.595000 6.095000 5.120000 ;
-    END
-  END VGND
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 3.500000 6.170000 3.640000 ;
-        RECT 0.080000 3.455000 0.370000 3.500000 ;
-        RECT 0.080000 3.640000 0.370000 3.685000 ;
-        RECT 5.870000 3.455000 6.160000 3.500000 ;
-        RECT 5.870000 3.640000 6.160000 3.685000 ;
-      LAYER nwell ;
-        RECT -0.190000 1.305000 0.650000 4.135000 ;
-        RECT  4.250000 1.305000 6.630000 4.135000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.440000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.440000 0.085000 ;
-      RECT 0.000000  2.635000 1.890000 2.805000 ;
-      RECT 0.000000  5.355000 6.440000 5.525000 ;
-      RECT 0.085000  0.085000 0.375000 0.810000 ;
-      RECT 0.085000  2.985000 0.375000 3.970000 ;
-      RECT 0.085000  4.630000 0.375000 5.355000 ;
-      RECT 2.020000  0.085000 2.350000 0.895000 ;
-      RECT 2.560000  0.375000 2.800000 2.130000 ;
-      RECT 2.560000  2.130000 3.390000 2.370000 ;
-      RECT 2.645000  4.515000 2.905000 5.355000 ;
-      RECT 3.060000  2.370000 3.390000 3.965000 ;
-      RECT 3.075000  4.265000 4.265000 4.325000 ;
-      RECT 3.075000  4.325000 3.405000 5.185000 ;
-      RECT 3.115000  0.085000 3.445000 0.900000 ;
-      RECT 3.145000  4.155000 4.195000 4.265000 ;
-      RECT 3.575000  4.515000 3.765000 5.355000 ;
-      RECT 3.615000  0.290000 3.805000 0.730000 ;
-      RECT 3.615000  0.730000 4.665000 0.980000 ;
-      RECT 3.680000  2.405000 4.190000 2.575000 ;
-      RECT 3.680000  2.575000 3.850000 3.470000 ;
-      RECT 3.680000  3.470000 4.720000 3.640000 ;
-      RECT 3.935000  4.325000 4.265000 5.185000 ;
-      RECT 3.975000  0.085000 4.305000 0.560000 ;
-      RECT 4.020000  0.980000 4.190000 2.405000 ;
-      RECT 4.020000  2.745000 4.640000 2.915000 ;
-      RECT 4.020000  2.915000 4.190000 3.300000 ;
-      RECT 4.020000  3.810000 4.190000 4.155000 ;
-      RECT 4.390000  3.085000 4.720000 3.470000 ;
-      RECT 4.410000  3.640000 4.720000 3.740000 ;
-      RECT 4.445000  4.515000 4.955000 5.355000 ;
-      RECT 4.470000  1.625000 4.640000 2.745000 ;
-      RECT 4.475000  0.290000 4.665000 0.730000 ;
-      RECT 4.835000  0.085000 5.165000 0.900000 ;
-      RECT 4.890000  1.625000 5.120000 2.635000 ;
-      RECT 4.890000  2.635000 6.440000 2.805000 ;
-      RECT 4.890000  2.805000 5.120000 3.740000 ;
-      RECT 5.135000  4.405000 5.765000 4.460000 ;
-      RECT 5.135000  4.460000 5.695000 4.820000 ;
-      RECT 5.135000  4.820000 5.485000 5.160000 ;
-      RECT 5.360000  3.070000 5.550000 4.125000 ;
-      RECT 5.360000  4.125000 6.085000 4.355000 ;
-      RECT 5.360000  4.355000 5.765000 4.405000 ;
-      RECT 5.865000  0.085000 6.155000 0.810000 ;
-      RECT 5.865000  2.985000 6.155000 3.955000 ;
-      RECT 5.865000  4.630000 6.155000 5.355000 ;
-    LAYER mcon ;
-      RECT 0.140000  3.485000 0.310000 3.655000 ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.145000  5.355000 0.315000 5.525000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.605000  5.355000 0.775000 5.525000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.065000  5.355000 1.235000 5.525000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.525000  5.355000 1.695000 5.525000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  5.355000 2.155000 5.525000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  5.355000 2.615000 5.525000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  5.355000 3.075000 5.525000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  5.355000 3.535000 5.525000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  5.355000 3.995000 5.525000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  5.355000 4.455000 5.525000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  5.355000 4.915000 5.525000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.205000  5.355000 5.375000 5.525000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 5.665000  5.355000 5.835000 5.525000 ;
-      RECT 5.930000  3.485000 6.100000 3.655000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.125000  5.355000 6.295000 5.525000 ;
-    LAYER met1 ;
-      RECT 0.000000 -0.240000 6.440000 0.240000 ;
-    LAYER pwell ;
-      RECT 0.145000 0.320000 0.315000 0.845000 ;
-      RECT 5.925000 0.320000 6.095000 0.845000 ;
-  END
-END sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1
-MACRO sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4
-  CLASS CORE WELLTAP ;
-  FOREIGN sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.360000 BY  5.440000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.603000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.970000 1.070000 3.290000 1.540000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  1.072500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.335000 0.255000 5.635000 0.980000 ;
-        RECT 5.360000 0.980000 5.635000 1.085000 ;
-        RECT 5.360000 1.085000 6.555000 1.410000 ;
-        RECT 5.360000 1.410000 5.635000 2.370000 ;
-        RECT 6.280000 1.410000 6.555000 2.370000 ;
-        RECT 6.335000 0.255000 6.555000 1.085000 ;
-    END
-  END X
-  PIN LOWLVPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 1.380000 2.065000 2.390000 2.335000 ;
-        RECT 2.060000 1.635000 2.390000 2.065000 ;
-        RECT 2.060000 2.335000 2.390000 2.660000 ;
-        RECT 2.060000 2.660000 2.810000 3.750000 ;
-      LAYER mcon ;
-        RECT 1.420000 2.115000 1.590000 2.285000 ;
-        RECT 1.780000 2.115000 1.950000 2.285000 ;
-        RECT 2.140000 2.115000 2.310000 2.285000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 2.140000 7.290000 2.280000 ;
-        RECT 1.360000 2.085000 2.370000 2.140000 ;
-        RECT 1.360000 2.280000 2.370000 2.315000 ;
-      LAYER nwell ;
-        RECT 1.920000 1.305000 2.980000 4.135000 ;
-    END
-  END LOWLVPWR
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 5.200000 7.360000 5.680000 ;
-      LAYER pwell ;
-        RECT 0.145000 4.595000 0.315000 5.120000 ;
-        RECT 7.045000 4.595000 7.215000 5.120000 ;
-    END
-  END VGND
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 3.500000 7.290000 3.640000 ;
-        RECT 0.080000 3.455000 0.370000 3.500000 ;
-        RECT 0.080000 3.640000 0.370000 3.685000 ;
-        RECT 6.930000 3.455000 7.220000 3.500000 ;
-        RECT 6.930000 3.640000 7.220000 3.685000 ;
-      LAYER nwell ;
-        RECT -0.190000 1.305000 0.650000 4.135000 ;
-        RECT  4.250000 1.305000 7.405000 4.135000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.360000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.360000 0.085000 ;
-      RECT 0.000000  2.635000 1.890000 2.805000 ;
-      RECT 0.000000  5.355000 7.360000 5.525000 ;
-      RECT 0.085000  0.085000 0.375000 0.810000 ;
-      RECT 0.085000  2.985000 0.375000 3.970000 ;
-      RECT 0.085000  4.630000 0.375000 5.355000 ;
-      RECT 2.020000  0.085000 2.350000 0.895000 ;
-      RECT 2.560000  0.375000 2.800000 2.130000 ;
-      RECT 2.560000  2.130000 3.390000 2.370000 ;
-      RECT 2.645000  4.515000 2.905000 5.355000 ;
-      RECT 3.060000  2.370000 3.390000 3.965000 ;
-      RECT 3.075000  4.265000 4.265000 4.325000 ;
-      RECT 3.075000  4.325000 3.405000 5.185000 ;
-      RECT 3.115000  0.085000 3.445000 0.900000 ;
-      RECT 3.145000  4.155000 4.195000 4.265000 ;
-      RECT 3.575000  4.515000 3.765000 5.355000 ;
-      RECT 3.615000  0.255000 3.805000 0.730000 ;
-      RECT 3.615000  0.730000 4.665000 0.980000 ;
-      RECT 3.680000  2.405000 4.190000 2.575000 ;
-      RECT 3.680000  2.575000 3.850000 3.470000 ;
-      RECT 3.680000  3.470000 4.720000 3.640000 ;
-      RECT 3.935000  4.325000 4.265000 5.185000 ;
-      RECT 3.975000  0.085000 4.305000 0.560000 ;
-      RECT 4.020000  0.980000 4.190000 2.405000 ;
-      RECT 4.020000  2.745000 4.640000 2.915000 ;
-      RECT 4.020000  2.915000 4.190000 3.300000 ;
-      RECT 4.020000  3.810000 4.190000 4.155000 ;
-      RECT 4.390000  3.085000 4.720000 3.470000 ;
-      RECT 4.410000  3.640000 4.720000 3.740000 ;
-      RECT 4.445000  4.515000 4.955000 5.355000 ;
-      RECT 4.470000  1.625000 4.640000 2.745000 ;
-      RECT 4.475000  0.255000 4.665000 0.730000 ;
-      RECT 4.835000  0.085000 5.165000 0.900000 ;
-      RECT 4.890000  1.625000 5.120000 2.635000 ;
-      RECT 4.890000  2.635000 7.360000 2.805000 ;
-      RECT 4.890000  2.805000 5.120000 3.740000 ;
-      RECT 5.135000  4.405000 5.765000 4.460000 ;
-      RECT 5.135000  4.460000 5.695000 4.820000 ;
-      RECT 5.135000  4.820000 5.485000 5.160000 ;
-      RECT 5.360000  3.070000 5.550000 4.125000 ;
-      RECT 5.360000  4.125000 6.085000 4.355000 ;
-      RECT 5.360000  4.355000 5.765000 4.405000 ;
-      RECT 5.825000  0.085000 6.155000 0.845000 ;
-      RECT 5.905000  1.610000 6.075000 2.635000 ;
-      RECT 6.755000  0.085000 7.005000 0.925000 ;
-      RECT 6.755000  1.610000 6.935000 2.635000 ;
-      RECT 6.985000  2.985000 7.275000 3.955000 ;
-      RECT 6.985000  4.630000 7.275000 5.355000 ;
-    LAYER mcon ;
-      RECT 0.140000  3.485000 0.310000 3.655000 ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.145000  5.355000 0.315000 5.525000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.605000  5.355000 0.775000 5.525000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.065000  5.355000 1.235000 5.525000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.525000  5.355000 1.695000 5.525000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  5.355000 2.155000 5.525000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  5.355000 2.615000 5.525000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  5.355000 3.075000 5.525000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  5.355000 3.535000 5.525000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  5.355000 3.995000 5.525000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  5.355000 4.455000 5.525000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  5.355000 4.915000 5.525000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.205000  5.355000 5.375000 5.525000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 5.665000  5.355000 5.835000 5.525000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.125000  5.355000 6.295000 5.525000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 6.585000  5.355000 6.755000 5.525000 ;
-      RECT 6.990000  3.485000 7.160000 3.655000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.045000  5.355000 7.215000 5.525000 ;
-    LAYER met1 ;
-      RECT 0.000000 -0.240000 7.360000 0.240000 ;
-    LAYER pwell ;
-      RECT 0.145000 0.320000 0.315000 0.845000 ;
-  END
-END sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4
-MACRO sky130_fd_sc_hd__a41o_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a41o_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.535000 0.995000 1.915000 1.325000 ;
-        RECT 1.535000 1.325000 1.835000 1.620000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.700000 0.415000 2.650000 0.600000 ;
-        RECT 2.225000 0.600000 2.445000 1.325000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.705000 0.995000 3.085000 1.625000 ;
-        RECT 2.880000 0.395000 3.085000 0.995000 ;
-    END
-  END A3
-  PIN A4
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.315000 0.995000 3.570000 1.625000 ;
-    END
-  END A4
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.005000 1.075000 1.335000 1.635000 ;
-    END
-  END B1
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.300000 0.425000 0.560000 ;
-        RECT 0.085000 0.560000 0.345000 2.165000 ;
-        RECT 0.085000 2.165000 0.425000 2.425000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.515000  0.735000 1.530000 0.810000 ;
-      RECT 0.515000  0.810000 1.335000 0.905000 ;
-      RECT 0.515000  0.905000 0.685000 1.825000 ;
-      RECT 0.515000  1.825000 1.365000 1.995000 ;
-      RECT 0.595000  0.085000 0.925000 0.565000 ;
-      RECT 0.595000  2.175000 0.845000 2.635000 ;
-      RECT 1.035000  1.995000 1.365000 2.425000 ;
-      RECT 1.115000  0.300000 1.530000 0.735000 ;
-      RECT 1.535000  1.795000 3.505000 1.965000 ;
-      RECT 1.535000  1.965000 1.705000 2.465000 ;
-      RECT 1.915000  2.175000 2.165000 2.635000 ;
-      RECT 2.375000  1.965000 2.545000 2.465000 ;
-      RECT 2.845000  2.175000 3.095000 2.635000 ;
-      RECT 3.255000  0.085000 3.595000 0.810000 ;
-      RECT 3.335000  1.965000 3.505000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a41o_1
-MACRO sky130_fd_sc_hd__a41o_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a41o_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.785000 0.730000 4.005000 1.625000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.085000 1.075000 3.550000 1.245000 ;
-        RECT 3.335000 0.745000 3.550000 1.075000 ;
-        RECT 3.335000 1.245000 3.550000 1.625000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.685000 0.995000 2.855000 1.435000 ;
-        RECT 2.685000 1.435000 3.090000 1.625000 ;
-    END
-  END A3
-  PIN A4
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.000000 0.995000 2.335000 1.625000 ;
-    END
-  END A4
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.400000 1.075000 1.730000 1.295000 ;
-    END
-  END B1
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.595000 0.295000 0.765000 0.755000 ;
-        RECT 0.595000 0.755000 0.785000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.095000  0.085000 0.425000 0.805000 ;
-      RECT 0.095000  1.495000 0.425000 2.635000 ;
-      RECT 0.935000  0.085000 1.265000 0.465000 ;
-      RECT 0.980000  0.635000 2.545000 0.805000 ;
-      RECT 0.980000  0.805000 1.150000 1.495000 ;
-      RECT 0.980000  1.495000 1.785000 1.665000 ;
-      RECT 1.015000  1.835000 1.265000 2.635000 ;
-      RECT 1.455000  1.665000 1.785000 2.425000 ;
-      RECT 1.495000  0.255000 1.705000 0.635000 ;
-      RECT 1.875000  0.085000 2.205000 0.465000 ;
-      RECT 1.955000  1.795000 3.965000 1.965000 ;
-      RECT 1.955000  1.965000 2.125000 2.465000 ;
-      RECT 2.335000  2.175000 2.585000 2.635000 ;
-      RECT 2.375000  0.295000 4.045000 0.465000 ;
-      RECT 2.375000  0.465000 2.545000 0.635000 ;
-      RECT 2.795000  1.965000 2.965000 2.465000 ;
-      RECT 3.335000  2.175000 3.585000 2.635000 ;
-      RECT 3.795000  1.965000 3.965000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a41o_2
-MACRO sky130_fd_sc_hd__a41o_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a41o_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.820000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.395000 1.075000 4.065000 1.295000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.275000 1.075000 4.975000 1.285000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.155000 1.075000 6.185000 1.295000 ;
-    END
-  END A3
-  PIN A4
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.495000 1.075000 7.505000 1.295000 ;
-    END
-  END A4
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.135000 1.075000 3.145000 1.280000 ;
-    END
-  END B1
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.150000 0.635000 1.605000 0.805000 ;
-        RECT 0.150000 0.805000 0.320000 1.575000 ;
-        RECT 0.150000 1.575000 1.605000 1.745000 ;
-        RECT 0.595000 0.255000 0.765000 0.635000 ;
-        RECT 0.595000 1.745000 0.765000 2.465000 ;
-        RECT 1.435000 0.255000 1.605000 0.635000 ;
-        RECT 1.435000 1.745000 1.605000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.820000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.010000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.820000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.820000 0.085000 ;
-      RECT 0.000000  2.635000 7.820000 2.805000 ;
-      RECT 0.095000  0.085000 0.425000 0.465000 ;
-      RECT 0.095000  1.915000 0.425000 2.635000 ;
-      RECT 0.490000  1.075000 1.945000 1.245000 ;
-      RECT 0.935000  0.085000 1.265000 0.465000 ;
-      RECT 0.935000  1.915000 1.265000 2.635000 ;
-      RECT 1.775000  0.085000 2.125000 0.465000 ;
-      RECT 1.775000  0.645000 3.905000 0.815000 ;
-      RECT 1.775000  0.815000 1.945000 1.075000 ;
-      RECT 1.775000  1.245000 1.945000 1.455000 ;
-      RECT 1.775000  1.455000 2.965000 1.625000 ;
-      RECT 1.775000  1.915000 2.125000 2.635000 ;
-      RECT 2.295000  0.255000 2.465000 0.645000 ;
-      RECT 2.375000  1.795000 2.545000 2.295000 ;
-      RECT 2.375000  2.295000 3.405000 2.465000 ;
-      RECT 2.635000  0.085000 2.965000 0.465000 ;
-      RECT 2.715000  1.955000 3.045000 2.125000 ;
-      RECT 2.795000  1.625000 2.965000 1.955000 ;
-      RECT 3.155000  0.295000 4.245000 0.465000 ;
-      RECT 3.235000  1.535000 7.370000 1.705000 ;
-      RECT 3.235000  1.705000 3.405000 2.295000 ;
-      RECT 3.575000  1.915000 3.905000 2.635000 ;
-      RECT 4.075000  0.465000 4.245000 0.645000 ;
-      RECT 4.075000  0.645000 5.165000 0.815000 ;
-      RECT 4.075000  1.705000 4.245000 2.465000 ;
-      RECT 4.415000  0.295000 6.105000 0.465000 ;
-      RECT 4.415000  1.915000 4.745000 2.635000 ;
-      RECT 4.935000  1.705000 5.105000 2.465000 ;
-      RECT 5.345000  1.915000 6.035000 2.635000 ;
-      RECT 5.355000  0.645000 7.285000 0.815000 ;
-      RECT 6.275000  1.705000 6.445000 2.465000 ;
-      RECT 6.615000  0.085000 6.945000 0.465000 ;
-      RECT 6.615000  1.915000 6.945000 2.635000 ;
-      RECT 7.115000  0.255000 7.285000 0.645000 ;
-      RECT 7.115000  1.705000 7.285000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a41o_4
-MACRO sky130_fd_sc_hd__a21boi_0
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a21boi_0 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.780000 0.765000 2.170000 1.615000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.340000 0.765000 2.615000 1.435000 ;
-    END
-  END A2
-  PIN B1_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.470000 1.200000 0.895000 1.955000 ;
-    END
-  END B1_N
-  PIN Y
-    ANTENNADIFFAREA  0.392200 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.065000 1.200000 1.610000 1.655000 ;
-        RECT 1.065000 1.655000 1.305000 2.465000 ;
-        RECT 1.315000 0.255000 1.610000 1.200000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.095000  0.280000 0.380000 0.780000 ;
-      RECT 0.095000  0.780000 1.145000 1.030000 ;
-      RECT 0.095000  1.030000 0.300000 2.085000 ;
-      RECT 0.095000  2.085000 0.355000 2.465000 ;
-      RECT 0.525000  2.175000 0.855000 2.635000 ;
-      RECT 0.550000  0.085000 1.145000 0.610000 ;
-      RECT 1.475000  1.825000 2.665000 2.005000 ;
-      RECT 1.475000  2.005000 1.805000 2.465000 ;
-      RECT 1.975000  2.175000 2.165000 2.635000 ;
-      RECT 2.335000  0.085000 2.665000 0.595000 ;
-      RECT 2.335000  2.005000 2.665000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a21boi_0
-MACRO sky130_fd_sc_hd__a21boi_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a21boi_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.900000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.545000 1.065000 4.970000 1.310000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.030000 1.065000 3.375000 1.480000 ;
-        RECT 3.030000 1.480000 6.450000 1.705000 ;
-        RECT 5.205000 1.075000 6.450000 1.480000 ;
-    END
-  END A2
-  PIN B1_N
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.145000 1.075000 0.650000 1.615000 ;
-        RECT 0.480000 0.995000 0.650000 1.075000 ;
-    END
-  END B1_N
-  PIN Y
-    ANTENNADIFFAREA  1.288000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.275000 0.370000 1.465000 0.615000 ;
-        RECT 1.275000 0.615000 2.325000 0.695000 ;
-        RECT 1.275000 0.695000 4.885000 0.865000 ;
-        RECT 1.560000 1.585000 2.860000 1.705000 ;
-        RECT 1.560000 1.705000 2.725000 2.035000 ;
-        RECT 2.135000 0.255000 2.325000 0.615000 ;
-        RECT 2.570000 0.865000 4.885000 0.895000 ;
-        RECT 2.570000 0.895000 2.860000 1.585000 ;
-        RECT 3.255000 0.675000 4.885000 0.695000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 6.900000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.090000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.900000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.900000 0.085000 ;
-      RECT 0.000000  2.635000 6.900000 2.805000 ;
-      RECT 0.090000  0.255000 0.445000 0.615000 ;
-      RECT 0.090000  0.615000 1.105000 0.795000 ;
-      RECT 0.125000  1.785000 0.990000 2.005000 ;
-      RECT 0.125000  2.005000 0.455000 2.465000 ;
-      RECT 0.625000  2.175000 0.885000 2.635000 ;
-      RECT 0.720000  0.085000 1.105000 0.445000 ;
-      RECT 0.820000  0.795000 1.105000 1.035000 ;
-      RECT 0.820000  1.035000 2.400000 1.345000 ;
-      RECT 0.820000  1.345000 0.990000 1.785000 ;
-      RECT 1.160000  1.795000 1.355000 2.215000 ;
-      RECT 1.160000  2.215000 3.095000 2.465000 ;
-      RECT 1.635000  0.085000 1.965000 0.445000 ;
-      RECT 1.935000  2.205000 3.095000 2.215000 ;
-      RECT 2.495000  0.085000 3.085000 0.525000 ;
-      RECT 2.895000  1.875000 6.605000 2.105000 ;
-      RECT 2.895000  2.105000 3.095000 2.205000 ;
-      RECT 3.265000  0.255000 5.315000 0.505000 ;
-      RECT 3.265000  2.275000 3.595000 2.635000 ;
-      RECT 4.125000  2.275000 4.455000 2.635000 ;
-      RECT 4.625000  2.105000 4.815000 2.465000 ;
-      RECT 4.985000  2.275000 5.315000 2.635000 ;
-      RECT 5.055000  0.505000 5.315000 0.735000 ;
-      RECT 5.055000  0.735000 6.175000 0.905000 ;
-      RECT 5.485000  0.085000 5.675000 0.565000 ;
-      RECT 5.485000  2.105000 5.665000 2.465000 ;
-      RECT 5.845000  0.255000 6.175000 0.735000 ;
-      RECT 5.845000  2.275000 6.175000 2.635000 ;
-      RECT 6.345000  0.085000 6.605000 0.885000 ;
-      RECT 6.345000  2.105000 6.605000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a21boi_4
-MACRO sky130_fd_sc_hd__a21boi_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a21boi_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.760000 0.995000 2.155000 1.345000 ;
-        RECT 1.945000 0.375000 2.155000 0.995000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.350000 0.995000 2.640000 1.345000 ;
-    END
-  END A2
-  PIN B1_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.105000 0.975000 0.335000 1.665000 ;
-    END
-  END B1_N
-  PIN Y
-    ANTENNADIFFAREA  0.551000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.045000 1.045000 1.580000 1.345000 ;
-        RECT 1.045000 1.345000 1.375000 2.455000 ;
-        RECT 1.335000 0.265000 1.765000 0.795000 ;
-        RECT 1.335000 0.795000 1.580000 1.045000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.095000  1.845000 0.855000 2.045000 ;
-      RECT 0.095000  2.045000 0.355000 2.435000 ;
-      RECT 0.365000  0.265000 0.745000 0.715000 ;
-      RECT 0.515000  0.715000 0.745000 1.165000 ;
-      RECT 0.515000  1.165000 0.855000 1.845000 ;
-      RECT 0.525000  2.225000 0.855000 2.635000 ;
-      RECT 0.925000  0.085000 1.155000 0.865000 ;
-      RECT 1.545000  1.525000 2.585000 1.725000 ;
-      RECT 1.545000  1.725000 1.735000 2.455000 ;
-      RECT 1.905000  1.905000 2.235000 2.635000 ;
-      RECT 2.325000  0.085000 2.655000 0.815000 ;
-      RECT 2.415000  1.725000 2.585000 2.455000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a21boi_1
-MACRO sky130_fd_sc_hd__a21boi_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a21boi_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.605000 0.995000 3.215000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.095000 1.075000 2.425000 1.245000 ;
-        RECT 2.100000 1.245000 2.425000 1.495000 ;
-        RECT 2.100000 1.495000 3.675000 1.675000 ;
-        RECT 3.385000 1.035000 3.795000 1.295000 ;
-        RECT 3.385000 1.295000 3.675000 1.495000 ;
-    END
-  END A2
-  PIN B1_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.120000 0.765000 0.425000 1.805000 ;
-    END
-  END B1_N
-  PIN Y
-    ANTENNADIFFAREA  0.627500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.520000 0.255000 1.720000 0.615000 ;
-        RECT 1.520000 0.615000 3.060000 0.785000 ;
-        RECT 1.520000 0.785000 1.715000 2.115000 ;
-        RECT 2.730000 0.255000 3.060000 0.615000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.095000  2.080000 0.425000 2.635000 ;
-      RECT 0.265000  0.360000 0.795000 0.530000 ;
-      RECT 0.595000  0.530000 0.795000 1.070000 ;
-      RECT 0.595000  1.070000 1.325000 1.285000 ;
-      RECT 0.595000  1.285000 0.855000 2.265000 ;
-      RECT 0.985000  0.085000 1.225000 0.885000 ;
-      RECT 1.045000  1.795000 1.350000 2.285000 ;
-      RECT 1.045000  2.285000 2.215000 2.465000 ;
-      RECT 1.885000  1.855000 3.920000 2.025000 ;
-      RECT 1.885000  2.025000 2.215000 2.285000 ;
-      RECT 1.940000  0.085000 2.270000 0.445000 ;
-      RECT 2.385000  2.195000 2.555000 2.635000 ;
-      RECT 2.810000  2.025000 3.920000 2.105000 ;
-      RECT 2.810000  2.105000 2.980000 2.465000 ;
-      RECT 3.160000  2.275000 3.490000 2.635000 ;
-      RECT 3.635000  0.085000 3.930000 0.865000 ;
-      RECT 3.660000  2.105000 3.920000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a21boi_2
-MACRO sky130_fd_sc_hd__a22oi_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a22oi_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.600000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.445000 1.075000 3.100000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.390000 1.075000 4.500000 1.275000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.070000 1.075000 1.700000 1.275000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.150000 1.075000 0.780000 1.275000 ;
-    END
-  END B2
-  PIN Y
-    ANTENNADIFFAREA  1.141000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 1.485000 2.160000 1.655000 ;
-        RECT 0.095000 1.655000 0.345000 2.465000 ;
-        RECT 0.935000 1.655000 1.265000 2.125000 ;
-        RECT 1.355000 0.675000 3.045000 0.845000 ;
-        RECT 1.775000 1.655000 2.160000 2.125000 ;
-        RECT 1.870000 0.845000 2.160000 1.485000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.600000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.790000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.600000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.600000 0.085000 ;
-      RECT 0.000000  2.635000 4.600000 2.805000 ;
-      RECT 0.095000  0.255000 0.345000 0.680000 ;
-      RECT 0.095000  0.680000 1.185000 0.850000 ;
-      RECT 0.515000  0.085000 0.845000 0.510000 ;
-      RECT 0.515000  1.825000 0.765000 2.295000 ;
-      RECT 0.515000  2.295000 2.625000 2.465000 ;
-      RECT 1.015000  0.255000 2.105000 0.505000 ;
-      RECT 1.015000  0.505000 1.185000 0.680000 ;
-      RECT 1.435000  1.825000 1.605000 2.295000 ;
-      RECT 2.295000  0.255000 3.385000 0.505000 ;
-      RECT 2.375000  1.485000 4.305000 1.655000 ;
-      RECT 2.375000  1.655000 2.625000 2.295000 ;
-      RECT 2.795000  1.825000 2.965000 2.635000 ;
-      RECT 3.135000  1.655000 3.465000 2.465000 ;
-      RECT 3.215000  0.505000 3.385000 0.680000 ;
-      RECT 3.215000  0.680000 4.375000 0.850000 ;
-      RECT 3.555000  0.085000 3.885000 0.510000 ;
-      RECT 3.635000  1.825000 3.805000 2.635000 ;
-      RECT 3.975000  1.655000 4.305000 2.465000 ;
-      RECT 4.055000  0.255000 4.375000 0.680000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a22oi_2
-MACRO sky130_fd_sc_hd__a22oi_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a22oi_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.820000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.275000 1.075000 5.685000 1.285000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.910000 1.075000 7.735000 1.285000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.615000 1.075000 4.040000 1.275000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 1.075000 1.895000 1.275000 ;
-    END
-  END B2
-  PIN Y
-    ANTENNADIFFAREA  1.782000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.595000 1.445000 3.325000 1.625000 ;
-        RECT 0.595000 1.625000 0.805000 2.125000 ;
-        RECT 1.395000 1.625000 1.645000 2.125000 ;
-        RECT 2.195000 0.645000 5.565000 0.885000 ;
-        RECT 2.195000 0.885000 2.445000 1.445000 ;
-        RECT 2.235000 1.625000 2.485000 2.125000 ;
-        RECT 3.075000 1.625000 3.325000 2.125000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.820000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.010000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.820000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.820000 0.085000 ;
-      RECT 0.000000  2.635000 7.820000 2.805000 ;
-      RECT 0.090000  1.455000 0.425000 2.295000 ;
-      RECT 0.090000  2.295000 4.265000 2.465000 ;
-      RECT 0.095000  0.255000 0.425000 0.725000 ;
-      RECT 0.095000  0.725000 2.025000 0.905000 ;
-      RECT 0.595000  0.085000 0.765000 0.555000 ;
-      RECT 0.935000  0.255000 1.265000 0.725000 ;
-      RECT 0.975000  1.795000 1.225000 2.295000 ;
-      RECT 1.435000  0.085000 1.605000 0.555000 ;
-      RECT 1.775000  0.255000 3.785000 0.475000 ;
-      RECT 1.775000  0.475000 2.025000 0.725000 ;
-      RECT 1.815000  1.795000 2.065000 2.295000 ;
-      RECT 2.655000  1.795000 2.905000 2.295000 ;
-      RECT 3.495000  1.455000 7.625000 1.625000 ;
-      RECT 3.495000  1.625000 4.265000 2.295000 ;
-      RECT 3.975000  0.255000 5.985000 0.475000 ;
-      RECT 4.435000  1.795000 4.685000 2.635000 ;
-      RECT 4.855000  1.625000 5.105000 2.465000 ;
-      RECT 5.275000  1.795000 5.525000 2.635000 ;
-      RECT 5.695000  1.625000 5.945000 2.465000 ;
-      RECT 5.735000  0.475000 5.985000 0.725000 ;
-      RECT 5.735000  0.725000 7.665000 0.905000 ;
-      RECT 6.115000  1.795000 6.365000 2.635000 ;
-      RECT 6.155000  0.085000 6.325000 0.555000 ;
-      RECT 6.495000  0.255000 6.825000 0.725000 ;
-      RECT 6.535000  1.625000 6.785000 2.465000 ;
-      RECT 6.955000  1.795000 7.205000 2.635000 ;
-      RECT 6.995000  0.085000 7.165000 0.555000 ;
-      RECT 7.335000  0.255000 7.665000 0.725000 ;
-      RECT 7.375000  1.625000 7.625000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a22oi_4
-MACRO sky130_fd_sc_hd__a22oi_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a22oi_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.490000 0.675000 1.700000 1.075000 ;
-        RECT 1.490000 1.075000 1.840000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.010000 0.995000 2.335000 1.325000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.765000 1.075000 1.240000 1.275000 ;
-        RECT 0.990000 0.675000 1.240000 1.075000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.125000 0.765000 0.575000 1.275000 ;
-    END
-  END B2
-  PIN Y
-    ANTENNADIFFAREA  0.858000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 1.445000 1.840000 1.495000 ;
-        RECT 0.095000 1.495000 2.675000 1.625000 ;
-        RECT 0.095000 1.625000 0.425000 2.295000 ;
-        RECT 0.095000 2.295000 1.265000 2.465000 ;
-        RECT 0.820000 0.255000 2.125000 0.505000 ;
-        RECT 0.935000 2.255000 1.265000 2.295000 ;
-        RECT 1.615000 1.625000 2.675000 1.665000 ;
-        RECT 1.945000 0.505000 2.125000 0.655000 ;
-        RECT 1.945000 0.655000 2.675000 0.825000 ;
-        RECT 2.505000 0.825000 2.675000 1.495000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.095000  0.085000 0.545000 0.595000 ;
-      RECT 0.595000  1.795000 1.475000 1.835000 ;
-      RECT 0.595000  1.835000 2.125000 2.035000 ;
-      RECT 0.595000  2.035000 1.210000 2.085000 ;
-      RECT 0.595000  2.085000 0.825000 2.125000 ;
-      RECT 1.435000  2.255000 1.810000 2.635000 ;
-      RECT 1.955000  2.035000 2.125000 2.165000 ;
-      RECT 2.305000  0.085000 2.635000 0.485000 ;
-      RECT 2.360000  1.855000 2.625000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a22oi_1
-MACRO sky130_fd_sc_hd__tapvpwrvgnd_1
-  CLASS CORE WELLTAP ;
-  FOREIGN sky130_fd_sc_hd__tapvpwrvgnd_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  0.460000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 0.460000 0.240000 ;
-      LAYER pwell ;
-        RECT 0.145000 0.320000 0.315000 0.845000 ;
-    END
-  END VGND
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 0.460000 2.960000 ;
-      LAYER nwell ;
-        RECT -0.190000 1.305000 0.650000 2.910000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 0.460000 0.085000 ;
-      RECT 0.000000  2.635000 0.460000 2.805000 ;
-      RECT 0.085000  0.085000 0.375000 0.810000 ;
-      RECT 0.085000  1.470000 0.375000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-  END
-END sky130_fd_sc_hd__tapvpwrvgnd_1
-MACRO sky130_fd_sc_hd__lpflow_inputiso0n_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_inputiso0n_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.300000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.100000 1.075000 0.775000 1.325000 ;
-        RECT 0.100000 1.325000 0.365000 1.685000 ;
-    END
-  END A
-  PIN SLEEP_B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.995000 1.075000 1.335000 1.325000 ;
-    END
-  END SLEEP_B
-  PIN X
-    ANTENNADIFFAREA  0.657000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.655000 0.255000 2.215000 0.545000 ;
-        RECT 1.755000 1.915000 2.215000 2.465000 ;
-        RECT 1.965000 0.545000 2.215000 1.915000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.300000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.490000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.300000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.300000 0.085000 ;
-      RECT 0.000000  2.635000 2.300000 2.805000 ;
-      RECT 0.285000  0.355000 0.615000 0.715000 ;
-      RECT 0.285000  0.715000 1.675000 0.905000 ;
-      RECT 0.285000  1.965000 0.565000 2.635000 ;
-      RECT 0.735000  1.575000 1.675000 1.745000 ;
-      RECT 0.735000  1.745000 1.035000 2.295000 ;
-      RECT 1.235000  0.085000 1.485000 0.545000 ;
-      RECT 1.235000  1.915000 1.565000 2.635000 ;
-      RECT 1.505000  0.905000 1.675000 0.995000 ;
-      RECT 1.505000  0.995000 1.795000 1.325000 ;
-      RECT 1.505000  1.325000 1.675000 1.575000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_inputiso0n_1
-MACRO sky130_fd_sc_hd__or4bb_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__or4bb_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.600000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.640000 0.995000 3.295000 1.325000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.505000 2.125000 3.145000 2.455000 ;
-    END
-  END B
-  PIN C_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.430000 0.995000 0.780000 1.695000 ;
-    END
-  END C_N
-  PIN D_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.950000 0.995000 1.240000 1.325000 ;
-    END
-  END D_N
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.805000 0.415000 4.080000 0.760000 ;
-        RECT 3.805000 1.495000 4.080000 2.465000 ;
-        RECT 3.910000 0.760000 4.080000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.600000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.790000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.600000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.600000 0.085000 ;
-      RECT 0.000000  2.635000 4.600000 2.805000 ;
-      RECT 0.085000  0.450000 0.405000 0.825000 ;
-      RECT 0.085000  0.825000 0.260000 1.865000 ;
-      RECT 0.085000  1.865000 1.940000 2.035000 ;
-      RECT 0.085000  2.035000 0.345000 2.455000 ;
-      RECT 0.515000  2.205000 0.845000 2.635000 ;
-      RECT 0.660000  0.085000 0.830000 0.825000 ;
-      RECT 0.995000  1.525000 1.600000 1.695000 ;
-      RECT 1.080000  0.450000 1.250000 0.655000 ;
-      RECT 1.080000  0.655000 1.600000 0.825000 ;
-      RECT 1.410000  0.825000 1.600000 1.075000 ;
-      RECT 1.410000  1.075000 1.855000 1.245000 ;
-      RECT 1.410000  1.245000 1.600000 1.525000 ;
-      RECT 1.495000  0.085000 1.850000 0.485000 ;
-      RECT 1.535000  2.205000 2.280000 2.375000 ;
-      RECT 1.770000  1.415000 2.420000 1.585000 ;
-      RECT 1.770000  1.585000 1.940000 1.865000 ;
-      RECT 2.025000  0.305000 2.195000 0.655000 ;
-      RECT 2.025000  0.655000 3.635000 0.825000 ;
-      RECT 2.110000  1.785000 3.145000 1.955000 ;
-      RECT 2.110000  1.955000 2.280000 2.205000 ;
-      RECT 2.250000  0.995000 2.420000 1.415000 ;
-      RECT 2.395000  0.085000 2.725000 0.485000 ;
-      RECT 2.895000  0.305000 3.065000 0.655000 ;
-      RECT 2.975000  1.495000 3.635000 1.665000 ;
-      RECT 2.975000  1.665000 3.145000 1.785000 ;
-      RECT 3.235000  0.085000 3.615000 0.485000 ;
-      RECT 3.315000  1.835000 3.595000 2.635000 ;
-      RECT 3.465000  0.825000 3.635000 0.995000 ;
-      RECT 3.465000  0.995000 3.740000 1.325000 ;
-      RECT 3.465000  1.325000 3.635000 1.495000 ;
-      RECT 4.250000  0.085000 4.420000 1.025000 ;
-      RECT 4.250000  1.440000 4.420000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-  END
-END sky130_fd_sc_hd__or4bb_2
-MACRO sky130_fd_sc_hd__or4bb_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__or4bb_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.520000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.235000 0.995000 3.405000 1.445000 ;
-        RECT 3.235000 1.445000 3.670000 1.615000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.675000 0.995000 3.005000 1.450000 ;
-        RECT 2.795000 1.450000 3.005000 1.785000 ;
-        RECT 2.795000 1.785000 3.115000 2.375000 ;
-    END
-  END B
-  PIN C_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.425000 0.995000 0.775000 1.695000 ;
-    END
-  END C_N
-  PIN D_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.945000 0.995000 1.235000 1.325000 ;
-    END
-  END D_N
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.875000 1.455000 5.435000 1.625000 ;
-        RECT 3.875000 1.625000 4.125000 2.465000 ;
-        RECT 3.915000 0.255000 4.165000 0.725000 ;
-        RECT 3.915000 0.725000 5.435000 0.905000 ;
-        RECT 4.675000 0.255000 5.005000 0.725000 ;
-        RECT 4.715000 1.625000 4.965000 2.465000 ;
-        RECT 5.205000 0.905000 5.435000 1.455000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.520000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.710000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.520000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.520000 0.085000 ;
-      RECT 0.000000  2.635000 5.520000 2.805000 ;
-      RECT 0.085000  0.450000 0.400000 0.825000 ;
-      RECT 0.085000  0.825000 0.255000 1.865000 ;
-      RECT 0.085000  1.865000 1.295000 2.035000 ;
-      RECT 0.085000  2.035000 0.345000 2.455000 ;
-      RECT 0.515000  2.205000 0.845000 2.635000 ;
-      RECT 0.655000  0.085000 0.825000 0.825000 ;
-      RECT 0.990000  1.525000 1.595000 1.695000 ;
-      RECT 1.075000  0.450000 1.245000 0.655000 ;
-      RECT 1.075000  0.655000 1.595000 0.825000 ;
-      RECT 1.125000  2.035000 1.295000 2.295000 ;
-      RECT 1.125000  2.295000 2.445000 2.465000 ;
-      RECT 1.405000  0.825000 1.595000 0.995000 ;
-      RECT 1.405000  0.995000 1.695000 1.325000 ;
-      RECT 1.405000  1.325000 1.595000 1.525000 ;
-      RECT 1.510000  1.955000 2.105000 2.125000 ;
-      RECT 1.515000  0.085000 1.845000 0.480000 ;
-      RECT 1.935000  0.655000 3.745000 0.825000 ;
-      RECT 1.935000  0.825000 2.105000 1.955000 ;
-      RECT 2.095000  0.305000 2.265000 0.655000 ;
-      RECT 2.275000  0.995000 2.445000 2.295000 ;
-      RECT 2.465000  0.085000 2.795000 0.485000 ;
-      RECT 2.965000  0.305000 3.135000 0.655000 ;
-      RECT 3.355000  0.085000 3.735000 0.485000 ;
-      RECT 3.400000  1.795000 3.650000 2.635000 ;
-      RECT 3.575000  0.825000 3.745000 1.075000 ;
-      RECT 3.575000  1.075000 5.035000 1.245000 ;
-      RECT 4.295000  1.795000 4.545000 2.635000 ;
-      RECT 4.335000  0.085000 4.505000 0.555000 ;
-      RECT 5.135000  1.795000 5.385000 2.635000 ;
-      RECT 5.175000  0.085000 5.345000 0.555000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-  END
-END sky130_fd_sc_hd__or4bb_4
-MACRO sky130_fd_sc_hd__or4bb_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__or4bb_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.615000 0.995000 3.270000 1.325000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.480000 2.125000 3.120000 2.455000 ;
-    END
-  END B
-  PIN C_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.425000 0.995000 0.775000 1.695000 ;
-    END
-  END C_N
-  PIN D_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.945000 0.995000 1.235000 1.325000 ;
-    END
-  END D_N
-  PIN X
-    ANTENNADIFFAREA  0.453750 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.780000 0.415000 4.055000 0.760000 ;
-        RECT 3.780000 1.495000 4.055000 2.465000 ;
-        RECT 3.885000 0.760000 4.055000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.085000  0.450000 0.400000 0.825000 ;
-      RECT 0.085000  0.825000 0.255000 1.865000 ;
-      RECT 0.085000  1.865000 1.915000 2.035000 ;
-      RECT 0.085000  2.035000 0.345000 2.455000 ;
-      RECT 0.515000  2.205000 0.845000 2.635000 ;
-      RECT 0.655000  0.085000 0.825000 0.825000 ;
-      RECT 0.990000  1.525000 1.575000 1.695000 ;
-      RECT 1.075000  0.450000 1.245000 0.655000 ;
-      RECT 1.075000  0.655000 1.575000 0.825000 ;
-      RECT 1.405000  0.825000 1.575000 1.075000 ;
-      RECT 1.405000  1.075000 1.830000 1.245000 ;
-      RECT 1.405000  1.245000 1.575000 1.525000 ;
-      RECT 1.470000  0.085000 1.845000 0.485000 ;
-      RECT 1.510000  2.205000 2.255000 2.375000 ;
-      RECT 1.745000  1.415000 2.395000 1.585000 ;
-      RECT 1.745000  1.585000 1.915000 1.865000 ;
-      RECT 2.015000  0.305000 2.185000 0.655000 ;
-      RECT 2.015000  0.655000 3.610000 0.825000 ;
-      RECT 2.085000  1.785000 3.120000 1.955000 ;
-      RECT 2.085000  1.955000 2.255000 2.205000 ;
-      RECT 2.225000  0.995000 2.395000 1.415000 ;
-      RECT 2.370000  0.085000 2.700000 0.485000 ;
-      RECT 2.870000  0.305000 3.040000 0.655000 ;
-      RECT 2.950000  1.495000 3.610000 1.665000 ;
-      RECT 2.950000  1.665000 3.120000 1.785000 ;
-      RECT 3.210000  0.085000 3.590000 0.485000 ;
-      RECT 3.290000  1.835000 3.570000 2.635000 ;
-      RECT 3.440000  0.825000 3.610000 0.995000 ;
-      RECT 3.440000  0.995000 3.715000 1.325000 ;
-      RECT 3.440000  1.325000 3.610000 1.495000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__or4bb_1
-MACRO sky130_fd_sc_hd__mux2_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__mux2_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A0
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.815000 0.765000 2.445000 1.280000 ;
-        RECT 2.275000 1.280000 2.445000 1.315000 ;
-        RECT 2.275000 1.315000 3.090000 1.625000 ;
-    END
-  END A0
-  PIN A1
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.625000 0.735000 3.090000 1.025000 ;
-        RECT 2.900000 0.420000 3.090000 0.735000 ;
-    END
-  END A1
-  PIN S
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.360000 0.755000 3.550000 1.625000 ;
-    END
-  END S
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 0.255000 0.765000 0.750000 ;
-        RECT 0.515000 0.750000 0.685000 1.595000 ;
-        RECT 0.515000 1.595000 0.825000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.090000  0.085000 0.345000 0.885000 ;
-      RECT 0.090000  1.495000 0.345000 2.635000 ;
-      RECT 0.855000  0.995000 1.165000 1.325000 ;
-      RECT 0.935000  0.085000 1.265000 0.465000 ;
-      RECT 0.995000  0.635000 1.605000 0.805000 ;
-      RECT 0.995000  0.805000 1.165000 0.995000 ;
-      RECT 0.995000  1.325000 1.165000 1.835000 ;
-      RECT 0.995000  1.835000 1.655000 2.005000 ;
-      RECT 1.025000  2.175000 1.315000 2.635000 ;
-      RECT 1.335000  0.995000 1.505000 1.495000 ;
-      RECT 1.335000  1.495000 1.995000 1.665000 ;
-      RECT 1.435000  0.295000 2.730000 0.465000 ;
-      RECT 1.435000  0.465000 1.605000 0.635000 ;
-      RECT 1.485000  2.005000 1.655000 2.255000 ;
-      RECT 1.485000  2.255000 2.795000 2.425000 ;
-      RECT 1.825000  1.665000 1.995000 1.835000 ;
-      RECT 1.825000  1.835000 4.050000 2.005000 ;
-      RECT 3.325000  2.175000 3.545000 2.635000 ;
-      RECT 3.350000  0.085000 3.550000 0.585000 ;
-      RECT 3.715000  2.005000 4.050000 2.465000 ;
-      RECT 3.720000  0.255000 4.050000 1.835000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__mux2_2
-MACRO sky130_fd_sc_hd__mux2_8
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__mux2_8 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.660000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A0
-    ANTENNAGATEAREA  0.492000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.180000 0.645000 6.895000 0.815000 ;
-        RECT 5.180000 0.815000 5.350000 1.325000 ;
-        RECT 5.305000 0.425000 5.890000 0.645000 ;
-        RECT 6.725000 0.815000 6.895000 0.995000 ;
-        RECT 6.725000 0.995000 7.195000 1.165000 ;
-        RECT 7.025000 1.165000 7.195000 1.325000 ;
-    END
-  END A0
-  PIN A1
-    ANTENNAGATEAREA  0.492000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.290000 1.105000 4.475000 1.275000 ;
-        RECT 4.305000 0.995000 4.475000 1.105000 ;
-        RECT 4.305000 1.275000 4.475000 1.325000 ;
-      LAYER mcon ;
-        RECT 4.290000 1.105000 4.460000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 7.960000 0.995000 8.245000 1.325000 ;
-      LAYER mcon ;
-        RECT 7.960000 1.105000 8.130000 1.275000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 4.230000 1.075000 4.520000 1.120000 ;
-        RECT 4.230000 1.120000 8.190000 1.260000 ;
-        RECT 4.230000 1.260000 4.520000 1.305000 ;
-        RECT 7.900000 1.075000 8.190000 1.120000 ;
-        RECT 7.900000 1.260000 8.190000 1.305000 ;
-    END
-  END A1
-  PIN S
-    ANTENNAGATEAREA  0.739500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.795000 0.995000 3.965000 1.495000 ;
-        RECT 3.795000 1.495000 6.035000 1.665000 ;
-        RECT 5.670000 0.995000 6.035000 1.495000 ;
-      LAYER mcon ;
-        RECT 5.670000 1.445000 5.840000 1.615000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 9.215000 0.995000 9.510000 1.615000 ;
-      LAYER mcon ;
-        RECT 9.340000 1.445000 9.510000 1.615000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 5.610000 1.415000 5.900000 1.460000 ;
-        RECT 5.610000 1.460000 9.570000 1.600000 ;
-        RECT 5.610000 1.600000 5.900000 1.645000 ;
-        RECT 9.280000 1.415000 9.570000 1.460000 ;
-        RECT 9.280000 1.600000 9.570000 1.645000 ;
-    END
-  END S
-  PIN X
-    ANTENNADIFFAREA  1.782000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.595000 0.255000 0.765000 0.635000 ;
-        RECT 0.595000 0.635000 3.285000 0.805000 ;
-        RECT 0.595000 0.805000 0.815000 1.575000 ;
-        RECT 0.595000 1.575000 3.285000 1.745000 ;
-        RECT 0.595000 1.745000 0.765000 2.465000 ;
-        RECT 1.435000 0.295000 1.605000 0.635000 ;
-        RECT 1.435000 1.745000 1.605000 2.465000 ;
-        RECT 2.275000 0.255000 2.445000 0.635000 ;
-        RECT 2.275000 1.745000 2.445000 2.465000 ;
-        RECT 3.115000 0.295000 3.285000 0.635000 ;
-        RECT 3.115000 1.745000 3.285000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.660000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.850000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.660000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.660000 0.085000 ;
-      RECT 0.000000  2.635000 9.660000 2.805000 ;
-      RECT 0.090000  0.085000 0.425000 0.465000 ;
-      RECT 0.090000  1.915000 0.425000 2.635000 ;
-      RECT 0.935000  0.085000 1.265000 0.465000 ;
-      RECT 0.935000  1.915000 1.265000 2.635000 ;
-      RECT 0.985000  1.075000 3.625000 1.245000 ;
-      RECT 1.775000  0.085000 2.105000 0.465000 ;
-      RECT 1.775000  1.915000 2.105000 2.635000 ;
-      RECT 2.615000  0.085000 2.945000 0.465000 ;
-      RECT 2.615000  1.915000 2.945000 2.635000 ;
-      RECT 3.455000  0.085000 3.785000 0.465000 ;
-      RECT 3.455000  0.635000 4.920000 0.805000 ;
-      RECT 3.455000  0.805000 3.625000 1.075000 ;
-      RECT 3.455000  1.245000 3.625000 1.835000 ;
-      RECT 3.455000  1.835000 8.225000 2.005000 ;
-      RECT 3.455000  2.255000 3.785000 2.635000 ;
-      RECT 3.955000  0.295000 5.125000 0.465000 ;
-      RECT 3.955000  2.255000 5.905000 2.425000 ;
-      RECT 4.750000  0.805000 4.920000 0.935000 ;
-      RECT 6.060000  0.085000 6.390000 0.465000 ;
-      RECT 6.075000  2.175000 6.245000 2.635000 ;
-      RECT 6.345000  0.995000 6.515000 1.495000 ;
-      RECT 6.345000  1.495000 8.855000 1.665000 ;
-      RECT 6.480000  2.255000 8.645000 2.425000 ;
-      RECT 6.575000  0.295000 7.865000 0.465000 ;
-      RECT 7.115000  0.635000 7.670000 0.805000 ;
-      RECT 7.500000  0.805000 7.670000 0.935000 ;
-      RECT 8.685000  0.645000 9.485000 0.815000 ;
-      RECT 8.685000  0.815000 8.855000 1.495000 ;
-      RECT 8.685000  1.665000 8.855000 1.915000 ;
-      RECT 8.685000  1.915000 9.485000 2.085000 ;
-      RECT 8.815000  0.085000 9.145000 0.465000 ;
-      RECT 8.815000  2.255000 9.145000 2.635000 ;
-      RECT 9.315000  0.295000 9.485000 0.645000 ;
-      RECT 9.315000  1.795000 9.485000 1.915000 ;
-      RECT 9.315000  2.085000 9.485000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 4.750000  0.765000 4.920000 0.935000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.500000  0.765000 7.670000 0.935000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-    LAYER met1 ;
-      RECT 4.690000 0.735000 4.980000 0.780000 ;
-      RECT 4.690000 0.780000 7.730000 0.920000 ;
-      RECT 4.690000 0.920000 4.980000 0.965000 ;
-      RECT 7.440000 0.735000 7.730000 0.780000 ;
-      RECT 7.440000 0.920000 7.730000 0.965000 ;
-  END
-END sky130_fd_sc_hd__mux2_8
-MACRO sky130_fd_sc_hd__mux2_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__mux2_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A0
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.990000 0.255000 2.265000 1.415000 ;
-    END
-  END A0
-  PIN A1
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.615000 0.815000 1.785000 1.615000 ;
-        RECT 1.615000 1.615000 2.625000 1.785000 ;
-        RECT 2.435000 0.255000 2.625000 1.615000 ;
-    END
-  END A1
-  PIN S
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.910000 0.995000 1.105000 1.325000 ;
-        RECT 0.935000 1.325000 1.105000 2.295000 ;
-        RECT 0.935000 2.295000 2.965000 2.465000 ;
-        RECT 2.795000 1.440000 3.545000 1.630000 ;
-        RECT 2.795000 1.630000 2.965000 2.295000 ;
-    END
-  END S
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.255000 0.345000 0.825000 ;
-        RECT 0.090000 0.825000 0.260000 1.495000 ;
-        RECT 0.090000 1.495000 0.425000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.420000 -0.085000 0.590000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.430000  0.995000 0.685000 1.325000 ;
-      RECT 0.515000  0.085000 0.845000 0.485000 ;
-      RECT 0.515000  0.655000 1.445000 0.825000 ;
-      RECT 0.515000  0.825000 0.685000 0.995000 ;
-      RECT 0.595000  1.495000 0.765000 2.635000 ;
-      RECT 1.270000  0.255000 1.800000 0.620000 ;
-      RECT 1.270000  0.620000 1.445000 0.655000 ;
-      RECT 1.275000  0.825000 1.445000 1.955000 ;
-      RECT 1.275000  1.955000 2.400000 2.125000 ;
-      RECT 2.805000  0.085000 3.315000 0.620000 ;
-      RECT 2.825000  0.895000 4.055000 1.065000 ;
-      RECT 3.135000  1.875000 3.305000 2.635000 ;
-      RECT 3.535000  0.290000 3.780000 0.895000 ;
-      RECT 3.540000  1.875000 4.055000 2.285000 ;
-      RECT 3.715000  1.065000 4.055000 1.875000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__mux2_1
-MACRO sky130_fd_sc_hd__mux2_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__mux2_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.520000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A0
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.480000 0.995000 1.750000 1.615000 ;
-    END
-  END A0
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.965000 0.995000 2.435000 1.325000 ;
-    END
-  END A1
-  PIN S
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.430000 0.995000 0.740000 1.325000 ;
-        RECT 0.570000 0.635000 2.850000 0.805000 ;
-        RECT 0.570000 0.805000 0.740000 0.995000 ;
-        RECT 2.680000 0.805000 2.850000 0.995000 ;
-        RECT 2.680000 0.995000 3.395000 1.325000 ;
-    END
-  END S
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.915000 0.255000 4.085000 0.635000 ;
-        RECT 3.915000 0.635000 5.430000 0.805000 ;
-        RECT 3.915000 1.575000 5.430000 1.745000 ;
-        RECT 3.915000 1.745000 4.085000 2.465000 ;
-        RECT 4.755000 0.255000 4.925000 0.635000 ;
-        RECT 4.755000 1.745000 4.925000 2.465000 ;
-        RECT 5.200000 0.805000 5.430000 1.575000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.520000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.710000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.520000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.520000 0.085000 ;
-      RECT 0.000000  2.635000 5.520000 2.805000 ;
-      RECT 0.090000  0.295000 0.345000 0.625000 ;
-      RECT 0.090000  0.625000 0.260000 1.495000 ;
-      RECT 0.090000  1.495000 1.080000 1.665000 ;
-      RECT 0.090000  1.665000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  1.835000 0.820000 2.635000 ;
-      RECT 0.910000  0.995000 1.080000 1.495000 ;
-      RECT 0.990000  1.935000 1.340000 2.275000 ;
-      RECT 0.990000  2.275000 2.770000 2.445000 ;
-      RECT 1.530000  1.935000 3.245000 2.105000 ;
-      RECT 1.975000  0.295000 3.230000 0.465000 ;
-      RECT 1.980000  1.595000 3.735000 1.765000 ;
-      RECT 3.060000  0.465000 3.230000 0.655000 ;
-      RECT 3.060000  0.655000 3.735000 0.825000 ;
-      RECT 3.075000  2.105000 3.245000 2.465000 ;
-      RECT 3.415000  0.085000 3.745000 0.465000 ;
-      RECT 3.415000  2.255000 3.745000 2.635000 ;
-      RECT 3.565000  0.825000 3.735000 1.075000 ;
-      RECT 3.565000  1.075000 5.030000 1.245000 ;
-      RECT 3.565000  1.245000 3.735000 1.595000 ;
-      RECT 3.565000  1.765000 3.735000 1.785000 ;
-      RECT 4.255000  0.085000 4.585000 0.465000 ;
-      RECT 4.255000  1.915000 4.585000 2.635000 ;
-      RECT 5.095000  0.085000 5.425000 0.465000 ;
-      RECT 5.095000  1.915000 5.425000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-  END
-END sky130_fd_sc_hd__mux2_4
-MACRO sky130_fd_sc_hd__sdfrbp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sdfrbp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  12.88000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.144000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.735000 1.355000 3.120000 1.785000 ;
-        RECT 2.865000 1.785000 3.120000 2.465000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 11.140000 0.265000 11.400000 0.795000 ;
-        RECT 11.140000 1.460000 11.400000 2.325000 ;
-        RECT 11.150000 1.445000 11.400000 1.460000 ;
-        RECT 11.190000 0.795000 11.400000 1.445000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.340600 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 12.510000 1.560000 12.780000 2.465000 ;
-        RECT 12.520000 0.255000 12.780000 0.760000 ;
-        RECT 12.600000 0.760000 12.780000 1.560000 ;
-    END
-  END Q_N
-  PIN RESET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.505000 0.765000 7.035000 1.045000 ;
-      LAYER mcon ;
-        RECT 6.865000 0.765000 7.035000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 9.525000 1.065000 10.115000 1.275000 ;
-        RECT 9.825000 0.635000 10.115000 1.065000 ;
-      LAYER mcon ;
-        RECT 9.690000 1.105000  9.860000 1.275000 ;
-        RECT 9.945000 0.765000 10.115000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 6.445000 0.735000  7.095000 0.780000 ;
-        RECT 6.445000 0.780000 10.175000 0.920000 ;
-        RECT 6.445000 0.920000  7.095000 0.965000 ;
-        RECT 9.630000 0.920000 10.175000 0.965000 ;
-        RECT 9.630000 0.965000  9.920000 1.305000 ;
-        RECT 9.885000 0.735000 10.175000 0.780000 ;
-    END
-  END RESET_B
-  PIN SCD
-    ANTENNAGATEAREA  0.156600 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.020000 0.285000 4.275000 0.710000 ;
-        RECT 4.020000 0.710000 4.395000 1.700000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.435000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.465000 1.985000 1.730000 2.465000 ;
-        RECT 1.485000 1.070000 1.730000 1.985000 ;
-    END
-  END SCE
-  PIN CLK
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.140000 0.975000 0.490000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 12.880000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.215000 -0.010000 0.235000 0.015000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000  1.970000 1.425000 ;
-        RECT -0.190000 1.425000 13.070000 2.910000 ;
-        RECT  4.405000 1.305000 13.070000 1.425000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 12.880000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 12.880000 0.085000 ;
-      RECT  0.000000  2.635000 12.880000 2.805000 ;
-      RECT  0.090000  1.795000  0.865000 1.965000 ;
-      RECT  0.090000  1.965000  0.345000 2.465000 ;
-      RECT  0.095000  0.345000  0.345000 0.635000 ;
-      RECT  0.095000  0.635000  0.835000 0.805000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.530000  2.135000  0.860000 2.635000 ;
-      RECT  0.660000  0.805000  0.835000 0.995000 ;
-      RECT  0.660000  0.995000  0.975000 1.325000 ;
-      RECT  0.660000  1.325000  0.865000 1.795000 ;
-      RECT  1.015000  0.345000  1.315000 0.675000 ;
-      RECT  1.035000  1.730000  1.315000 1.900000 ;
-      RECT  1.035000  1.900000  1.205000 2.465000 ;
-      RECT  1.145000  0.675000  1.315000 1.730000 ;
-      RECT  1.535000  0.395000  1.705000 0.730000 ;
-      RECT  1.535000  0.730000  2.225000 0.900000 ;
-      RECT  1.875000  0.085000  2.205000 0.560000 ;
-      RECT  1.900000  2.055000  2.150000 2.400000 ;
-      RECT  1.980000  1.260000  2.470000 1.455000 ;
-      RECT  1.980000  1.455000  2.150000 2.055000 ;
-      RECT  2.055000  0.900000  2.225000 0.995000 ;
-      RECT  2.055000  0.995000  3.085000 1.185000 ;
-      RECT  2.055000  1.185000  2.470000 1.260000 ;
-      RECT  2.320000  2.040000  2.490000 2.635000 ;
-      RECT  2.395000  0.085000  2.725000 0.825000 ;
-      RECT  2.915000  0.255000  3.850000 0.425000 ;
-      RECT  2.915000  0.425000  3.085000 0.995000 ;
-      RECT  3.255000  0.675000  3.425000 1.015000 ;
-      RECT  3.255000  1.015000  3.460000 1.185000 ;
-      RECT  3.290000  1.185000  3.460000 1.935000 ;
-      RECT  3.290000  1.935000  5.075000 2.105000 ;
-      RECT  3.460000  2.105000  3.630000 2.465000 ;
-      RECT  3.680000  0.425000  3.850000 1.685000 ;
-      RECT  4.300000  2.275000  4.630000 2.635000 ;
-      RECT  4.445000  0.085000  4.775000 0.540000 ;
-      RECT  4.565000  0.715000  5.145000 0.895000 ;
-      RECT  4.565000  0.895000  4.735000 1.935000 ;
-      RECT  4.905000  1.065000  5.075000 1.395000 ;
-      RECT  4.905000  2.105000  5.075000 2.185000 ;
-      RECT  4.905000  2.185000  5.275000 2.435000 ;
-      RECT  4.975000  0.335000  5.315000 0.505000 ;
-      RECT  4.975000  0.505000  5.145000 0.715000 ;
-      RECT  5.245000  1.575000  5.495000 1.955000 ;
-      RECT  5.325000  0.705000  5.975000 1.035000 ;
-      RECT  5.325000  1.035000  5.495000 1.575000 ;
-      RECT  5.470000  2.135000  5.835000 2.465000 ;
-      RECT  5.485000  0.305000  6.335000 0.475000 ;
-      RECT  5.665000  1.215000  7.375000 1.385000 ;
-      RECT  5.665000  1.385000  5.835000 2.135000 ;
-      RECT  6.005000  1.935000  7.165000 2.105000 ;
-      RECT  6.005000  2.105000  6.175000 2.375000 ;
-      RECT  6.165000  0.475000  6.335000 1.215000 ;
-      RECT  6.285000  1.595000  7.715000 1.765000 ;
-      RECT  6.410000  2.355000  6.740000 2.635000 ;
-      RECT  6.915000  0.085000  7.245000 0.545000 ;
-      RECT  6.995000  2.105000  7.165000 2.375000 ;
-      RECT  7.205000  1.005000  7.375000 1.215000 ;
-      RECT  7.375000  2.175000  7.745000 2.635000 ;
-      RECT  7.455000  0.275000  7.785000 0.445000 ;
-      RECT  7.455000  0.445000  7.715000 0.835000 ;
-      RECT  7.455000  1.765000  7.715000 1.835000 ;
-      RECT  7.455000  1.835000  8.140000 2.005000 ;
-      RECT  7.545000  0.835000  7.715000 1.595000 ;
-      RECT  7.885000  0.705000  8.095000 1.495000 ;
-      RECT  7.885000  1.495000  8.520000 1.655000 ;
-      RECT  7.885000  1.655000  8.870000 1.665000 ;
-      RECT  7.970000  2.005000  8.140000 2.465000 ;
-      RECT  8.005000  0.255000  8.915000 0.535000 ;
-      RECT  8.310000  1.665000  8.870000 1.935000 ;
-      RECT  8.310000  1.935000  8.840000 1.955000 ;
-      RECT  8.320000  2.125000  9.190000 2.465000 ;
-      RECT  8.405000  0.920000  8.575000 1.325000 ;
-      RECT  8.745000  0.535000  8.915000 1.315000 ;
-      RECT  8.745000  1.315000  9.210000 1.485000 ;
-      RECT  9.015000  2.035000  9.210000 2.115000 ;
-      RECT  9.015000  2.115000  9.190000 2.125000 ;
-      RECT  9.040000  1.485000  9.210000 1.575000 ;
-      RECT  9.040000  1.575000 10.205000 1.745000 ;
-      RECT  9.040000  1.745000  9.210000 2.035000 ;
-      RECT  9.085000  0.085000  9.255000 0.525000 ;
-      RECT  9.125000  0.695000  9.655000 0.865000 ;
-      RECT  9.125000  0.865000  9.295000 1.145000 ;
-      RECT  9.360000  2.195000  9.610000 2.635000 ;
-      RECT  9.485000  0.295000 10.515000 0.465000 ;
-      RECT  9.485000  0.465000  9.655000 0.695000 ;
-      RECT  9.780000  1.915000 10.545000 2.085000 ;
-      RECT  9.780000  2.085000  9.950000 2.375000 ;
-      RECT 10.120000  2.255000 10.450000 2.635000 ;
-      RECT 10.345000  0.465000 10.515000 0.995000 ;
-      RECT 10.345000  0.995000 11.020000 1.295000 ;
-      RECT 10.375000  1.295000 11.020000 1.325000 ;
-      RECT 10.375000  1.325000 10.545000 1.915000 ;
-      RECT 10.720000  0.085000 10.890000 0.545000 ;
-      RECT 10.720000  1.495000 10.970000 2.635000 ;
-      RECT 11.650000  1.535000 12.325000 1.705000 ;
-      RECT 11.650000  1.705000 11.830000 2.465000 ;
-      RECT 11.660000  0.255000 11.830000 0.635000 ;
-      RECT 11.660000  0.635000 12.325000 0.805000 ;
-      RECT 12.010000  0.085000 12.340000 0.465000 ;
-      RECT 12.010000  1.875000 12.340000 2.635000 ;
-      RECT 12.155000  0.805000 12.325000 1.060000 ;
-      RECT 12.155000  1.060000 12.430000 1.390000 ;
-      RECT 12.155000  1.390000 12.325000 1.535000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.805000  1.105000  0.975000 1.275000 ;
-      RECT  1.035000  1.785000  1.205000 1.955000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  4.905000  1.105000  5.075000 1.275000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.325000  1.785000  5.495000 1.955000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.405000  1.105000  8.575000 1.275000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.445000  1.785000  8.615000 1.955000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-      RECT 12.105000  2.635000 12.275000 2.805000 ;
-      RECT 12.565000 -0.085000 12.735000 0.085000 ;
-      RECT 12.565000  2.635000 12.735000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.745000 1.075000 1.035000 1.120000 ;
-      RECT 0.745000 1.120000 8.635000 1.260000 ;
-      RECT 0.745000 1.260000 1.035000 1.305000 ;
-      RECT 0.970000 1.755000 1.270000 1.800000 ;
-      RECT 0.970000 1.800000 8.675000 1.940000 ;
-      RECT 0.970000 1.940000 1.270000 1.985000 ;
-      RECT 4.845000 1.075000 5.135000 1.120000 ;
-      RECT 4.845000 1.260000 5.135000 1.305000 ;
-      RECT 5.265000 1.755000 5.555000 1.800000 ;
-      RECT 5.265000 1.940000 5.555000 1.985000 ;
-      RECT 8.345000 1.075000 8.635000 1.120000 ;
-      RECT 8.345000 1.260000 8.635000 1.305000 ;
-      RECT 8.385000 1.755000 8.675000 1.800000 ;
-      RECT 8.385000 1.940000 8.675000 1.985000 ;
-  END
-END sky130_fd_sc_hd__sdfrbp_1
-MACRO sky130_fd_sc_hd__sdfrbp_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sdfrbp_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  13.34000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.144000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.735000 1.355000 3.120000 1.785000 ;
-        RECT 2.865000 1.785000 3.120000 2.465000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.511500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 11.575000 0.265000 11.925000 1.695000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 12.435000 1.535000 12.825000 2.080000 ;
-        RECT 12.445000 0.310000 12.825000 0.825000 ;
-        RECT 12.525000 2.080000 12.825000 2.465000 ;
-        RECT 12.655000 0.825000 12.825000 1.535000 ;
-    END
-  END Q_N
-  PIN RESET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.505000 0.765000 7.035000 1.045000 ;
-      LAYER mcon ;
-        RECT 6.865000 0.765000 7.035000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 9.525000 1.065000 10.115000 1.275000 ;
-        RECT 9.825000 0.635000 10.115000 1.065000 ;
-      LAYER mcon ;
-        RECT 9.690000 1.105000  9.860000 1.275000 ;
-        RECT 9.945000 0.765000 10.115000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 6.445000 0.735000  7.095000 0.780000 ;
-        RECT 6.445000 0.780000 10.175000 0.920000 ;
-        RECT 6.445000 0.920000  7.095000 0.965000 ;
-        RECT 9.630000 0.920000 10.175000 0.965000 ;
-        RECT 9.630000 0.965000  9.920000 1.305000 ;
-        RECT 9.885000 0.735000 10.175000 0.780000 ;
-    END
-  END RESET_B
-  PIN SCD
-    ANTENNAGATEAREA  0.156600 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.020000 0.285000 4.275000 0.710000 ;
-        RECT 4.020000 0.710000 4.395000 1.700000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.435000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.465000 1.985000 1.730000 2.465000 ;
-        RECT 1.485000 1.070000 1.730000 1.985000 ;
-    END
-  END SCE
-  PIN CLK
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.140000 0.975000 0.490000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 13.340000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.215000 -0.010000 0.235000 0.015000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000  1.970000 1.425000 ;
-        RECT -0.190000 1.425000 13.530000 2.910000 ;
-        RECT  4.405000 1.305000 13.530000 1.425000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 13.340000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 13.340000 0.085000 ;
-      RECT  0.000000  2.635000 13.340000 2.805000 ;
-      RECT  0.090000  1.795000  0.865000 1.965000 ;
-      RECT  0.090000  1.965000  0.345000 2.465000 ;
-      RECT  0.095000  0.345000  0.345000 0.635000 ;
-      RECT  0.095000  0.635000  0.835000 0.805000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.530000  2.135000  0.860000 2.635000 ;
-      RECT  0.660000  0.805000  0.835000 0.995000 ;
-      RECT  0.660000  0.995000  0.975000 1.325000 ;
-      RECT  0.660000  1.325000  0.865000 1.795000 ;
-      RECT  1.015000  0.345000  1.315000 0.675000 ;
-      RECT  1.035000  1.730000  1.315000 1.900000 ;
-      RECT  1.035000  1.900000  1.205000 2.465000 ;
-      RECT  1.145000  0.675000  1.315000 1.730000 ;
-      RECT  1.535000  0.395000  1.705000 0.730000 ;
-      RECT  1.535000  0.730000  2.225000 0.900000 ;
-      RECT  1.875000  0.085000  2.205000 0.560000 ;
-      RECT  1.900000  2.055000  2.150000 2.400000 ;
-      RECT  1.980000  1.260000  2.470000 1.455000 ;
-      RECT  1.980000  1.455000  2.150000 2.055000 ;
-      RECT  2.055000  0.900000  2.225000 0.995000 ;
-      RECT  2.055000  0.995000  3.085000 1.185000 ;
-      RECT  2.055000  1.185000  2.470000 1.260000 ;
-      RECT  2.320000  2.040000  2.490000 2.635000 ;
-      RECT  2.395000  0.085000  2.725000 0.825000 ;
-      RECT  2.915000  0.255000  3.850000 0.425000 ;
-      RECT  2.915000  0.425000  3.085000 0.995000 ;
-      RECT  3.255000  0.675000  3.425000 1.015000 ;
-      RECT  3.255000  1.015000  3.460000 1.185000 ;
-      RECT  3.290000  1.185000  3.460000 1.935000 ;
-      RECT  3.290000  1.935000  5.075000 2.105000 ;
-      RECT  3.460000  2.105000  3.630000 2.465000 ;
-      RECT  3.680000  0.425000  3.850000 1.685000 ;
-      RECT  4.300000  2.275000  4.630000 2.635000 ;
-      RECT  4.445000  0.085000  4.775000 0.540000 ;
-      RECT  4.565000  0.715000  5.145000 0.895000 ;
-      RECT  4.565000  0.895000  4.735000 1.935000 ;
-      RECT  4.905000  1.065000  5.075000 1.395000 ;
-      RECT  4.905000  2.105000  5.075000 2.185000 ;
-      RECT  4.905000  2.185000  5.275000 2.435000 ;
-      RECT  4.975000  0.335000  5.315000 0.505000 ;
-      RECT  4.975000  0.505000  5.145000 0.715000 ;
-      RECT  5.245000  1.575000  5.495000 1.955000 ;
-      RECT  5.325000  0.705000  5.975000 1.035000 ;
-      RECT  5.325000  1.035000  5.495000 1.575000 ;
-      RECT  5.470000  2.135000  5.835000 2.465000 ;
-      RECT  5.485000  0.305000  6.335000 0.475000 ;
-      RECT  5.665000  1.215000  7.375000 1.385000 ;
-      RECT  5.665000  1.385000  5.835000 2.135000 ;
-      RECT  6.005000  1.935000  7.165000 2.105000 ;
-      RECT  6.005000  2.105000  6.175000 2.375000 ;
-      RECT  6.165000  0.475000  6.335000 1.215000 ;
-      RECT  6.285000  1.595000  7.715000 1.765000 ;
-      RECT  6.410000  2.355000  6.740000 2.635000 ;
-      RECT  6.915000  0.085000  7.245000 0.545000 ;
-      RECT  6.995000  2.105000  7.165000 2.375000 ;
-      RECT  7.205000  1.005000  7.375000 1.215000 ;
-      RECT  7.375000  2.175000  7.745000 2.635000 ;
-      RECT  7.455000  0.275000  7.785000 0.445000 ;
-      RECT  7.455000  0.445000  7.715000 0.835000 ;
-      RECT  7.455000  1.765000  7.715000 1.835000 ;
-      RECT  7.455000  1.835000  8.140000 2.005000 ;
-      RECT  7.545000  0.835000  7.715000 1.595000 ;
-      RECT  7.885000  0.705000  8.095000 1.495000 ;
-      RECT  7.885000  1.495000  8.520000 1.655000 ;
-      RECT  7.885000  1.655000  8.870000 1.665000 ;
-      RECT  7.970000  2.005000  8.140000 2.465000 ;
-      RECT  8.005000  0.255000  8.915000 0.535000 ;
-      RECT  8.310000  1.665000  8.870000 1.935000 ;
-      RECT  8.310000  1.935000  8.840000 1.955000 ;
-      RECT  8.320000  2.125000  9.190000 2.465000 ;
-      RECT  8.405000  0.920000  8.575000 1.325000 ;
-      RECT  8.745000  0.535000  8.915000 1.315000 ;
-      RECT  8.745000  1.315000  9.210000 1.485000 ;
-      RECT  9.015000  2.035000  9.210000 2.115000 ;
-      RECT  9.015000  2.115000  9.190000 2.125000 ;
-      RECT  9.040000  1.485000  9.210000 1.575000 ;
-      RECT  9.040000  1.575000 10.205000 1.745000 ;
-      RECT  9.040000  1.745000  9.210000 2.035000 ;
-      RECT  9.085000  0.085000  9.255000 0.525000 ;
-      RECT  9.125000  0.695000  9.655000 0.865000 ;
-      RECT  9.125000  0.865000  9.295000 1.145000 ;
-      RECT  9.360000  2.195000  9.610000 2.635000 ;
-      RECT  9.485000  0.295000 10.515000 0.465000 ;
-      RECT  9.485000  0.465000  9.655000 0.695000 ;
-      RECT  9.780000  1.915000 10.545000 2.085000 ;
-      RECT  9.780000  2.085000  9.950000 2.375000 ;
-      RECT 10.120000  2.255000 10.450000 2.635000 ;
-      RECT 10.345000  0.465000 10.515000 1.055000 ;
-      RECT 10.345000  1.055000 11.060000 1.295000 ;
-      RECT 10.375000  1.295000 11.060000 1.325000 ;
-      RECT 10.375000  1.325000 10.545000 1.915000 ;
-      RECT 10.715000  0.345000 10.885000 0.715000 ;
-      RECT 10.715000  0.715000 11.405000 0.885000 ;
-      RECT 10.715000  1.795000 11.405000 1.865000 ;
-      RECT 10.715000  1.865000 12.265000 2.035000 ;
-      RECT 10.715000  2.035000 10.890000 2.465000 ;
-      RECT 11.090000  0.085000 11.365000 0.545000 ;
-      RECT 11.090000  2.205000 11.420000 2.635000 ;
-      RECT 11.230000  0.885000 11.405000 1.795000 ;
-      RECT 11.550000  2.035000 12.265000 2.085000 ;
-      RECT 12.025000  2.255000 12.355000 2.635000 ;
-      RECT 12.095000  0.995000 12.485000 1.325000 ;
-      RECT 12.095000  1.325000 12.265000 1.865000 ;
-      RECT 12.105000  0.085000 12.275000 0.825000 ;
-      RECT 12.995000  0.085000 13.165000 0.930000 ;
-      RECT 12.995000  1.495000 13.245000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.805000  1.105000  0.975000 1.275000 ;
-      RECT  1.035000  1.785000  1.205000 1.955000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  4.905000  1.105000  5.075000 1.275000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.325000  1.785000  5.495000 1.955000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.405000  1.105000  8.575000 1.275000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.445000  1.785000  8.615000 1.955000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-      RECT 12.105000  2.635000 12.275000 2.805000 ;
-      RECT 12.565000 -0.085000 12.735000 0.085000 ;
-      RECT 12.565000  2.635000 12.735000 2.805000 ;
-      RECT 13.025000 -0.085000 13.195000 0.085000 ;
-      RECT 13.025000  2.635000 13.195000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.745000 1.075000 1.035000 1.120000 ;
-      RECT 0.745000 1.120000 8.635000 1.260000 ;
-      RECT 0.745000 1.260000 1.035000 1.305000 ;
-      RECT 0.970000 1.755000 1.270000 1.800000 ;
-      RECT 0.970000 1.800000 8.675000 1.940000 ;
-      RECT 0.970000 1.940000 1.270000 1.985000 ;
-      RECT 4.845000 1.075000 5.135000 1.120000 ;
-      RECT 4.845000 1.260000 5.135000 1.305000 ;
-      RECT 5.265000 1.755000 5.555000 1.800000 ;
-      RECT 5.265000 1.940000 5.555000 1.985000 ;
-      RECT 8.345000 1.075000 8.635000 1.120000 ;
-      RECT 8.345000 1.260000 8.635000 1.305000 ;
-      RECT 8.385000 1.755000 8.675000 1.800000 ;
-      RECT 8.385000 1.940000 8.675000 1.985000 ;
-  END
-END sky130_fd_sc_hd__sdfrbp_2
-MACRO sky130_fd_sc_hd__a211oi_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a211oi_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.600000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.370000 1.035000 3.080000 1.285000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.740000 1.035000 4.500000 1.285000 ;
-        RECT 4.175000 1.285000 4.500000 1.655000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.035000 1.035000 1.785000 1.285000 ;
-        RECT 1.035000 1.285000 1.255000 1.615000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.100000 0.995000 0.405000 1.615000 ;
-    END
-  END C1
-  PIN Y
-    ANTENNADIFFAREA  0.826000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.575000 0.255000 0.835000 0.655000 ;
-        RECT 0.575000 0.655000 3.145000 0.855000 ;
-        RECT 0.575000 0.855000 0.855000 1.785000 ;
-        RECT 0.575000 1.785000 0.905000 2.105000 ;
-        RECT 1.505000 0.285000 1.695000 0.655000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.600000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.125000 -0.085000 0.295000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.790000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.600000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.600000 0.085000 ;
-      RECT 0.000000  2.635000 4.600000 2.805000 ;
-      RECT 0.145000  0.085000 0.395000 0.815000 ;
-      RECT 0.145000  1.785000 0.405000 2.285000 ;
-      RECT 0.145000  2.285000 2.215000 2.455000 ;
-      RECT 1.005000  0.085000 1.335000 0.475000 ;
-      RECT 1.075000  1.785000 1.265000 2.255000 ;
-      RECT 1.075000  2.255000 2.215000 2.285000 ;
-      RECT 1.435000  1.455000 3.975000 1.655000 ;
-      RECT 1.435000  1.655000 1.765000 2.075000 ;
-      RECT 1.865000  0.085000 2.195000 0.475000 ;
-      RECT 1.935000  1.835000 2.215000 2.255000 ;
-      RECT 2.385000  0.265000 3.495000 0.475000 ;
-      RECT 2.435000  1.835000 2.665000 2.635000 ;
-      RECT 2.845000  1.655000 3.115000 2.465000 ;
-      RECT 3.295000  1.835000 3.525000 2.635000 ;
-      RECT 3.325000  0.475000 3.495000 0.635000 ;
-      RECT 3.325000  0.635000 4.435000 0.855000 ;
-      RECT 3.675000  0.085000 4.005000 0.455000 ;
-      RECT 3.705000  1.655000 3.975000 2.465000 ;
-      RECT 4.155000  1.835000 4.385000 2.635000 ;
-      RECT 4.185000  0.265000 4.435000 0.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a211oi_2
-MACRO sky130_fd_sc_hd__a211oi_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a211oi_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.360000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.655000 1.075000 3.005000 1.245000 ;
-        RECT 1.660000 1.035000 3.005000 1.075000 ;
-        RECT 1.660000 1.245000 3.005000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.100000 1.035000 1.385000 1.445000 ;
-        RECT 0.100000 1.445000 3.575000 1.625000 ;
-        RECT 3.245000 1.035000 3.575000 1.445000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.745000 1.035000 4.755000 1.275000 ;
-        RECT 3.745000 1.275000 4.460000 1.615000 ;
-      LAYER mcon ;
-        RECT 3.830000 1.445000 4.000000 1.615000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 6.590000 0.995000 6.935000 1.325000 ;
-        RECT 6.590000 1.325000 6.760000 1.615000 ;
-      LAYER mcon ;
-        RECT 6.590000 1.445000 6.760000 1.615000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 3.770000 1.415000 4.060000 1.460000 ;
-        RECT 3.770000 1.460000 6.820000 1.600000 ;
-        RECT 3.770000 1.600000 4.060000 1.645000 ;
-        RECT 6.530000 1.415000 6.820000 1.460000 ;
-        RECT 6.530000 1.600000 6.820000 1.645000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.000000 1.035000 6.350000 1.275000 ;
-        RECT 6.130000 1.275000 6.350000 1.695000 ;
-    END
-  END C1
-  PIN Y
-    ANTENNADIFFAREA  1.685000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.775000 0.675000 3.330000 0.695000 ;
-        RECT 1.775000 0.695000 7.275000 0.825000 ;
-        RECT 1.775000 0.825000 6.355000 0.865000 ;
-        RECT 3.875000 0.255000 4.195000 0.615000 ;
-        RECT 3.875000 0.615000 5.045000 0.625000 ;
-        RECT 3.875000 0.625000 7.275000 0.695000 ;
-        RECT 4.875000 0.255000 5.045000 0.615000 ;
-        RECT 5.170000 1.865000 7.275000 2.085000 ;
-        RECT 5.715000 0.255000 5.885000 0.615000 ;
-        RECT 5.715000 0.615000 7.275000 0.625000 ;
-        RECT 6.930000 1.495000 7.275000 1.865000 ;
-        RECT 7.105000 0.825000 7.275000 1.495000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.360000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.550000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.360000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.360000 0.085000 ;
-      RECT 0.000000  2.635000 7.360000 2.805000 ;
-      RECT 0.095000  0.085000 0.395000 0.585000 ;
-      RECT 0.095000  1.795000 3.705000 2.085000 ;
-      RECT 0.095000  2.085000 0.345000 2.465000 ;
-      RECT 0.515000  2.255000 0.845000 2.635000 ;
-      RECT 0.565000  0.530000 0.775000 0.695000 ;
-      RECT 0.565000  0.695000 1.605000 0.865000 ;
-      RECT 0.950000  0.085000 1.185000 0.525000 ;
-      RECT 1.015000  2.085000 3.705000 2.105000 ;
-      RECT 1.015000  2.105000 1.185000 2.465000 ;
-      RECT 1.355000  0.255000 3.365000 0.505000 ;
-      RECT 1.355000  0.505000 1.605000 0.695000 ;
-      RECT 1.355000  2.275000 1.685000 2.635000 ;
-      RECT 1.855000  2.105000 2.025000 2.465000 ;
-      RECT 2.195000  2.275000 2.525000 2.635000 ;
-      RECT 2.695000  2.105000 2.865000 2.465000 ;
-      RECT 3.035000  2.275000 3.365000 2.635000 ;
-      RECT 3.535000  0.085000 3.705000 0.525000 ;
-      RECT 3.535000  2.105000 3.705000 2.255000 ;
-      RECT 3.535000  2.255000 7.270000 2.465000 ;
-      RECT 3.875000  1.785000 4.910000 2.085000 ;
-      RECT 4.365000  0.085000 4.695000 0.445000 ;
-      RECT 4.630000  1.445000 5.960000 1.695000 ;
-      RECT 4.630000  1.695000 4.910000 1.785000 ;
-      RECT 5.215000  0.085000 5.545000 0.445000 ;
-      RECT 6.055000  0.085000 6.385000 0.445000 ;
-      RECT 6.915000  0.085000 7.270000 0.445000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a211oi_4
-MACRO sky130_fd_sc_hd__a211oi_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a211oi_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.605000 0.265000 0.855000 0.995000 ;
-        RECT 0.605000 0.995000 1.245000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 0.765000 0.435000 1.325000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.425000 0.995000 1.755000 1.325000 ;
-        RECT 1.525000 1.325000 1.755000 2.455000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.935000 0.995000 2.235000 1.615000 ;
-    END
-  END C1
-  PIN Y
-    ANTENNADIFFAREA  0.619250 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.180000 0.265000 1.365000 0.625000 ;
-        RECT 1.180000 0.625000 2.660000 0.815000 ;
-        RECT 1.935000 1.785000 2.660000 2.455000 ;
-        RECT 2.055000 0.265000 2.280000 0.625000 ;
-        RECT 2.445000 0.815000 2.660000 1.785000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.085000  0.085000 0.425000 0.595000 ;
-      RECT 0.250000  1.525000 1.355000 1.725000 ;
-      RECT 0.250000  1.725000 0.500000 2.455000 ;
-      RECT 0.670000  1.905000 1.000000 2.635000 ;
-      RECT 1.170000  1.725000 1.355000 2.455000 ;
-      RECT 1.545000  0.085000 1.875000 0.455000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a211oi_1
-MACRO sky130_fd_sc_hd__o31ai_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o31ai_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 1.075000 0.440000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.610000 1.075000 1.055000 2.465000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.225000 1.075000 1.700000 1.325000 ;
-        RECT 1.460000 1.325000 1.700000 2.405000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.330000 0.995000 2.675000 1.325000 ;
-    END
-  END B1
-  PIN Y
-    ANTENNADIFFAREA  1.006000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.945000 0.260000 2.675000 0.825000 ;
-        RECT 1.945000 0.825000 2.160000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.090000  1.495000 0.440000 2.635000 ;
-      RECT 0.175000  0.085000 0.345000 0.905000 ;
-      RECT 0.515000  0.255000 0.845000 0.735000 ;
-      RECT 0.515000  0.735000 1.700000 0.905000 ;
-      RECT 1.015000  0.085000 1.185000 0.565000 ;
-      RECT 1.370000  0.255000 1.700000 0.735000 ;
-      RECT 2.330000  1.495000 2.675000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o31ai_1
-MACRO sky130_fd_sc_hd__o31ai_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o31ai_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.600000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 1.055000 1.240000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.410000 1.055000 2.220000 1.325000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.390000 1.055000 3.205000 1.325000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.175000 0.755000 4.515000 1.325000 ;
-    END
-  END B1
-  PIN Y
-    ANTENNADIFFAREA  1.063500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.335000 1.495000 4.515000 1.665000 ;
-        RECT 2.335000 1.665000 2.665000 2.125000 ;
-        RECT 3.175000 1.665000 3.505000 2.465000 ;
-        RECT 3.675000 0.595000 4.005000 1.495000 ;
-        RECT 4.175000 1.665000 4.515000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.600000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.790000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.600000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.600000 0.085000 ;
-      RECT 0.000000  2.635000 4.600000 2.805000 ;
-      RECT 0.090000  0.255000 0.445000 0.715000 ;
-      RECT 0.090000  0.715000 3.505000 0.885000 ;
-      RECT 0.090000  1.495000 2.125000 1.665000 ;
-      RECT 0.090000  1.665000 0.445000 2.465000 ;
-      RECT 0.615000  0.085000 0.785000 0.545000 ;
-      RECT 0.615000  1.835000 0.785000 2.635000 ;
-      RECT 0.955000  0.255000 1.285000 0.715000 ;
-      RECT 0.955000  1.665000 1.285000 2.465000 ;
-      RECT 1.455000  0.085000 1.965000 0.545000 ;
-      RECT 1.455000  1.835000 1.625000 2.295000 ;
-      RECT 1.455000  2.295000 3.005000 2.465000 ;
-      RECT 1.795000  1.665000 2.125000 2.125000 ;
-      RECT 2.175000  0.255000 2.505000 0.715000 ;
-      RECT 2.675000  0.085000 3.005000 0.545000 ;
-      RECT 2.835000  1.835000 3.005000 2.295000 ;
-      RECT 3.175000  0.255000 4.515000 0.425000 ;
-      RECT 3.175000  0.425000 3.505000 0.715000 ;
-      RECT 3.675000  1.835000 4.005000 2.635000 ;
-      RECT 4.175000  0.425000 4.515000 0.585000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o31ai_2
-MACRO sky130_fd_sc_hd__o31ai_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o31ai_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.820000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 1.055000 1.780000 1.425000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.950000 1.055000 3.605000 1.425000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.775000 1.055000 5.940000 1.275000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.465000 1.055000 7.735000 1.275000 ;
-    END
-  END B1
-  PIN Y
-    ANTENNADIFFAREA  1.683800 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.775000 1.445000 7.735000 1.695000 ;
-        RECT 5.770000 1.695000 5.940000 2.465000 ;
-        RECT 6.110000 0.645000 7.280000 0.885000 ;
-        RECT 6.110000 0.885000 6.295000 1.445000 ;
-        RECT 6.610000 1.695000 6.780000 2.465000 ;
-        RECT 7.450000 1.695000 7.735000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.820000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.010000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.820000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.820000 0.085000 ;
-      RECT 0.000000  2.635000 7.820000 2.805000 ;
-      RECT 0.090000  0.255000 0.445000 0.715000 ;
-      RECT 0.090000  0.715000 5.940000 0.885000 ;
-      RECT 0.090000  1.595000 2.125000 1.895000 ;
-      RECT 0.090000  1.895000 0.445000 2.465000 ;
-      RECT 0.615000  0.085000 0.785000 0.545000 ;
-      RECT 0.615000  2.065000 0.785000 2.635000 ;
-      RECT 0.955000  0.255000 1.285000 0.715000 ;
-      RECT 0.955000  1.895000 1.285000 2.465000 ;
-      RECT 1.455000  0.085000 1.625000 0.545000 ;
-      RECT 1.455000  2.065000 1.625000 2.635000 ;
-      RECT 1.795000  0.255000 2.125000 0.715000 ;
-      RECT 1.795000  1.895000 2.125000 2.205000 ;
-      RECT 1.795000  2.205000 3.885000 2.465000 ;
-      RECT 2.295000  0.085000 2.465000 0.545000 ;
-      RECT 2.295000  1.595000 3.605000 1.765000 ;
-      RECT 2.295000  1.765000 2.465000 2.035000 ;
-      RECT 2.635000  0.255000 2.965000 0.715000 ;
-      RECT 2.635000  1.935000 2.965000 2.205000 ;
-      RECT 3.135000  0.085000 3.305000 0.545000 ;
-      RECT 3.135000  1.765000 3.605000 1.865000 ;
-      RECT 3.135000  1.865000 5.600000 2.035000 ;
-      RECT 3.475000  0.255000 3.805000 0.715000 ;
-      RECT 3.995000  0.085000 4.640000 0.545000 ;
-      RECT 4.080000  2.035000 5.600000 2.465000 ;
-      RECT 4.810000  0.395000 4.980000 0.715000 ;
-      RECT 5.150000  0.085000 5.600000 0.545000 ;
-      RECT 5.770000  0.255000 7.735000 0.475000 ;
-      RECT 5.770000  0.475000 5.940000 0.715000 ;
-      RECT 6.110000  1.890000 6.440000 2.635000 ;
-      RECT 6.950000  1.890000 7.280000 2.635000 ;
-      RECT 7.450000  0.475000 7.735000 0.885000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o31ai_4
-MACRO sky130_ef_sc_hd__fakediode_2
-  CLASS CORE SPACER ;
-  FOREIGN sky130_ef_sc_hd__fakediode_2 ;
-  ORIGIN 0.000 0.000 ;
-  SIZE 0.920 BY 2.720 ;
-  PIN DIODE
-    PORT
-      LAYER li1 ;
-        RECT 0.085 0.255 0.835 2.465 ;
-    END
-  END DIODE
-  PIN VGND
-    USE GROUND ;
-    PORT
-      LAYER li1 ;
-        RECT 0.000 -0.085 0.920 0.085 ;
-      LAYER mcon ;
-        RECT 0.145 -0.085 0.315 0.085 ;
-        RECT 0.605 -0.085 0.775 0.085 ;
-      LAYER met1 ;
-        RECT 0.000 -0.240 0.920 0.240 ;
-    END
-  END VGND
-  PIN VPWR
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 0.000 2.635 0.920 2.805 ;
-      LAYER mcon ;
-        RECT 0.145 2.635 0.315 2.805 ;
-        RECT 0.605 2.635 0.775 2.805 ;
-      LAYER met1 ;
-        RECT 0.000 2.480 0.920 2.960 ;
-    END
-  END VPWR
-  PIN VPB
-    PORT
-      LAYER nwell ;
-        RECT -0.190 1.305 1.110 2.910 ;
-    END
-  END VPB
-  PIN VNB
-    PORT
-      LAYER pwell ;
-        RECT 0.145 -0.085 0.315 0.085 ;
-    END
-  END VNB
-END sky130_ef_sc_hd__fakediode_2
-MACRO user_proj_example
-  CLASS BLOCK ;
-  FOREIGN user_proj_example ;
-  ORIGIN 0.000 0.000 ;
-  SIZE 598.760 BY 600.000 ;
-  PIN io_in[0]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1.860 597.600 2.140 600.000 ;
-    END
-  END io_in[0]
-  PIN io_in[10]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 159.640 597.600 159.920 600.000 ;
-    END
-  END io_in[10]
-  PIN io_in[11]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 175.280 597.600 175.560 600.000 ;
-    END
-  END io_in[11]
-  PIN io_in[12]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 190.920 597.600 191.200 600.000 ;
-    END
-  END io_in[12]
-  PIN io_in[13]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 207.020 597.600 207.300 600.000 ;
-    END
-  END io_in[13]
-  PIN io_in[14]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 222.660 597.600 222.940 600.000 ;
-    END
-  END io_in[14]
-  PIN io_in[15]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 238.300 597.600 238.580 600.000 ;
-    END
-  END io_in[15]
-  PIN io_in[16]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 254.400 597.600 254.680 600.000 ;
-    END
-  END io_in[16]
-  PIN io_in[17]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 270.040 597.600 270.320 600.000 ;
-    END
-  END io_in[17]
-  PIN io_in[18]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 285.680 597.600 285.960 600.000 ;
-    END
-  END io_in[18]
-  PIN io_in[19]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 301.780 597.600 302.060 600.000 ;
-    END
-  END io_in[19]
-  PIN io_in[1]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 17.500 597.600 17.780 600.000 ;
-    END
-  END io_in[1]
-  PIN io_in[20]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 317.420 597.600 317.700 600.000 ;
-    END
-  END io_in[20]
-  PIN io_in[21]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 333.060 597.600 333.340 600.000 ;
-    END
-  END io_in[21]
-  PIN io_in[22]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 348.700 597.600 348.980 600.000 ;
-    END
-  END io_in[22]
-  PIN io_in[23]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 364.800 597.600 365.080 600.000 ;
-    END
-  END io_in[23]
-  PIN io_in[24]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 380.440 597.600 380.720 600.000 ;
-    END
-  END io_in[24]
-  PIN io_in[25]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 396.080 597.600 396.360 600.000 ;
-    END
-  END io_in[25]
-  PIN io_in[26]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 412.180 597.600 412.460 600.000 ;
-    END
-  END io_in[26]
-  PIN io_in[27]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 427.820 597.600 428.100 600.000 ;
-    END
-  END io_in[27]
-  PIN io_in[28]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 443.460 597.600 443.740 600.000 ;
-    END
-  END io_in[28]
-  PIN io_in[29]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 459.560 597.600 459.840 600.000 ;
-    END
-  END io_in[29]
-  PIN io_in[2]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 33.140 597.600 33.420 600.000 ;
-    END
-  END io_in[2]
-  PIN io_in[30]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 475.200 597.600 475.480 600.000 ;
-    END
-  END io_in[30]
-  PIN io_in[31]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 490.840 597.600 491.120 600.000 ;
-    END
-  END io_in[31]
-  PIN io_in[32]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 506.940 597.600 507.220 600.000 ;
-    END
-  END io_in[32]
-  PIN io_in[33]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 522.580 597.600 522.860 600.000 ;
-    END
-  END io_in[33]
-  PIN io_in[34]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 538.220 597.600 538.500 600.000 ;
-    END
-  END io_in[34]
-  PIN io_in[35]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 554.320 597.600 554.600 600.000 ;
-    END
-  END io_in[35]
-  PIN io_in[36]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 569.960 597.600 570.240 600.000 ;
-    END
-  END io_in[36]
-  PIN io_in[37]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 585.600 597.600 585.880 600.000 ;
-    END
-  END io_in[37]
-  PIN io_in[3]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 48.780 597.600 49.060 600.000 ;
-    END
-  END io_in[3]
-  PIN io_in[4]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 64.880 597.600 65.160 600.000 ;
-    END
-  END io_in[4]
-  PIN io_in[5]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 80.520 597.600 80.800 600.000 ;
-    END
-  END io_in[5]
-  PIN io_in[6]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 96.160 597.600 96.440 600.000 ;
-    END
-  END io_in[6]
-  PIN io_in[7]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 112.260 597.600 112.540 600.000 ;
-    END
-  END io_in[7]
-  PIN io_in[8]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 127.900 597.600 128.180 600.000 ;
-    END
-  END io_in[8]
-  PIN io_in[9]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 143.540 597.600 143.820 600.000 ;
-    END
-  END io_in[9]
-  PIN io_oeb[0]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 6.920 597.600 7.200 600.000 ;
-    END
-  END io_oeb[0]
-  PIN io_oeb[10]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 164.700 597.600 164.980 600.000 ;
-    END
-  END io_oeb[10]
-  PIN io_oeb[11]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 180.340 597.600 180.620 600.000 ;
-    END
-  END io_oeb[11]
-  PIN io_oeb[12]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 196.440 597.600 196.720 600.000 ;
-    END
-  END io_oeb[12]
-  PIN io_oeb[13]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 212.080 597.600 212.360 600.000 ;
-    END
-  END io_oeb[13]
-  PIN io_oeb[14]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 227.720 597.600 228.000 600.000 ;
-    END
-  END io_oeb[14]
-  PIN io_oeb[15]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 243.820 597.600 244.100 600.000 ;
-    END
-  END io_oeb[15]
-  PIN io_oeb[16]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 259.460 597.600 259.740 600.000 ;
-    END
-  END io_oeb[16]
-  PIN io_oeb[17]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 275.100 597.600 275.380 600.000 ;
-    END
-  END io_oeb[17]
-  PIN io_oeb[18]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 291.200 597.600 291.480 600.000 ;
-    END
-  END io_oeb[18]
-  PIN io_oeb[19]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 306.840 597.600 307.120 600.000 ;
-    END
-  END io_oeb[19]
-  PIN io_oeb[1]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 22.560 597.600 22.840 600.000 ;
-    END
-  END io_oeb[1]
-  PIN io_oeb[20]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 322.480 597.600 322.760 600.000 ;
-    END
-  END io_oeb[20]
-  PIN io_oeb[21]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 338.580 597.600 338.860 600.000 ;
-    END
-  END io_oeb[21]
-  PIN io_oeb[22]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 354.220 597.600 354.500 600.000 ;
-    END
-  END io_oeb[22]
-  PIN io_oeb[23]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 369.860 597.600 370.140 600.000 ;
-    END
-  END io_oeb[23]
-  PIN io_oeb[24]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 385.960 597.600 386.240 600.000 ;
-    END
-  END io_oeb[24]
-  PIN io_oeb[25]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 401.600 597.600 401.880 600.000 ;
-    END
-  END io_oeb[25]
-  PIN io_oeb[26]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 417.240 597.600 417.520 600.000 ;
-    END
-  END io_oeb[26]
-  PIN io_oeb[27]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 432.880 597.600 433.160 600.000 ;
-    END
-  END io_oeb[27]
-  PIN io_oeb[28]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 448.980 597.600 449.260 600.000 ;
-    END
-  END io_oeb[28]
-  PIN io_oeb[29]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 464.620 597.600 464.900 600.000 ;
-    END
-  END io_oeb[29]
-  PIN io_oeb[2]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 38.660 597.600 38.940 600.000 ;
-    END
-  END io_oeb[2]
-  PIN io_oeb[30]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 480.260 597.600 480.540 600.000 ;
-    END
-  END io_oeb[30]
-  PIN io_oeb[31]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 496.360 597.600 496.640 600.000 ;
-    END
-  END io_oeb[31]
-  PIN io_oeb[32]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 512.000 597.600 512.280 600.000 ;
-    END
-  END io_oeb[32]
-  PIN io_oeb[33]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 527.640 597.600 527.920 600.000 ;
-    END
-  END io_oeb[33]
-  PIN io_oeb[34]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 543.740 597.600 544.020 600.000 ;
-    END
-  END io_oeb[34]
-  PIN io_oeb[35]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 559.380 597.600 559.660 600.000 ;
-    END
-  END io_oeb[35]
-  PIN io_oeb[36]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 575.020 597.600 575.300 600.000 ;
-    END
-  END io_oeb[36]
-  PIN io_oeb[37]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 591.120 597.600 591.400 600.000 ;
-    END
-  END io_oeb[37]
-  PIN io_oeb[3]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 54.300 597.600 54.580 600.000 ;
-    END
-  END io_oeb[3]
-  PIN io_oeb[4]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 69.940 597.600 70.220 600.000 ;
-    END
-  END io_oeb[4]
-  PIN io_oeb[5]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 86.040 597.600 86.320 600.000 ;
-    END
-  END io_oeb[5]
-  PIN io_oeb[6]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 101.680 597.600 101.960 600.000 ;
-    END
-  END io_oeb[6]
-  PIN io_oeb[7]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 117.320 597.600 117.600 600.000 ;
-    END
-  END io_oeb[7]
-  PIN io_oeb[8]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 132.960 597.600 133.240 600.000 ;
-    END
-  END io_oeb[8]
-  PIN io_oeb[9]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 149.060 597.600 149.340 600.000 ;
-    END
-  END io_oeb[9]
-  PIN io_out[0]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 11.980 597.600 12.260 600.000 ;
-    END
-  END io_out[0]
-  PIN io_out[10]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 170.220 597.600 170.500 600.000 ;
-    END
-  END io_out[10]
-  PIN io_out[11]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 185.860 597.600 186.140 600.000 ;
-    END
-  END io_out[11]
-  PIN io_out[12]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 201.500 597.600 201.780 600.000 ;
-    END
-  END io_out[12]
-  PIN io_out[13]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 217.140 597.600 217.420 600.000 ;
-    END
-  END io_out[13]
-  PIN io_out[14]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 233.240 597.600 233.520 600.000 ;
-    END
-  END io_out[14]
-  PIN io_out[15]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 248.880 597.600 249.160 600.000 ;
-    END
-  END io_out[15]
-  PIN io_out[16]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 264.520 597.600 264.800 600.000 ;
-    END
-  END io_out[16]
-  PIN io_out[17]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 280.620 597.600 280.900 600.000 ;
-    END
-  END io_out[17]
-  PIN io_out[18]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 296.260 597.600 296.540 600.000 ;
-    END
-  END io_out[18]
-  PIN io_out[19]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 311.900 597.600 312.180 600.000 ;
-    END
-  END io_out[19]
-  PIN io_out[1]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 28.080 597.600 28.360 600.000 ;
-    END
-  END io_out[1]
-  PIN io_out[20]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 328.000 597.600 328.280 600.000 ;
-    END
-  END io_out[20]
-  PIN io_out[21]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 343.640 597.600 343.920 600.000 ;
-    END
-  END io_out[21]
-  PIN io_out[22]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 359.280 597.600 359.560 600.000 ;
-    END
-  END io_out[22]
-  PIN io_out[23]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 375.380 597.600 375.660 600.000 ;
-    END
-  END io_out[23]
-  PIN io_out[24]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 391.020 597.600 391.300 600.000 ;
-    END
-  END io_out[24]
-  PIN io_out[25]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 406.660 597.600 406.940 600.000 ;
-    END
-  END io_out[25]
-  PIN io_out[26]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 422.760 597.600 423.040 600.000 ;
-    END
-  END io_out[26]
-  PIN io_out[27]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 438.400 597.600 438.680 600.000 ;
-    END
-  END io_out[27]
-  PIN io_out[28]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 454.040 597.600 454.320 600.000 ;
-    END
-  END io_out[28]
-  PIN io_out[29]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 470.140 597.600 470.420 600.000 ;
-    END
-  END io_out[29]
-  PIN io_out[2]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 43.720 597.600 44.000 600.000 ;
-    END
-  END io_out[2]
-  PIN io_out[30]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 485.780 597.600 486.060 600.000 ;
-    END
-  END io_out[30]
-  PIN io_out[31]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 501.420 597.600 501.700 600.000 ;
-    END
-  END io_out[31]
-  PIN io_out[32]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 517.060 597.600 517.340 600.000 ;
-    END
-  END io_out[32]
-  PIN io_out[33]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 533.160 597.600 533.440 600.000 ;
-    END
-  END io_out[33]
-  PIN io_out[34]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 548.800 597.600 549.080 600.000 ;
-    END
-  END io_out[34]
-  PIN io_out[35]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 564.440 597.600 564.720 600.000 ;
-    END
-  END io_out[35]
-  PIN io_out[36]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 580.540 597.600 580.820 600.000 ;
-    END
-  END io_out[36]
-  PIN io_out[37]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 596.180 597.600 596.460 600.000 ;
-    END
-  END io_out[37]
-  PIN io_out[3]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 59.360 597.600 59.640 600.000 ;
-    END
-  END io_out[3]
-  PIN io_out[4]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 75.460 597.600 75.740 600.000 ;
-    END
-  END io_out[4]
-  PIN io_out[5]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 91.100 597.600 91.380 600.000 ;
-    END
-  END io_out[5]
-  PIN io_out[6]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 106.740 597.600 107.020 600.000 ;
-    END
-  END io_out[6]
-  PIN io_out[7]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 122.840 597.600 123.120 600.000 ;
-    END
-  END io_out[7]
-  PIN io_out[8]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 138.480 597.600 138.760 600.000 ;
-    END
-  END io_out[8]
-  PIN io_out[9]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 154.120 597.600 154.400 600.000 ;
-    END
-  END io_out[9]
-  PIN la_data_in[0]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 129.740 0.000 130.020 2.400 ;
-    END
-  END la_data_in[0]
-  PIN la_data_in[100]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 496.820 0.000 497.100 2.400 ;
-    END
-  END la_data_in[100]
-  PIN la_data_in[101]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 500.500 0.000 500.780 2.400 ;
-    END
-  END la_data_in[101]
-  PIN la_data_in[102]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 504.180 0.000 504.460 2.400 ;
-    END
-  END la_data_in[102]
-  PIN la_data_in[103]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 507.860 0.000 508.140 2.400 ;
-    END
-  END la_data_in[103]
-  PIN la_data_in[104]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 511.540 0.000 511.820 2.400 ;
-    END
-  END la_data_in[104]
-  PIN la_data_in[105]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 515.220 0.000 515.500 2.400 ;
-    END
-  END la_data_in[105]
-  PIN la_data_in[106]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 518.900 0.000 519.180 2.400 ;
-    END
-  END la_data_in[106]
-  PIN la_data_in[107]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 522.580 0.000 522.860 2.400 ;
-    END
-  END la_data_in[107]
-  PIN la_data_in[108]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 526.260 0.000 526.540 2.400 ;
-    END
-  END la_data_in[108]
-  PIN la_data_in[109]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 529.940 0.000 530.220 2.400 ;
-    END
-  END la_data_in[109]
-  PIN la_data_in[10]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 166.080 0.000 166.360 2.400 ;
-    END
-  END la_data_in[10]
-  PIN la_data_in[110]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 533.620 0.000 533.900 2.400 ;
-    END
-  END la_data_in[110]
-  PIN la_data_in[111]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 537.300 0.000 537.580 2.400 ;
-    END
-  END la_data_in[111]
-  PIN la_data_in[112]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 540.980 0.000 541.260 2.400 ;
-    END
-  END la_data_in[112]
-  PIN la_data_in[113]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 544.660 0.000 544.940 2.400 ;
-    END
-  END la_data_in[113]
-  PIN la_data_in[114]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 548.340 0.000 548.620 2.400 ;
-    END
-  END la_data_in[114]
-  PIN la_data_in[115]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 552.020 0.000 552.300 2.400 ;
-    END
-  END la_data_in[115]
-  PIN la_data_in[116]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 555.700 0.000 555.980 2.400 ;
-    END
-  END la_data_in[116]
-  PIN la_data_in[117]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 559.380 0.000 559.660 2.400 ;
-    END
-  END la_data_in[117]
-  PIN la_data_in[118]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 563.060 0.000 563.340 2.400 ;
-    END
-  END la_data_in[118]
-  PIN la_data_in[119]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 566.740 0.000 567.020 2.400 ;
-    END
-  END la_data_in[119]
-  PIN la_data_in[11]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 169.760 0.000 170.040 2.400 ;
-    END
-  END la_data_in[11]
-  PIN la_data_in[120]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 570.420 0.000 570.700 2.400 ;
-    END
-  END la_data_in[120]
-  PIN la_data_in[121]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 574.100 0.000 574.380 2.400 ;
-    END
-  END la_data_in[121]
-  PIN la_data_in[122]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 577.780 0.000 578.060 2.400 ;
-    END
-  END la_data_in[122]
-  PIN la_data_in[123]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 581.460 0.000 581.740 2.400 ;
-    END
-  END la_data_in[123]
-  PIN la_data_in[124]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 585.140 0.000 585.420 2.400 ;
-    END
-  END la_data_in[124]
-  PIN la_data_in[125]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 588.820 0.000 589.100 2.400 ;
-    END
-  END la_data_in[125]
-  PIN la_data_in[126]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 592.500 0.000 592.780 2.400 ;
-    END
-  END la_data_in[126]
-  PIN la_data_in[127]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 596.180 0.000 596.460 2.400 ;
-    END
-  END la_data_in[127]
-  PIN la_data_in[12]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 173.440 0.000 173.720 2.400 ;
-    END
-  END la_data_in[12]
-  PIN la_data_in[13]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 177.120 0.000 177.400 2.400 ;
-    END
-  END la_data_in[13]
-  PIN la_data_in[14]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 180.800 0.000 181.080 2.400 ;
-    END
-  END la_data_in[14]
-  PIN la_data_in[15]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 184.480 0.000 184.760 2.400 ;
-    END
-  END la_data_in[15]
-  PIN la_data_in[16]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 188.160 0.000 188.440 2.400 ;
-    END
-  END la_data_in[16]
-  PIN la_data_in[17]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 191.840 0.000 192.120 2.400 ;
-    END
-  END la_data_in[17]
-  PIN la_data_in[18]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 195.520 0.000 195.800 2.400 ;
-    END
-  END la_data_in[18]
-  PIN la_data_in[19]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 199.200 0.000 199.480 2.400 ;
-    END
-  END la_data_in[19]
-  PIN la_data_in[1]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 133.420 0.000 133.700 2.400 ;
-    END
-  END la_data_in[1]
-  PIN la_data_in[20]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 202.880 0.000 203.160 2.400 ;
-    END
-  END la_data_in[20]
-  PIN la_data_in[21]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 206.560 0.000 206.840 2.400 ;
-    END
-  END la_data_in[21]
-  PIN la_data_in[22]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 210.240 0.000 210.520 2.400 ;
-    END
-  END la_data_in[22]
-  PIN la_data_in[23]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 213.920 0.000 214.200 2.400 ;
-    END
-  END la_data_in[23]
-  PIN la_data_in[24]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 217.600 0.000 217.880 2.400 ;
-    END
-  END la_data_in[24]
-  PIN la_data_in[25]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 221.280 0.000 221.560 2.400 ;
-    END
-  END la_data_in[25]
-  PIN la_data_in[26]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 224.960 0.000 225.240 2.400 ;
-    END
-  END la_data_in[26]
-  PIN la_data_in[27]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 228.640 0.000 228.920 2.400 ;
-    END
-  END la_data_in[27]
-  PIN la_data_in[28]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 232.320 0.000 232.600 2.400 ;
-    END
-  END la_data_in[28]
-  PIN la_data_in[29]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 236.000 0.000 236.280 2.400 ;
-    END
-  END la_data_in[29]
-  PIN la_data_in[2]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 137.100 0.000 137.380 2.400 ;
-    END
-  END la_data_in[2]
-  PIN la_data_in[30]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 239.680 0.000 239.960 2.400 ;
-    END
-  END la_data_in[30]
-  PIN la_data_in[31]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 243.360 0.000 243.640 2.400 ;
-    END
-  END la_data_in[31]
-  PIN la_data_in[32]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 247.040 0.000 247.320 2.400 ;
-    END
-  END la_data_in[32]
-  PIN la_data_in[33]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 250.720 0.000 251.000 2.400 ;
-    END
-  END la_data_in[33]
-  PIN la_data_in[34]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 254.400 0.000 254.680 2.400 ;
-    END
-  END la_data_in[34]
-  PIN la_data_in[35]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 258.080 0.000 258.360 2.400 ;
-    END
-  END la_data_in[35]
-  PIN la_data_in[36]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 261.760 0.000 262.040 2.400 ;
-    END
-  END la_data_in[36]
-  PIN la_data_in[37]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 265.440 0.000 265.720 2.400 ;
-    END
-  END la_data_in[37]
-  PIN la_data_in[38]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 269.120 0.000 269.400 2.400 ;
-    END
-  END la_data_in[38]
-  PIN la_data_in[39]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 272.800 0.000 273.080 2.400 ;
-    END
-  END la_data_in[39]
-  PIN la_data_in[3]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 140.780 0.000 141.060 2.400 ;
-    END
-  END la_data_in[3]
-  PIN la_data_in[40]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 276.480 0.000 276.760 2.400 ;
-    END
-  END la_data_in[40]
-  PIN la_data_in[41]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 280.160 0.000 280.440 2.400 ;
-    END
-  END la_data_in[41]
-  PIN la_data_in[42]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 283.840 0.000 284.120 2.400 ;
-    END
-  END la_data_in[42]
-  PIN la_data_in[43]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 287.520 0.000 287.800 2.400 ;
-    END
-  END la_data_in[43]
-  PIN la_data_in[44]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 291.200 0.000 291.480 2.400 ;
-    END
-  END la_data_in[44]
-  PIN la_data_in[45]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 294.880 0.000 295.160 2.400 ;
-    END
-  END la_data_in[45]
-  PIN la_data_in[46]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 298.560 0.000 298.840 2.400 ;
-    END
-  END la_data_in[46]
-  PIN la_data_in[47]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 302.240 0.000 302.520 2.400 ;
-    END
-  END la_data_in[47]
-  PIN la_data_in[48]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 305.920 0.000 306.200 2.400 ;
-    END
-  END la_data_in[48]
-  PIN la_data_in[49]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 309.600 0.000 309.880 2.400 ;
-    END
-  END la_data_in[49]
-  PIN la_data_in[4]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 144.460 0.000 144.740 2.400 ;
-    END
-  END la_data_in[4]
-  PIN la_data_in[50]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 313.280 0.000 313.560 2.400 ;
-    END
-  END la_data_in[50]
-  PIN la_data_in[51]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 316.960 0.000 317.240 2.400 ;
-    END
-  END la_data_in[51]
-  PIN la_data_in[52]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 320.640 0.000 320.920 2.400 ;
-    END
-  END la_data_in[52]
-  PIN la_data_in[53]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 324.320 0.000 324.600 2.400 ;
-    END
-  END la_data_in[53]
-  PIN la_data_in[54]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 328.000 0.000 328.280 2.400 ;
-    END
-  END la_data_in[54]
-  PIN la_data_in[55]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 331.680 0.000 331.960 2.400 ;
-    END
-  END la_data_in[55]
-  PIN la_data_in[56]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 335.360 0.000 335.640 2.400 ;
-    END
-  END la_data_in[56]
-  PIN la_data_in[57]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 339.040 0.000 339.320 2.400 ;
-    END
-  END la_data_in[57]
-  PIN la_data_in[58]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 342.720 0.000 343.000 2.400 ;
-    END
-  END la_data_in[58]
-  PIN la_data_in[59]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 346.400 0.000 346.680 2.400 ;
-    END
-  END la_data_in[59]
-  PIN la_data_in[5]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 148.140 0.000 148.420 2.400 ;
-    END
-  END la_data_in[5]
-  PIN la_data_in[60]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 350.080 0.000 350.360 2.400 ;
-    END
-  END la_data_in[60]
-  PIN la_data_in[61]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 353.760 0.000 354.040 2.400 ;
-    END
-  END la_data_in[61]
-  PIN la_data_in[62]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 357.440 0.000 357.720 2.400 ;
-    END
-  END la_data_in[62]
-  PIN la_data_in[63]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 361.120 0.000 361.400 2.400 ;
-    END
-  END la_data_in[63]
-  PIN la_data_in[64]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 364.800 0.000 365.080 2.400 ;
-    END
-  END la_data_in[64]
-  PIN la_data_in[65]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 368.480 0.000 368.760 2.400 ;
-    END
-  END la_data_in[65]
-  PIN la_data_in[66]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 372.160 0.000 372.440 2.400 ;
-    END
-  END la_data_in[66]
-  PIN la_data_in[67]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 375.380 0.000 375.660 2.400 ;
-    END
-  END la_data_in[67]
-  PIN la_data_in[68]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 379.060 0.000 379.340 2.400 ;
-    END
-  END la_data_in[68]
-  PIN la_data_in[69]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 382.740 0.000 383.020 2.400 ;
-    END
-  END la_data_in[69]
-  PIN la_data_in[6]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 151.360 0.000 151.640 2.400 ;
-    END
-  END la_data_in[6]
-  PIN la_data_in[70]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 386.420 0.000 386.700 2.400 ;
-    END
-  END la_data_in[70]
-  PIN la_data_in[71]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 390.100 0.000 390.380 2.400 ;
-    END
-  END la_data_in[71]
-  PIN la_data_in[72]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 393.780 0.000 394.060 2.400 ;
-    END
-  END la_data_in[72]
-  PIN la_data_in[73]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 397.460 0.000 397.740 2.400 ;
-    END
-  END la_data_in[73]
-  PIN la_data_in[74]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 401.140 0.000 401.420 2.400 ;
-    END
-  END la_data_in[74]
-  PIN la_data_in[75]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 404.820 0.000 405.100 2.400 ;
-    END
-  END la_data_in[75]
-  PIN la_data_in[76]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 408.500 0.000 408.780 2.400 ;
-    END
-  END la_data_in[76]
-  PIN la_data_in[77]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 412.180 0.000 412.460 2.400 ;
-    END
-  END la_data_in[77]
-  PIN la_data_in[78]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 415.860 0.000 416.140 2.400 ;
-    END
-  END la_data_in[78]
-  PIN la_data_in[79]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 419.540 0.000 419.820 2.400 ;
-    END
-  END la_data_in[79]
-  PIN la_data_in[7]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 155.040 0.000 155.320 2.400 ;
-    END
-  END la_data_in[7]
-  PIN la_data_in[80]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 423.220 0.000 423.500 2.400 ;
-    END
-  END la_data_in[80]
-  PIN la_data_in[81]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 426.900 0.000 427.180 2.400 ;
-    END
-  END la_data_in[81]
-  PIN la_data_in[82]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 430.580 0.000 430.860 2.400 ;
-    END
-  END la_data_in[82]
-  PIN la_data_in[83]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 434.260 0.000 434.540 2.400 ;
-    END
-  END la_data_in[83]
-  PIN la_data_in[84]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 437.940 0.000 438.220 2.400 ;
-    END
-  END la_data_in[84]
-  PIN la_data_in[85]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 441.620 0.000 441.900 2.400 ;
-    END
-  END la_data_in[85]
-  PIN la_data_in[86]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 445.300 0.000 445.580 2.400 ;
-    END
-  END la_data_in[86]
-  PIN la_data_in[87]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 448.980 0.000 449.260 2.400 ;
-    END
-  END la_data_in[87]
-  PIN la_data_in[88]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 452.660 0.000 452.940 2.400 ;
-    END
-  END la_data_in[88]
-  PIN la_data_in[89]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 456.340 0.000 456.620 2.400 ;
-    END
-  END la_data_in[89]
-  PIN la_data_in[8]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 158.720 0.000 159.000 2.400 ;
-    END
-  END la_data_in[8]
-  PIN la_data_in[90]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 460.020 0.000 460.300 2.400 ;
-    END
-  END la_data_in[90]
-  PIN la_data_in[91]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 463.700 0.000 463.980 2.400 ;
-    END
-  END la_data_in[91]
-  PIN la_data_in[92]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 467.380 0.000 467.660 2.400 ;
-    END
-  END la_data_in[92]
-  PIN la_data_in[93]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 471.060 0.000 471.340 2.400 ;
-    END
-  END la_data_in[93]
-  PIN la_data_in[94]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 474.740 0.000 475.020 2.400 ;
-    END
-  END la_data_in[94]
-  PIN la_data_in[95]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 478.420 0.000 478.700 2.400 ;
-    END
-  END la_data_in[95]
-  PIN la_data_in[96]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 482.100 0.000 482.380 2.400 ;
-    END
-  END la_data_in[96]
-  PIN la_data_in[97]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 485.780 0.000 486.060 2.400 ;
-    END
-  END la_data_in[97]
-  PIN la_data_in[98]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 489.460 0.000 489.740 2.400 ;
-    END
-  END la_data_in[98]
-  PIN la_data_in[99]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 493.140 0.000 493.420 2.400 ;
-    END
-  END la_data_in[99]
-  PIN la_data_in[9]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 162.400 0.000 162.680 2.400 ;
-    END
-  END la_data_in[9]
-  PIN la_data_out[0]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 130.660 0.000 130.940 2.400 ;
-    END
-  END la_data_out[0]
-  PIN la_data_out[100]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 498.200 0.000 498.480 2.400 ;
-    END
-  END la_data_out[100]
-  PIN la_data_out[101]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 501.880 0.000 502.160 2.400 ;
-    END
-  END la_data_out[101]
-  PIN la_data_out[102]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 505.560 0.000 505.840 2.400 ;
-    END
-  END la_data_out[102]
-  PIN la_data_out[103]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 509.240 0.000 509.520 2.400 ;
-    END
-  END la_data_out[103]
-  PIN la_data_out[104]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 512.920 0.000 513.200 2.400 ;
-    END
-  END la_data_out[104]
-  PIN la_data_out[105]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 516.600 0.000 516.880 2.400 ;
-    END
-  END la_data_out[105]
-  PIN la_data_out[106]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 520.280 0.000 520.560 2.400 ;
-    END
-  END la_data_out[106]
-  PIN la_data_out[107]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 523.960 0.000 524.240 2.400 ;
-    END
-  END la_data_out[107]
-  PIN la_data_out[108]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 527.180 0.000 527.460 2.400 ;
-    END
-  END la_data_out[108]
-  PIN la_data_out[109]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 530.860 0.000 531.140 2.400 ;
-    END
-  END la_data_out[109]
-  PIN la_data_out[10]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 167.460 0.000 167.740 2.400 ;
-    END
-  END la_data_out[10]
-  PIN la_data_out[110]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 534.540 0.000 534.820 2.400 ;
-    END
-  END la_data_out[110]
-  PIN la_data_out[111]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 538.220 0.000 538.500 2.400 ;
-    END
-  END la_data_out[111]
-  PIN la_data_out[112]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 541.900 0.000 542.180 2.400 ;
-    END
-  END la_data_out[112]
-  PIN la_data_out[113]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 545.580 0.000 545.860 2.400 ;
-    END
-  END la_data_out[113]
-  PIN la_data_out[114]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 549.260 0.000 549.540 2.400 ;
-    END
-  END la_data_out[114]
-  PIN la_data_out[115]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 552.940 0.000 553.220 2.400 ;
-    END
-  END la_data_out[115]
-  PIN la_data_out[116]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 556.620 0.000 556.900 2.400 ;
-    END
-  END la_data_out[116]
-  PIN la_data_out[117]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 560.300 0.000 560.580 2.400 ;
-    END
-  END la_data_out[117]
-  PIN la_data_out[118]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 563.980 0.000 564.260 2.400 ;
-    END
-  END la_data_out[118]
-  PIN la_data_out[119]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 567.660 0.000 567.940 2.400 ;
-    END
-  END la_data_out[119]
-  PIN la_data_out[11]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 171.140 0.000 171.420 2.400 ;
-    END
-  END la_data_out[11]
-  PIN la_data_out[120]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 571.340 0.000 571.620 2.400 ;
-    END
-  END la_data_out[120]
-  PIN la_data_out[121]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 575.020 0.000 575.300 2.400 ;
-    END
-  END la_data_out[121]
-  PIN la_data_out[122]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 578.700 0.000 578.980 2.400 ;
-    END
-  END la_data_out[122]
-  PIN la_data_out[123]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 582.380 0.000 582.660 2.400 ;
-    END
-  END la_data_out[123]
-  PIN la_data_out[124]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 586.060 0.000 586.340 2.400 ;
-    END
-  END la_data_out[124]
-  PIN la_data_out[125]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 589.740 0.000 590.020 2.400 ;
-    END
-  END la_data_out[125]
-  PIN la_data_out[126]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 593.420 0.000 593.700 2.400 ;
-    END
-  END la_data_out[126]
-  PIN la_data_out[127]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 597.100 0.000 597.380 2.400 ;
-    END
-  END la_data_out[127]
-  PIN la_data_out[12]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 174.820 0.000 175.100 2.400 ;
-    END
-  END la_data_out[12]
-  PIN la_data_out[13]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 178.500 0.000 178.780 2.400 ;
-    END
-  END la_data_out[13]
-  PIN la_data_out[14]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 182.180 0.000 182.460 2.400 ;
-    END
-  END la_data_out[14]
-  PIN la_data_out[15]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 185.860 0.000 186.140 2.400 ;
-    END
-  END la_data_out[15]
-  PIN la_data_out[16]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 189.540 0.000 189.820 2.400 ;
-    END
-  END la_data_out[16]
-  PIN la_data_out[17]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 193.220 0.000 193.500 2.400 ;
-    END
-  END la_data_out[17]
-  PIN la_data_out[18]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 196.900 0.000 197.180 2.400 ;
-    END
-  END la_data_out[18]
-  PIN la_data_out[19]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 200.580 0.000 200.860 2.400 ;
-    END
-  END la_data_out[19]
-  PIN la_data_out[1]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 134.340 0.000 134.620 2.400 ;
-    END
-  END la_data_out[1]
-  PIN la_data_out[20]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 204.260 0.000 204.540 2.400 ;
-    END
-  END la_data_out[20]
-  PIN la_data_out[21]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 207.940 0.000 208.220 2.400 ;
-    END
-  END la_data_out[21]
-  PIN la_data_out[22]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 211.620 0.000 211.900 2.400 ;
-    END
-  END la_data_out[22]
-  PIN la_data_out[23]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 215.300 0.000 215.580 2.400 ;
-    END
-  END la_data_out[23]
-  PIN la_data_out[24]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 218.980 0.000 219.260 2.400 ;
-    END
-  END la_data_out[24]
-  PIN la_data_out[25]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 222.660 0.000 222.940 2.400 ;
-    END
-  END la_data_out[25]
-  PIN la_data_out[26]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 226.340 0.000 226.620 2.400 ;
-    END
-  END la_data_out[26]
-  PIN la_data_out[27]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 230.020 0.000 230.300 2.400 ;
-    END
-  END la_data_out[27]
-  PIN la_data_out[28]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 233.700 0.000 233.980 2.400 ;
-    END
-  END la_data_out[28]
-  PIN la_data_out[29]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 237.380 0.000 237.660 2.400 ;
-    END
-  END la_data_out[29]
-  PIN la_data_out[2]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 138.020 0.000 138.300 2.400 ;
-    END
-  END la_data_out[2]
-  PIN la_data_out[30]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 241.060 0.000 241.340 2.400 ;
-    END
-  END la_data_out[30]
-  PIN la_data_out[31]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 244.740 0.000 245.020 2.400 ;
-    END
-  END la_data_out[31]
-  PIN la_data_out[32]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 248.420 0.000 248.700 2.400 ;
-    END
-  END la_data_out[32]
-  PIN la_data_out[33]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 252.100 0.000 252.380 2.400 ;
-    END
-  END la_data_out[33]
-  PIN la_data_out[34]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 255.780 0.000 256.060 2.400 ;
-    END
-  END la_data_out[34]
-  PIN la_data_out[35]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 259.460 0.000 259.740 2.400 ;
-    END
-  END la_data_out[35]
-  PIN la_data_out[36]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 263.140 0.000 263.420 2.400 ;
-    END
-  END la_data_out[36]
-  PIN la_data_out[37]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 266.820 0.000 267.100 2.400 ;
-    END
-  END la_data_out[37]
-  PIN la_data_out[38]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 270.500 0.000 270.780 2.400 ;
-    END
-  END la_data_out[38]
-  PIN la_data_out[39]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 274.180 0.000 274.460 2.400 ;
-    END
-  END la_data_out[39]
-  PIN la_data_out[3]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 141.700 0.000 141.980 2.400 ;
-    END
-  END la_data_out[3]
-  PIN la_data_out[40]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 277.860 0.000 278.140 2.400 ;
-    END
-  END la_data_out[40]
-  PIN la_data_out[41]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 281.540 0.000 281.820 2.400 ;
-    END
-  END la_data_out[41]
-  PIN la_data_out[42]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 285.220 0.000 285.500 2.400 ;
-    END
-  END la_data_out[42]
-  PIN la_data_out[43]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 288.900 0.000 289.180 2.400 ;
-    END
-  END la_data_out[43]
-  PIN la_data_out[44]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 292.580 0.000 292.860 2.400 ;
-    END
-  END la_data_out[44]
-  PIN la_data_out[45]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 296.260 0.000 296.540 2.400 ;
-    END
-  END la_data_out[45]
-  PIN la_data_out[46]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 299.940 0.000 300.220 2.400 ;
-    END
-  END la_data_out[46]
-  PIN la_data_out[47]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 303.160 0.000 303.440 2.400 ;
-    END
-  END la_data_out[47]
-  PIN la_data_out[48]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 306.840 0.000 307.120 2.400 ;
-    END
-  END la_data_out[48]
-  PIN la_data_out[49]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 310.520 0.000 310.800 2.400 ;
-    END
-  END la_data_out[49]
-  PIN la_data_out[4]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 145.380 0.000 145.660 2.400 ;
-    END
-  END la_data_out[4]
-  PIN la_data_out[50]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 314.200 0.000 314.480 2.400 ;
-    END
-  END la_data_out[50]
-  PIN la_data_out[51]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 317.880 0.000 318.160 2.400 ;
-    END
-  END la_data_out[51]
-  PIN la_data_out[52]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 321.560 0.000 321.840 2.400 ;
-    END
-  END la_data_out[52]
-  PIN la_data_out[53]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 325.240 0.000 325.520 2.400 ;
-    END
-  END la_data_out[53]
-  PIN la_data_out[54]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 328.920 0.000 329.200 2.400 ;
-    END
-  END la_data_out[54]
-  PIN la_data_out[55]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 332.600 0.000 332.880 2.400 ;
-    END
-  END la_data_out[55]
-  PIN la_data_out[56]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 336.280 0.000 336.560 2.400 ;
-    END
-  END la_data_out[56]
-  PIN la_data_out[57]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 339.960 0.000 340.240 2.400 ;
-    END
-  END la_data_out[57]
-  PIN la_data_out[58]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 343.640 0.000 343.920 2.400 ;
-    END
-  END la_data_out[58]
-  PIN la_data_out[59]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 347.320 0.000 347.600 2.400 ;
-    END
-  END la_data_out[59]
-  PIN la_data_out[5]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 149.060 0.000 149.340 2.400 ;
-    END
-  END la_data_out[5]
-  PIN la_data_out[60]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 351.000 0.000 351.280 2.400 ;
-    END
-  END la_data_out[60]
-  PIN la_data_out[61]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 354.680 0.000 354.960 2.400 ;
-    END
-  END la_data_out[61]
-  PIN la_data_out[62]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 358.360 0.000 358.640 2.400 ;
-    END
-  END la_data_out[62]
-  PIN la_data_out[63]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 362.040 0.000 362.320 2.400 ;
-    END
-  END la_data_out[63]
-  PIN la_data_out[64]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 365.720 0.000 366.000 2.400 ;
-    END
-  END la_data_out[64]
-  PIN la_data_out[65]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 369.400 0.000 369.680 2.400 ;
-    END
-  END la_data_out[65]
-  PIN la_data_out[66]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 373.080 0.000 373.360 2.400 ;
-    END
-  END la_data_out[66]
-  PIN la_data_out[67]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 376.760 0.000 377.040 2.400 ;
-    END
-  END la_data_out[67]
-  PIN la_data_out[68]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 380.440 0.000 380.720 2.400 ;
-    END
-  END la_data_out[68]
-  PIN la_data_out[69]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 384.120 0.000 384.400 2.400 ;
-    END
-  END la_data_out[69]
-  PIN la_data_out[6]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 152.740 0.000 153.020 2.400 ;
-    END
-  END la_data_out[6]
-  PIN la_data_out[70]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 387.800 0.000 388.080 2.400 ;
-    END
-  END la_data_out[70]
-  PIN la_data_out[71]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 391.480 0.000 391.760 2.400 ;
-    END
-  END la_data_out[71]
-  PIN la_data_out[72]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 395.160 0.000 395.440 2.400 ;
-    END
-  END la_data_out[72]
-  PIN la_data_out[73]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 398.840 0.000 399.120 2.400 ;
-    END
-  END la_data_out[73]
-  PIN la_data_out[74]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 402.520 0.000 402.800 2.400 ;
-    END
-  END la_data_out[74]
-  PIN la_data_out[75]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 406.200 0.000 406.480 2.400 ;
-    END
-  END la_data_out[75]
-  PIN la_data_out[76]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 409.880 0.000 410.160 2.400 ;
-    END
-  END la_data_out[76]
-  PIN la_data_out[77]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 413.560 0.000 413.840 2.400 ;
-    END
-  END la_data_out[77]
-  PIN la_data_out[78]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 417.240 0.000 417.520 2.400 ;
-    END
-  END la_data_out[78]
-  PIN la_data_out[79]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 420.920 0.000 421.200 2.400 ;
-    END
-  END la_data_out[79]
-  PIN la_data_out[7]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 156.420 0.000 156.700 2.400 ;
-    END
-  END la_data_out[7]
-  PIN la_data_out[80]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 424.600 0.000 424.880 2.400 ;
-    END
-  END la_data_out[80]
-  PIN la_data_out[81]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 428.280 0.000 428.560 2.400 ;
-    END
-  END la_data_out[81]
-  PIN la_data_out[82]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 431.960 0.000 432.240 2.400 ;
-    END
-  END la_data_out[82]
-  PIN la_data_out[83]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 435.640 0.000 435.920 2.400 ;
-    END
-  END la_data_out[83]
-  PIN la_data_out[84]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 439.320 0.000 439.600 2.400 ;
-    END
-  END la_data_out[84]
-  PIN la_data_out[85]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 443.000 0.000 443.280 2.400 ;
-    END
-  END la_data_out[85]
-  PIN la_data_out[86]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 446.680 0.000 446.960 2.400 ;
-    END
-  END la_data_out[86]
-  PIN la_data_out[87]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 450.360 0.000 450.640 2.400 ;
-    END
-  END la_data_out[87]
-  PIN la_data_out[88]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 454.040 0.000 454.320 2.400 ;
-    END
-  END la_data_out[88]
-  PIN la_data_out[89]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 457.720 0.000 458.000 2.400 ;
-    END
-  END la_data_out[89]
-  PIN la_data_out[8]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 160.100 0.000 160.380 2.400 ;
-    END
-  END la_data_out[8]
-  PIN la_data_out[90]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 461.400 0.000 461.680 2.400 ;
-    END
-  END la_data_out[90]
-  PIN la_data_out[91]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 465.080 0.000 465.360 2.400 ;
-    END
-  END la_data_out[91]
-  PIN la_data_out[92]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 468.760 0.000 469.040 2.400 ;
-    END
-  END la_data_out[92]
-  PIN la_data_out[93]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 472.440 0.000 472.720 2.400 ;
-    END
-  END la_data_out[93]
-  PIN la_data_out[94]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 476.120 0.000 476.400 2.400 ;
-    END
-  END la_data_out[94]
-  PIN la_data_out[95]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 479.800 0.000 480.080 2.400 ;
-    END
-  END la_data_out[95]
-  PIN la_data_out[96]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 483.480 0.000 483.760 2.400 ;
-    END
-  END la_data_out[96]
-  PIN la_data_out[97]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 487.160 0.000 487.440 2.400 ;
-    END
-  END la_data_out[97]
-  PIN la_data_out[98]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 490.840 0.000 491.120 2.400 ;
-    END
-  END la_data_out[98]
-  PIN la_data_out[99]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 494.520 0.000 494.800 2.400 ;
-    END
-  END la_data_out[99]
-  PIN la_data_out[9]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 163.780 0.000 164.060 2.400 ;
-    END
-  END la_data_out[9]
-  PIN la_oen[0]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 132.040 0.000 132.320 2.400 ;
-    END
-  END la_oen[0]
-  PIN la_oen[100]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 499.120 0.000 499.400 2.400 ;
-    END
-  END la_oen[100]
-  PIN la_oen[101]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 502.800 0.000 503.080 2.400 ;
-    END
-  END la_oen[101]
-  PIN la_oen[102]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 506.480 0.000 506.760 2.400 ;
-    END
-  END la_oen[102]
-  PIN la_oen[103]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 510.160 0.000 510.440 2.400 ;
-    END
-  END la_oen[103]
-  PIN la_oen[104]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 513.840 0.000 514.120 2.400 ;
-    END
-  END la_oen[104]
-  PIN la_oen[105]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 517.520 0.000 517.800 2.400 ;
-    END
-  END la_oen[105]
-  PIN la_oen[106]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 521.200 0.000 521.480 2.400 ;
-    END
-  END la_oen[106]
-  PIN la_oen[107]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 524.880 0.000 525.160 2.400 ;
-    END
-  END la_oen[107]
-  PIN la_oen[108]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 528.560 0.000 528.840 2.400 ;
-    END
-  END la_oen[108]
-  PIN la_oen[109]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 532.240 0.000 532.520 2.400 ;
-    END
-  END la_oen[109]
-  PIN la_oen[10]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 168.840 0.000 169.120 2.400 ;
-    END
-  END la_oen[10]
-  PIN la_oen[110]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 535.920 0.000 536.200 2.400 ;
-    END
-  END la_oen[110]
-  PIN la_oen[111]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 539.600 0.000 539.880 2.400 ;
-    END
-  END la_oen[111]
-  PIN la_oen[112]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 543.280 0.000 543.560 2.400 ;
-    END
-  END la_oen[112]
-  PIN la_oen[113]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 546.960 0.000 547.240 2.400 ;
-    END
-  END la_oen[113]
-  PIN la_oen[114]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 550.640 0.000 550.920 2.400 ;
-    END
-  END la_oen[114]
-  PIN la_oen[115]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 554.320 0.000 554.600 2.400 ;
-    END
-  END la_oen[115]
-  PIN la_oen[116]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 558.000 0.000 558.280 2.400 ;
-    END
-  END la_oen[116]
-  PIN la_oen[117]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 561.680 0.000 561.960 2.400 ;
-    END
-  END la_oen[117]
-  PIN la_oen[118]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 565.360 0.000 565.640 2.400 ;
-    END
-  END la_oen[118]
-  PIN la_oen[119]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 569.040 0.000 569.320 2.400 ;
-    END
-  END la_oen[119]
-  PIN la_oen[11]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 172.520 0.000 172.800 2.400 ;
-    END
-  END la_oen[11]
-  PIN la_oen[120]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 572.720 0.000 573.000 2.400 ;
-    END
-  END la_oen[120]
-  PIN la_oen[121]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 576.400 0.000 576.680 2.400 ;
-    END
-  END la_oen[121]
-  PIN la_oen[122]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 580.080 0.000 580.360 2.400 ;
-    END
-  END la_oen[122]
-  PIN la_oen[123]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 583.760 0.000 584.040 2.400 ;
-    END
-  END la_oen[123]
-  PIN la_oen[124]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 587.440 0.000 587.720 2.400 ;
-    END
-  END la_oen[124]
-  PIN la_oen[125]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 591.120 0.000 591.400 2.400 ;
-    END
-  END la_oen[125]
-  PIN la_oen[126]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 594.800 0.000 595.080 2.400 ;
-    END
-  END la_oen[126]
-  PIN la_oen[127]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 598.480 0.000 598.760 2.400 ;
-    END
-  END la_oen[127]
-  PIN la_oen[12]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 176.200 0.000 176.480 2.400 ;
-    END
-  END la_oen[12]
-  PIN la_oen[13]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 179.880 0.000 180.160 2.400 ;
-    END
-  END la_oen[13]
-  PIN la_oen[14]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 183.560 0.000 183.840 2.400 ;
-    END
-  END la_oen[14]
-  PIN la_oen[15]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 187.240 0.000 187.520 2.400 ;
-    END
-  END la_oen[15]
-  PIN la_oen[16]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 190.920 0.000 191.200 2.400 ;
-    END
-  END la_oen[16]
-  PIN la_oen[17]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 194.600 0.000 194.880 2.400 ;
-    END
-  END la_oen[17]
-  PIN la_oen[18]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 198.280 0.000 198.560 2.400 ;
-    END
-  END la_oen[18]
-  PIN la_oen[19]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 201.960 0.000 202.240 2.400 ;
-    END
-  END la_oen[19]
-  PIN la_oen[1]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 135.720 0.000 136.000 2.400 ;
-    END
-  END la_oen[1]
-  PIN la_oen[20]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 205.640 0.000 205.920 2.400 ;
-    END
-  END la_oen[20]
-  PIN la_oen[21]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 209.320 0.000 209.600 2.400 ;
-    END
-  END la_oen[21]
-  PIN la_oen[22]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 213.000 0.000 213.280 2.400 ;
-    END
-  END la_oen[22]
-  PIN la_oen[23]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 216.680 0.000 216.960 2.400 ;
-    END
-  END la_oen[23]
-  PIN la_oen[24]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 220.360 0.000 220.640 2.400 ;
-    END
-  END la_oen[24]
-  PIN la_oen[25]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 224.040 0.000 224.320 2.400 ;
-    END
-  END la_oen[25]
-  PIN la_oen[26]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 227.260 0.000 227.540 2.400 ;
-    END
-  END la_oen[26]
-  PIN la_oen[27]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 230.940 0.000 231.220 2.400 ;
-    END
-  END la_oen[27]
-  PIN la_oen[28]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 234.620 0.000 234.900 2.400 ;
-    END
-  END la_oen[28]
-  PIN la_oen[29]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 238.300 0.000 238.580 2.400 ;
-    END
-  END la_oen[29]
-  PIN la_oen[2]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 139.400 0.000 139.680 2.400 ;
-    END
-  END la_oen[2]
-  PIN la_oen[30]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 241.980 0.000 242.260 2.400 ;
-    END
-  END la_oen[30]
-  PIN la_oen[31]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 245.660 0.000 245.940 2.400 ;
-    END
-  END la_oen[31]
-  PIN la_oen[32]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 249.340 0.000 249.620 2.400 ;
-    END
-  END la_oen[32]
-  PIN la_oen[33]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 253.020 0.000 253.300 2.400 ;
-    END
-  END la_oen[33]
-  PIN la_oen[34]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 256.700 0.000 256.980 2.400 ;
-    END
-  END la_oen[34]
-  PIN la_oen[35]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 260.380 0.000 260.660 2.400 ;
-    END
-  END la_oen[35]
-  PIN la_oen[36]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 264.060 0.000 264.340 2.400 ;
-    END
-  END la_oen[36]
-  PIN la_oen[37]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 267.740 0.000 268.020 2.400 ;
-    END
-  END la_oen[37]
-  PIN la_oen[38]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 271.420 0.000 271.700 2.400 ;
-    END
-  END la_oen[38]
-  PIN la_oen[39]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 275.100 0.000 275.380 2.400 ;
-    END
-  END la_oen[39]
-  PIN la_oen[3]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 143.080 0.000 143.360 2.400 ;
-    END
-  END la_oen[3]
-  PIN la_oen[40]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 278.780 0.000 279.060 2.400 ;
-    END
-  END la_oen[40]
-  PIN la_oen[41]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 282.460 0.000 282.740 2.400 ;
-    END
-  END la_oen[41]
-  PIN la_oen[42]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 286.140 0.000 286.420 2.400 ;
-    END
-  END la_oen[42]
-  PIN la_oen[43]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 289.820 0.000 290.100 2.400 ;
-    END
-  END la_oen[43]
-  PIN la_oen[44]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 293.500 0.000 293.780 2.400 ;
-    END
-  END la_oen[44]
-  PIN la_oen[45]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 297.180 0.000 297.460 2.400 ;
-    END
-  END la_oen[45]
-  PIN la_oen[46]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 300.860 0.000 301.140 2.400 ;
-    END
-  END la_oen[46]
-  PIN la_oen[47]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 304.540 0.000 304.820 2.400 ;
-    END
-  END la_oen[47]
-  PIN la_oen[48]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 308.220 0.000 308.500 2.400 ;
-    END
-  END la_oen[48]
-  PIN la_oen[49]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 311.900 0.000 312.180 2.400 ;
-    END
-  END la_oen[49]
-  PIN la_oen[4]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 146.760 0.000 147.040 2.400 ;
-    END
-  END la_oen[4]
-  PIN la_oen[50]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 315.580 0.000 315.860 2.400 ;
-    END
-  END la_oen[50]
-  PIN la_oen[51]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 319.260 0.000 319.540 2.400 ;
-    END
-  END la_oen[51]
-  PIN la_oen[52]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 322.940 0.000 323.220 2.400 ;
-    END
-  END la_oen[52]
-  PIN la_oen[53]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 326.620 0.000 326.900 2.400 ;
-    END
-  END la_oen[53]
-  PIN la_oen[54]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 330.300 0.000 330.580 2.400 ;
-    END
-  END la_oen[54]
-  PIN la_oen[55]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 333.980 0.000 334.260 2.400 ;
-    END
-  END la_oen[55]
-  PIN la_oen[56]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 337.660 0.000 337.940 2.400 ;
-    END
-  END la_oen[56]
-  PIN la_oen[57]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 341.340 0.000 341.620 2.400 ;
-    END
-  END la_oen[57]
-  PIN la_oen[58]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 345.020 0.000 345.300 2.400 ;
-    END
-  END la_oen[58]
-  PIN la_oen[59]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 348.700 0.000 348.980 2.400 ;
-    END
-  END la_oen[59]
-  PIN la_oen[5]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 150.440 0.000 150.720 2.400 ;
-    END
-  END la_oen[5]
-  PIN la_oen[60]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 352.380 0.000 352.660 2.400 ;
-    END
-  END la_oen[60]
-  PIN la_oen[61]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 356.060 0.000 356.340 2.400 ;
-    END
-  END la_oen[61]
-  PIN la_oen[62]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 359.740 0.000 360.020 2.400 ;
-    END
-  END la_oen[62]
-  PIN la_oen[63]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 363.420 0.000 363.700 2.400 ;
-    END
-  END la_oen[63]
-  PIN la_oen[64]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 367.100 0.000 367.380 2.400 ;
-    END
-  END la_oen[64]
-  PIN la_oen[65]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 370.780 0.000 371.060 2.400 ;
-    END
-  END la_oen[65]
-  PIN la_oen[66]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 374.460 0.000 374.740 2.400 ;
-    END
-  END la_oen[66]
-  PIN la_oen[67]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 378.140 0.000 378.420 2.400 ;
-    END
-  END la_oen[67]
-  PIN la_oen[68]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 381.820 0.000 382.100 2.400 ;
-    END
-  END la_oen[68]
-  PIN la_oen[69]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 385.500 0.000 385.780 2.400 ;
-    END
-  END la_oen[69]
-  PIN la_oen[6]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 154.120 0.000 154.400 2.400 ;
-    END
-  END la_oen[6]
-  PIN la_oen[70]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 389.180 0.000 389.460 2.400 ;
-    END
-  END la_oen[70]
-  PIN la_oen[71]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 392.860 0.000 393.140 2.400 ;
-    END
-  END la_oen[71]
-  PIN la_oen[72]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 396.540 0.000 396.820 2.400 ;
-    END
-  END la_oen[72]
-  PIN la_oen[73]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 400.220 0.000 400.500 2.400 ;
-    END
-  END la_oen[73]
-  PIN la_oen[74]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 403.900 0.000 404.180 2.400 ;
-    END
-  END la_oen[74]
-  PIN la_oen[75]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 407.580 0.000 407.860 2.400 ;
-    END
-  END la_oen[75]
-  PIN la_oen[76]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 411.260 0.000 411.540 2.400 ;
-    END
-  END la_oen[76]
-  PIN la_oen[77]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 414.940 0.000 415.220 2.400 ;
-    END
-  END la_oen[77]
-  PIN la_oen[78]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 418.620 0.000 418.900 2.400 ;
-    END
-  END la_oen[78]
-  PIN la_oen[79]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 422.300 0.000 422.580 2.400 ;
-    END
-  END la_oen[79]
-  PIN la_oen[7]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 157.800 0.000 158.080 2.400 ;
-    END
-  END la_oen[7]
-  PIN la_oen[80]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 425.980 0.000 426.260 2.400 ;
-    END
-  END la_oen[80]
-  PIN la_oen[81]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 429.660 0.000 429.940 2.400 ;
-    END
-  END la_oen[81]
-  PIN la_oen[82]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 433.340 0.000 433.620 2.400 ;
-    END
-  END la_oen[82]
-  PIN la_oen[83]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 437.020 0.000 437.300 2.400 ;
-    END
-  END la_oen[83]
-  PIN la_oen[84]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 440.700 0.000 440.980 2.400 ;
-    END
-  END la_oen[84]
-  PIN la_oen[85]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 444.380 0.000 444.660 2.400 ;
-    END
-  END la_oen[85]
-  PIN la_oen[86]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 448.060 0.000 448.340 2.400 ;
-    END
-  END la_oen[86]
-  PIN la_oen[87]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 451.280 0.000 451.560 2.400 ;
-    END
-  END la_oen[87]
-  PIN la_oen[88]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 454.960 0.000 455.240 2.400 ;
-    END
-  END la_oen[88]
-  PIN la_oen[89]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 458.640 0.000 458.920 2.400 ;
-    END
-  END la_oen[89]
-  PIN la_oen[8]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 161.480 0.000 161.760 2.400 ;
-    END
-  END la_oen[8]
-  PIN la_oen[90]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 462.320 0.000 462.600 2.400 ;
-    END
-  END la_oen[90]
-  PIN la_oen[91]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 466.000 0.000 466.280 2.400 ;
-    END
-  END la_oen[91]
-  PIN la_oen[92]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 469.680 0.000 469.960 2.400 ;
-    END
-  END la_oen[92]
-  PIN la_oen[93]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 473.360 0.000 473.640 2.400 ;
-    END
-  END la_oen[93]
-  PIN la_oen[94]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 477.040 0.000 477.320 2.400 ;
-    END
-  END la_oen[94]
-  PIN la_oen[95]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 480.720 0.000 481.000 2.400 ;
-    END
-  END la_oen[95]
-  PIN la_oen[96]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 484.400 0.000 484.680 2.400 ;
-    END
-  END la_oen[96]
-  PIN la_oen[97]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 488.080 0.000 488.360 2.400 ;
-    END
-  END la_oen[97]
-  PIN la_oen[98]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 491.760 0.000 492.040 2.400 ;
-    END
-  END la_oen[98]
-  PIN la_oen[99]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 495.440 0.000 495.720 2.400 ;
-    END
-  END la_oen[99]
-  PIN la_oen[9]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 165.160 0.000 165.440 2.400 ;
-    END
-  END la_oen[9]
-  PIN wb_clk_i
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 0.020 0.000 0.300 2.400 ;
-    END
-  END wb_clk_i
-  PIN wb_rst_i
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 0.940 0.000 1.220 2.400 ;
-    END
-  END wb_rst_i
-  PIN wbs_ack_o
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2.320 0.000 2.600 2.400 ;
-    END
-  END wbs_ack_o
-  PIN wbs_adr_i[0]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 6.920 0.000 7.200 2.400 ;
-    END
-  END wbs_adr_i[0]
-  PIN wbs_adr_i[10]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 48.780 0.000 49.060 2.400 ;
-    END
-  END wbs_adr_i[10]
-  PIN wbs_adr_i[11]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 52.460 0.000 52.740 2.400 ;
-    END
-  END wbs_adr_i[11]
-  PIN wbs_adr_i[12]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 56.140 0.000 56.420 2.400 ;
-    END
-  END wbs_adr_i[12]
-  PIN wbs_adr_i[13]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 59.820 0.000 60.100 2.400 ;
-    END
-  END wbs_adr_i[13]
-  PIN wbs_adr_i[14]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 63.500 0.000 63.780 2.400 ;
-    END
-  END wbs_adr_i[14]
-  PIN wbs_adr_i[15]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 67.180 0.000 67.460 2.400 ;
-    END
-  END wbs_adr_i[15]
-  PIN wbs_adr_i[16]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 70.860 0.000 71.140 2.400 ;
-    END
-  END wbs_adr_i[16]
-  PIN wbs_adr_i[17]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 74.540 0.000 74.820 2.400 ;
-    END
-  END wbs_adr_i[17]
-  PIN wbs_adr_i[18]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 78.220 0.000 78.500 2.400 ;
-    END
-  END wbs_adr_i[18]
-  PIN wbs_adr_i[19]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 81.900 0.000 82.180 2.400 ;
-    END
-  END wbs_adr_i[19]
-  PIN wbs_adr_i[1]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 11.980 0.000 12.260 2.400 ;
-    END
-  END wbs_adr_i[1]
-  PIN wbs_adr_i[20]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 85.580 0.000 85.860 2.400 ;
-    END
-  END wbs_adr_i[20]
-  PIN wbs_adr_i[21]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 89.260 0.000 89.540 2.400 ;
-    END
-  END wbs_adr_i[21]
-  PIN wbs_adr_i[22]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 92.940 0.000 93.220 2.400 ;
-    END
-  END wbs_adr_i[22]
-  PIN wbs_adr_i[23]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 96.620 0.000 96.900 2.400 ;
-    END
-  END wbs_adr_i[23]
-  PIN wbs_adr_i[24]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 100.300 0.000 100.580 2.400 ;
-    END
-  END wbs_adr_i[24]
-  PIN wbs_adr_i[25]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 103.980 0.000 104.260 2.400 ;
-    END
-  END wbs_adr_i[25]
-  PIN wbs_adr_i[26]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 107.660 0.000 107.940 2.400 ;
-    END
-  END wbs_adr_i[26]
-  PIN wbs_adr_i[27]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 111.340 0.000 111.620 2.400 ;
-    END
-  END wbs_adr_i[27]
-  PIN wbs_adr_i[28]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 115.020 0.000 115.300 2.400 ;
-    END
-  END wbs_adr_i[28]
-  PIN wbs_adr_i[29]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 118.700 0.000 118.980 2.400 ;
-    END
-  END wbs_adr_i[29]
-  PIN wbs_adr_i[2]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 17.040 0.000 17.320 2.400 ;
-    END
-  END wbs_adr_i[2]
-  PIN wbs_adr_i[30]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 122.380 0.000 122.660 2.400 ;
-    END
-  END wbs_adr_i[30]
-  PIN wbs_adr_i[31]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 126.060 0.000 126.340 2.400 ;
-    END
-  END wbs_adr_i[31]
-  PIN wbs_adr_i[3]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 21.640 0.000 21.920 2.400 ;
-    END
-  END wbs_adr_i[3]
-  PIN wbs_adr_i[4]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 26.700 0.000 26.980 2.400 ;
-    END
-  END wbs_adr_i[4]
-  PIN wbs_adr_i[5]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 30.380 0.000 30.660 2.400 ;
-    END
-  END wbs_adr_i[5]
-  PIN wbs_adr_i[6]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 34.060 0.000 34.340 2.400 ;
-    END
-  END wbs_adr_i[6]
-  PIN wbs_adr_i[7]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 37.740 0.000 38.020 2.400 ;
-    END
-  END wbs_adr_i[7]
-  PIN wbs_adr_i[8]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 41.420 0.000 41.700 2.400 ;
-    END
-  END wbs_adr_i[8]
-  PIN wbs_adr_i[9]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 45.100 0.000 45.380 2.400 ;
-    END
-  END wbs_adr_i[9]
-  PIN wbs_cyc_i
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 3.240 0.000 3.520 2.400 ;
-    END
-  END wbs_cyc_i
-  PIN wbs_dat_i[0]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 8.300 0.000 8.580 2.400 ;
-    END
-  END wbs_dat_i[0]
-  PIN wbs_dat_i[10]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 50.160 0.000 50.440 2.400 ;
-    END
-  END wbs_dat_i[10]
-  PIN wbs_dat_i[11]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 53.840 0.000 54.120 2.400 ;
-    END
-  END wbs_dat_i[11]
-  PIN wbs_dat_i[12]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 57.520 0.000 57.800 2.400 ;
-    END
-  END wbs_dat_i[12]
-  PIN wbs_dat_i[13]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 61.200 0.000 61.480 2.400 ;
-    END
-  END wbs_dat_i[13]
-  PIN wbs_dat_i[14]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 64.880 0.000 65.160 2.400 ;
-    END
-  END wbs_dat_i[14]
-  PIN wbs_dat_i[15]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 68.560 0.000 68.840 2.400 ;
-    END
-  END wbs_dat_i[15]
-  PIN wbs_dat_i[16]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 72.240 0.000 72.520 2.400 ;
-    END
-  END wbs_dat_i[16]
-  PIN wbs_dat_i[17]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 75.460 0.000 75.740 2.400 ;
-    END
-  END wbs_dat_i[17]
-  PIN wbs_dat_i[18]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 79.140 0.000 79.420 2.400 ;
-    END
-  END wbs_dat_i[18]
-  PIN wbs_dat_i[19]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 82.820 0.000 83.100 2.400 ;
-    END
-  END wbs_dat_i[19]
-  PIN wbs_dat_i[1]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 13.360 0.000 13.640 2.400 ;
-    END
-  END wbs_dat_i[1]
-  PIN wbs_dat_i[20]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 86.500 0.000 86.780 2.400 ;
-    END
-  END wbs_dat_i[20]
-  PIN wbs_dat_i[21]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 90.180 0.000 90.460 2.400 ;
-    END
-  END wbs_dat_i[21]
-  PIN wbs_dat_i[22]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 93.860 0.000 94.140 2.400 ;
-    END
-  END wbs_dat_i[22]
-  PIN wbs_dat_i[23]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 97.540 0.000 97.820 2.400 ;
-    END
-  END wbs_dat_i[23]
-  PIN wbs_dat_i[24]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 101.220 0.000 101.500 2.400 ;
-    END
-  END wbs_dat_i[24]
-  PIN wbs_dat_i[25]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 104.900 0.000 105.180 2.400 ;
-    END
-  END wbs_dat_i[25]
-  PIN wbs_dat_i[26]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 108.580 0.000 108.860 2.400 ;
-    END
-  END wbs_dat_i[26]
-  PIN wbs_dat_i[27]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 112.260 0.000 112.540 2.400 ;
-    END
-  END wbs_dat_i[27]
-  PIN wbs_dat_i[28]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 115.940 0.000 116.220 2.400 ;
-    END
-  END wbs_dat_i[28]
-  PIN wbs_dat_i[29]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 119.620 0.000 119.900 2.400 ;
-    END
-  END wbs_dat_i[29]
-  PIN wbs_dat_i[2]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 17.960 0.000 18.240 2.400 ;
-    END
-  END wbs_dat_i[2]
-  PIN wbs_dat_i[30]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 123.300 0.000 123.580 2.400 ;
-    END
-  END wbs_dat_i[30]
-  PIN wbs_dat_i[31]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 126.980 0.000 127.260 2.400 ;
-    END
-  END wbs_dat_i[31]
-  PIN wbs_dat_i[3]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 23.020 0.000 23.300 2.400 ;
-    END
-  END wbs_dat_i[3]
-  PIN wbs_dat_i[4]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 28.080 0.000 28.360 2.400 ;
-    END
-  END wbs_dat_i[4]
-  PIN wbs_dat_i[5]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 31.760 0.000 32.040 2.400 ;
-    END
-  END wbs_dat_i[5]
-  PIN wbs_dat_i[6]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 35.440 0.000 35.720 2.400 ;
-    END
-  END wbs_dat_i[6]
-  PIN wbs_dat_i[7]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 39.120 0.000 39.400 2.400 ;
-    END
-  END wbs_dat_i[7]
-  PIN wbs_dat_i[8]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 42.800 0.000 43.080 2.400 ;
-    END
-  END wbs_dat_i[8]
-  PIN wbs_dat_i[9]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 46.480 0.000 46.760 2.400 ;
-    END
-  END wbs_dat_i[9]
-  PIN wbs_dat_o[0]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 9.680 0.000 9.960 2.400 ;
-    END
-  END wbs_dat_o[0]
-  PIN wbs_dat_o[10]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 51.080 0.000 51.360 2.400 ;
-    END
-  END wbs_dat_o[10]
-  PIN wbs_dat_o[11]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 54.760 0.000 55.040 2.400 ;
-    END
-  END wbs_dat_o[11]
-  PIN wbs_dat_o[12]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 58.440 0.000 58.720 2.400 ;
-    END
-  END wbs_dat_o[12]
-  PIN wbs_dat_o[13]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 62.120 0.000 62.400 2.400 ;
-    END
-  END wbs_dat_o[13]
-  PIN wbs_dat_o[14]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 65.800 0.000 66.080 2.400 ;
-    END
-  END wbs_dat_o[14]
-  PIN wbs_dat_o[15]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 69.480 0.000 69.760 2.400 ;
-    END
-  END wbs_dat_o[15]
-  PIN wbs_dat_o[16]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 73.160 0.000 73.440 2.400 ;
-    END
-  END wbs_dat_o[16]
-  PIN wbs_dat_o[17]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 76.840 0.000 77.120 2.400 ;
-    END
-  END wbs_dat_o[17]
-  PIN wbs_dat_o[18]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 80.520 0.000 80.800 2.400 ;
-    END
-  END wbs_dat_o[18]
-  PIN wbs_dat_o[19]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 84.200 0.000 84.480 2.400 ;
-    END
-  END wbs_dat_o[19]
-  PIN wbs_dat_o[1]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 14.280 0.000 14.560 2.400 ;
-    END
-  END wbs_dat_o[1]
-  PIN wbs_dat_o[20]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 87.880 0.000 88.160 2.400 ;
-    END
-  END wbs_dat_o[20]
-  PIN wbs_dat_o[21]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 91.560 0.000 91.840 2.400 ;
-    END
-  END wbs_dat_o[21]
-  PIN wbs_dat_o[22]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 95.240 0.000 95.520 2.400 ;
-    END
-  END wbs_dat_o[22]
-  PIN wbs_dat_o[23]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 98.920 0.000 99.200 2.400 ;
-    END
-  END wbs_dat_o[23]
-  PIN wbs_dat_o[24]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 102.600 0.000 102.880 2.400 ;
-    END
-  END wbs_dat_o[24]
-  PIN wbs_dat_o[25]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 106.280 0.000 106.560 2.400 ;
-    END
-  END wbs_dat_o[25]
-  PIN wbs_dat_o[26]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 109.960 0.000 110.240 2.400 ;
-    END
-  END wbs_dat_o[26]
-  PIN wbs_dat_o[27]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 113.640 0.000 113.920 2.400 ;
-    END
-  END wbs_dat_o[27]
-  PIN wbs_dat_o[28]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 117.320 0.000 117.600 2.400 ;
-    END
-  END wbs_dat_o[28]
-  PIN wbs_dat_o[29]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 121.000 0.000 121.280 2.400 ;
-    END
-  END wbs_dat_o[29]
-  PIN wbs_dat_o[2]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 19.340 0.000 19.620 2.400 ;
-    END
-  END wbs_dat_o[2]
-  PIN wbs_dat_o[30]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 124.680 0.000 124.960 2.400 ;
-    END
-  END wbs_dat_o[30]
-  PIN wbs_dat_o[31]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 128.360 0.000 128.640 2.400 ;
-    END
-  END wbs_dat_o[31]
-  PIN wbs_dat_o[3]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 24.400 0.000 24.680 2.400 ;
-    END
-  END wbs_dat_o[3]
-  PIN wbs_dat_o[4]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 29.000 0.000 29.280 2.400 ;
-    END
-  END wbs_dat_o[4]
-  PIN wbs_dat_o[5]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 32.680 0.000 32.960 2.400 ;
-    END
-  END wbs_dat_o[5]
-  PIN wbs_dat_o[6]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 36.360 0.000 36.640 2.400 ;
-    END
-  END wbs_dat_o[6]
-  PIN wbs_dat_o[7]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 40.040 0.000 40.320 2.400 ;
-    END
-  END wbs_dat_o[7]
-  PIN wbs_dat_o[8]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 43.720 0.000 44.000 2.400 ;
-    END
-  END wbs_dat_o[8]
-  PIN wbs_dat_o[9]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 47.400 0.000 47.680 2.400 ;
-    END
-  END wbs_dat_o[9]
-  PIN wbs_sel_i[0]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 10.600 0.000 10.880 2.400 ;
-    END
-  END wbs_sel_i[0]
-  PIN wbs_sel_i[1]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 15.660 0.000 15.940 2.400 ;
-    END
-  END wbs_sel_i[1]
-  PIN wbs_sel_i[2]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 20.720 0.000 21.000 2.400 ;
-    END
-  END wbs_sel_i[2]
-  PIN wbs_sel_i[3]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 25.320 0.000 25.600 2.400 ;
-    END
-  END wbs_sel_i[3]
-  PIN wbs_stb_i
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 4.620 0.000 4.900 2.400 ;
-    END
-  END wbs_stb_i
-  PIN wbs_we_i
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 6.000 0.000 6.280 2.400 ;
-    END
-  END wbs_we_i
-  PIN VPWR
-    DIRECTION INPUT ;
-    USE POWER ;
-    PORT
-      LAYER met4 ;
-        RECT 20.510 10.640 22.110 587.760 ;
-    END
-  END VPWR
-  PIN VGND
-    DIRECTION INPUT ;
-    USE GROUND ;
-    PORT
-      LAYER met4 ;
-        RECT 97.310 10.640 98.910 587.760 ;
-    END
-  END VGND
-  OBS
-      LAYER li1 ;
-        RECT 4.990 6.545 593.790 587.605 ;
-      LAYER met1 ;
-        RECT 0.000 5.140 597.400 587.760 ;
-      LAYER met2 ;
-        RECT 0.030 597.320 1.580 597.600 ;
-        RECT 2.420 597.320 6.640 597.600 ;
-        RECT 7.480 597.320 11.700 597.600 ;
-        RECT 12.540 597.320 17.220 597.600 ;
-        RECT 18.060 597.320 22.280 597.600 ;
-        RECT 23.120 597.320 27.800 597.600 ;
-        RECT 28.640 597.320 32.860 597.600 ;
-        RECT 33.700 597.320 38.380 597.600 ;
-        RECT 39.220 597.320 43.440 597.600 ;
-        RECT 44.280 597.320 48.500 597.600 ;
-        RECT 49.340 597.320 54.020 597.600 ;
-        RECT 54.860 597.320 59.080 597.600 ;
-        RECT 59.920 597.320 64.600 597.600 ;
-        RECT 65.440 597.320 69.660 597.600 ;
-        RECT 70.500 597.320 75.180 597.600 ;
-        RECT 76.020 597.320 80.240 597.600 ;
-        RECT 81.080 597.320 85.760 597.600 ;
-        RECT 86.600 597.320 90.820 597.600 ;
-        RECT 91.660 597.320 95.880 597.600 ;
-        RECT 96.720 597.320 101.400 597.600 ;
-        RECT 102.240 597.320 106.460 597.600 ;
-        RECT 107.300 597.320 111.980 597.600 ;
-        RECT 112.820 597.320 117.040 597.600 ;
-        RECT 117.880 597.320 122.560 597.600 ;
-        RECT 123.400 597.320 127.620 597.600 ;
-        RECT 128.460 597.320 132.680 597.600 ;
-        RECT 133.520 597.320 138.200 597.600 ;
-        RECT 139.040 597.320 143.260 597.600 ;
-        RECT 144.100 597.320 148.780 597.600 ;
-        RECT 149.620 597.320 153.840 597.600 ;
-        RECT 154.680 597.320 159.360 597.600 ;
-        RECT 160.200 597.320 164.420 597.600 ;
-        RECT 165.260 597.320 169.940 597.600 ;
-        RECT 170.780 597.320 175.000 597.600 ;
-        RECT 175.840 597.320 180.060 597.600 ;
-        RECT 180.900 597.320 185.580 597.600 ;
-        RECT 186.420 597.320 190.640 597.600 ;
-        RECT 191.480 597.320 196.160 597.600 ;
-        RECT 197.000 597.320 201.220 597.600 ;
-        RECT 202.060 597.320 206.740 597.600 ;
-        RECT 207.580 597.320 211.800 597.600 ;
-        RECT 212.640 597.320 216.860 597.600 ;
-        RECT 217.700 597.320 222.380 597.600 ;
-        RECT 223.220 597.320 227.440 597.600 ;
-        RECT 228.280 597.320 232.960 597.600 ;
-        RECT 233.800 597.320 238.020 597.600 ;
-        RECT 238.860 597.320 243.540 597.600 ;
-        RECT 244.380 597.320 248.600 597.600 ;
-        RECT 249.440 597.320 254.120 597.600 ;
-        RECT 254.960 597.320 259.180 597.600 ;
-        RECT 260.020 597.320 264.240 597.600 ;
-        RECT 265.080 597.320 269.760 597.600 ;
-        RECT 270.600 597.320 274.820 597.600 ;
-        RECT 275.660 597.320 280.340 597.600 ;
-        RECT 281.180 597.320 285.400 597.600 ;
-        RECT 286.240 597.320 290.920 597.600 ;
-        RECT 291.760 597.320 295.980 597.600 ;
-        RECT 296.820 597.320 301.500 597.600 ;
-        RECT 302.340 597.320 306.560 597.600 ;
-        RECT 307.400 597.320 311.620 597.600 ;
-        RECT 312.460 597.320 317.140 597.600 ;
-        RECT 317.980 597.320 322.200 597.600 ;
-        RECT 323.040 597.320 327.720 597.600 ;
-        RECT 328.560 597.320 332.780 597.600 ;
-        RECT 333.620 597.320 338.300 597.600 ;
-        RECT 339.140 597.320 343.360 597.600 ;
-        RECT 344.200 597.320 348.420 597.600 ;
-        RECT 349.260 597.320 353.940 597.600 ;
-        RECT 354.780 597.320 359.000 597.600 ;
-        RECT 359.840 597.320 364.520 597.600 ;
-        RECT 365.360 597.320 369.580 597.600 ;
-        RECT 370.420 597.320 375.100 597.600 ;
-        RECT 375.940 597.320 380.160 597.600 ;
-        RECT 381.000 597.320 385.680 597.600 ;
-        RECT 386.520 597.320 390.740 597.600 ;
-        RECT 391.580 597.320 395.800 597.600 ;
-        RECT 396.640 597.320 401.320 597.600 ;
-        RECT 402.160 597.320 406.380 597.600 ;
-        RECT 407.220 597.320 411.900 597.600 ;
-        RECT 412.740 597.320 416.960 597.600 ;
-        RECT 417.800 597.320 422.480 597.600 ;
-        RECT 423.320 597.320 427.540 597.600 ;
-        RECT 428.380 597.320 432.600 597.600 ;
-        RECT 433.440 597.320 438.120 597.600 ;
-        RECT 438.960 597.320 443.180 597.600 ;
-        RECT 444.020 597.320 448.700 597.600 ;
-        RECT 449.540 597.320 453.760 597.600 ;
-        RECT 454.600 597.320 459.280 597.600 ;
-        RECT 460.120 597.320 464.340 597.600 ;
-        RECT 465.180 597.320 469.860 597.600 ;
-        RECT 470.700 597.320 474.920 597.600 ;
-        RECT 475.760 597.320 479.980 597.600 ;
-        RECT 480.820 597.320 485.500 597.600 ;
-        RECT 486.340 597.320 490.560 597.600 ;
-        RECT 491.400 597.320 496.080 597.600 ;
-        RECT 496.920 597.320 501.140 597.600 ;
-        RECT 501.980 597.320 506.660 597.600 ;
-        RECT 507.500 597.320 511.720 597.600 ;
-        RECT 512.560 597.320 516.780 597.600 ;
-        RECT 517.620 597.320 522.300 597.600 ;
-        RECT 523.140 597.320 527.360 597.600 ;
-        RECT 528.200 597.320 532.880 597.600 ;
-        RECT 533.720 597.320 537.940 597.600 ;
-        RECT 538.780 597.320 543.460 597.600 ;
-        RECT 544.300 597.320 548.520 597.600 ;
-        RECT 549.360 597.320 554.040 597.600 ;
-        RECT 554.880 597.320 559.100 597.600 ;
-        RECT 559.940 597.320 564.160 597.600 ;
-        RECT 565.000 597.320 569.680 597.600 ;
-        RECT 570.520 597.320 574.740 597.600 ;
-        RECT 575.580 597.320 580.260 597.600 ;
-        RECT 581.100 597.320 585.320 597.600 ;
-        RECT 586.160 597.320 590.840 597.600 ;
-        RECT 591.680 597.320 595.900 597.600 ;
-        RECT 596.740 597.320 597.370 597.600 ;
-        RECT 0.030 2.680 597.370 597.320 ;
-        RECT 0.580 2.400 0.660 2.680 ;
-        RECT 1.500 2.400 2.040 2.680 ;
-        RECT 2.880 2.400 2.960 2.680 ;
-        RECT 3.800 2.400 4.340 2.680 ;
-        RECT 5.180 2.400 5.720 2.680 ;
-        RECT 6.560 2.400 6.640 2.680 ;
-        RECT 7.480 2.400 8.020 2.680 ;
-        RECT 8.860 2.400 9.400 2.680 ;
-        RECT 10.240 2.400 10.320 2.680 ;
-        RECT 11.160 2.400 11.700 2.680 ;
-        RECT 12.540 2.400 13.080 2.680 ;
-        RECT 13.920 2.400 14.000 2.680 ;
-        RECT 14.840 2.400 15.380 2.680 ;
-        RECT 16.220 2.400 16.760 2.680 ;
-        RECT 17.600 2.400 17.680 2.680 ;
-        RECT 18.520 2.400 19.060 2.680 ;
-        RECT 19.900 2.400 20.440 2.680 ;
-        RECT 21.280 2.400 21.360 2.680 ;
-        RECT 22.200 2.400 22.740 2.680 ;
-        RECT 23.580 2.400 24.120 2.680 ;
-        RECT 24.960 2.400 25.040 2.680 ;
-        RECT 25.880 2.400 26.420 2.680 ;
-        RECT 27.260 2.400 27.800 2.680 ;
-        RECT 28.640 2.400 28.720 2.680 ;
-        RECT 29.560 2.400 30.100 2.680 ;
-        RECT 30.940 2.400 31.480 2.680 ;
-        RECT 32.320 2.400 32.400 2.680 ;
-        RECT 33.240 2.400 33.780 2.680 ;
-        RECT 34.620 2.400 35.160 2.680 ;
-        RECT 36.000 2.400 36.080 2.680 ;
-        RECT 36.920 2.400 37.460 2.680 ;
-        RECT 38.300 2.400 38.840 2.680 ;
-        RECT 39.680 2.400 39.760 2.680 ;
-        RECT 40.600 2.400 41.140 2.680 ;
-        RECT 41.980 2.400 42.520 2.680 ;
-        RECT 43.360 2.400 43.440 2.680 ;
-        RECT 44.280 2.400 44.820 2.680 ;
-        RECT 45.660 2.400 46.200 2.680 ;
-        RECT 47.040 2.400 47.120 2.680 ;
-        RECT 47.960 2.400 48.500 2.680 ;
-        RECT 49.340 2.400 49.880 2.680 ;
-        RECT 50.720 2.400 50.800 2.680 ;
-        RECT 51.640 2.400 52.180 2.680 ;
-        RECT 53.020 2.400 53.560 2.680 ;
-        RECT 54.400 2.400 54.480 2.680 ;
-        RECT 55.320 2.400 55.860 2.680 ;
-        RECT 56.700 2.400 57.240 2.680 ;
-        RECT 58.080 2.400 58.160 2.680 ;
-        RECT 59.000 2.400 59.540 2.680 ;
-        RECT 60.380 2.400 60.920 2.680 ;
-        RECT 61.760 2.400 61.840 2.680 ;
-        RECT 62.680 2.400 63.220 2.680 ;
-        RECT 64.060 2.400 64.600 2.680 ;
-        RECT 65.440 2.400 65.520 2.680 ;
-        RECT 66.360 2.400 66.900 2.680 ;
-        RECT 67.740 2.400 68.280 2.680 ;
-        RECT 69.120 2.400 69.200 2.680 ;
-        RECT 70.040 2.400 70.580 2.680 ;
-        RECT 71.420 2.400 71.960 2.680 ;
-        RECT 72.800 2.400 72.880 2.680 ;
-        RECT 73.720 2.400 74.260 2.680 ;
-        RECT 75.100 2.400 75.180 2.680 ;
-        RECT 76.020 2.400 76.560 2.680 ;
-        RECT 77.400 2.400 77.940 2.680 ;
-        RECT 78.780 2.400 78.860 2.680 ;
-        RECT 79.700 2.400 80.240 2.680 ;
-        RECT 81.080 2.400 81.620 2.680 ;
-        RECT 82.460 2.400 82.540 2.680 ;
-        RECT 83.380 2.400 83.920 2.680 ;
-        RECT 84.760 2.400 85.300 2.680 ;
-        RECT 86.140 2.400 86.220 2.680 ;
-        RECT 87.060 2.400 87.600 2.680 ;
-        RECT 88.440 2.400 88.980 2.680 ;
-        RECT 89.820 2.400 89.900 2.680 ;
-        RECT 90.740 2.400 91.280 2.680 ;
-        RECT 92.120 2.400 92.660 2.680 ;
-        RECT 93.500 2.400 93.580 2.680 ;
-        RECT 94.420 2.400 94.960 2.680 ;
-        RECT 95.800 2.400 96.340 2.680 ;
-        RECT 97.180 2.400 97.260 2.680 ;
-        RECT 98.100 2.400 98.640 2.680 ;
-        RECT 99.480 2.400 100.020 2.680 ;
-        RECT 100.860 2.400 100.940 2.680 ;
-        RECT 101.780 2.400 102.320 2.680 ;
-        RECT 103.160 2.400 103.700 2.680 ;
-        RECT 104.540 2.400 104.620 2.680 ;
-        RECT 105.460 2.400 106.000 2.680 ;
-        RECT 106.840 2.400 107.380 2.680 ;
-        RECT 108.220 2.400 108.300 2.680 ;
-        RECT 109.140 2.400 109.680 2.680 ;
-        RECT 110.520 2.400 111.060 2.680 ;
-        RECT 111.900 2.400 111.980 2.680 ;
-        RECT 112.820 2.400 113.360 2.680 ;
-        RECT 114.200 2.400 114.740 2.680 ;
-        RECT 115.580 2.400 115.660 2.680 ;
-        RECT 116.500 2.400 117.040 2.680 ;
-        RECT 117.880 2.400 118.420 2.680 ;
-        RECT 119.260 2.400 119.340 2.680 ;
-        RECT 120.180 2.400 120.720 2.680 ;
-        RECT 121.560 2.400 122.100 2.680 ;
-        RECT 122.940 2.400 123.020 2.680 ;
-        RECT 123.860 2.400 124.400 2.680 ;
-        RECT 125.240 2.400 125.780 2.680 ;
-        RECT 126.620 2.400 126.700 2.680 ;
-        RECT 127.540 2.400 128.080 2.680 ;
-        RECT 128.920 2.400 129.460 2.680 ;
-        RECT 130.300 2.400 130.380 2.680 ;
-        RECT 131.220 2.400 131.760 2.680 ;
-        RECT 132.600 2.400 133.140 2.680 ;
-        RECT 133.980 2.400 134.060 2.680 ;
-        RECT 134.900 2.400 135.440 2.680 ;
-        RECT 136.280 2.400 136.820 2.680 ;
-        RECT 137.660 2.400 137.740 2.680 ;
-        RECT 138.580 2.400 139.120 2.680 ;
-        RECT 139.960 2.400 140.500 2.680 ;
-        RECT 141.340 2.400 141.420 2.680 ;
-        RECT 142.260 2.400 142.800 2.680 ;
-        RECT 143.640 2.400 144.180 2.680 ;
-        RECT 145.020 2.400 145.100 2.680 ;
-        RECT 145.940 2.400 146.480 2.680 ;
-        RECT 147.320 2.400 147.860 2.680 ;
-        RECT 148.700 2.400 148.780 2.680 ;
-        RECT 149.620 2.400 150.160 2.680 ;
-        RECT 151.000 2.400 151.080 2.680 ;
-        RECT 151.920 2.400 152.460 2.680 ;
-        RECT 153.300 2.400 153.840 2.680 ;
-        RECT 154.680 2.400 154.760 2.680 ;
-        RECT 155.600 2.400 156.140 2.680 ;
-        RECT 156.980 2.400 157.520 2.680 ;
-        RECT 158.360 2.400 158.440 2.680 ;
-        RECT 159.280 2.400 159.820 2.680 ;
-        RECT 160.660 2.400 161.200 2.680 ;
-        RECT 162.040 2.400 162.120 2.680 ;
-        RECT 162.960 2.400 163.500 2.680 ;
-        RECT 164.340 2.400 164.880 2.680 ;
-        RECT 165.720 2.400 165.800 2.680 ;
-        RECT 166.640 2.400 167.180 2.680 ;
-        RECT 168.020 2.400 168.560 2.680 ;
-        RECT 169.400 2.400 169.480 2.680 ;
-        RECT 170.320 2.400 170.860 2.680 ;
-        RECT 171.700 2.400 172.240 2.680 ;
-        RECT 173.080 2.400 173.160 2.680 ;
-        RECT 174.000 2.400 174.540 2.680 ;
-        RECT 175.380 2.400 175.920 2.680 ;
-        RECT 176.760 2.400 176.840 2.680 ;
-        RECT 177.680 2.400 178.220 2.680 ;
-        RECT 179.060 2.400 179.600 2.680 ;
-        RECT 180.440 2.400 180.520 2.680 ;
-        RECT 181.360 2.400 181.900 2.680 ;
-        RECT 182.740 2.400 183.280 2.680 ;
-        RECT 184.120 2.400 184.200 2.680 ;
-        RECT 185.040 2.400 185.580 2.680 ;
-        RECT 186.420 2.400 186.960 2.680 ;
-        RECT 187.800 2.400 187.880 2.680 ;
-        RECT 188.720 2.400 189.260 2.680 ;
-        RECT 190.100 2.400 190.640 2.680 ;
-        RECT 191.480 2.400 191.560 2.680 ;
-        RECT 192.400 2.400 192.940 2.680 ;
-        RECT 193.780 2.400 194.320 2.680 ;
-        RECT 195.160 2.400 195.240 2.680 ;
-        RECT 196.080 2.400 196.620 2.680 ;
-        RECT 197.460 2.400 198.000 2.680 ;
-        RECT 198.840 2.400 198.920 2.680 ;
-        RECT 199.760 2.400 200.300 2.680 ;
-        RECT 201.140 2.400 201.680 2.680 ;
-        RECT 202.520 2.400 202.600 2.680 ;
-        RECT 203.440 2.400 203.980 2.680 ;
-        RECT 204.820 2.400 205.360 2.680 ;
-        RECT 206.200 2.400 206.280 2.680 ;
-        RECT 207.120 2.400 207.660 2.680 ;
-        RECT 208.500 2.400 209.040 2.680 ;
-        RECT 209.880 2.400 209.960 2.680 ;
-        RECT 210.800 2.400 211.340 2.680 ;
-        RECT 212.180 2.400 212.720 2.680 ;
-        RECT 213.560 2.400 213.640 2.680 ;
-        RECT 214.480 2.400 215.020 2.680 ;
-        RECT 215.860 2.400 216.400 2.680 ;
-        RECT 217.240 2.400 217.320 2.680 ;
-        RECT 218.160 2.400 218.700 2.680 ;
-        RECT 219.540 2.400 220.080 2.680 ;
-        RECT 220.920 2.400 221.000 2.680 ;
-        RECT 221.840 2.400 222.380 2.680 ;
-        RECT 223.220 2.400 223.760 2.680 ;
-        RECT 224.600 2.400 224.680 2.680 ;
-        RECT 225.520 2.400 226.060 2.680 ;
-        RECT 226.900 2.400 226.980 2.680 ;
-        RECT 227.820 2.400 228.360 2.680 ;
-        RECT 229.200 2.400 229.740 2.680 ;
-        RECT 230.580 2.400 230.660 2.680 ;
-        RECT 231.500 2.400 232.040 2.680 ;
-        RECT 232.880 2.400 233.420 2.680 ;
-        RECT 234.260 2.400 234.340 2.680 ;
-        RECT 235.180 2.400 235.720 2.680 ;
-        RECT 236.560 2.400 237.100 2.680 ;
-        RECT 237.940 2.400 238.020 2.680 ;
-        RECT 238.860 2.400 239.400 2.680 ;
-        RECT 240.240 2.400 240.780 2.680 ;
-        RECT 241.620 2.400 241.700 2.680 ;
-        RECT 242.540 2.400 243.080 2.680 ;
-        RECT 243.920 2.400 244.460 2.680 ;
-        RECT 245.300 2.400 245.380 2.680 ;
-        RECT 246.220 2.400 246.760 2.680 ;
-        RECT 247.600 2.400 248.140 2.680 ;
-        RECT 248.980 2.400 249.060 2.680 ;
-        RECT 249.900 2.400 250.440 2.680 ;
-        RECT 251.280 2.400 251.820 2.680 ;
-        RECT 252.660 2.400 252.740 2.680 ;
-        RECT 253.580 2.400 254.120 2.680 ;
-        RECT 254.960 2.400 255.500 2.680 ;
-        RECT 256.340 2.400 256.420 2.680 ;
-        RECT 257.260 2.400 257.800 2.680 ;
-        RECT 258.640 2.400 259.180 2.680 ;
-        RECT 260.020 2.400 260.100 2.680 ;
-        RECT 260.940 2.400 261.480 2.680 ;
-        RECT 262.320 2.400 262.860 2.680 ;
-        RECT 263.700 2.400 263.780 2.680 ;
-        RECT 264.620 2.400 265.160 2.680 ;
-        RECT 266.000 2.400 266.540 2.680 ;
-        RECT 267.380 2.400 267.460 2.680 ;
-        RECT 268.300 2.400 268.840 2.680 ;
-        RECT 269.680 2.400 270.220 2.680 ;
-        RECT 271.060 2.400 271.140 2.680 ;
-        RECT 271.980 2.400 272.520 2.680 ;
-        RECT 273.360 2.400 273.900 2.680 ;
-        RECT 274.740 2.400 274.820 2.680 ;
-        RECT 275.660 2.400 276.200 2.680 ;
-        RECT 277.040 2.400 277.580 2.680 ;
-        RECT 278.420 2.400 278.500 2.680 ;
-        RECT 279.340 2.400 279.880 2.680 ;
-        RECT 280.720 2.400 281.260 2.680 ;
-        RECT 282.100 2.400 282.180 2.680 ;
-        RECT 283.020 2.400 283.560 2.680 ;
-        RECT 284.400 2.400 284.940 2.680 ;
-        RECT 285.780 2.400 285.860 2.680 ;
-        RECT 286.700 2.400 287.240 2.680 ;
-        RECT 288.080 2.400 288.620 2.680 ;
-        RECT 289.460 2.400 289.540 2.680 ;
-        RECT 290.380 2.400 290.920 2.680 ;
-        RECT 291.760 2.400 292.300 2.680 ;
-        RECT 293.140 2.400 293.220 2.680 ;
-        RECT 294.060 2.400 294.600 2.680 ;
-        RECT 295.440 2.400 295.980 2.680 ;
-        RECT 296.820 2.400 296.900 2.680 ;
-        RECT 297.740 2.400 298.280 2.680 ;
-        RECT 299.120 2.400 299.660 2.680 ;
-        RECT 300.500 2.400 300.580 2.680 ;
-        RECT 301.420 2.400 301.960 2.680 ;
-        RECT 302.800 2.400 302.880 2.680 ;
-        RECT 303.720 2.400 304.260 2.680 ;
-        RECT 305.100 2.400 305.640 2.680 ;
-        RECT 306.480 2.400 306.560 2.680 ;
-        RECT 307.400 2.400 307.940 2.680 ;
-        RECT 308.780 2.400 309.320 2.680 ;
-        RECT 310.160 2.400 310.240 2.680 ;
-        RECT 311.080 2.400 311.620 2.680 ;
-        RECT 312.460 2.400 313.000 2.680 ;
-        RECT 313.840 2.400 313.920 2.680 ;
-        RECT 314.760 2.400 315.300 2.680 ;
-        RECT 316.140 2.400 316.680 2.680 ;
-        RECT 317.520 2.400 317.600 2.680 ;
-        RECT 318.440 2.400 318.980 2.680 ;
-        RECT 319.820 2.400 320.360 2.680 ;
-        RECT 321.200 2.400 321.280 2.680 ;
-        RECT 322.120 2.400 322.660 2.680 ;
-        RECT 323.500 2.400 324.040 2.680 ;
-        RECT 324.880 2.400 324.960 2.680 ;
-        RECT 325.800 2.400 326.340 2.680 ;
-        RECT 327.180 2.400 327.720 2.680 ;
-        RECT 328.560 2.400 328.640 2.680 ;
-        RECT 329.480 2.400 330.020 2.680 ;
-        RECT 330.860 2.400 331.400 2.680 ;
-        RECT 332.240 2.400 332.320 2.680 ;
-        RECT 333.160 2.400 333.700 2.680 ;
-        RECT 334.540 2.400 335.080 2.680 ;
-        RECT 335.920 2.400 336.000 2.680 ;
-        RECT 336.840 2.400 337.380 2.680 ;
-        RECT 338.220 2.400 338.760 2.680 ;
-        RECT 339.600 2.400 339.680 2.680 ;
-        RECT 340.520 2.400 341.060 2.680 ;
-        RECT 341.900 2.400 342.440 2.680 ;
-        RECT 343.280 2.400 343.360 2.680 ;
-        RECT 344.200 2.400 344.740 2.680 ;
-        RECT 345.580 2.400 346.120 2.680 ;
-        RECT 346.960 2.400 347.040 2.680 ;
-        RECT 347.880 2.400 348.420 2.680 ;
-        RECT 349.260 2.400 349.800 2.680 ;
-        RECT 350.640 2.400 350.720 2.680 ;
-        RECT 351.560 2.400 352.100 2.680 ;
-        RECT 352.940 2.400 353.480 2.680 ;
-        RECT 354.320 2.400 354.400 2.680 ;
-        RECT 355.240 2.400 355.780 2.680 ;
-        RECT 356.620 2.400 357.160 2.680 ;
-        RECT 358.000 2.400 358.080 2.680 ;
-        RECT 358.920 2.400 359.460 2.680 ;
-        RECT 360.300 2.400 360.840 2.680 ;
-        RECT 361.680 2.400 361.760 2.680 ;
-        RECT 362.600 2.400 363.140 2.680 ;
-        RECT 363.980 2.400 364.520 2.680 ;
-        RECT 365.360 2.400 365.440 2.680 ;
-        RECT 366.280 2.400 366.820 2.680 ;
-        RECT 367.660 2.400 368.200 2.680 ;
-        RECT 369.040 2.400 369.120 2.680 ;
-        RECT 369.960 2.400 370.500 2.680 ;
-        RECT 371.340 2.400 371.880 2.680 ;
-        RECT 372.720 2.400 372.800 2.680 ;
-        RECT 373.640 2.400 374.180 2.680 ;
-        RECT 375.020 2.400 375.100 2.680 ;
-        RECT 375.940 2.400 376.480 2.680 ;
-        RECT 377.320 2.400 377.860 2.680 ;
-        RECT 378.700 2.400 378.780 2.680 ;
-        RECT 379.620 2.400 380.160 2.680 ;
-        RECT 381.000 2.400 381.540 2.680 ;
-        RECT 382.380 2.400 382.460 2.680 ;
-        RECT 383.300 2.400 383.840 2.680 ;
-        RECT 384.680 2.400 385.220 2.680 ;
-        RECT 386.060 2.400 386.140 2.680 ;
-        RECT 386.980 2.400 387.520 2.680 ;
-        RECT 388.360 2.400 388.900 2.680 ;
-        RECT 389.740 2.400 389.820 2.680 ;
-        RECT 390.660 2.400 391.200 2.680 ;
-        RECT 392.040 2.400 392.580 2.680 ;
-        RECT 393.420 2.400 393.500 2.680 ;
-        RECT 394.340 2.400 394.880 2.680 ;
-        RECT 395.720 2.400 396.260 2.680 ;
-        RECT 397.100 2.400 397.180 2.680 ;
-        RECT 398.020 2.400 398.560 2.680 ;
-        RECT 399.400 2.400 399.940 2.680 ;
-        RECT 400.780 2.400 400.860 2.680 ;
-        RECT 401.700 2.400 402.240 2.680 ;
-        RECT 403.080 2.400 403.620 2.680 ;
-        RECT 404.460 2.400 404.540 2.680 ;
-        RECT 405.380 2.400 405.920 2.680 ;
-        RECT 406.760 2.400 407.300 2.680 ;
-        RECT 408.140 2.400 408.220 2.680 ;
-        RECT 409.060 2.400 409.600 2.680 ;
-        RECT 410.440 2.400 410.980 2.680 ;
-        RECT 411.820 2.400 411.900 2.680 ;
-        RECT 412.740 2.400 413.280 2.680 ;
-        RECT 414.120 2.400 414.660 2.680 ;
-        RECT 415.500 2.400 415.580 2.680 ;
-        RECT 416.420 2.400 416.960 2.680 ;
-        RECT 417.800 2.400 418.340 2.680 ;
-        RECT 419.180 2.400 419.260 2.680 ;
-        RECT 420.100 2.400 420.640 2.680 ;
-        RECT 421.480 2.400 422.020 2.680 ;
-        RECT 422.860 2.400 422.940 2.680 ;
-        RECT 423.780 2.400 424.320 2.680 ;
-        RECT 425.160 2.400 425.700 2.680 ;
-        RECT 426.540 2.400 426.620 2.680 ;
-        RECT 427.460 2.400 428.000 2.680 ;
-        RECT 428.840 2.400 429.380 2.680 ;
-        RECT 430.220 2.400 430.300 2.680 ;
-        RECT 431.140 2.400 431.680 2.680 ;
-        RECT 432.520 2.400 433.060 2.680 ;
-        RECT 433.900 2.400 433.980 2.680 ;
-        RECT 434.820 2.400 435.360 2.680 ;
-        RECT 436.200 2.400 436.740 2.680 ;
-        RECT 437.580 2.400 437.660 2.680 ;
-        RECT 438.500 2.400 439.040 2.680 ;
-        RECT 439.880 2.400 440.420 2.680 ;
-        RECT 441.260 2.400 441.340 2.680 ;
-        RECT 442.180 2.400 442.720 2.680 ;
-        RECT 443.560 2.400 444.100 2.680 ;
-        RECT 444.940 2.400 445.020 2.680 ;
-        RECT 445.860 2.400 446.400 2.680 ;
-        RECT 447.240 2.400 447.780 2.680 ;
-        RECT 448.620 2.400 448.700 2.680 ;
-        RECT 449.540 2.400 450.080 2.680 ;
-        RECT 450.920 2.400 451.000 2.680 ;
-        RECT 451.840 2.400 452.380 2.680 ;
-        RECT 453.220 2.400 453.760 2.680 ;
-        RECT 454.600 2.400 454.680 2.680 ;
-        RECT 455.520 2.400 456.060 2.680 ;
-        RECT 456.900 2.400 457.440 2.680 ;
-        RECT 458.280 2.400 458.360 2.680 ;
-        RECT 459.200 2.400 459.740 2.680 ;
-        RECT 460.580 2.400 461.120 2.680 ;
-        RECT 461.960 2.400 462.040 2.680 ;
-        RECT 462.880 2.400 463.420 2.680 ;
-        RECT 464.260 2.400 464.800 2.680 ;
-        RECT 465.640 2.400 465.720 2.680 ;
-        RECT 466.560 2.400 467.100 2.680 ;
-        RECT 467.940 2.400 468.480 2.680 ;
-        RECT 469.320 2.400 469.400 2.680 ;
-        RECT 470.240 2.400 470.780 2.680 ;
-        RECT 471.620 2.400 472.160 2.680 ;
-        RECT 473.000 2.400 473.080 2.680 ;
-        RECT 473.920 2.400 474.460 2.680 ;
-        RECT 475.300 2.400 475.840 2.680 ;
-        RECT 476.680 2.400 476.760 2.680 ;
-        RECT 477.600 2.400 478.140 2.680 ;
-        RECT 478.980 2.400 479.520 2.680 ;
-        RECT 480.360 2.400 480.440 2.680 ;
-        RECT 481.280 2.400 481.820 2.680 ;
-        RECT 482.660 2.400 483.200 2.680 ;
-        RECT 484.040 2.400 484.120 2.680 ;
-        RECT 484.960 2.400 485.500 2.680 ;
-        RECT 486.340 2.400 486.880 2.680 ;
-        RECT 487.720 2.400 487.800 2.680 ;
-        RECT 488.640 2.400 489.180 2.680 ;
-        RECT 490.020 2.400 490.560 2.680 ;
-        RECT 491.400 2.400 491.480 2.680 ;
-        RECT 492.320 2.400 492.860 2.680 ;
-        RECT 493.700 2.400 494.240 2.680 ;
-        RECT 495.080 2.400 495.160 2.680 ;
-        RECT 496.000 2.400 496.540 2.680 ;
-        RECT 497.380 2.400 497.920 2.680 ;
-        RECT 498.760 2.400 498.840 2.680 ;
-        RECT 499.680 2.400 500.220 2.680 ;
-        RECT 501.060 2.400 501.600 2.680 ;
-        RECT 502.440 2.400 502.520 2.680 ;
-        RECT 503.360 2.400 503.900 2.680 ;
-        RECT 504.740 2.400 505.280 2.680 ;
-        RECT 506.120 2.400 506.200 2.680 ;
-        RECT 507.040 2.400 507.580 2.680 ;
-        RECT 508.420 2.400 508.960 2.680 ;
-        RECT 509.800 2.400 509.880 2.680 ;
-        RECT 510.720 2.400 511.260 2.680 ;
-        RECT 512.100 2.400 512.640 2.680 ;
-        RECT 513.480 2.400 513.560 2.680 ;
-        RECT 514.400 2.400 514.940 2.680 ;
-        RECT 515.780 2.400 516.320 2.680 ;
-        RECT 517.160 2.400 517.240 2.680 ;
-        RECT 518.080 2.400 518.620 2.680 ;
-        RECT 519.460 2.400 520.000 2.680 ;
-        RECT 520.840 2.400 520.920 2.680 ;
-        RECT 521.760 2.400 522.300 2.680 ;
-        RECT 523.140 2.400 523.680 2.680 ;
-        RECT 524.520 2.400 524.600 2.680 ;
-        RECT 525.440 2.400 525.980 2.680 ;
-        RECT 526.820 2.400 526.900 2.680 ;
-        RECT 527.740 2.400 528.280 2.680 ;
-        RECT 529.120 2.400 529.660 2.680 ;
-        RECT 530.500 2.400 530.580 2.680 ;
-        RECT 531.420 2.400 531.960 2.680 ;
-        RECT 532.800 2.400 533.340 2.680 ;
-        RECT 534.180 2.400 534.260 2.680 ;
-        RECT 535.100 2.400 535.640 2.680 ;
-        RECT 536.480 2.400 537.020 2.680 ;
-        RECT 537.860 2.400 537.940 2.680 ;
-        RECT 538.780 2.400 539.320 2.680 ;
-        RECT 540.160 2.400 540.700 2.680 ;
-        RECT 541.540 2.400 541.620 2.680 ;
-        RECT 542.460 2.400 543.000 2.680 ;
-        RECT 543.840 2.400 544.380 2.680 ;
-        RECT 545.220 2.400 545.300 2.680 ;
-        RECT 546.140 2.400 546.680 2.680 ;
-        RECT 547.520 2.400 548.060 2.680 ;
-        RECT 548.900 2.400 548.980 2.680 ;
-        RECT 549.820 2.400 550.360 2.680 ;
-        RECT 551.200 2.400 551.740 2.680 ;
-        RECT 552.580 2.400 552.660 2.680 ;
-        RECT 553.500 2.400 554.040 2.680 ;
-        RECT 554.880 2.400 555.420 2.680 ;
-        RECT 556.260 2.400 556.340 2.680 ;
-        RECT 557.180 2.400 557.720 2.680 ;
-        RECT 558.560 2.400 559.100 2.680 ;
-        RECT 559.940 2.400 560.020 2.680 ;
-        RECT 560.860 2.400 561.400 2.680 ;
-        RECT 562.240 2.400 562.780 2.680 ;
-        RECT 563.620 2.400 563.700 2.680 ;
-        RECT 564.540 2.400 565.080 2.680 ;
-        RECT 565.920 2.400 566.460 2.680 ;
-        RECT 567.300 2.400 567.380 2.680 ;
-        RECT 568.220 2.400 568.760 2.680 ;
-        RECT 569.600 2.400 570.140 2.680 ;
-        RECT 570.980 2.400 571.060 2.680 ;
-        RECT 571.900 2.400 572.440 2.680 ;
-        RECT 573.280 2.400 573.820 2.680 ;
-        RECT 574.660 2.400 574.740 2.680 ;
-        RECT 575.580 2.400 576.120 2.680 ;
-        RECT 576.960 2.400 577.500 2.680 ;
-        RECT 578.340 2.400 578.420 2.680 ;
-        RECT 579.260 2.400 579.800 2.680 ;
-        RECT 580.640 2.400 581.180 2.680 ;
-        RECT 582.020 2.400 582.100 2.680 ;
-        RECT 582.940 2.400 583.480 2.680 ;
-        RECT 584.320 2.400 584.860 2.680 ;
-        RECT 585.700 2.400 585.780 2.680 ;
-        RECT 586.620 2.400 587.160 2.680 ;
-        RECT 588.000 2.400 588.540 2.680 ;
-        RECT 589.380 2.400 589.460 2.680 ;
-        RECT 590.300 2.400 590.840 2.680 ;
-        RECT 591.680 2.400 592.220 2.680 ;
-        RECT 593.060 2.400 593.140 2.680 ;
-        RECT 593.980 2.400 594.520 2.680 ;
-        RECT 595.360 2.400 595.900 2.680 ;
-        RECT 596.740 2.400 596.820 2.680 ;
-      LAYER met3 ;
-        RECT 0.915 9.695 559.710 587.685 ;
-      LAYER met4 ;
-        RECT 134.085 9.695 559.710 587.760 ;
-  END
-END user_proj_example
-END LIBRARY
\ No newline at end of file
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/merged_unpadded.lef b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/merged_unpadded.lef
deleted file mode 100644
index 51626f7..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/merged_unpadded.lef
+++ /dev/null
@@ -1,72986 +0,0 @@
-# Copyright 2020 The SkyWater PDK Authors
-#
-# Licensed under the Apache License, Version 2.0 (the "License");
-# you may not use this file except in compliance with the License.
-# You may obtain a copy of the License at
-#
-#     https://www.apache.org/licenses/LICENSE-2.0
-#
-# Unless required by applicable law or agreed to in writing, software
-# distributed under the License is distributed on an "AS IS" BASIS,
-# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-# See the License for the specific language governing permissions and
-# limitations under the License.
-#
-# SPDX-License-Identifier: Apache-2.0
-
-VERSION 5.7 ;
-
-BUSBITCHARS "[]" ;
-DIVIDERCHAR "/" ;
-
-UNITS
-  TIME NANOSECONDS 1 ;
-  CAPACITANCE PICOFARADS 1 ;
-  RESISTANCE OHMS 1 ;
-  DATABASE MICRONS 1000 ;
-END UNITS
-
-MANUFACTURINGGRID 0.005 ;
-
-PROPERTYDEFINITIONS
-  LAYER LEF58_TYPE STRING ;
-END PROPERTYDEFINITIONS
-
-# High density, single height
-SITE unithd
-  SYMMETRY Y ;
-  CLASS CORE ;
-  SIZE 0.46 BY 2.72 ;
-END unithd
-
-# High density, double height
-SITE unithddbl
-  SYMMETRY Y ;
-  CLASS CORE ;
-  SIZE 0.46 BY 5.44 ;
-END unithddbl
-
-LAYER nwell
-  TYPE MASTERSLICE ;
-  PROPERTY LEF58_TYPE "TYPE NWELL ;" ;
-END nwell
-
-LAYER pwell
-  TYPE MASTERSLICE ;
-  PROPERTY LEF58_TYPE "TYPE PWELL ;" ;
-END pwell
-
-LAYER li1
-  TYPE ROUTING ;
-  DIRECTION VERTICAL ;
-
-  PITCH 0.46 0.34 ;
-  OFFSET 0.23 0.17 ;
-
-  WIDTH 0.17 ;          # LI 1
-  # SPACING  0.17 ;     # LI 2
-  SPACINGTABLE
-     PARALLELRUNLENGTH 0
-     WIDTH 0 0.17 ;
-  AREA 0.0561 ;         # LI 6
-  THICKNESS 0.1 ;
-  EDGECAPACITANCE 40.697E-6 ;
-  CAPACITANCE CPERSQDIST 36.9866E-6 ;
-  RESISTANCE RPERSQ 12.2 ;
-
-  ANTENNAMODEL OXIDE1 ;
-  ANTENNADIFFSIDEAREARATIO PWL ( ( 0 75 ) ( 0.0125 75 ) ( 0.0225 85.125 ) ( 22.5 10200 ) ) ;
-END li1
-
-LAYER mcon
-  TYPE CUT ;
-
-  WIDTH 0.17 ;                # Mcon 1
-  SPACING 0.19 ;              # Mcon 2
-  ENCLOSURE BELOW 0 0 ;       # Mcon 4
-  ENCLOSURE ABOVE 0.03 0.06 ; # Met1 4 / Met1 5
-
-  ANTENNADIFFAREARATIO PWL ( ( 0 3 ) ( 0.0125 3 ) ( 0.0225 3.405 ) ( 22.5 408 ) ) ;
-  DCCURRENTDENSITY AVERAGE 0.36 ; # mA per via Iavg_max at Tj = 90oC
-
-END mcon
-
-LAYER met1
-  TYPE ROUTING ;
-  DIRECTION HORIZONTAL ;
-
-  PITCH 0.34 ;
-  OFFSET 0.17 ;
-
-  WIDTH 0.14 ;                     # Met1 1
-  # SPACING 0.14 ;                 # Met1 2
-  # SPACING 0.28 RANGE 3.001 100 ; # Met1 3b
-  SPACINGTABLE
-     PARALLELRUNLENGTH 0
-     WIDTH 0 0.14
-     WIDTH 3 0.28 ;
-  AREA 0.083 ;                     # Met1 6
-  THICKNESS 0.35 ;
-
-  ANTENNAMODEL OXIDE1 ;
-  ANTENNADIFFSIDEAREARATIO PWL ( ( 0 400 ) ( 0.0125 400 ) ( 0.0225 2609 ) ( 22.5 11600 ) ) ;
-
-  EDGECAPACITANCE 40.567E-6 ;
-  CAPACITANCE CPERSQDIST 25.7784E-6 ;
-  DCCURRENTDENSITY AVERAGE 2.8 ; # mA/um Iavg_max at Tj = 90oC
-  ACCURRENTDENSITY RMS 6.1 ; # mA/um Irms_max at Tj = 90oC
-  MAXIMUMDENSITY 70 ;
-  DENSITYCHECKWINDOW 700 700 ;
-  DENSITYCHECKSTEP 70 ;
-
-  RESISTANCE RPERSQ 0.125 ;
-END met1
-
-LAYER via
-  TYPE CUT ;
-  WIDTH 0.15 ;                  # Via 1a
-  SPACING 0.17 ;                # Via 2
-  ENCLOSURE BELOW 0.055 0.085 ; # Via 4a / Via 5a
-  ENCLOSURE ABOVE 0.055 0.085 ; # Met2 4 / Met2 5
-
-  ANTENNADIFFAREARATIO PWL ( ( 0 6 ) ( 0.0125 6 ) ( 0.0225 6.81 ) ( 22.5 816 ) ) ;
-  DCCURRENTDENSITY AVERAGE 0.29 ; # mA per via Iavg_max at Tj = 90oC
-END via
-
-LAYER met2
-  TYPE ROUTING ;
-  DIRECTION VERTICAL ;
-
-  PITCH 0.46 ;
-  OFFSET 0.23 ;
-
-  WIDTH 0.14 ;                        # Met2 1
-  # SPACING  0.14 ;                   # Met2 2
-  # SPACING  0.28 RANGE 3.001 100 ;   # Met2 3b
-  SPACINGTABLE
-     PARALLELRUNLENGTH 0
-     WIDTH 0 0.14
-     WIDTH 3 0.28 ;
-  AREA 0.0676 ;                       # Met2 6
-  THICKNESS 0.35 ;
-
-  EDGECAPACITANCE 37.759E-6 ;
-  CAPACITANCE CPERSQDIST 16.9423E-6 ;
-  RESISTANCE RPERSQ 0.125 ;
-  DCCURRENTDENSITY AVERAGE 2.8 ; # mA/um Iavg_max at Tj = 90oC
-  ACCURRENTDENSITY RMS 6.1 ; # mA/um Irms_max at Tj = 90oC
-
-  ANTENNAMODEL OXIDE1 ;
-  ANTENNADIFFSIDEAREARATIO PWL ( ( 0 400 ) ( 0.0125 400 ) ( 0.0225 2609 ) ( 22.5 11600 ) ) ;
-
-  MAXIMUMDENSITY 70 ;
-  DENSITYCHECKWINDOW 700 700 ;
-  DENSITYCHECKSTEP 70 ;
-END met2
-
-# ******** Layer via2, type routing, number 44 **************
-LAYER via2
-  TYPE CUT ;
-  WIDTH 0.2 ;                   # Via2 1
-  SPACING 0.2 ;                 # Via2 2
-  ENCLOSURE BELOW 0.04 0.085 ;  # Via2 4
-  ENCLOSURE ABOVE 0.065 0.065 ; # Met3 4
-  ANTENNADIFFAREARATIO PWL ( ( 0 6 ) ( 0.0125 6 ) ( 0.0225 6.81 ) ( 22.5 816 ) ) ;
-  DCCURRENTDENSITY AVERAGE 0.48 ; # mA per via Iavg_max at Tj = 90oC
-END via2
-
-LAYER met3
-  TYPE ROUTING ;
-  DIRECTION HORIZONTAL ;
-
-  PITCH 0.68 ;
-  OFFSET 0.34 ;
-
-  WIDTH 0.3 ;              # Met3 1
-  # SPACING 0.3 ;          # Met3 2
-  SPACINGTABLE
-     PARALLELRUNLENGTH 0
-     WIDTH 0 0.3
-     WIDTH 3 0.4 ;
-  AREA 0.24 ;              # Met3 6
-  THICKNESS 0.8 ;
-
-  EDGECAPACITANCE 40.989E-6 ;
-  CAPACITANCE CPERSQDIST 12.3729E-6 ;
-  RESISTANCE RPERSQ 0.047 ;
-  DCCURRENTDENSITY AVERAGE 6.8 ; # mA/um Iavg_max at Tj = 90oC
-  ACCURRENTDENSITY RMS 14.9 ; # mA/um Irms_max at Tj = 90oC
-
-  ANTENNAMODEL OXIDE1 ;
-  ANTENNADIFFSIDEAREARATIO PWL ( ( 0 400 ) ( 0.0125 400 ) ( 0.0225 2609 ) ( 22.5 11600 ) ) ;
-
-  MAXIMUMDENSITY 70 ;
-  DENSITYCHECKWINDOW 700 700 ;
-  DENSITYCHECKSTEP 70 ;
-END met3
-
-LAYER via3
-  TYPE CUT ;
-  WIDTH 0.2 ;                   # Via3 1
-  SPACING 0.2 ;                 # Via3 2
-  ENCLOSURE BELOW 0.06 0.09 ;   # Via3 4 / Via3 5
-  ENCLOSURE ABOVE 0.065 0.065 ; # Met4 3
-  ANTENNADIFFAREARATIO PWL ( ( 0 6 ) ( 0.0125 6 ) ( 0.0225 6.81 ) ( 22.5 816 ) ) ;
-  DCCURRENTDENSITY AVERAGE 0.48 ; # mA per via Iavg_max at Tj = 90oC
-END via3
-
-LAYER met4
-  TYPE ROUTING ;
-  DIRECTION VERTICAL ;
-
-  PITCH 0.92 ;
-  OFFSET 0.46 ;
-
-  WIDTH 0.3 ;             # Met4 1
-  # SPACING  0.3 ;             # Met4 2
-  SPACINGTABLE
-     PARALLELRUNLENGTH 0
-     WIDTH 0 0.3
-     WIDTH 3 0.4 ;
-  AREA 0.24 ;            # Met4 4a
-
-  THICKNESS 0.8 ;
-
-  EDGECAPACITANCE 36.676E-6 ;
-  CAPACITANCE CPERSQDIST 8.41537E-6 ;
-  RESISTANCE RPERSQ 0.047 ;
-  DCCURRENTDENSITY AVERAGE 6.8 ; # mA/um Iavg_max at Tj = 90oC
-  ACCURRENTDENSITY RMS 14.9 ; # mA/um Irms_max at Tj = 90oC
-
-  ANTENNAMODEL OXIDE1 ;
-  ANTENNADIFFSIDEAREARATIO PWL ( ( 0 400 ) ( 0.0125 400 ) ( 0.0225 2609 ) ( 22.5 11600 ) ) ;
-
-  MAXIMUMDENSITY 70 ;
-  DENSITYCHECKWINDOW 700 700 ;
-  DENSITYCHECKSTEP 70 ;
-END met4
-
-LAYER via4
-  TYPE CUT ;
-
-  WIDTH 0.8 ;                 # Via4 1
-  SPACING 0.8 ;               # Via4 2
-  ENCLOSURE BELOW 0.19 0.19 ; # Via4 4
-  ENCLOSURE ABOVE 0.31 0.31 ; # Met5 3
-  ANTENNADIFFAREARATIO PWL ( ( 0 6 ) ( 0.0125 6 ) ( 0.0225 6.81 ) ( 22.5 816 ) ) ;
-  DCCURRENTDENSITY AVERAGE 2.49 ; # mA per via Iavg_max at Tj = 90oC
-END via4
-
-LAYER met5
-  TYPE ROUTING ;
-  DIRECTION HORIZONTAL ;
-
-  PITCH 3.4 ;
-  OFFSET 1.7 ;
-
-  WIDTH 1.6 ;            # Met5 1
-  #SPACING  1.6 ;        # Met5 2
-  SPACINGTABLE
-     PARALLELRUNLENGTH 0
-     WIDTH 0 1.6 ;
-  AREA 4 ;               # Met5 4
-
-  THICKNESS 1.2 ;
-
-  EDGECAPACITANCE 38.851E-6 ;
-  CAPACITANCE CPERSQDIST 6.32063E-6 ;
-  RESISTANCE RPERSQ 0.0285 ;
-  DCCURRENTDENSITY AVERAGE 10.17 ; # mA/um Iavg_max at Tj = 90oC
-  ACCURRENTDENSITY RMS 22.34 ; # mA/um Irms_max at Tj = 90oC
-
-  ANTENNAMODEL OXIDE1 ;
-  ANTENNADIFFSIDEAREARATIO PWL ( ( 0 400 ) ( 0.0125 400 ) ( 0.0225 2609 ) ( 22.5 11600 ) ) ;
-END met5
-
-
-### Routing via cells section   ###
-# Plus via rule, metals are along the prefered direction
-VIA L1M1_PR DEFAULT
-  LAYER mcon ;
-  RECT -0.085 -0.085 0.085 0.085 ;
-  LAYER li1 ;
-  RECT -0.085 -0.085 0.085 0.085 ;
-  LAYER met1 ;
-  RECT -0.145 -0.115 0.145 0.115 ;
-END L1M1_PR
-
-VIARULE L1M1_PR GENERATE
-  LAYER li1 ;
-  ENCLOSURE 0 0 ;
-  LAYER met1 ;
-  ENCLOSURE 0.06 0.03 ;
-  LAYER mcon ;
-  RECT -0.085 -0.085 0.085 0.085 ;
-  SPACING 0.36 BY 0.36 ;
-END L1M1_PR
-
-# Plus via rule, metals are along the non prefered direction
-VIA L1M1_PR_R DEFAULT
-  LAYER mcon ;
-  RECT -0.085 -0.085 0.085 0.085 ;
-  LAYER li1 ;
-  RECT -0.085 -0.085 0.085 0.085 ;
-  LAYER met1 ;
-  RECT -0.115 -0.145 0.115 0.145 ;
-END L1M1_PR_R
-
-VIARULE L1M1_PR_R GENERATE
-  LAYER li1 ;
-  ENCLOSURE 0 0 ;
-  LAYER met1 ;
-  ENCLOSURE 0.03 0.06 ;
-  LAYER mcon ;
-  RECT -0.085 -0.085 0.085 0.085 ;
-  SPACING 0.36 BY 0.36 ;
-END L1M1_PR_R
-
-# Minus via rule, lower layer metal is along prefered direction
-VIA L1M1_PR_M DEFAULT
-  LAYER mcon ;
-  RECT -0.085 -0.085 0.085 0.085 ;
-  LAYER li1 ;
-  RECT -0.085 -0.085 0.085 0.085 ;
-  LAYER met1 ;
-  RECT -0.115 -0.145 0.115 0.145 ;
-END L1M1_PR_M
-
-VIARULE L1M1_PR_M GENERATE
-  LAYER li1 ;
-  ENCLOSURE 0 0 ;
-  LAYER met1 ;
-  ENCLOSURE 0.03 0.06 ;
-  LAYER mcon ;
-  RECT -0.085 -0.085 0.085 0.085 ;
-  SPACING 0.36 BY 0.36 ;
-END L1M1_PR_M
-
-# Minus via rule, upper layer metal is along prefered direction
-VIA L1M1_PR_MR DEFAULT
-  LAYER mcon ;
-  RECT -0.085 -0.085 0.085 0.085 ;
-  LAYER li1 ;
-  RECT -0.085 -0.085 0.085 0.085 ;
-  LAYER met1 ;
-  RECT -0.145 -0.115 0.145 0.115 ;
-END L1M1_PR_MR
-
-VIARULE L1M1_PR_MR GENERATE
-  LAYER li1 ;
-  ENCLOSURE 0 0 ;
-  LAYER met1 ;
-  ENCLOSURE 0.06 0.03 ;
-  LAYER mcon ;
-  RECT -0.085 -0.085 0.085 0.085 ;
-  SPACING 0.36 BY 0.36 ;
-END L1M1_PR_MR
-
-# Centered via rule, we really do not want to use it
-VIA L1M1_PR_C DEFAULT
-  LAYER mcon ;
-  RECT -0.085 -0.085 0.085 0.085 ;
-  LAYER li1 ;
-  RECT -0.085 -0.085 0.085 0.085 ;
-  LAYER met1 ;
-  RECT -0.145 -0.145 0.145 0.145 ;
-END L1M1_PR_C
-
-VIARULE L1M1_PR_C GENERATE
-  LAYER li1 ;
-  ENCLOSURE 0 0 ;
-  LAYER met1 ;
-  ENCLOSURE 0.06 0.06 ;
-  LAYER mcon ;
-  RECT -0.085 -0.085 0.085 0.085 ;
-  SPACING 0.36 BY 0.36 ;
-END L1M1_PR_C
-
-# Plus via rule, metals are along the prefered direction
-VIA M1M2_PR DEFAULT
-  LAYER via ;
-  RECT -0.075 -0.075 0.075 0.075 ;
-  LAYER met1 ;
-  RECT -0.16 -0.13 0.16 0.13 ;
-  LAYER met2 ;
-  RECT -0.13 -0.16 0.13 0.16 ;
-END M1M2_PR
-
-VIARULE M1M2_PR GENERATE
-  LAYER met1 ;
-  ENCLOSURE 0.085 0.055 ;
-  LAYER met2 ;
-  ENCLOSURE 0.055 0.085 ;
-  LAYER via ;
-  RECT -0.075 -0.075 0.075 0.075 ;
-  SPACING 0.32 BY 0.32 ;
-END M1M2_PR
-
-# Plus via rule, metals are along the non prefered direction
-VIA M1M2_PR_R DEFAULT
-  LAYER via ;
-  RECT -0.075 -0.075 0.075 0.075 ;
-  LAYER met1 ;
-  RECT -0.13 -0.16 0.13 0.16 ;
-  LAYER met2 ;
-  RECT -0.16 -0.13 0.16 0.13 ;
-END M1M2_PR_R
-
-VIARULE M1M2_PR_R GENERATE
-  LAYER met1 ;
-  ENCLOSURE 0.055 0.085 ;
-  LAYER met2 ;
-  ENCLOSURE 0.085 0.055 ;
-  LAYER via ;
-  RECT -0.075 -0.075 0.075 0.075 ;
-  SPACING 0.32 BY 0.32 ;
-END M1M2_PR_R
-
-# Minus via rule, lower layer metal is along prefered direction
-VIA M1M2_PR_M DEFAULT
-  LAYER via ;
-  RECT -0.075 -0.075 0.075 0.075 ;
-  LAYER met1 ;
-  RECT -0.16 -0.13 0.16 0.13 ;
-  LAYER met2 ;
-  RECT -0.16 -0.13 0.16 0.13 ;
-END M1M2_PR_M
-
-VIARULE M1M2_PR_M GENERATE
-  LAYER met1 ;
-  ENCLOSURE 0.085 0.055 ;
-  LAYER met2 ;
-  ENCLOSURE 0.085 0.055 ;
-  LAYER via ;
-  RECT -0.075 -0.075 0.075 0.075 ;
-  SPACING 0.32 BY 0.32 ;
-END M1M2_PR_M
-
-# Minus via rule, upper layer metal is along prefered direction
-VIA M1M2_PR_MR DEFAULT
-  LAYER via ;
-  RECT -0.075 -0.075 0.075 0.075 ;
-  LAYER met1 ;
-  RECT -0.13 -0.16 0.13 0.16 ;
-  LAYER met2 ;
-  RECT -0.13 -0.16 0.13 0.16 ;
-END M1M2_PR_MR
-
-VIARULE M1M2_PR_MR GENERATE
-  LAYER met1 ;
-  ENCLOSURE 0.055 0.085 ;
-  LAYER met2 ;
-  ENCLOSURE 0.055 0.085 ;
-  LAYER via ;
-  RECT -0.075 -0.075 0.075 0.075 ;
-  SPACING 0.32 BY 0.32 ;
-END M1M2_PR_MR
-
-# Centered via rule, we really do not want to use it
-VIA M1M2_PR_C DEFAULT
-  LAYER via ;
-  RECT -0.075 -0.075 0.075 0.075 ;
-  LAYER met1 ;
-  RECT -0.16 -0.16 0.16 0.16 ;
-  LAYER met2 ;
-  RECT -0.16 -0.16 0.16 0.16 ;
-END M1M2_PR_C
-
-VIARULE M1M2_PR_C GENERATE
-  LAYER met1 ;
-  ENCLOSURE 0.085 0.085 ;
-  LAYER met2 ;
-  ENCLOSURE 0.085 0.085 ;
-  LAYER via ;
-  RECT -0.075 -0.075 0.075 0.075 ;
-  SPACING 0.32 BY 0.32 ;
-END M1M2_PR_C
-
-# Plus via rule, metals are along the prefered direction
-VIA M2M3_PR DEFAULT
-  LAYER via2 ;
-  RECT -0.1 -0.1 0.1 0.1 ;
-  LAYER met2 ;
-  RECT -0.14 -0.185 0.14 0.185 ;
-  LAYER met3 ;
-  RECT -0.165 -0.165 0.165 0.165 ;
-END M2M3_PR
-
-VIARULE M2M3_PR GENERATE
-  LAYER met2 ;
-  ENCLOSURE 0.04 0.085 ;
-  LAYER met3 ;
-  ENCLOSURE 0.065 0.065 ;
-  LAYER via2 ;
-  RECT -0.1 -0.1 0.1 0.1 ;
-  SPACING 0.4 BY 0.4 ;
-END M2M3_PR
-
-# Plus via rule, metals are along the non prefered direction
-VIA M2M3_PR_R DEFAULT
-  LAYER via2 ;
-  RECT -0.1 -0.1 0.1 0.1 ;
-  LAYER met2 ;
-  RECT -0.185 -0.14 0.185 0.14 ;
-  LAYER met3 ;
-  RECT -0.165 -0.165 0.165 0.165 ;
-END M2M3_PR_R
-
-VIARULE M2M3_PR_R GENERATE
-  LAYER met2 ;
-  ENCLOSURE 0.085 0.04 ;
-  LAYER met3 ;
-  ENCLOSURE 0.065 0.065 ;
-  LAYER via2 ;
-  RECT -0.1 -0.1 0.1 0.1 ;
-  SPACING 0.4 BY 0.4 ;
-END M2M3_PR_R
-
-# Minus via rule, lower layer metal is along prefered direction
-VIA M2M3_PR_M DEFAULT
-  LAYER via2 ;
-  RECT -0.1 -0.1 0.1 0.1 ;
-  LAYER met2 ;
-  RECT -0.14 -0.185 0.14 0.185 ;
-  LAYER met3 ;
-  RECT -0.165 -0.165 0.165 0.165 ;
-END M2M3_PR_M
-
-VIARULE M2M3_PR_M GENERATE
-  LAYER met2 ;
-  ENCLOSURE 0.04 0.085 ;
-  LAYER met3 ;
-  ENCLOSURE 0.065 0.065 ;
-  LAYER via2 ;
-  RECT -0.1 -0.1 0.1 0.1 ;
-  SPACING 0.4 BY 0.4 ;
-END M2M3_PR_M
-
-# Minus via rule, upper layer metal is along prefered direction
-VIA M2M3_PR_MR DEFAULT
-  LAYER via2 ;
-  RECT -0.1 -0.1 0.1 0.1 ;
-  LAYER met2 ;
-  RECT -0.185 -0.14 0.185 0.14 ;
-  LAYER met3 ;
-  RECT -0.165 -0.165 0.165 0.165 ;
-END M2M3_PR_MR
-
-VIARULE M2M3_PR_MR GENERATE
-  LAYER met2 ;
-  ENCLOSURE 0.085 0.04 ;
-  LAYER met3 ;
-  ENCLOSURE 0.065 0.065 ;
-  LAYER via2 ;
-  RECT -0.1 -0.1 0.1 0.1 ;
-  SPACING 0.4 BY 0.4 ;
-END M2M3_PR_MR
-
-# Centered via rule, we really do not want to use it
-VIA M2M3_PR_C DEFAULT
-  LAYER via2 ;
-  RECT -0.1 -0.1 0.1 0.1 ;
-  LAYER met2 ;
-  RECT -0.185 -0.185 0.185 0.185 ;
-  LAYER met3 ;
-  RECT -0.165 -0.165 0.165 0.165 ;
-END M2M3_PR_C
-
-VIARULE M2M3_PR_C GENERATE
-  LAYER met2 ;
-  ENCLOSURE 0.085 0.085 ;
-  LAYER met3 ;
-  ENCLOSURE 0.065 0.065 ;
-  LAYER via2 ;
-  RECT -0.1 -0.1 0.1 0.1 ;
-  SPACING 0.4 BY 0.4 ;
-END M2M3_PR_C
-
-# Plus via rule, metals are along the prefered direction
-VIA M3M4_PR DEFAULT
-  LAYER via3 ;
-  RECT -0.1 -0.1 0.1 0.1 ;
-  LAYER met3 ;
-  RECT -0.19 -0.16 0.19 0.16 ;
-  LAYER met4 ;
-  RECT -0.165 -0.165 0.165 0.165 ;
-END M3M4_PR
-
-VIARULE M3M4_PR GENERATE
-  LAYER met3 ;
-  ENCLOSURE 0.09 0.06 ;
-  LAYER met4 ;
-  ENCLOSURE 0.065 0.065 ;
-  LAYER via3 ;
-  RECT -0.1 -0.1 0.1 0.1 ;
-  SPACING 0.4 BY 0.4 ;
-END M3M4_PR
-
-# Plus via rule, metals are along the non prefered direction
-VIA M3M4_PR_R DEFAULT
-  LAYER via3 ;
-  RECT -0.1 -0.1 0.1 0.1 ;
-  LAYER met3 ;
-  RECT -0.16 -0.19 0.16 0.19 ;
-  LAYER met4 ;
-  RECT -0.165 -0.165 0.165 0.165 ;
-END M3M4_PR_R
-
-VIARULE M3M4_PR_R GENERATE
-  LAYER met3 ;
-  ENCLOSURE 0.06 0.09 ;
-  LAYER met4 ;
-  ENCLOSURE 0.065 0.065 ;
-  LAYER via3 ;
-  RECT -0.1 -0.1 0.1 0.1 ;
-  SPACING 0.4 BY 0.4 ;
-END M3M4_PR_R
-
-# Minus via rule, lower layer metal is along prefered direction
-VIA M3M4_PR_M DEFAULT
-  LAYER via3 ;
-  RECT -0.1 -0.1 0.1 0.1 ;
-  LAYER met3 ;
-  RECT -0.19 -0.16 0.19 0.16 ;
-  LAYER met4 ;
-  RECT -0.165 -0.165 0.165 0.165 ;
-END M3M4_PR_M
-
-VIARULE M3M4_PR_M GENERATE
-  LAYER met3 ;
-  ENCLOSURE 0.09 0.06 ;
-  LAYER met4 ;
-  ENCLOSURE 0.065 0.065 ;
-  LAYER via3 ;
-  RECT -0.1 -0.1 0.1 0.1 ;
-  SPACING 0.4 BY 0.4 ;
-END M3M4_PR_M
-
-# Minus via rule, upper layer metal is along prefered direction
-VIA M3M4_PR_MR DEFAULT
-  LAYER via3 ;
-  RECT -0.1 -0.1 0.1 0.1 ;
-  LAYER met3 ;
-  RECT -0.16 -0.19 0.16 0.19 ;
-  LAYER met4 ;
-  RECT -0.165 -0.165 0.165 0.165 ;
-END M3M4_PR_MR
-
-VIARULE M3M4_PR_MR GENERATE
-  LAYER met3 ;
-  ENCLOSURE 0.06 0.09 ;
-  LAYER met4 ;
-  ENCLOSURE 0.065 0.065 ;
-  LAYER via3 ;
-  RECT -0.1 -0.1 0.1 0.1 ;
-  SPACING 0.4 BY 0.4 ;
-END M3M4_PR_MR
-
-# Centered via rule, we really do not want to use it
-VIA M3M4_PR_C DEFAULT
-  LAYER via3 ;
-  RECT -0.1 -0.1 0.1 0.1 ;
-  LAYER met3 ;
-  RECT -0.19 -0.19 0.19 0.19 ;
-  LAYER met4 ;
-  RECT -0.165 -0.165 0.165 0.165 ;
-END M3M4_PR_C
-
-VIARULE M3M4_PR_C GENERATE
-  LAYER met3 ;
-  ENCLOSURE 0.09 0.09 ;
-  LAYER met4 ;
-  ENCLOSURE 0.065 0.065 ;
-  LAYER via3 ;
-  RECT -0.1 -0.1 0.1 0.1 ;
-  SPACING 0.4 BY 0.4 ;
-END M3M4_PR_C
-
-# Plus via rule, metals are along the prefered direction
-VIA M4M5_PR DEFAULT
-  LAYER via4 ;
-  RECT -0.4 -0.4 0.4 0.4 ;
-  LAYER met4 ;
-  RECT -0.59 -0.59 0.59 0.59 ;
-  LAYER met5 ;
-  RECT -0.71 -0.71 0.71 0.71 ;
-END M4M5_PR
-
-VIARULE M4M5_PR GENERATE
-  LAYER met4 ;
-  ENCLOSURE 0.19 0.19 ;
-  LAYER met5 ;
-  ENCLOSURE 0.31 0.31 ;
-  LAYER via4 ;
-  RECT -0.4 -0.4 0.4 0.4 ;
-  SPACING 1.6 BY 1.6 ;
-END M4M5_PR
-
-# Plus via rule, metals are along the non prefered direction
-VIA M4M5_PR_R DEFAULT
-  LAYER via4 ;
-  RECT -0.4 -0.4 0.4 0.4 ;
-  LAYER met4 ;
-  RECT -0.59 -0.59 0.59 0.59 ;
-  LAYER met5 ;
-  RECT -0.71 -0.71 0.71 0.71 ;
-END M4M5_PR_R
-
-VIARULE M4M5_PR_R GENERATE
-  LAYER met4 ;
-  ENCLOSURE 0.19 0.19 ;
-  LAYER met5 ;
-  ENCLOSURE 0.31 0.31 ;
-  LAYER via4 ;
-  RECT -0.4 -0.4 0.4 0.4 ;
-  SPACING 1.6 BY 1.6 ;
-END M4M5_PR_R
-
-# Minus via rule, lower layer metal is along prefered direction
-VIA M4M5_PR_M DEFAULT
-  LAYER via4 ;
-  RECT -0.4 -0.4 0.4 0.4 ;
-  LAYER met4 ;
-  RECT -0.59 -0.59 0.59 0.59 ;
-  LAYER met5 ;
-  RECT -0.71 -0.71 0.71 0.71 ;
-END M4M5_PR_M
-
-VIARULE M4M5_PR_M GENERATE
-  LAYER met4 ;
-  ENCLOSURE 0.19 0.19 ;
-  LAYER met5 ;
-  ENCLOSURE 0.31 0.31 ;
-  LAYER via4 ;
-  RECT -0.4 -0.4 0.4 0.4 ;
-  SPACING 1.6 BY 1.6 ;
-END M4M5_PR_M
-
-# Minus via rule, upper layer metal is along prefered direction
-VIA M4M5_PR_MR DEFAULT
-  LAYER via4 ;
-  RECT -0.4 -0.4 0.4 0.4 ;
-  LAYER met4 ;
-  RECT -0.59 -0.59 0.59 0.59 ;
-  LAYER met5 ;
-  RECT -0.71 -0.71 0.71 0.71 ;
-END M4M5_PR_MR
-
-VIARULE M4M5_PR_MR GENERATE
-  LAYER met4 ;
-  ENCLOSURE 0.19 0.19 ;
-  LAYER met5 ;
-  ENCLOSURE 0.31 0.31 ;
-  LAYER via4 ;
-  RECT -0.4 -0.4 0.4 0.4 ;
-  SPACING 1.6 BY 1.6 ;
-END M4M5_PR_MR
-
-# Centered via rule, we really do not want to use it
-VIA M4M5_PR_C DEFAULT
-  LAYER via4 ;
-  RECT -0.4 -0.4 0.4 0.4 ;
-  LAYER met4 ;
-  RECT -0.59 -0.59 0.59 0.59 ;
-  LAYER met5 ;
-  RECT -0.71 -0.71 0.71 0.71 ;
-END M4M5_PR_C
-
-VIARULE M4M5_PR_C GENERATE
-  LAYER met4 ;
-  ENCLOSURE 0.19 0.19 ;
-  LAYER met5 ;
-  ENCLOSURE 0.31 0.31 ;
-  LAYER via4 ;
-  RECT -0.4 -0.4 0.4 0.4 ;
-  SPACING 1.6 BY 1.6 ;
-END M4M5_PR_C
-###  end of single via cells   ###
-
-
-MACRO sky130_fd_sc_hd__bufbuf_8
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__bufbuf_8 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.900000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.110000 1.075000 0.440000 1.275000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  1.782000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.230000 0.260000 3.560000 0.735000 ;
-        RECT 3.230000 0.735000 6.815000 0.905000 ;
-        RECT 3.230000 1.445000 6.815000 1.615000 ;
-        RECT 3.230000 1.615000 3.560000 2.465000 ;
-        RECT 4.070000 0.260000 4.400000 0.735000 ;
-        RECT 4.070000 1.615000 4.400000 2.465000 ;
-        RECT 4.910000 0.260000 5.240000 0.735000 ;
-        RECT 4.910000 1.615000 5.240000 2.465000 ;
-        RECT 5.750000 0.260000 6.080000 0.735000 ;
-        RECT 5.750000 1.615000 6.080000 2.465000 ;
-        RECT 6.435000 0.905000 6.815000 1.445000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 6.900000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.090000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.900000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.900000 0.085000 ;
-      RECT 0.000000  2.635000 6.900000 2.805000 ;
-      RECT 0.095000  0.260000 0.425000 0.735000 ;
-      RECT 0.095000  0.735000 0.780000 0.905000 ;
-      RECT 0.095000  1.445000 0.780000 1.615000 ;
-      RECT 0.095000  1.615000 0.425000 2.160000 ;
-      RECT 0.595000  0.085000 0.765000 0.565000 ;
-      RECT 0.595000  1.785000 0.765000 2.635000 ;
-      RECT 0.610000  0.905000 0.780000 0.995000 ;
-      RECT 0.610000  0.995000 1.040000 1.325000 ;
-      RECT 0.610000  1.325000 0.780000 1.445000 ;
-      RECT 1.000000  0.260000 1.380000 0.825000 ;
-      RECT 1.000000  1.545000 1.380000 2.465000 ;
-      RECT 1.210000  0.825000 1.380000 1.075000 ;
-      RECT 1.210000  1.075000 2.720000 1.275000 ;
-      RECT 1.210000  1.275000 1.380000 1.545000 ;
-      RECT 1.550000  0.260000 1.880000 0.735000 ;
-      RECT 1.550000  0.735000 3.060000 0.905000 ;
-      RECT 1.550000  1.445000 3.060000 1.615000 ;
-      RECT 1.550000  1.615000 1.880000 2.465000 ;
-      RECT 2.050000  0.085000 2.220000 0.565000 ;
-      RECT 2.050000  1.785000 2.220000 2.635000 ;
-      RECT 2.390000  0.260000 2.720000 0.735000 ;
-      RECT 2.390000  1.615000 2.720000 2.465000 ;
-      RECT 2.890000  0.085000 3.060000 0.565000 ;
-      RECT 2.890000  0.905000 3.060000 1.075000 ;
-      RECT 2.890000  1.075000 5.360000 1.275000 ;
-      RECT 2.890000  1.275000 3.060000 1.445000 ;
-      RECT 2.890000  1.785000 3.060000 2.635000 ;
-      RECT 3.730000  0.085000 3.900000 0.565000 ;
-      RECT 3.730000  1.835000 3.900000 2.635000 ;
-      RECT 4.570000  0.085000 4.740000 0.565000 ;
-      RECT 4.570000  1.835000 4.740000 2.635000 ;
-      RECT 5.410000  0.085000 5.580000 0.565000 ;
-      RECT 5.410000  1.835000 5.580000 2.635000 ;
-      RECT 6.250000  0.085000 6.420000 0.565000 ;
-      RECT 6.250000  1.835000 6.420000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-  END
-END sky130_fd_sc_hd__bufbuf_8
-MACRO sky130_fd_sc_hd__bufbuf_16
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__bufbuf_16 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  11.96000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.110000 1.075000 0.440000 1.275000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  3.564000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT  5.235000 0.255000  5.485000 0.260000 ;
-        RECT  5.235000 0.260000  5.565000 0.735000 ;
-        RECT  5.235000 0.735000 11.875000 0.905000 ;
-        RECT  5.235000 1.445000 11.875000 1.615000 ;
-        RECT  5.235000 1.615000  5.565000 2.465000 ;
-        RECT  6.075000 0.260000  6.405000 0.735000 ;
-        RECT  6.075000 1.615000  6.405000 2.465000 ;
-        RECT  6.155000 0.255000  6.325000 0.260000 ;
-        RECT  6.915000 0.260000  7.245000 0.735000 ;
-        RECT  6.915000 1.615000  7.245000 2.465000 ;
-        RECT  6.995000 0.255000  7.165000 0.260000 ;
-        RECT  7.755000 0.260000  8.085000 0.735000 ;
-        RECT  7.755000 1.615000  8.085000 2.465000 ;
-        RECT  8.595000 0.260000  8.925000 0.735000 ;
-        RECT  8.595000 1.615000  8.925000 2.465000 ;
-        RECT  9.435000 0.260000  9.765000 0.735000 ;
-        RECT  9.435000 1.615000  9.765000 2.465000 ;
-        RECT 10.275000 0.260000 10.605000 0.735000 ;
-        RECT 10.275000 1.615000 10.605000 2.465000 ;
-        RECT 11.115000 0.260000 11.445000 0.735000 ;
-        RECT 11.115000 1.615000 11.445000 2.465000 ;
-        RECT 11.620000 0.905000 11.875000 1.445000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 11.960000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 12.150000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 11.960000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 11.960000 0.085000 ;
-      RECT  0.000000  2.635000 11.960000 2.805000 ;
-      RECT  0.175000  0.085000  0.345000 0.905000 ;
-      RECT  0.175000  1.445000  0.345000 2.635000 ;
-      RECT  0.515000  0.260000  0.845000 0.905000 ;
-      RECT  0.515000  1.445000  0.845000 2.465000 ;
-      RECT  0.610000  0.905000  0.845000 1.075000 ;
-      RECT  0.610000  1.075000  2.205000 1.275000 ;
-      RECT  0.610000  1.275000  0.845000 1.445000 ;
-      RECT  1.035000  0.260000  1.365000 0.735000 ;
-      RECT  1.035000  0.735000  2.545000 0.905000 ;
-      RECT  1.035000  1.445000  2.545000 1.615000 ;
-      RECT  1.035000  1.615000  1.365000 2.465000 ;
-      RECT  1.535000  0.085000  1.705000 0.565000 ;
-      RECT  1.535000  1.785000  1.705000 2.635000 ;
-      RECT  1.875000  0.260000  2.205000 0.735000 ;
-      RECT  1.875000  1.615000  2.205000 2.465000 ;
-      RECT  2.375000  0.085000  2.545000 0.565000 ;
-      RECT  2.375000  0.905000  2.545000 1.075000 ;
-      RECT  2.375000  1.075000  4.685000 1.275000 ;
-      RECT  2.375000  1.275000  2.545000 1.445000 ;
-      RECT  2.375000  1.785000  2.545000 2.635000 ;
-      RECT  2.715000  0.260000  3.045000 0.735000 ;
-      RECT  2.715000  0.735000  5.065000 0.905000 ;
-      RECT  2.715000  1.445000  5.065000 1.615000 ;
-      RECT  2.715000  1.615000  3.045000 2.465000 ;
-      RECT  3.215000  0.085000  3.385000 0.565000 ;
-      RECT  3.215000  1.835000  3.385000 2.635000 ;
-      RECT  3.555000  0.260000  3.885000 0.735000 ;
-      RECT  3.555000  1.615000  3.885000 2.465000 ;
-      RECT  4.055000  0.085000  4.225000 0.565000 ;
-      RECT  4.055000  1.835000  4.225000 2.635000 ;
-      RECT  4.395000  0.260000  4.725000 0.735000 ;
-      RECT  4.395000  1.615000  4.725000 2.465000 ;
-      RECT  4.890000  0.905000  5.065000 1.075000 ;
-      RECT  4.890000  1.075000 11.450000 1.275000 ;
-      RECT  4.890000  1.275000  5.065000 1.445000 ;
-      RECT  4.895000  0.085000  5.065000 0.565000 ;
-      RECT  4.895000  1.835000  5.065000 2.635000 ;
-      RECT  5.735000  0.085000  5.905000 0.565000 ;
-      RECT  5.735000  1.835000  5.905000 2.635000 ;
-      RECT  6.575000  0.085000  6.745000 0.565000 ;
-      RECT  6.575000  1.835000  6.745000 2.635000 ;
-      RECT  7.415000  0.085000  7.585000 0.565000 ;
-      RECT  7.415000  1.835000  7.585000 2.635000 ;
-      RECT  8.255000  0.085000  8.425000 0.565000 ;
-      RECT  8.255000  1.835000  8.425000 2.635000 ;
-      RECT  9.095000  0.085000  9.265000 0.565000 ;
-      RECT  9.095000  1.835000  9.265000 2.635000 ;
-      RECT  9.935000  0.085000 10.105000 0.565000 ;
-      RECT  9.935000  1.835000 10.105000 2.635000 ;
-      RECT 10.775000  0.085000 10.945000 0.565000 ;
-      RECT 10.775000  1.835000 10.945000 2.635000 ;
-      RECT 11.615000  0.085000 11.785000 0.565000 ;
-      RECT 11.615000  1.835000 11.785000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-  END
-END sky130_fd_sc_hd__bufbuf_16
-MACRO sky130_fd_sc_hd__o311ai_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o311ai_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.980000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.055000 1.105000 1.315000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.275000 1.055000 2.155000 1.315000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.325000 1.055000 3.075000 1.315000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.365000 1.055000 4.385000 1.315000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.085000 1.055000 5.895000 1.315000 ;
-    END
-  END C1
-  PIN Y
-    ANTENNADIFFAREA  1.551000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.415000 1.485000 5.895000 1.725000 ;
-        RECT 2.415000 1.725000 2.665000 2.125000 ;
-        RECT 3.335000 1.725000 3.505000 2.465000 ;
-        RECT 4.515000 1.725000 4.825000 2.465000 ;
-        RECT 4.555000 0.655000 5.895000 0.885000 ;
-        RECT 4.555000 0.885000 4.915000 1.485000 ;
-        RECT 5.495000 1.725000 5.895000 2.465000 ;
-        RECT 5.515000 0.255000 5.895000 0.655000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.980000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.170000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.980000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.980000 0.085000 ;
-      RECT 0.000000  2.635000 5.980000 2.805000 ;
-      RECT 0.085000  0.255000 0.485000 0.655000 ;
-      RECT 0.085000  0.655000 4.385000 0.885000 ;
-      RECT 0.085000  1.485000 2.225000 1.725000 ;
-      RECT 0.085000  1.725000 0.465000 2.465000 ;
-      RECT 0.635000  1.895000 0.965000 2.635000 ;
-      RECT 0.655000  0.085000 0.985000 0.485000 ;
-      RECT 1.135000  1.725000 1.305000 2.465000 ;
-      RECT 1.155000  0.255000 1.325000 0.655000 ;
-      RECT 1.475000  1.895000 1.805000 2.295000 ;
-      RECT 1.475000  2.295000 3.165000 2.465000 ;
-      RECT 1.495000  0.085000 1.825000 0.485000 ;
-      RECT 1.975000  1.725000 2.225000 2.125000 ;
-      RECT 1.995000  0.255000 2.165000 0.655000 ;
-      RECT 2.335000  0.085000 3.105000 0.485000 ;
-      RECT 2.835000  1.895000 3.165000 2.295000 ;
-      RECT 3.275000  0.255000 3.445000 0.655000 ;
-      RECT 3.615000  0.255000 5.345000 0.485000 ;
-      RECT 3.675000  1.895000 4.345000 2.635000 ;
-      RECT 4.995000  1.895000 5.325000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o311ai_2
-MACRO sky130_fd_sc_hd__o311ai_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o311ai_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.660000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.055000 1.775000 1.315000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.945000 1.055000 3.615000 1.315000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.805000 1.055000 5.885000 1.315000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.055000 1.055000 7.695000 1.315000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.865000 1.055000 9.090000 1.315000 ;
-    END
-  END C1
-  PIN Y
-    ANTENNADIFFAREA  2.241000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.055000 1.485000 9.575000 1.725000 ;
-        RECT 4.055000 1.725000 4.305000 2.115000 ;
-        RECT 4.975000 1.725000 5.145000 2.115000 ;
-        RECT 5.815000 1.725000 6.005000 2.465000 ;
-        RECT 6.675000 1.725000 6.845000 2.465000 ;
-        RECT 7.515000 1.725000 7.685000 2.465000 ;
-        RECT 7.895000 0.655000 9.575000 0.885000 ;
-        RECT 8.355000 1.725000 8.525000 2.465000 ;
-        RECT 9.195000 1.725000 9.575000 2.465000 ;
-        RECT 9.260000 0.885000 9.575000 1.485000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.660000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.125000 -0.085000 0.295000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.850000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.660000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.660000 0.085000 ;
-      RECT 0.000000  2.635000 9.660000 2.805000 ;
-      RECT 0.085000  0.085000 0.505000 0.885000 ;
-      RECT 0.085000  1.485000 3.865000 1.725000 ;
-      RECT 0.085000  1.725000 0.405000 2.465000 ;
-      RECT 0.595000  1.895000 0.925000 2.635000 ;
-      RECT 0.675000  0.255000 0.845000 0.655000 ;
-      RECT 0.675000  0.655000 7.385000 0.885000 ;
-      RECT 1.015000  0.085000 1.345000 0.485000 ;
-      RECT 1.095000  1.725000 1.265000 2.465000 ;
-      RECT 1.435000  1.895000 1.765000 2.635000 ;
-      RECT 1.515000  0.255000 1.685000 0.655000 ;
-      RECT 1.855000  0.085000 2.185000 0.485000 ;
-      RECT 1.935000  1.725000 2.105000 2.465000 ;
-      RECT 2.275000  1.895000 2.605000 2.295000 ;
-      RECT 2.275000  2.295000 5.645000 2.465000 ;
-      RECT 2.355000  0.255000 2.525000 0.655000 ;
-      RECT 2.695000  0.085000 3.025000 0.485000 ;
-      RECT 2.775000  1.725000 2.945000 2.115000 ;
-      RECT 3.115000  1.895000 3.445000 2.295000 ;
-      RECT 3.195000  0.255000 3.365000 0.655000 ;
-      RECT 3.535000  0.085000 3.885000 0.485000 ;
-      RECT 3.615000  1.725000 3.865000 2.115000 ;
-      RECT 4.055000  0.255000 4.225000 0.655000 ;
-      RECT 4.395000  0.085000 4.725000 0.485000 ;
-      RECT 4.475000  1.895000 4.805000 2.295000 ;
-      RECT 4.895000  0.255000 5.065000 0.655000 ;
-      RECT 5.235000  0.085000 5.585000 0.485000 ;
-      RECT 5.315000  1.895000 5.645000 2.295000 ;
-      RECT 5.755000  0.255000 9.575000 0.485000 ;
-      RECT 6.175000  1.895000 6.505000 2.635000 ;
-      RECT 7.015000  1.895000 7.345000 2.635000 ;
-      RECT 7.555000  0.485000 7.725000 0.885000 ;
-      RECT 7.855000  1.895000 8.185000 2.635000 ;
-      RECT 8.695000  1.895000 9.025000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o311ai_4
-MACRO sky130_fd_sc_hd__o311ai_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o311ai_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.780000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.950000 0.995000 1.260000 2.465000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.430000 0.995000 1.780000 1.325000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.985000 0.320000 2.200000 1.325000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.830000 0.995000 3.135000 1.325000 ;
-    END
-  END C1
-  PIN Y
-    ANTENNADIFFAREA  0.942000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.430000 1.495000 3.135000 1.665000 ;
-        RECT 1.430000 1.665000 1.980000 2.465000 ;
-        RECT 2.445000 0.255000 3.135000 0.825000 ;
-        RECT 2.445000 0.825000 2.660000 1.495000 ;
-        RECT 2.650000 1.665000 3.135000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.085000  0.085000 0.570000 0.825000 ;
-      RECT 0.085000  1.495000 0.780000 2.635000 ;
-      RECT 0.740000  0.255000 0.910000 0.655000 ;
-      RECT 0.740000  0.655000 1.750000 0.825000 ;
-      RECT 1.080000  0.085000 1.410000 0.485000 ;
-      RECT 1.580000  0.255000 1.750000 0.655000 ;
-      RECT 2.150000  1.835000 2.480000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o311ai_1
-MACRO sky130_fd_sc_hd__o311ai_0
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o311ai_0 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.765000 0.570000 0.995000 ;
-        RECT 0.085000 0.995000 0.780000 1.625000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.950000 0.995000 1.260000 2.465000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.430000 0.995000 1.780000 1.325000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.985000 0.260000 2.200000 1.325000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.830000 0.765000 3.135000 1.325000 ;
-    END
-  END C1
-  PIN Y
-    ANTENNADIFFAREA  0.604000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.430000 1.495000 3.135000 1.665000 ;
-        RECT 1.430000 1.665000 1.980000 2.465000 ;
-        RECT 2.445000 0.255000 3.135000 0.595000 ;
-        RECT 2.445000 0.595000 2.660000 1.495000 ;
-        RECT 2.650000 1.665000 3.135000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.085000  0.085000 0.570000 0.595000 ;
-      RECT 0.085000  1.795000 0.780000 2.635000 ;
-      RECT 0.740000  0.255000 0.910000 0.655000 ;
-      RECT 0.740000  0.655000 1.750000 0.825000 ;
-      RECT 1.080000  0.085000 1.410000 0.485000 ;
-      RECT 1.580000  0.255000 1.750000 0.655000 ;
-      RECT 2.150000  1.835000 2.480000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o311ai_0
-MACRO sky130_fd_sc_hd__dfsbp_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dfsbp_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  11.04000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.222000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.770000 1.005000 2.180000 1.625000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 10.150000 1.495000 10.915000 1.665000 ;
-        RECT 10.150000 1.665000 10.480000 2.465000 ;
-        RECT 10.230000 0.255000 10.480000 0.720000 ;
-        RECT 10.230000 0.720000 10.915000 0.825000 ;
-        RECT 10.345000 0.825000 10.915000 0.845000 ;
-        RECT 10.360000 0.845000 10.915000 1.495000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 8.370000 0.255000 8.700000 2.465000 ;
-    END
-  END Q_N
-  PIN SET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.610000 0.735000 4.020000 1.065000 ;
-      LAYER mcon ;
-        RECT 3.825000 0.765000 3.995000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 6.660000 0.735000 7.320000 1.005000 ;
-        RECT 6.660000 1.005000 6.990000 1.065000 ;
-      LAYER mcon ;
-        RECT 7.045000 0.765000 7.215000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 3.765000 0.735000 4.055000 0.780000 ;
-        RECT 3.765000 0.780000 7.275000 0.920000 ;
-        RECT 3.765000 0.920000 4.055000 0.965000 ;
-        RECT 6.985000 0.735000 7.275000 0.780000 ;
-        RECT 6.985000 0.920000 7.275000 0.965000 ;
-    END
-  END SET_B
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.975000 0.440000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 11.040000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 11.230000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 11.040000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 11.040000 0.085000 ;
-      RECT  0.000000  2.635000 11.040000 2.805000 ;
-      RECT  0.175000  0.345000  0.345000 0.635000 ;
-      RECT  0.175000  0.635000  0.840000 0.805000 ;
-      RECT  0.175000  1.795000  0.840000 1.965000 ;
-      RECT  0.175000  1.965000  0.345000 2.465000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.515000  2.135000  0.845000 2.635000 ;
-      RECT  0.610000  0.805000  0.840000 1.795000 ;
-      RECT  1.015000  0.345000  1.240000 2.465000 ;
-      RECT  1.430000  0.635000  2.125000 0.825000 ;
-      RECT  1.430000  0.825000  1.600000 1.795000 ;
-      RECT  1.430000  1.795000  2.125000 1.965000 ;
-      RECT  1.455000  0.085000  1.785000 0.465000 ;
-      RECT  1.455000  2.135000  1.785000 2.635000 ;
-      RECT  1.955000  0.305000  2.125000 0.635000 ;
-      RECT  1.955000  1.965000  2.125000 2.465000 ;
-      RECT  2.350000  0.705000  2.570000 1.575000 ;
-      RECT  2.350000  1.575000  2.850000 1.955000 ;
-      RECT  2.360000  2.250000  3.190000 2.420000 ;
-      RECT  2.425000  0.265000  3.440000 0.465000 ;
-      RECT  2.750000  0.645000  3.100000 1.015000 ;
-      RECT  3.020000  1.195000  3.440000 1.235000 ;
-      RECT  3.020000  1.235000  4.370000 1.405000 ;
-      RECT  3.020000  1.405000  3.190000 2.250000 ;
-      RECT  3.270000  0.465000  3.440000 1.195000 ;
-      RECT  3.360000  1.575000  3.610000 1.835000 ;
-      RECT  3.360000  1.835000  4.710000 2.085000 ;
-      RECT  3.430000  2.255000  3.810000 2.635000 ;
-      RECT  3.610000  0.085000  4.020000 0.525000 ;
-      RECT  3.990000  2.085000  4.160000 2.375000 ;
-      RECT  4.120000  1.405000  4.370000 1.565000 ;
-      RECT  4.310000  0.295000  4.560000 0.725000 ;
-      RECT  4.310000  0.725000  4.710000 1.065000 ;
-      RECT  4.330000  2.255000  4.660000 2.635000 ;
-      RECT  4.540000  1.065000  4.710000 1.835000 ;
-      RECT  4.740000  0.085000  5.080000 0.545000 ;
-      RECT  4.900000  0.725000  6.150000 0.895000 ;
-      RECT  4.900000  0.895000  5.070000 1.655000 ;
-      RECT  4.900000  1.655000  5.400000 1.965000 ;
-      RECT  5.110000  2.165000  5.760000 2.415000 ;
-      RECT  5.240000  1.065000  5.420000 1.475000 ;
-      RECT  5.590000  1.235000  7.470000 1.405000 ;
-      RECT  5.590000  1.405000  5.760000 1.915000 ;
-      RECT  5.590000  1.915000  6.780000 2.085000 ;
-      RECT  5.590000  2.085000  5.760000 2.165000 ;
-      RECT  5.640000  0.305000  6.490000 0.475000 ;
-      RECT  5.820000  0.895000  6.150000 1.015000 ;
-      RECT  5.930000  1.575000  7.830000 1.745000 ;
-      RECT  5.930000  2.255000  6.340000 2.635000 ;
-      RECT  6.320000  0.475000  6.490000 1.235000 ;
-      RECT  6.540000  2.085000  6.780000 2.375000 ;
-      RECT  6.670000  0.085000  7.330000 0.565000 ;
-      RECT  7.010000  1.945000  7.340000 2.635000 ;
-      RECT  7.140000  1.175000  7.470000 1.235000 ;
-      RECT  7.510000  0.350000  7.830000 0.680000 ;
-      RECT  7.510000  1.745000  7.830000 1.765000 ;
-      RECT  7.510000  1.765000  7.680000 2.375000 ;
-      RECT  7.640000  0.680000  7.830000 1.575000 ;
-      RECT  8.020000  0.085000  8.200000 0.905000 ;
-      RECT  8.020000  1.480000  8.200000 2.635000 ;
-      RECT  8.870000  0.085000  9.120000 0.905000 ;
-      RECT  8.870000  1.480000  9.120000 2.635000 ;
-      RECT  9.310000  0.255000  9.560000 0.995000 ;
-      RECT  9.310000  0.995000 10.190000 1.325000 ;
-      RECT  9.310000  1.325000  9.640000 2.465000 ;
-      RECT  9.730000  0.085000 10.060000 0.825000 ;
-      RECT  9.810000  1.495000  9.980000 2.635000 ;
-      RECT 10.650000  0.085000 10.915000 0.550000 ;
-      RECT 10.650000  1.835000 10.915000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.645000  1.785000  0.815000 1.955000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  0.765000  1.235000 0.935000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  1.785000  2.615000 1.955000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  0.765000  3.075000 0.935000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  1.785000  5.375000 1.955000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.245000  1.105000  5.415000 1.275000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.585000 1.755000 0.875000 1.800000 ;
-      RECT 0.585000 1.800000 5.435000 1.940000 ;
-      RECT 0.585000 1.940000 0.875000 1.985000 ;
-      RECT 1.005000 0.735000 1.295000 0.780000 ;
-      RECT 1.005000 0.780000 3.135000 0.920000 ;
-      RECT 1.005000 0.920000 1.295000 0.965000 ;
-      RECT 2.385000 1.755000 2.675000 1.800000 ;
-      RECT 2.385000 1.940000 2.675000 1.985000 ;
-      RECT 2.845000 0.735000 3.135000 0.780000 ;
-      RECT 2.845000 0.920000 3.135000 0.965000 ;
-      RECT 2.920000 0.965000 3.135000 1.120000 ;
-      RECT 2.920000 1.120000 5.475000 1.260000 ;
-      RECT 5.145000 1.755000 5.435000 1.800000 ;
-      RECT 5.145000 1.940000 5.435000 1.985000 ;
-      RECT 5.185000 1.075000 5.475000 1.120000 ;
-      RECT 5.185000 1.260000 5.475000 1.305000 ;
-  END
-END sky130_fd_sc_hd__dfsbp_2
-MACRO sky130_fd_sc_hd__dfsbp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dfsbp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  10.58000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.222000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.770000 1.005000 2.180000 1.625000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 9.865000 0.255000 10.125000 0.825000 ;
-        RECT 9.865000 1.445000 10.125000 2.465000 ;
-        RECT 9.910000 0.825000 10.125000 1.445000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 8.370000 0.255000 8.700000 2.465000 ;
-    END
-  END Q_N
-  PIN SET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.610000 0.735000 4.020000 1.065000 ;
-      LAYER mcon ;
-        RECT 3.825000 0.765000 3.995000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 6.660000 0.735000 7.320000 1.005000 ;
-        RECT 6.660000 1.005000 6.990000 1.065000 ;
-      LAYER mcon ;
-        RECT 7.045000 0.765000 7.215000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 3.765000 0.735000 4.055000 0.780000 ;
-        RECT 3.765000 0.780000 7.275000 0.920000 ;
-        RECT 3.765000 0.920000 4.055000 0.965000 ;
-        RECT 6.985000 0.735000 7.275000 0.780000 ;
-        RECT 6.985000 0.920000 7.275000 0.965000 ;
-    END
-  END SET_B
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.975000 0.440000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 10.580000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 10.770000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 10.580000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 10.580000 0.085000 ;
-      RECT 0.000000  2.635000 10.580000 2.805000 ;
-      RECT 0.175000  0.345000  0.345000 0.635000 ;
-      RECT 0.175000  0.635000  0.840000 0.805000 ;
-      RECT 0.175000  1.795000  0.840000 1.965000 ;
-      RECT 0.175000  1.965000  0.345000 2.465000 ;
-      RECT 0.515000  0.085000  0.845000 0.465000 ;
-      RECT 0.515000  2.135000  0.845000 2.635000 ;
-      RECT 0.610000  0.805000  0.840000 1.795000 ;
-      RECT 1.015000  0.345000  1.240000 2.465000 ;
-      RECT 1.430000  0.635000  2.125000 0.825000 ;
-      RECT 1.430000  0.825000  1.600000 1.795000 ;
-      RECT 1.430000  1.795000  2.125000 1.965000 ;
-      RECT 1.455000  0.085000  1.785000 0.465000 ;
-      RECT 1.455000  2.135000  1.785000 2.635000 ;
-      RECT 1.955000  0.305000  2.125000 0.635000 ;
-      RECT 1.955000  1.965000  2.125000 2.465000 ;
-      RECT 2.350000  0.705000  2.570000 1.575000 ;
-      RECT 2.350000  1.575000  2.850000 1.955000 ;
-      RECT 2.360000  2.250000  3.190000 2.420000 ;
-      RECT 2.425000  0.265000  3.440000 0.465000 ;
-      RECT 2.750000  0.645000  3.100000 1.015000 ;
-      RECT 3.020000  1.195000  3.440000 1.235000 ;
-      RECT 3.020000  1.235000  4.370000 1.405000 ;
-      RECT 3.020000  1.405000  3.190000 2.250000 ;
-      RECT 3.270000  0.465000  3.440000 1.195000 ;
-      RECT 3.360000  1.575000  3.610000 1.835000 ;
-      RECT 3.360000  1.835000  4.710000 2.085000 ;
-      RECT 3.430000  2.255000  3.810000 2.635000 ;
-      RECT 3.610000  0.085000  4.020000 0.525000 ;
-      RECT 3.990000  2.085000  4.160000 2.375000 ;
-      RECT 4.120000  1.405000  4.370000 1.565000 ;
-      RECT 4.310000  0.295000  4.560000 0.725000 ;
-      RECT 4.310000  0.725000  4.710000 1.065000 ;
-      RECT 4.330000  2.255000  4.660000 2.635000 ;
-      RECT 4.540000  1.065000  4.710000 1.835000 ;
-      RECT 4.740000  0.085000  5.080000 0.545000 ;
-      RECT 4.900000  0.725000  6.150000 0.895000 ;
-      RECT 4.900000  0.895000  5.070000 1.655000 ;
-      RECT 4.900000  1.655000  5.400000 1.965000 ;
-      RECT 5.110000  2.165000  5.760000 2.415000 ;
-      RECT 5.240000  1.065000  5.420000 1.475000 ;
-      RECT 5.590000  1.235000  7.470000 1.405000 ;
-      RECT 5.590000  1.405000  5.760000 1.915000 ;
-      RECT 5.590000  1.915000  6.780000 2.085000 ;
-      RECT 5.590000  2.085000  5.760000 2.165000 ;
-      RECT 5.640000  0.305000  6.490000 0.475000 ;
-      RECT 5.820000  0.895000  6.150000 1.015000 ;
-      RECT 5.930000  1.575000  7.830000 1.745000 ;
-      RECT 5.930000  2.255000  6.340000 2.635000 ;
-      RECT 6.320000  0.475000  6.490000 1.235000 ;
-      RECT 6.540000  2.085000  6.780000 2.375000 ;
-      RECT 6.670000  0.085000  7.330000 0.565000 ;
-      RECT 7.010000  1.945000  7.340000 2.635000 ;
-      RECT 7.140000  1.175000  7.470000 1.235000 ;
-      RECT 7.510000  0.350000  7.830000 0.680000 ;
-      RECT 7.510000  1.745000  7.830000 1.765000 ;
-      RECT 7.510000  1.765000  7.680000 2.375000 ;
-      RECT 7.640000  0.680000  7.830000 1.575000 ;
-      RECT 8.020000  0.085000  8.200000 0.905000 ;
-      RECT 8.020000  1.480000  8.200000 2.635000 ;
-      RECT 8.890000  0.255000  9.220000 0.995000 ;
-      RECT 8.890000  0.995000  9.740000 1.325000 ;
-      RECT 8.890000  1.325000  9.220000 2.465000 ;
-      RECT 9.445000  0.085000  9.615000 0.585000 ;
-      RECT 9.445000  1.825000  9.615000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.645000  1.785000  0.815000 1.955000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  0.765000  1.235000 0.935000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  1.785000  2.615000 1.955000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  0.765000  3.075000 0.935000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  1.785000  5.375000 1.955000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.245000  1.105000  5.415000 1.275000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.585000 1.755000 0.875000 1.800000 ;
-      RECT 0.585000 1.800000 5.435000 1.940000 ;
-      RECT 0.585000 1.940000 0.875000 1.985000 ;
-      RECT 1.005000 0.735000 1.295000 0.780000 ;
-      RECT 1.005000 0.780000 3.135000 0.920000 ;
-      RECT 1.005000 0.920000 1.295000 0.965000 ;
-      RECT 2.385000 1.755000 2.675000 1.800000 ;
-      RECT 2.385000 1.940000 2.675000 1.985000 ;
-      RECT 2.845000 0.735000 3.135000 0.780000 ;
-      RECT 2.845000 0.920000 3.135000 0.965000 ;
-      RECT 2.920000 0.965000 3.135000 1.120000 ;
-      RECT 2.920000 1.120000 5.475000 1.260000 ;
-      RECT 5.145000 1.755000 5.435000 1.800000 ;
-      RECT 5.145000 1.940000 5.435000 1.985000 ;
-      RECT 5.185000 1.075000 5.475000 1.120000 ;
-      RECT 5.185000 1.260000 5.475000 1.305000 ;
-  END
-END sky130_fd_sc_hd__dfsbp_1
-MACRO sky130_fd_sc_hd__dfrtp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dfrtp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.200000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.355000 1.665000 1.680000 2.450000 ;
-        RECT 1.415000 0.615000 1.875000 1.665000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 8.855000 0.265000 9.110000 0.795000 ;
-        RECT 8.855000 1.445000 9.110000 2.325000 ;
-        RECT 8.900000 0.795000 9.110000 1.445000 ;
-    END
-  END Q
-  PIN RESET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.805000 0.765000 4.595000 1.015000 ;
-      LAYER mcon ;
-        RECT 4.165000 0.765000 4.335000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 7.105000 1.035000 7.645000 1.405000 ;
-        RECT 7.405000 0.635000 7.645000 1.035000 ;
-      LAYER mcon ;
-        RECT 7.105000 1.080000 7.275000 1.250000 ;
-        RECT 7.405000 0.765000 7.575000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 3.745000 0.735000 4.395000 0.780000 ;
-        RECT 3.745000 0.780000 7.635000 0.920000 ;
-        RECT 3.745000 0.920000 4.395000 0.965000 ;
-        RECT 7.045000 0.920000 7.635000 0.965000 ;
-        RECT 7.045000 0.965000 7.335000 1.280000 ;
-        RECT 7.345000 0.735000 7.635000 0.780000 ;
-    END
-  END RESET_B
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.975000 0.440000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.200000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.390000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.200000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.200000 0.085000 ;
-      RECT 0.000000  2.635000 9.200000 2.805000 ;
-      RECT 0.090000  0.345000 0.345000 0.635000 ;
-      RECT 0.090000  0.635000 0.840000 0.805000 ;
-      RECT 0.090000  1.795000 0.840000 1.965000 ;
-      RECT 0.090000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.840000 1.795000 ;
-      RECT 1.015000  0.345000 1.185000 2.465000 ;
-      RECT 1.545000  0.085000 1.875000 0.445000 ;
-      RECT 1.850000  2.175000 2.100000 2.635000 ;
-      RECT 2.045000  0.305000 2.540000 0.475000 ;
-      RECT 2.045000  0.475000 2.215000 1.835000 ;
-      RECT 2.045000  1.835000 2.440000 2.005000 ;
-      RECT 2.270000  2.005000 2.440000 2.135000 ;
-      RECT 2.270000  2.135000 2.520000 2.465000 ;
-      RECT 2.385000  0.765000 2.735000 1.385000 ;
-      RECT 2.610000  1.575000 3.075000 1.965000 ;
-      RECT 2.735000  2.135000 3.415000 2.465000 ;
-      RECT 2.745000  0.305000 3.600000 0.475000 ;
-      RECT 2.905000  0.765000 3.260000 0.985000 ;
-      RECT 2.905000  0.985000 3.075000 1.575000 ;
-      RECT 3.245000  1.185000 4.935000 1.355000 ;
-      RECT 3.245000  1.355000 3.415000 2.135000 ;
-      RECT 3.430000  0.475000 3.600000 1.185000 ;
-      RECT 3.585000  1.865000 4.660000 2.035000 ;
-      RECT 3.585000  2.035000 3.755000 2.375000 ;
-      RECT 3.775000  1.525000 5.275000 1.695000 ;
-      RECT 3.990000  2.205000 4.320000 2.635000 ;
-      RECT 4.475000  0.085000 4.805000 0.545000 ;
-      RECT 4.490000  2.035000 4.660000 2.375000 ;
-      RECT 4.765000  1.005000 4.935000 1.185000 ;
-      RECT 4.955000  2.175000 5.325000 2.635000 ;
-      RECT 5.015000  0.275000 5.365000 0.445000 ;
-      RECT 5.015000  0.445000 5.275000 0.835000 ;
-      RECT 5.105000  0.835000 5.275000 1.525000 ;
-      RECT 5.105000  1.695000 5.275000 1.835000 ;
-      RECT 5.105000  1.835000 5.665000 2.005000 ;
-      RECT 5.465000  0.705000 5.675000 1.495000 ;
-      RECT 5.465000  1.495000 6.140000 1.655000 ;
-      RECT 5.465000  1.655000 6.430000 1.665000 ;
-      RECT 5.495000  2.005000 5.665000 2.465000 ;
-      RECT 5.585000  0.255000 6.535000 0.535000 ;
-      RECT 5.845000  0.705000 6.195000 1.325000 ;
-      RECT 5.900000  2.125000 6.770000 2.465000 ;
-      RECT 5.970000  1.665000 6.430000 1.955000 ;
-      RECT 6.365000  0.535000 6.535000 1.315000 ;
-      RECT 6.365000  1.315000 6.770000 1.485000 ;
-      RECT 6.600000  1.485000 6.770000 1.575000 ;
-      RECT 6.600000  1.575000 7.820000 1.745000 ;
-      RECT 6.600000  1.745000 6.770000 2.125000 ;
-      RECT 6.705000  0.085000 6.895000 0.525000 ;
-      RECT 6.705000  0.695000 7.235000 0.865000 ;
-      RECT 6.705000  0.865000 6.925000 1.145000 ;
-      RECT 6.940000  2.175000 7.190000 2.635000 ;
-      RECT 7.065000  0.295000 8.135000 0.465000 ;
-      RECT 7.065000  0.465000 7.235000 0.695000 ;
-      RECT 7.360000  1.915000 8.160000 2.085000 ;
-      RECT 7.360000  2.085000 7.530000 2.375000 ;
-      RECT 7.710000  2.255000 8.040000 2.635000 ;
-      RECT 7.815000  0.465000 8.135000 0.820000 ;
-      RECT 7.815000  0.820000 8.140000 0.995000 ;
-      RECT 7.815000  0.995000 8.730000 1.295000 ;
-      RECT 7.990000  1.295000 8.730000 1.325000 ;
-      RECT 7.990000  1.325000 8.160000 1.915000 ;
-      RECT 8.380000  0.085000 8.685000 0.545000 ;
-      RECT 8.380000  1.495000 8.685000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.610000  1.105000 0.780000 1.275000 ;
-      RECT 1.015000  1.785000 1.185000 1.955000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  1.105000 2.615000 1.275000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  1.785000 3.075000 1.955000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.025000  1.105000 6.195000 1.275000 ;
-      RECT 6.025000  1.785000 6.195000 1.955000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.075000 0.840000 1.120000 ;
-      RECT 0.550000 1.120000 6.255000 1.260000 ;
-      RECT 0.550000 1.260000 0.840000 1.305000 ;
-      RECT 0.955000 1.755000 1.245000 1.800000 ;
-      RECT 0.955000 1.800000 6.255000 1.940000 ;
-      RECT 0.955000 1.940000 1.245000 1.985000 ;
-      RECT 2.385000 1.075000 2.675000 1.120000 ;
-      RECT 2.385000 1.260000 2.675000 1.305000 ;
-      RECT 2.845000 1.755000 3.135000 1.800000 ;
-      RECT 2.845000 1.940000 3.135000 1.985000 ;
-      RECT 5.965000 1.075000 6.255000 1.120000 ;
-      RECT 5.965000 1.260000 6.255000 1.305000 ;
-      RECT 5.965000 1.755000 6.255000 1.800000 ;
-      RECT 5.965000 1.940000 6.255000 1.985000 ;
-  END
-END sky130_fd_sc_hd__dfrtp_1
-MACRO sky130_fd_sc_hd__dfrtp_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dfrtp_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  10.58000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.355000 1.665000 1.680000 2.450000 ;
-        RECT 1.415000 0.615000 1.875000 1.665000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT  8.675000 0.255000  9.005000 0.735000 ;
-        RECT  8.675000 0.735000 10.440000 0.905000 ;
-        RECT  8.715000 1.455000 10.440000 1.625000 ;
-        RECT  8.715000 1.625000  9.005000 2.465000 ;
-        RECT  9.515000 0.255000  9.845000 0.735000 ;
-        RECT  9.555000 1.625000  9.805000 2.465000 ;
-        RECT 10.030000 0.905000 10.440000 1.455000 ;
-    END
-  END Q
-  PIN RESET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.805000 0.765000 4.595000 1.015000 ;
-      LAYER mcon ;
-        RECT 4.165000 0.765000 4.335000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 7.105000 1.035000 7.645000 1.405000 ;
-        RECT 7.405000 0.635000 7.645000 1.035000 ;
-      LAYER mcon ;
-        RECT 7.105000 1.080000 7.275000 1.250000 ;
-        RECT 7.405000 0.765000 7.575000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 3.745000 0.735000 4.395000 0.780000 ;
-        RECT 3.745000 0.780000 7.635000 0.920000 ;
-        RECT 3.745000 0.920000 4.395000 0.965000 ;
-        RECT 7.045000 0.920000 7.635000 0.965000 ;
-        RECT 7.045000 0.965000 7.335000 1.280000 ;
-        RECT 7.345000 0.735000 7.635000 0.780000 ;
-    END
-  END RESET_B
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.975000 0.440000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 10.580000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 10.770000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 10.580000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 10.580000 0.085000 ;
-      RECT  0.000000  2.635000 10.580000 2.805000 ;
-      RECT  0.090000  0.345000  0.345000 0.635000 ;
-      RECT  0.090000  0.635000  0.840000 0.805000 ;
-      RECT  0.090000  1.795000  0.840000 1.965000 ;
-      RECT  0.090000  1.965000  0.345000 2.465000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.515000  2.135000  0.845000 2.635000 ;
-      RECT  0.610000  0.805000  0.840000 1.795000 ;
-      RECT  1.015000  0.345000  1.185000 2.465000 ;
-      RECT  1.545000  0.085000  1.875000 0.445000 ;
-      RECT  1.850000  2.175000  2.100000 2.635000 ;
-      RECT  2.045000  0.305000  2.540000 0.475000 ;
-      RECT  2.045000  0.475000  2.215000 1.835000 ;
-      RECT  2.045000  1.835000  2.440000 2.005000 ;
-      RECT  2.270000  2.005000  2.440000 2.135000 ;
-      RECT  2.270000  2.135000  2.520000 2.465000 ;
-      RECT  2.385000  0.765000  2.735000 1.385000 ;
-      RECT  2.610000  1.575000  3.075000 1.965000 ;
-      RECT  2.735000  2.135000  3.415000 2.465000 ;
-      RECT  2.745000  0.305000  3.600000 0.475000 ;
-      RECT  2.905000  0.765000  3.260000 0.985000 ;
-      RECT  2.905000  0.985000  3.075000 1.575000 ;
-      RECT  3.245000  1.185000  4.935000 1.355000 ;
-      RECT  3.245000  1.355000  3.415000 2.135000 ;
-      RECT  3.430000  0.475000  3.600000 1.185000 ;
-      RECT  3.585000  1.865000  4.660000 2.035000 ;
-      RECT  3.585000  2.035000  3.755000 2.375000 ;
-      RECT  3.775000  1.525000  5.275000 1.695000 ;
-      RECT  3.990000  2.205000  4.320000 2.635000 ;
-      RECT  4.475000  0.085000  4.805000 0.545000 ;
-      RECT  4.490000  2.035000  4.660000 2.375000 ;
-      RECT  4.765000  1.005000  4.935000 1.185000 ;
-      RECT  4.955000  2.175000  5.325000 2.635000 ;
-      RECT  5.015000  0.275000  5.365000 0.445000 ;
-      RECT  5.015000  0.445000  5.275000 0.835000 ;
-      RECT  5.105000  0.835000  5.275000 1.525000 ;
-      RECT  5.105000  1.695000  5.275000 1.835000 ;
-      RECT  5.105000  1.835000  5.665000 2.005000 ;
-      RECT  5.465000  0.705000  5.675000 1.495000 ;
-      RECT  5.465000  1.495000  6.140000 1.655000 ;
-      RECT  5.465000  1.655000  6.430000 1.665000 ;
-      RECT  5.495000  2.005000  5.665000 2.465000 ;
-      RECT  5.585000  0.255000  6.535000 0.535000 ;
-      RECT  5.845000  0.705000  6.195000 1.325000 ;
-      RECT  5.900000  2.125000  6.770000 2.465000 ;
-      RECT  5.970000  1.665000  6.430000 1.955000 ;
-      RECT  6.365000  0.535000  6.535000 1.315000 ;
-      RECT  6.365000  1.315000  6.770000 1.485000 ;
-      RECT  6.600000  1.485000  6.770000 1.575000 ;
-      RECT  6.600000  1.575000  7.820000 1.745000 ;
-      RECT  6.600000  1.745000  6.770000 2.125000 ;
-      RECT  6.705000  0.085000  6.895000 0.525000 ;
-      RECT  6.705000  0.695000  7.235000 0.865000 ;
-      RECT  6.705000  0.865000  6.925000 1.145000 ;
-      RECT  6.940000  2.175000  7.190000 2.635000 ;
-      RECT  7.065000  0.295000  8.135000 0.465000 ;
-      RECT  7.065000  0.465000  7.235000 0.695000 ;
-      RECT  7.360000  1.915000  8.160000 2.085000 ;
-      RECT  7.360000  2.085000  7.530000 2.375000 ;
-      RECT  7.710000  2.255000  8.040000 2.635000 ;
-      RECT  7.815000  0.465000  8.135000 0.820000 ;
-      RECT  7.815000  0.820000  8.140000 1.075000 ;
-      RECT  7.815000  1.075000  9.845000 1.285000 ;
-      RECT  7.815000  1.285000  8.160000 1.295000 ;
-      RECT  7.990000  1.295000  8.160000 1.915000 ;
-      RECT  8.335000  0.085000  8.505000 0.895000 ;
-      RECT  8.335000  1.575000  8.505000 2.635000 ;
-      RECT  9.175000  0.085000  9.345000 0.555000 ;
-      RECT  9.175000  1.795000  9.345000 2.635000 ;
-      RECT 10.015000  0.085000 10.185000 0.555000 ;
-      RECT 10.015000  1.795000 10.185000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.610000  1.105000  0.780000 1.275000 ;
-      RECT  1.015000  1.785000  1.185000 1.955000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  1.105000  2.615000 1.275000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  1.785000  3.075000 1.955000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.025000  1.105000  6.195000 1.275000 ;
-      RECT  6.025000  1.785000  6.195000 1.955000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.075000 0.840000 1.120000 ;
-      RECT 0.550000 1.120000 6.255000 1.260000 ;
-      RECT 0.550000 1.260000 0.840000 1.305000 ;
-      RECT 0.955000 1.755000 1.245000 1.800000 ;
-      RECT 0.955000 1.800000 6.255000 1.940000 ;
-      RECT 0.955000 1.940000 1.245000 1.985000 ;
-      RECT 2.385000 1.075000 2.675000 1.120000 ;
-      RECT 2.385000 1.260000 2.675000 1.305000 ;
-      RECT 2.845000 1.755000 3.135000 1.800000 ;
-      RECT 2.845000 1.940000 3.135000 1.985000 ;
-      RECT 5.965000 1.075000 6.255000 1.120000 ;
-      RECT 5.965000 1.260000 6.255000 1.305000 ;
-      RECT 5.965000 1.755000 6.255000 1.800000 ;
-      RECT 5.965000 1.940000 6.255000 1.985000 ;
-  END
-END sky130_fd_sc_hd__dfrtp_4
-MACRO sky130_fd_sc_hd__dfrtp_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dfrtp_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.660000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.355000 1.665000 1.680000 2.450000 ;
-        RECT 1.415000 0.615000 1.875000 1.665000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 8.855000 0.265000 9.105000 0.795000 ;
-        RECT 8.855000 1.445000 9.105000 2.325000 ;
-        RECT 8.900000 0.795000 9.105000 1.445000 ;
-    END
-  END Q
-  PIN RESET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.805000 0.765000 4.595000 1.015000 ;
-      LAYER mcon ;
-        RECT 4.165000 0.765000 4.335000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 7.105000 1.035000 7.645000 1.405000 ;
-        RECT 7.405000 0.635000 7.645000 1.035000 ;
-      LAYER mcon ;
-        RECT 7.105000 1.080000 7.275000 1.250000 ;
-        RECT 7.405000 0.765000 7.575000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 3.745000 0.735000 4.395000 0.780000 ;
-        RECT 3.745000 0.780000 7.635000 0.920000 ;
-        RECT 3.745000 0.920000 4.395000 0.965000 ;
-        RECT 7.045000 0.920000 7.635000 0.965000 ;
-        RECT 7.045000 0.965000 7.335000 1.280000 ;
-        RECT 7.345000 0.735000 7.635000 0.780000 ;
-    END
-  END RESET_B
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.975000 0.440000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.660000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.850000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.660000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.660000 0.085000 ;
-      RECT 0.000000  2.635000 9.660000 2.805000 ;
-      RECT 0.090000  0.345000 0.345000 0.635000 ;
-      RECT 0.090000  0.635000 0.840000 0.805000 ;
-      RECT 0.090000  1.795000 0.840000 1.965000 ;
-      RECT 0.090000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.840000 1.795000 ;
-      RECT 1.015000  0.345000 1.185000 2.465000 ;
-      RECT 1.545000  0.085000 1.875000 0.445000 ;
-      RECT 1.850000  2.175000 2.100000 2.635000 ;
-      RECT 2.045000  0.305000 2.540000 0.475000 ;
-      RECT 2.045000  0.475000 2.215000 1.835000 ;
-      RECT 2.045000  1.835000 2.440000 2.005000 ;
-      RECT 2.270000  2.005000 2.440000 2.135000 ;
-      RECT 2.270000  2.135000 2.520000 2.465000 ;
-      RECT 2.385000  0.765000 2.735000 1.385000 ;
-      RECT 2.610000  1.575000 3.075000 1.965000 ;
-      RECT 2.735000  2.135000 3.415000 2.465000 ;
-      RECT 2.745000  0.305000 3.600000 0.475000 ;
-      RECT 2.905000  0.765000 3.260000 0.985000 ;
-      RECT 2.905000  0.985000 3.075000 1.575000 ;
-      RECT 3.245000  1.185000 4.935000 1.355000 ;
-      RECT 3.245000  1.355000 3.415000 2.135000 ;
-      RECT 3.430000  0.475000 3.600000 1.185000 ;
-      RECT 3.585000  1.865000 4.660000 2.035000 ;
-      RECT 3.585000  2.035000 3.755000 2.375000 ;
-      RECT 3.775000  1.525000 5.275000 1.695000 ;
-      RECT 3.990000  2.205000 4.320000 2.635000 ;
-      RECT 4.475000  0.085000 4.805000 0.545000 ;
-      RECT 4.490000  2.035000 4.660000 2.375000 ;
-      RECT 4.765000  1.005000 4.935000 1.185000 ;
-      RECT 4.955000  2.175000 5.325000 2.635000 ;
-      RECT 5.015000  0.275000 5.365000 0.445000 ;
-      RECT 5.015000  0.445000 5.275000 0.835000 ;
-      RECT 5.105000  0.835000 5.275000 1.525000 ;
-      RECT 5.105000  1.695000 5.275000 1.835000 ;
-      RECT 5.105000  1.835000 5.665000 2.005000 ;
-      RECT 5.465000  0.705000 5.675000 1.495000 ;
-      RECT 5.465000  1.495000 6.140000 1.655000 ;
-      RECT 5.465000  1.655000 6.430000 1.665000 ;
-      RECT 5.495000  2.005000 5.665000 2.465000 ;
-      RECT 5.585000  0.255000 6.535000 0.535000 ;
-      RECT 5.845000  0.705000 6.195000 1.325000 ;
-      RECT 5.900000  2.125000 6.770000 2.465000 ;
-      RECT 5.970000  1.665000 6.430000 1.955000 ;
-      RECT 6.365000  0.535000 6.535000 1.315000 ;
-      RECT 6.365000  1.315000 6.770000 1.485000 ;
-      RECT 6.600000  1.485000 6.770000 1.575000 ;
-      RECT 6.600000  1.575000 7.820000 1.745000 ;
-      RECT 6.600000  1.745000 6.770000 2.125000 ;
-      RECT 6.705000  0.085000 6.895000 0.525000 ;
-      RECT 6.705000  0.695000 7.235000 0.865000 ;
-      RECT 6.705000  0.865000 6.925000 1.145000 ;
-      RECT 6.940000  2.175000 7.190000 2.635000 ;
-      RECT 7.065000  0.295000 8.135000 0.465000 ;
-      RECT 7.065000  0.465000 7.235000 0.695000 ;
-      RECT 7.360000  1.915000 8.160000 2.085000 ;
-      RECT 7.360000  2.085000 7.530000 2.375000 ;
-      RECT 7.710000  2.255000 8.040000 2.635000 ;
-      RECT 7.815000  0.465000 8.135000 0.820000 ;
-      RECT 7.815000  0.820000 8.140000 0.995000 ;
-      RECT 7.815000  0.995000 8.730000 1.295000 ;
-      RECT 7.990000  1.295000 8.730000 1.325000 ;
-      RECT 7.990000  1.325000 8.160000 1.915000 ;
-      RECT 8.380000  0.085000 8.685000 0.545000 ;
-      RECT 8.380000  1.495000 8.685000 2.635000 ;
-      RECT 9.275000  0.085000 9.525000 0.840000 ;
-      RECT 9.275000  1.495000 9.525000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.610000  1.105000 0.780000 1.275000 ;
-      RECT 1.015000  1.785000 1.185000 1.955000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  1.105000 2.615000 1.275000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  1.785000 3.075000 1.955000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.025000  1.105000 6.195000 1.275000 ;
-      RECT 6.025000  1.785000 6.195000 1.955000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.075000 0.840000 1.120000 ;
-      RECT 0.550000 1.120000 6.255000 1.260000 ;
-      RECT 0.550000 1.260000 0.840000 1.305000 ;
-      RECT 0.955000 1.755000 1.245000 1.800000 ;
-      RECT 0.955000 1.800000 6.255000 1.940000 ;
-      RECT 0.955000 1.940000 1.245000 1.985000 ;
-      RECT 2.385000 1.075000 2.675000 1.120000 ;
-      RECT 2.385000 1.260000 2.675000 1.305000 ;
-      RECT 2.845000 1.755000 3.135000 1.800000 ;
-      RECT 2.845000 1.940000 3.135000 1.985000 ;
-      RECT 5.965000 1.075000 6.255000 1.120000 ;
-      RECT 5.965000 1.260000 6.255000 1.305000 ;
-      RECT 5.965000 1.755000 6.255000 1.800000 ;
-      RECT 5.965000 1.940000 6.255000 1.985000 ;
-  END
-END sky130_fd_sc_hd__dfrtp_2
-MACRO sky130_fd_sc_hd__sedfxtp_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sedfxtp_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  13.80000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.695000 0.765000 1.915000 1.720000 ;
-    END
-  END D
-  PIN DE
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.110000 0.765000 2.565000 1.185000 ;
-        RECT 2.110000 1.185000 2.325000 1.370000 ;
-    END
-  END DE
-  PIN Q
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 12.755000 0.305000 13.085000 2.420000 ;
-    END
-  END Q
-  PIN SCD
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.760000 1.105000 6.215000 1.665000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.025000 1.105000 5.250000 1.615000 ;
-    END
-  END SCE
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 0.975000 0.445000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 13.800000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000  4.885000 1.435000 ;
-        RECT -0.190000 1.435000 13.990000 2.910000 ;
-        RECT  7.200000 1.305000 13.990000 1.435000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 13.800000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 13.800000 0.085000 ;
-      RECT  0.000000  2.635000 13.800000 2.805000 ;
-      RECT  0.175000  0.345000  0.345000 0.635000 ;
-      RECT  0.175000  0.635000  0.845000 0.805000 ;
-      RECT  0.175000  1.795000  0.845000 1.965000 ;
-      RECT  0.175000  1.965000  0.345000 2.465000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.515000  2.135000  0.845000 2.635000 ;
-      RECT  0.615000  0.805000  0.845000 1.795000 ;
-      RECT  1.015000  0.345000  1.185000 2.465000 ;
-      RECT  1.355000  0.255000  1.785000 0.515000 ;
-      RECT  1.355000  0.515000  1.525000 1.890000 ;
-      RECT  1.355000  1.890000  1.785000 2.465000 ;
-      RECT  2.235000  0.085000  2.565000 0.515000 ;
-      RECT  2.235000  1.890000  2.565000 2.635000 ;
-      RECT  2.495000  1.355000  3.085000 1.720000 ;
-      RECT  2.755000  1.720000  3.085000 2.425000 ;
-      RECT  2.780000  0.255000  3.005000 0.845000 ;
-      RECT  2.780000  0.845000  3.635000 1.175000 ;
-      RECT  2.780000  1.175000  3.085000 1.355000 ;
-      RECT  3.185000  0.085000  3.515000 0.610000 ;
-      RECT  3.265000  1.825000  3.460000 2.635000 ;
-      RECT  3.805000  0.685000  3.975000 1.320000 ;
-      RECT  3.805000  1.320000  4.175000 1.650000 ;
-      RECT  4.125000  1.820000  4.515000 2.020000 ;
-      RECT  4.125000  2.020000  4.455000 2.465000 ;
-      RECT  4.145000  0.255000  4.415000 0.980000 ;
-      RECT  4.145000  0.980000  4.515000 1.150000 ;
-      RECT  4.345000  1.150000  4.515000 1.820000 ;
-      RECT  4.595000  0.255000  4.795000 0.645000 ;
-      RECT  4.595000  0.645000  4.855000 0.825000 ;
-      RECT  4.635000  2.210000  4.965000 2.465000 ;
-      RECT  4.685000  0.825000  4.855000 1.785000 ;
-      RECT  4.685000  1.785000  4.965000 2.210000 ;
-      RECT  4.965000  0.255000  5.590000 0.515000 ;
-      RECT  5.155000  1.835000  6.585000 2.005000 ;
-      RECT  5.155000  2.005000  5.495000 2.465000 ;
-      RECT  5.260000  0.515000  5.590000 0.935000 ;
-      RECT  5.420000  0.935000  5.590000 1.835000 ;
-      RECT  5.665000  2.175000  6.010000 2.635000 ;
-      RECT  5.760000  0.085000  6.010000 0.905000 ;
-      RECT  6.385000  1.355000  6.585000 1.835000 ;
-      RECT  6.515000  0.255000  7.135000 0.565000 ;
-      RECT  6.515000  0.565000  6.925000 1.185000 ;
-      RECT  6.675000  2.150000  7.005000 2.465000 ;
-      RECT  6.755000  1.185000  6.925000 1.865000 ;
-      RECT  6.755000  1.865000  7.005000 2.150000 ;
-      RECT  7.095000  1.125000  7.280000 1.720000 ;
-      RECT  7.115000  0.735000  7.620000 0.955000 ;
-      RECT  7.215000  2.175000  8.255000 2.375000 ;
-      RECT  7.305000  0.255000  7.980000 0.565000 ;
-      RECT  7.450000  0.955000  7.620000 1.655000 ;
-      RECT  7.450000  1.655000  7.915000 2.005000 ;
-      RECT  7.810000  0.565000  7.980000 1.315000 ;
-      RECT  7.810000  1.315000  8.660000 1.485000 ;
-      RECT  8.085000  1.485000  8.660000 1.575000 ;
-      RECT  8.085000  1.575000  8.255000 2.175000 ;
-      RECT  8.170000  0.765000  9.235000 1.045000 ;
-      RECT  8.170000  1.045000  9.745000 1.065000 ;
-      RECT  8.170000  1.065000  8.370000 1.095000 ;
-      RECT  8.245000  0.085000  8.640000 0.560000 ;
-      RECT  8.425000  1.835000  8.660000 2.635000 ;
-      RECT  8.490000  1.245000  8.660000 1.315000 ;
-      RECT  8.830000  0.255000  9.235000 0.765000 ;
-      RECT  8.830000  1.065000  9.745000 1.375000 ;
-      RECT  8.830000  1.375000  9.160000 2.465000 ;
-      RECT  9.370000  2.105000  9.660000 2.635000 ;
-      RECT  9.465000  0.085000  9.740000 0.615000 ;
-      RECT 10.090000  1.245000 10.280000 1.965000 ;
-      RECT 10.225000  2.165000 11.110000 2.355000 ;
-      RECT 10.305000  0.705000 10.770000 1.035000 ;
-      RECT 10.325000  0.330000 11.110000 0.535000 ;
-      RECT 10.450000  1.035000 10.770000 1.995000 ;
-      RECT 10.940000  0.535000 11.110000 0.995000 ;
-      RECT 10.940000  0.995000 11.810000 1.325000 ;
-      RECT 10.940000  1.325000 11.110000 2.165000 ;
-      RECT 11.280000  1.530000 12.180000 1.905000 ;
-      RECT 11.280000  2.135000 11.540000 2.635000 ;
-      RECT 11.350000  0.085000 11.665000 0.615000 ;
-      RECT 11.840000  1.905000 12.180000 2.465000 ;
-      RECT 11.850000  0.300000 12.180000 0.825000 ;
-      RECT 11.990000  0.825000 12.180000 1.530000 ;
-      RECT 12.350000  0.085000 12.585000 0.900000 ;
-      RECT 12.350000  1.465000 12.585000 2.635000 ;
-      RECT 13.255000  0.085000 13.515000 0.900000 ;
-      RECT 13.255000  1.465000 13.515000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.635000  1.785000  0.805000 1.955000 ;
-      RECT  1.015000  1.445000  1.185000 1.615000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.355000  0.425000  1.525000 0.595000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.805000  0.765000  3.975000 0.935000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.185000  0.425000  4.355000 0.595000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.615000  0.425000  4.785000 0.595000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.530000  0.425000  6.700000 0.595000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.100000  1.445000  7.270000 1.615000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.510000  1.785000  7.680000 1.955000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.100000  1.785000 10.270000 1.955000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.520000  1.445000 10.690000 1.615000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-      RECT 12.000000  0.765000 12.170000 0.935000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-      RECT 12.105000  2.635000 12.275000 2.805000 ;
-      RECT 12.565000 -0.085000 12.735000 0.085000 ;
-      RECT 12.565000  2.635000 12.735000 2.805000 ;
-      RECT 13.025000 -0.085000 13.195000 0.085000 ;
-      RECT 13.025000  2.635000 13.195000 2.805000 ;
-      RECT 13.485000 -0.085000 13.655000 0.085000 ;
-      RECT 13.485000  2.635000 13.655000 2.805000 ;
-    LAYER met1 ;
-      RECT  0.575000 1.755000  0.865000 1.800000 ;
-      RECT  0.575000 1.800000 10.330000 1.940000 ;
-      RECT  0.575000 1.940000  0.865000 1.985000 ;
-      RECT  0.955000 1.415000  1.245000 1.460000 ;
-      RECT  0.955000 1.460000 10.750000 1.600000 ;
-      RECT  0.955000 1.600000  1.245000 1.645000 ;
-      RECT  1.295000 0.395000  4.415000 0.580000 ;
-      RECT  1.295000 0.580000  1.585000 0.625000 ;
-      RECT  3.745000 0.735000  4.035000 0.780000 ;
-      RECT  3.745000 0.780000 12.230000 0.920000 ;
-      RECT  3.745000 0.920000  4.035000 0.965000 ;
-      RECT  4.125000 0.580000  4.415000 0.625000 ;
-      RECT  4.555000 0.395000  6.760000 0.580000 ;
-      RECT  4.555000 0.580000  4.845000 0.625000 ;
-      RECT  6.470000 0.580000  6.760000 0.625000 ;
-      RECT  7.040000 1.415000  7.330000 1.460000 ;
-      RECT  7.040000 1.600000  7.330000 1.645000 ;
-      RECT  7.450000 1.755000  7.740000 1.800000 ;
-      RECT  7.450000 1.940000  7.740000 1.985000 ;
-      RECT 10.040000 1.755000 10.330000 1.800000 ;
-      RECT 10.040000 1.940000 10.330000 1.985000 ;
-      RECT 10.460000 1.415000 10.750000 1.460000 ;
-      RECT 10.460000 1.600000 10.750000 1.645000 ;
-      RECT 11.940000 0.735000 12.230000 0.780000 ;
-      RECT 11.940000 0.920000 12.230000 0.965000 ;
-  END
-END sky130_fd_sc_hd__sedfxtp_2
-MACRO sky130_fd_sc_hd__sedfxtp_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sedfxtp_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  14.72000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.695000 0.765000 1.915000 1.720000 ;
-    END
-  END D
-  PIN DE
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.110000 0.765000 2.565000 1.185000 ;
-        RECT 2.110000 1.185000 2.325000 1.370000 ;
-    END
-  END DE
-  PIN Q
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 12.755000 0.305000 13.085000 1.070000 ;
-        RECT 12.755000 1.070000 13.925000 1.295000 ;
-        RECT 12.755000 1.295000 13.085000 2.420000 ;
-        RECT 13.595000 0.305000 13.925000 1.070000 ;
-        RECT 13.595000 1.295000 13.925000 2.420000 ;
-    END
-  END Q
-  PIN SCD
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.760000 1.105000 6.215000 1.665000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.025000 1.105000 5.250000 1.615000 ;
-    END
-  END SCE
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 0.975000 0.445000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 14.720000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000  4.885000 1.435000 ;
-        RECT -0.190000 1.435000 14.910000 2.910000 ;
-        RECT  7.200000 1.305000 14.910000 1.435000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 14.720000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 14.720000 0.085000 ;
-      RECT  0.000000  2.635000 14.720000 2.805000 ;
-      RECT  0.175000  0.345000  0.345000 0.635000 ;
-      RECT  0.175000  0.635000  0.845000 0.805000 ;
-      RECT  0.175000  1.795000  0.845000 1.965000 ;
-      RECT  0.175000  1.965000  0.345000 2.465000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.515000  2.135000  0.845000 2.635000 ;
-      RECT  0.615000  0.805000  0.845000 1.795000 ;
-      RECT  1.015000  0.345000  1.185000 2.465000 ;
-      RECT  1.355000  0.255000  1.785000 0.515000 ;
-      RECT  1.355000  0.515000  1.525000 1.890000 ;
-      RECT  1.355000  1.890000  1.785000 2.465000 ;
-      RECT  2.235000  0.085000  2.565000 0.515000 ;
-      RECT  2.235000  1.890000  2.565000 2.635000 ;
-      RECT  2.495000  1.355000  3.085000 1.720000 ;
-      RECT  2.755000  1.720000  3.085000 2.425000 ;
-      RECT  2.780000  0.255000  3.005000 0.845000 ;
-      RECT  2.780000  0.845000  3.635000 1.175000 ;
-      RECT  2.780000  1.175000  3.085000 1.355000 ;
-      RECT  3.185000  0.085000  3.515000 0.610000 ;
-      RECT  3.265000  1.825000  3.460000 2.635000 ;
-      RECT  3.805000  0.685000  3.975000 1.320000 ;
-      RECT  3.805000  1.320000  4.175000 1.650000 ;
-      RECT  4.125000  1.820000  4.515000 2.020000 ;
-      RECT  4.125000  2.020000  4.455000 2.465000 ;
-      RECT  4.145000  0.255000  4.415000 0.980000 ;
-      RECT  4.145000  0.980000  4.515000 1.150000 ;
-      RECT  4.345000  1.150000  4.515000 1.820000 ;
-      RECT  4.595000  0.255000  4.795000 0.645000 ;
-      RECT  4.595000  0.645000  4.855000 0.825000 ;
-      RECT  4.635000  2.210000  4.965000 2.465000 ;
-      RECT  4.685000  0.825000  4.855000 1.785000 ;
-      RECT  4.685000  1.785000  4.965000 2.210000 ;
-      RECT  4.965000  0.255000  5.590000 0.515000 ;
-      RECT  5.155000  1.835000  6.585000 2.005000 ;
-      RECT  5.155000  2.005000  5.495000 2.465000 ;
-      RECT  5.260000  0.515000  5.590000 0.935000 ;
-      RECT  5.420000  0.935000  5.590000 1.835000 ;
-      RECT  5.665000  2.175000  6.010000 2.635000 ;
-      RECT  5.760000  0.085000  6.010000 0.905000 ;
-      RECT  6.385000  1.355000  6.585000 1.835000 ;
-      RECT  6.515000  0.255000  7.135000 0.565000 ;
-      RECT  6.515000  0.565000  6.925000 1.185000 ;
-      RECT  6.675000  2.150000  7.005000 2.465000 ;
-      RECT  6.755000  1.185000  6.925000 1.865000 ;
-      RECT  6.755000  1.865000  7.005000 2.150000 ;
-      RECT  7.095000  1.125000  7.280000 1.720000 ;
-      RECT  7.115000  0.735000  7.620000 0.955000 ;
-      RECT  7.215000  2.175000  8.255000 2.375000 ;
-      RECT  7.305000  0.255000  7.980000 0.565000 ;
-      RECT  7.450000  0.955000  7.620000 1.655000 ;
-      RECT  7.450000  1.655000  7.915000 2.005000 ;
-      RECT  7.810000  0.565000  7.980000 1.315000 ;
-      RECT  7.810000  1.315000  8.660000 1.485000 ;
-      RECT  8.085000  1.485000  8.660000 1.575000 ;
-      RECT  8.085000  1.575000  8.255000 2.175000 ;
-      RECT  8.170000  0.765000  9.235000 1.045000 ;
-      RECT  8.170000  1.045000  9.745000 1.065000 ;
-      RECT  8.170000  1.065000  8.370000 1.095000 ;
-      RECT  8.245000  0.085000  8.640000 0.560000 ;
-      RECT  8.425000  1.835000  8.660000 2.635000 ;
-      RECT  8.490000  1.245000  8.660000 1.315000 ;
-      RECT  8.830000  0.255000  9.235000 0.765000 ;
-      RECT  8.830000  1.065000  9.745000 1.375000 ;
-      RECT  8.830000  1.375000  9.160000 2.465000 ;
-      RECT  9.370000  2.105000  9.660000 2.635000 ;
-      RECT  9.465000  0.085000  9.740000 0.615000 ;
-      RECT 10.090000  1.245000 10.280000 1.965000 ;
-      RECT 10.225000  2.165000 11.110000 2.355000 ;
-      RECT 10.305000  0.705000 10.770000 1.035000 ;
-      RECT 10.325000  0.330000 11.110000 0.535000 ;
-      RECT 10.450000  1.035000 10.770000 1.995000 ;
-      RECT 10.940000  0.535000 11.110000 0.995000 ;
-      RECT 10.940000  0.995000 11.810000 1.325000 ;
-      RECT 10.940000  1.325000 11.110000 2.165000 ;
-      RECT 11.280000  1.530000 12.180000 1.905000 ;
-      RECT 11.280000  2.135000 11.540000 2.635000 ;
-      RECT 11.350000  0.085000 11.665000 0.615000 ;
-      RECT 11.840000  1.905000 12.180000 2.465000 ;
-      RECT 11.850000  0.300000 12.180000 0.825000 ;
-      RECT 11.990000  0.825000 12.180000 1.530000 ;
-      RECT 12.350000  0.085000 12.585000 0.900000 ;
-      RECT 12.350000  1.465000 12.585000 2.635000 ;
-      RECT 13.255000  0.085000 13.425000 0.900000 ;
-      RECT 13.255000  1.465000 13.425000 2.635000 ;
-      RECT 14.095000  0.085000 14.355000 1.280000 ;
-      RECT 14.095000  1.465000 14.355000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.635000  1.785000  0.805000 1.955000 ;
-      RECT  1.015000  1.445000  1.185000 1.615000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.355000  0.425000  1.525000 0.595000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.805000  0.765000  3.975000 0.935000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.185000  0.425000  4.355000 0.595000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.615000  0.425000  4.785000 0.595000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.530000  0.425000  6.700000 0.595000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.100000  1.445000  7.270000 1.615000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.510000  1.785000  7.680000 1.955000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.100000  1.785000 10.270000 1.955000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.520000  1.445000 10.690000 1.615000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-      RECT 12.000000  0.765000 12.170000 0.935000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-      RECT 12.105000  2.635000 12.275000 2.805000 ;
-      RECT 12.565000 -0.085000 12.735000 0.085000 ;
-      RECT 12.565000  2.635000 12.735000 2.805000 ;
-      RECT 13.025000 -0.085000 13.195000 0.085000 ;
-      RECT 13.025000  2.635000 13.195000 2.805000 ;
-      RECT 13.485000 -0.085000 13.655000 0.085000 ;
-      RECT 13.485000  2.635000 13.655000 2.805000 ;
-      RECT 13.945000 -0.085000 14.115000 0.085000 ;
-      RECT 13.945000  2.635000 14.115000 2.805000 ;
-      RECT 14.405000 -0.085000 14.575000 0.085000 ;
-      RECT 14.405000  2.635000 14.575000 2.805000 ;
-    LAYER met1 ;
-      RECT  0.575000 1.755000  0.865000 1.800000 ;
-      RECT  0.575000 1.800000 10.330000 1.940000 ;
-      RECT  0.575000 1.940000  0.865000 1.985000 ;
-      RECT  0.955000 1.415000  1.245000 1.460000 ;
-      RECT  0.955000 1.460000 10.750000 1.600000 ;
-      RECT  0.955000 1.600000  1.245000 1.645000 ;
-      RECT  1.295000 0.395000  4.415000 0.580000 ;
-      RECT  1.295000 0.580000  1.585000 0.625000 ;
-      RECT  3.745000 0.735000  4.035000 0.780000 ;
-      RECT  3.745000 0.780000 12.230000 0.920000 ;
-      RECT  3.745000 0.920000  4.035000 0.965000 ;
-      RECT  4.125000 0.580000  4.415000 0.625000 ;
-      RECT  4.555000 0.395000  6.760000 0.580000 ;
-      RECT  4.555000 0.580000  4.845000 0.625000 ;
-      RECT  6.470000 0.580000  6.760000 0.625000 ;
-      RECT  7.040000 1.415000  7.330000 1.460000 ;
-      RECT  7.040000 1.600000  7.330000 1.645000 ;
-      RECT  7.450000 1.755000  7.740000 1.800000 ;
-      RECT  7.450000 1.940000  7.740000 1.985000 ;
-      RECT 10.040000 1.755000 10.330000 1.800000 ;
-      RECT 10.040000 1.940000 10.330000 1.985000 ;
-      RECT 10.460000 1.415000 10.750000 1.460000 ;
-      RECT 10.460000 1.600000 10.750000 1.645000 ;
-      RECT 11.940000 0.735000 12.230000 0.780000 ;
-      RECT 11.940000 0.920000 12.230000 0.965000 ;
-  END
-END sky130_fd_sc_hd__sedfxtp_4
-MACRO sky130_fd_sc_hd__sedfxtp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sedfxtp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  13.34000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.695000 0.765000 1.915000 1.720000 ;
-    END
-  END D
-  PIN DE
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.110000 0.765000 2.565000 1.185000 ;
-        RECT 2.110000 1.185000 2.325000 1.370000 ;
-    END
-  END DE
-  PIN Q
-    ANTENNADIFFAREA  0.462000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 12.765000 0.305000 13.095000 2.420000 ;
-    END
-  END Q
-  PIN SCD
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.760000 1.105000 6.215000 1.665000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.025000 1.105000 5.250000 1.615000 ;
-    END
-  END SCE
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 0.975000 0.445000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 13.340000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000  4.885000 1.435000 ;
-        RECT -0.190000 1.435000 13.530000 2.910000 ;
-        RECT  7.200000 1.305000 13.530000 1.435000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 13.340000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 13.340000 0.085000 ;
-      RECT  0.000000  2.635000 13.340000 2.805000 ;
-      RECT  0.175000  0.345000  0.345000 0.635000 ;
-      RECT  0.175000  0.635000  0.845000 0.805000 ;
-      RECT  0.175000  1.795000  0.845000 1.965000 ;
-      RECT  0.175000  1.965000  0.345000 2.465000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.515000  2.135000  0.845000 2.635000 ;
-      RECT  0.615000  0.805000  0.845000 1.795000 ;
-      RECT  1.015000  0.345000  1.185000 2.465000 ;
-      RECT  1.355000  0.255000  1.785000 0.515000 ;
-      RECT  1.355000  0.515000  1.525000 1.890000 ;
-      RECT  1.355000  1.890000  1.785000 2.465000 ;
-      RECT  2.235000  0.085000  2.565000 0.515000 ;
-      RECT  2.235000  1.890000  2.565000 2.635000 ;
-      RECT  2.495000  1.355000  3.085000 1.720000 ;
-      RECT  2.755000  1.720000  3.085000 2.425000 ;
-      RECT  2.780000  0.255000  3.005000 0.845000 ;
-      RECT  2.780000  0.845000  3.635000 1.175000 ;
-      RECT  2.780000  1.175000  3.085000 1.355000 ;
-      RECT  3.185000  0.085000  3.515000 0.610000 ;
-      RECT  3.265000  1.825000  3.460000 2.635000 ;
-      RECT  3.805000  0.685000  3.975000 1.320000 ;
-      RECT  3.805000  1.320000  4.175000 1.650000 ;
-      RECT  4.125000  1.820000  4.515000 2.020000 ;
-      RECT  4.125000  2.020000  4.455000 2.465000 ;
-      RECT  4.145000  0.255000  4.415000 0.980000 ;
-      RECT  4.145000  0.980000  4.515000 1.150000 ;
-      RECT  4.345000  1.150000  4.515000 1.820000 ;
-      RECT  4.595000  0.255000  4.795000 0.645000 ;
-      RECT  4.595000  0.645000  4.855000 0.825000 ;
-      RECT  4.635000  2.210000  4.965000 2.465000 ;
-      RECT  4.685000  0.825000  4.855000 1.785000 ;
-      RECT  4.685000  1.785000  4.965000 2.210000 ;
-      RECT  4.965000  0.255000  5.590000 0.515000 ;
-      RECT  5.155000  1.835000  6.585000 2.005000 ;
-      RECT  5.155000  2.005000  5.495000 2.465000 ;
-      RECT  5.260000  0.515000  5.590000 0.935000 ;
-      RECT  5.420000  0.935000  5.590000 1.835000 ;
-      RECT  5.665000  2.175000  6.010000 2.635000 ;
-      RECT  5.760000  0.085000  6.010000 0.905000 ;
-      RECT  6.385000  1.355000  6.585000 1.835000 ;
-      RECT  6.515000  0.255000  7.135000 0.565000 ;
-      RECT  6.515000  0.565000  6.925000 1.185000 ;
-      RECT  6.675000  2.150000  7.005000 2.465000 ;
-      RECT  6.755000  1.185000  6.925000 1.865000 ;
-      RECT  6.755000  1.865000  7.005000 2.150000 ;
-      RECT  7.095000  1.125000  7.280000 1.720000 ;
-      RECT  7.115000  0.735000  7.620000 0.955000 ;
-      RECT  7.215000  2.175000  8.255000 2.375000 ;
-      RECT  7.305000  0.255000  7.980000 0.565000 ;
-      RECT  7.450000  0.955000  7.620000 1.655000 ;
-      RECT  7.450000  1.655000  7.915000 2.005000 ;
-      RECT  7.810000  0.565000  7.980000 1.315000 ;
-      RECT  7.810000  1.315000  8.660000 1.485000 ;
-      RECT  8.085000  1.485000  8.660000 1.575000 ;
-      RECT  8.085000  1.575000  8.255000 2.175000 ;
-      RECT  8.170000  0.765000  9.235000 1.045000 ;
-      RECT  8.170000  1.045000  9.745000 1.065000 ;
-      RECT  8.170000  1.065000  8.370000 1.095000 ;
-      RECT  8.245000  0.085000  8.640000 0.560000 ;
-      RECT  8.425000  1.835000  8.660000 2.635000 ;
-      RECT  8.490000  1.245000  8.660000 1.315000 ;
-      RECT  8.830000  0.255000  9.235000 0.765000 ;
-      RECT  8.830000  1.065000  9.745000 1.375000 ;
-      RECT  8.830000  1.375000  9.160000 2.465000 ;
-      RECT  9.370000  2.105000  9.660000 2.635000 ;
-      RECT  9.465000  0.085000  9.740000 0.615000 ;
-      RECT 10.090000  1.245000 10.280000 1.965000 ;
-      RECT 10.225000  2.165000 11.110000 2.355000 ;
-      RECT 10.305000  0.705000 10.770000 1.035000 ;
-      RECT 10.325000  0.330000 11.110000 0.535000 ;
-      RECT 10.450000  1.035000 10.770000 1.995000 ;
-      RECT 10.940000  0.535000 11.110000 0.995000 ;
-      RECT 10.940000  0.995000 11.810000 1.325000 ;
-      RECT 10.940000  1.325000 11.110000 2.165000 ;
-      RECT 11.280000  1.530000 12.180000 1.905000 ;
-      RECT 11.280000  2.135000 11.540000 2.635000 ;
-      RECT 11.350000  0.085000 11.665000 0.615000 ;
-      RECT 11.840000  1.905000 12.180000 2.465000 ;
-      RECT 11.850000  0.300000 12.180000 0.825000 ;
-      RECT 11.990000  0.825000 12.180000 1.530000 ;
-      RECT 12.350000  0.085000 12.595000 0.900000 ;
-      RECT 12.350000  1.465000 12.595000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.635000  1.785000  0.805000 1.955000 ;
-      RECT  1.015000  1.445000  1.185000 1.615000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.355000  0.425000  1.525000 0.595000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.805000  0.765000  3.975000 0.935000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.185000  0.425000  4.355000 0.595000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.615000  0.425000  4.785000 0.595000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.530000  0.425000  6.700000 0.595000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.100000  1.445000  7.270000 1.615000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.510000  1.785000  7.680000 1.955000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.100000  1.785000 10.270000 1.955000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.520000  1.445000 10.690000 1.615000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-      RECT 12.000000  0.765000 12.170000 0.935000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-      RECT 12.105000  2.635000 12.275000 2.805000 ;
-      RECT 12.565000 -0.085000 12.735000 0.085000 ;
-      RECT 12.565000  2.635000 12.735000 2.805000 ;
-      RECT 13.025000 -0.085000 13.195000 0.085000 ;
-      RECT 13.025000  2.635000 13.195000 2.805000 ;
-    LAYER met1 ;
-      RECT  0.575000 1.755000  0.865000 1.800000 ;
-      RECT  0.575000 1.800000 10.330000 1.940000 ;
-      RECT  0.575000 1.940000  0.865000 1.985000 ;
-      RECT  0.955000 1.415000  1.245000 1.460000 ;
-      RECT  0.955000 1.460000 10.750000 1.600000 ;
-      RECT  0.955000 1.600000  1.245000 1.645000 ;
-      RECT  1.295000 0.395000  4.415000 0.580000 ;
-      RECT  1.295000 0.580000  1.585000 0.625000 ;
-      RECT  3.745000 0.735000  4.035000 0.780000 ;
-      RECT  3.745000 0.780000 12.230000 0.920000 ;
-      RECT  3.745000 0.920000  4.035000 0.965000 ;
-      RECT  4.125000 0.580000  4.415000 0.625000 ;
-      RECT  4.555000 0.395000  6.760000 0.580000 ;
-      RECT  4.555000 0.580000  4.845000 0.625000 ;
-      RECT  6.470000 0.580000  6.760000 0.625000 ;
-      RECT  7.040000 1.415000  7.330000 1.460000 ;
-      RECT  7.040000 1.600000  7.330000 1.645000 ;
-      RECT  7.450000 1.755000  7.740000 1.800000 ;
-      RECT  7.450000 1.940000  7.740000 1.985000 ;
-      RECT 10.040000 1.755000 10.330000 1.800000 ;
-      RECT 10.040000 1.940000 10.330000 1.985000 ;
-      RECT 10.460000 1.415000 10.750000 1.460000 ;
-      RECT 10.460000 1.600000 10.750000 1.645000 ;
-      RECT 11.940000 0.735000 12.230000 0.780000 ;
-      RECT 11.940000 0.920000 12.230000 0.965000 ;
-  END
-END sky130_fd_sc_hd__sedfxtp_1
-MACRO sky130_fd_sc_hd__fahcon_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__fahcon_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  12.42000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.950000 1.075000 1.340000 1.275000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.937500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.510000 0.710000 1.780000 1.325000 ;
-      LAYER mcon ;
-        RECT 1.525000 0.765000 1.695000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 4.265000 0.645000 4.515000 1.325000 ;
-      LAYER mcon ;
-        RECT 4.310000 0.765000 4.480000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 1.465000 0.735000 1.755000 0.780000 ;
-        RECT 1.465000 0.780000 4.540000 0.920000 ;
-        RECT 1.465000 0.920000 1.755000 0.965000 ;
-        RECT 4.250000 0.735000 4.540000 0.780000 ;
-        RECT 4.250000 0.920000 4.540000 0.965000 ;
-    END
-  END B
-  PIN CI
-    ANTENNAGATEAREA  0.493500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 10.530000 1.075000 10.975000 1.275000 ;
-    END
-  END CI
-  PIN COUT_N
-    ANTENNADIFFAREA  0.402800 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.610000 0.755000 6.935000 0.925000 ;
-        RECT 6.610000 0.925000 6.880000 1.675000 ;
-        RECT 6.710000 1.675000 6.880000 1.785000 ;
-        RECT 6.765000 0.595000 6.935000 0.755000 ;
-    END
-  END COUT_N
-  PIN SUM
-    ANTENNADIFFAREA  0.463750 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 11.995000 0.255000 12.335000 0.825000 ;
-        RECT 12.010000 1.785000 12.335000 2.465000 ;
-        RECT 12.135000 0.825000 12.335000 1.785000 ;
-    END
-  END SUM
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 12.420000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 12.610000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 12.420000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 12.420000 0.085000 ;
-      RECT  0.000000  2.635000 12.420000 2.805000 ;
-      RECT  0.085000  0.735000  0.430000 0.805000 ;
-      RECT  0.085000  0.805000  0.255000 1.500000 ;
-      RECT  0.085000  1.500000  0.440000 1.840000 ;
-      RECT  0.085000  1.840000  1.110000 2.010000 ;
-      RECT  0.085000  2.010000  0.430000 2.465000 ;
-      RECT  0.100000  0.255000  0.430000 0.735000 ;
-      RECT  0.425000  0.995000  0.780000 1.325000 ;
-      RECT  0.600000  2.180000  0.770000 2.635000 ;
-      RECT  0.610000  0.735000  1.325000 0.905000 ;
-      RECT  0.610000  0.905000  0.780000 0.995000 ;
-      RECT  0.610000  1.325000  0.780000 1.500000 ;
-      RECT  0.610000  1.500000  1.450000 1.670000 ;
-      RECT  0.630000  0.085000  0.800000 0.545000 ;
-      RECT  0.940000  2.010000  1.110000 2.215000 ;
-      RECT  0.940000  2.215000  2.545000 2.295000 ;
-      RECT  0.940000  2.295000  3.540000 2.385000 ;
-      RECT  0.995000  0.255000  3.410000 0.465000 ;
-      RECT  0.995000  0.465000  1.325000 0.735000 ;
-      RECT  1.280000  1.670000  1.450000 1.875000 ;
-      RECT  1.280000  1.875000  2.920000 2.045000 ;
-      RECT  1.965000  0.635000  2.470000 1.705000 ;
-      RECT  2.375000  2.385000  3.540000 2.465000 ;
-      RECT  2.640000  0.655000  3.025000 0.735000 ;
-      RECT  2.640000  0.735000  3.160000 0.755000 ;
-      RECT  2.640000  0.755000  3.750000 0.905000 ;
-      RECT  2.640000  1.075000  2.975000 1.160000 ;
-      RECT  2.640000  1.160000  3.100000 1.615000 ;
-      RECT  3.055000  0.905000  3.750000 0.925000 ;
-      RECT  3.240000  0.465000  3.410000 0.585000 ;
-      RECT  3.270000  0.925000  3.440000 2.295000 ;
-      RECT  3.580000  0.255000  4.595000 0.425000 ;
-      RECT  3.580000  0.425000  3.750000 0.755000 ;
-      RECT  3.725000  1.150000  4.095000 1.320000 ;
-      RECT  3.725000  1.320000  3.895000 2.295000 ;
-      RECT  3.725000  2.295000  5.100000 2.465000 ;
-      RECT  3.925000  0.595000  4.095000 1.150000 ;
-      RECT  4.210000  1.755000  4.380000 2.095000 ;
-      RECT  4.265000  0.425000  4.595000 0.475000 ;
-      RECT  4.700000  1.385000  5.180000 1.725000 ;
-      RECT  4.840000  0.510000  5.030000 0.995000 ;
-      RECT  4.840000  0.995000  5.180000 1.385000 ;
-      RECT  4.875000  1.895000  6.005000 2.065000 ;
-      RECT  4.875000  2.065000  5.100000 2.295000 ;
-      RECT  5.200000  0.085000  5.530000 0.805000 ;
-      RECT  5.270000  2.235000  5.600000 2.635000 ;
-      RECT  5.645000  1.555000  6.380000 1.725000 ;
-      RECT  5.700000  0.380000  5.980000 0.815000 ;
-      RECT  5.810000  0.815000  5.980000 1.555000 ;
-      RECT  5.835000  2.065000  6.005000 2.295000 ;
-      RECT  5.835000  2.295000  7.960000 2.465000 ;
-      RECT  6.150000  0.740000  6.435000 1.325000 ;
-      RECT  6.210000  1.725000  6.380000 1.895000 ;
-      RECT  6.210000  1.895000  6.540000 1.955000 ;
-      RECT  6.210000  1.955000  7.220000 2.125000 ;
-      RECT  6.265000  0.255000  7.700000 0.425000 ;
-      RECT  6.265000  0.425000  6.595000 0.570000 ;
-      RECT  7.050000  1.060000  7.280000 1.230000 ;
-      RECT  7.050000  1.230000  7.220000 1.955000 ;
-      RECT  7.110000  0.595000  7.360000 0.925000 ;
-      RECT  7.110000  0.925000  7.280000 1.060000 ;
-      RECT  7.390000  1.360000  7.620000 1.530000 ;
-      RECT  7.390000  1.530000  7.560000 2.125000 ;
-      RECT  7.450000  1.105000  7.700000 1.290000 ;
-      RECT  7.450000  1.290000  7.620000 1.360000 ;
-      RECT  7.530000  0.425000  7.700000 1.105000 ;
-      RECT  7.790000  1.550000  8.045000 1.720000 ;
-      RECT  7.790000  1.720000  7.960000 2.295000 ;
-      RECT  7.875000  0.995000  8.045000 1.550000 ;
-      RECT  7.935000  0.255000  9.450000 0.425000 ;
-      RECT  7.935000  0.425000  8.270000 0.825000 ;
-      RECT  8.230000  1.785000  8.400000 2.295000 ;
-      RECT  8.230000  2.295000  9.950000 2.465000 ;
-      RECT  8.440000  0.595000  8.900000 0.765000 ;
-      RECT  8.440000  0.765000  8.610000 1.445000 ;
-      RECT  8.440000  1.445000  8.740000 1.530000 ;
-      RECT  8.440000  1.530000  8.900000 1.615000 ;
-      RECT  8.570000  1.615000  8.900000 2.125000 ;
-      RECT  8.780000  0.995000  9.110000 1.275000 ;
-      RECT  9.070000  1.530000  9.450000 2.045000 ;
-      RECT  9.070000  2.045000  9.420000 2.125000 ;
-      RECT  9.280000  0.425000  9.450000 1.530000 ;
-      RECT  9.620000  2.215000  9.950000 2.295000 ;
-      RECT  9.650000  0.255000 10.020000 0.825000 ;
-      RECT  9.650000  0.825000  9.820000 1.535000 ;
-      RECT  9.650000  1.535000  9.950000 2.215000 ;
-      RECT  9.990000  0.995000 10.360000 1.325000 ;
-      RECT 10.120000  2.275000 10.455000 2.635000 ;
-      RECT 10.190000  0.735000 10.920000 0.905000 ;
-      RECT 10.190000  0.905000 10.360000 0.995000 ;
-      RECT 10.190000  1.325000 10.360000 1.455000 ;
-      RECT 10.190000  1.455000 10.835000 2.045000 ;
-      RECT 10.200000  0.085000 10.370000 0.565000 ;
-      RECT 10.540000  0.285000 10.920000 0.735000 ;
-      RECT 10.625000  2.045000 10.835000 2.465000 ;
-      RECT 11.085000  1.455000 11.415000 2.465000 ;
-      RECT 11.165000  0.270000 11.335000 0.680000 ;
-      RECT 11.165000  0.680000 11.415000 1.455000 ;
-      RECT 11.535000  0.085000 11.825000 0.555000 ;
-      RECT 11.585000  1.785000 11.840000 2.635000 ;
-      RECT 11.655000  0.995000 11.965000 1.615000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.280000  1.785000  1.450000 1.955000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  1.105000  2.155000 1.275000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  2.930000  1.445000  3.100000 1.615000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.210000  1.785000  4.380000 1.955000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  4.770000  1.445000  4.940000 1.615000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.150000  1.105000  6.320000 1.275000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.530000  0.765000  7.700000 0.935000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.450000  1.445000  8.620000 1.615000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  8.910000  1.105000  9.080000 1.275000 ;
-      RECT  9.280000  1.785000  9.450000 1.955000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.190000  1.785000 10.360000 1.955000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.230000  0.765000 11.400000 0.935000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-      RECT 11.690000  1.445000 11.860000 1.615000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-      RECT 12.105000  2.635000 12.275000 2.805000 ;
-    LAYER met1 ;
-      RECT  1.195000 1.755000  1.510000 1.800000 ;
-      RECT  1.195000 1.800000  4.440000 1.940000 ;
-      RECT  1.195000 1.940000  1.510000 1.985000 ;
-      RECT  1.925000 1.075000  2.215000 1.120000 ;
-      RECT  1.925000 1.120000  9.140000 1.260000 ;
-      RECT  1.925000 1.260000  2.215000 1.305000 ;
-      RECT  2.845000 1.415000  3.160000 1.460000 ;
-      RECT  2.845000 1.460000  5.000000 1.600000 ;
-      RECT  2.845000 1.600000  3.160000 1.645000 ;
-      RECT  4.150000 1.755000  4.440000 1.800000 ;
-      RECT  4.150000 1.940000  4.440000 1.985000 ;
-      RECT  4.710000 1.415000  5.000000 1.460000 ;
-      RECT  4.710000 1.600000  5.000000 1.645000 ;
-      RECT  6.090000 1.075000  6.380000 1.120000 ;
-      RECT  6.090000 1.260000  6.380000 1.305000 ;
-      RECT  7.470000 0.735000  7.760000 0.780000 ;
-      RECT  7.470000 0.780000 11.460000 0.920000 ;
-      RECT  7.470000 0.920000  7.760000 0.965000 ;
-      RECT  8.390000 1.415000  8.680000 1.460000 ;
-      RECT  8.390000 1.460000 11.920000 1.600000 ;
-      RECT  8.390000 1.600000  8.680000 1.645000 ;
-      RECT  8.850000 1.075000  9.140000 1.120000 ;
-      RECT  8.850000 1.260000  9.140000 1.305000 ;
-      RECT  9.195000 1.755000  9.510000 1.800000 ;
-      RECT  9.195000 1.800000 10.420000 1.940000 ;
-      RECT  9.195000 1.940000  9.510000 1.985000 ;
-      RECT 10.130000 1.755000 10.420000 1.800000 ;
-      RECT 10.130000 1.940000 10.420000 1.985000 ;
-      RECT 11.170000 0.735000 11.460000 0.780000 ;
-      RECT 11.170000 0.920000 11.460000 0.965000 ;
-      RECT 11.630000 1.415000 11.920000 1.460000 ;
-      RECT 11.630000 1.600000 11.920000 1.645000 ;
-  END
-END sky130_fd_sc_hd__fahcon_1
-MACRO sky130_fd_sc_hd__diode_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__diode_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  0.920000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN DIODE
-    ANTENNADIFFAREA  0.434700 ;
-    ANTENNAGATEAREA  0.434700 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.255000 0.835000 2.465000 ;
-    END
-  END DIODE
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 0.920000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 1.110000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 0.920000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 0.920000 0.085000 ;
-      RECT 0.000000  2.635000 0.920000 2.805000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-  END
-END sky130_fd_sc_hd__diode_2
-MACRO sky130_fd_sc_hd__nand4_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nand4_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.820000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.465000 1.075000 7.710000 1.275000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.850000 1.075000 5.565000 1.275000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.990000 1.075000 3.540000 1.275000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.105000 1.075000 1.700000 1.275000 ;
-    END
-  END D
-  PIN Y
-    ANTENNADIFFAREA  2.511000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 1.445000 7.305000 1.665000 ;
-        RECT 0.515000 1.665000 0.845000 2.465000 ;
-        RECT 1.355000 1.665000 1.685000 2.465000 ;
-        RECT 2.195000 1.665000 2.525000 2.465000 ;
-        RECT 3.035000 1.665000 3.365000 2.465000 ;
-        RECT 4.395000 1.665000 4.725000 2.465000 ;
-        RECT 5.235000 1.665000 5.565000 2.465000 ;
-        RECT 6.110000 0.655000 7.305000 0.905000 ;
-        RECT 6.110000 0.905000 6.290000 1.445000 ;
-        RECT 6.135000 1.665000 6.465000 2.465000 ;
-        RECT 6.975000 1.665000 7.305000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.820000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.010000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.820000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.820000 0.085000 ;
-      RECT 0.000000  2.635000 7.820000 2.805000 ;
-      RECT 0.090000  0.255000 0.345000 0.655000 ;
-      RECT 0.090000  0.655000 2.025000 0.905000 ;
-      RECT 0.090000  1.445000 0.345000 2.635000 ;
-      RECT 0.515000  0.085000 0.845000 0.485000 ;
-      RECT 1.015000  0.255000 1.185000 0.655000 ;
-      RECT 1.015000  1.835000 1.185000 2.635000 ;
-      RECT 1.355000  0.085000 1.685000 0.485000 ;
-      RECT 1.855000  0.255000 3.785000 0.485000 ;
-      RECT 1.855000  0.485000 2.025000 0.655000 ;
-      RECT 1.855000  1.835000 2.025000 2.635000 ;
-      RECT 2.195000  0.655000 5.565000 0.905000 ;
-      RECT 2.695000  1.835000 2.865000 2.635000 ;
-      RECT 3.535000  1.835000 4.225000 2.635000 ;
-      RECT 3.975000  0.255000 7.730000 0.485000 ;
-      RECT 4.895000  1.835000 5.065000 2.635000 ;
-      RECT 5.770000  0.485000 5.940000 0.905000 ;
-      RECT 5.770000  1.835000 5.940000 2.635000 ;
-      RECT 6.635000  1.835000 6.805000 2.635000 ;
-      RECT 7.475000  0.485000 7.730000 0.905000 ;
-      RECT 7.475000  1.445000 7.735000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nand4_4
-MACRO sky130_fd_sc_hd__nand4_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nand4_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.600000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.165000 1.075000 4.495000 1.275000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.235000 1.075000 3.080000 1.275000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.070000 1.075000 1.700000 1.275000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.110000 1.075000 0.845000 1.275000 ;
-    END
-  END D
-  PIN Y
-    ANTENNADIFFAREA  1.255500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 1.445000 3.925000 1.665000 ;
-        RECT 0.515000 1.665000 0.845000 2.465000 ;
-        RECT 1.355000 1.665000 1.685000 2.465000 ;
-        RECT 2.355000 1.665000 2.685000 2.465000 ;
-        RECT 3.370000 1.055000 3.925000 1.445000 ;
-        RECT 3.595000 0.635000 3.925000 1.055000 ;
-        RECT 3.595000 1.665000 3.925000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.600000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.790000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.600000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.600000 0.085000 ;
-      RECT 0.000000  2.635000 4.600000 2.805000 ;
-      RECT 0.090000  0.255000 0.425000 0.735000 ;
-      RECT 0.090000  0.735000 1.185000 0.905000 ;
-      RECT 0.090000  1.495000 0.345000 2.635000 ;
-      RECT 0.595000  0.085000 0.765000 0.545000 ;
-      RECT 0.935000  0.255000 2.125000 0.465000 ;
-      RECT 0.935000  0.465000 1.185000 0.735000 ;
-      RECT 1.015000  1.835000 1.185000 2.635000 ;
-      RECT 1.355000  0.635000 3.085000 0.905000 ;
-      RECT 1.855000  1.835000 2.185000 2.635000 ;
-      RECT 2.315000  0.255000 4.425000 0.465000 ;
-      RECT 2.995000  1.835000 3.325000 2.635000 ;
-      RECT 3.255000  0.465000 3.425000 0.885000 ;
-      RECT 4.095000  0.465000 4.425000 0.905000 ;
-      RECT 4.095000  1.445000 4.425000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nand4_2
-MACRO sky130_fd_sc_hd__nand4_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nand4_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.300000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.975000 0.995000 2.215000 1.665000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.000000 0.300000 1.350000 0.825000 ;
-        RECT 1.145000 0.825000 1.350000 0.995000 ;
-        RECT 1.145000 0.995000 1.455000 1.325000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.595000 0.300000 0.810000 0.995000 ;
-        RECT 0.595000 0.995000 0.975000 1.325000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.110000 0.995000 0.395000 1.325000 ;
-    END
-  END D
-  PIN Y
-    ANTENNADIFFAREA  0.795000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 1.495000 1.795000 1.665000 ;
-        RECT 0.515000 1.665000 0.845000 2.465000 ;
-        RECT 1.385000 1.665000 1.715000 2.465000 ;
-        RECT 1.520000 0.255000 2.215000 0.825000 ;
-        RECT 1.625000 0.825000 1.795000 1.495000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.300000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.490000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.300000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.300000 0.085000 ;
-      RECT 0.000000  2.635000 2.300000 2.805000 ;
-      RECT 0.085000  1.495000 0.345000 2.635000 ;
-      RECT 0.090000  0.085000 0.425000 0.825000 ;
-      RECT 1.015000  1.835000 1.185000 2.635000 ;
-      RECT 1.915000  1.835000 2.195000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nand4_1
-MACRO sky130_fd_sc_hd__clkinvlp_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__clkinvlp_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  1.330000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.745000 0.425000 1.325000 ;
-    END
-  END A
-  PIN Y
-    ANTENNADIFFAREA  0.714000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.595000 0.255000 1.215000 0.680000 ;
-        RECT 0.595000 0.680000 0.955000 1.015000 ;
-        RECT 0.595000 1.015000 2.015000 1.295000 ;
-        RECT 0.595000 1.295000 0.955000 2.465000 ;
-        RECT 1.685000 1.295000 2.015000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.095000  0.085000 0.425000 0.575000 ;
-      RECT 0.095000  1.495000 0.425000 2.635000 ;
-      RECT 1.155000  1.465000 1.485000 2.635000 ;
-      RECT 1.675000  0.085000 2.005000 0.775000 ;
-      RECT 2.215000  1.465000 2.545000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__clkinvlp_4
-MACRO sky130_fd_sc_hd__clkinvlp_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__clkinvlp_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.840000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.665000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.145000 0.995000 0.600000 1.665000 ;
-    END
-  END A
-  PIN Y
-    ANTENNADIFFAREA  0.436750 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.810000 0.315000 1.445000 0.750000 ;
-        RECT 0.810000 0.750000 1.235000 2.455000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.840000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.030000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.840000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.840000 0.085000 ;
-      RECT 0.000000  2.635000 1.840000 2.805000 ;
-      RECT 0.225000  1.835000 0.555000 2.625000 ;
-      RECT 0.225000  2.625000 1.740000 2.635000 ;
-      RECT 0.295000  0.085000 0.625000 0.745000 ;
-      RECT 1.440000  1.455000 1.740000 2.625000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-  END
-END sky130_fd_sc_hd__clkinvlp_2
-MACRO sky130_fd_sc_hd__or3b_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__or3b_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.525000 0.995000 2.350000 1.325000 ;
-        RECT 1.525000 1.325000 1.770000 1.615000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.585000 2.125000 2.200000 2.455000 ;
-    END
-  END B
-  PIN C_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 1.075000 0.425000 1.325000 ;
-    END
-  END C_N
-  PIN X
-    ANTENNADIFFAREA  0.453750 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.860000 0.415000 3.135000 0.760000 ;
-        RECT 2.860000 1.495000 3.135000 2.465000 ;
-        RECT 2.965000 0.760000 3.135000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.085000  0.085000 0.345000 0.905000 ;
-      RECT 0.085000  1.495000 0.345000 2.635000 ;
-      RECT 0.515000  0.485000 0.845000 0.905000 ;
-      RECT 0.595000  0.905000 0.845000 0.995000 ;
-      RECT 0.595000  0.995000 1.310000 1.325000 ;
-      RECT 0.595000  1.325000 0.765000 1.885000 ;
-      RECT 1.025000  0.255000 1.285000 0.655000 ;
-      RECT 1.025000  0.655000 2.690000 0.825000 ;
-      RECT 1.025000  1.495000 1.355000 1.785000 ;
-      RECT 1.025000  1.785000 2.200000 1.955000 ;
-      RECT 1.455000  0.085000 1.785000 0.485000 ;
-      RECT 1.955000  0.305000 2.125000 0.655000 ;
-      RECT 2.030000  1.495000 2.690000 1.665000 ;
-      RECT 2.030000  1.665000 2.200000 1.785000 ;
-      RECT 2.295000  0.085000 2.670000 0.485000 ;
-      RECT 2.370000  1.835000 2.650000 2.635000 ;
-      RECT 2.520000  0.825000 2.690000 0.995000 ;
-      RECT 2.520000  0.995000 2.795000 1.325000 ;
-      RECT 2.520000  1.325000 2.690000 1.495000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__or3b_1
-MACRO sky130_fd_sc_hd__or3b_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__or3b_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.695000 1.075000 2.230000 1.615000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.935000 2.125000 3.135000 2.365000 ;
-    END
-  END B
-  PIN C_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.425000 1.640000 ;
-    END
-  END C_N
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.935000 0.265000 1.285000 0.595000 ;
-        RECT 0.935000 0.595000 1.105000 1.495000 ;
-        RECT 0.935000 1.495000 1.330000 1.700000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.085000  0.290000 0.345000 0.735000 ;
-      RECT 0.085000  0.735000 0.765000 0.905000 ;
-      RECT 0.085000  1.810000 0.765000 1.870000 ;
-      RECT 0.085000  1.870000 2.660000 1.955000 ;
-      RECT 0.085000  1.955000 1.720000 2.040000 ;
-      RECT 0.085000  2.040000 0.345000 2.220000 ;
-      RECT 0.550000  2.210000 0.910000 2.635000 ;
-      RECT 0.595000  0.085000 0.765000 0.565000 ;
-      RECT 0.595000  0.905000 0.765000 1.810000 ;
-      RECT 1.275000  0.765000 3.135000 0.825000 ;
-      RECT 1.275000  0.825000 2.160000 0.905000 ;
-      RECT 1.275000  0.905000 1.595000 0.935000 ;
-      RECT 1.275000  0.935000 1.445000 1.325000 ;
-      RECT 1.425000  0.735000 3.135000 0.765000 ;
-      RECT 1.425000  2.210000 1.755000 2.635000 ;
-      RECT 1.520000  0.085000 1.690000 0.565000 ;
-      RECT 1.550000  1.785000 2.660000 1.870000 ;
-      RECT 1.990000  0.305000 2.160000 0.655000 ;
-      RECT 1.990000  0.655000 3.135000 0.735000 ;
-      RECT 2.330000  0.085000 2.660000 0.485000 ;
-      RECT 2.490000  0.995000 2.790000 1.325000 ;
-      RECT 2.490000  1.325000 2.660000 1.785000 ;
-      RECT 2.830000  0.305000 3.085000 0.605000 ;
-      RECT 2.830000  0.605000 3.135000 0.655000 ;
-      RECT 2.830000  1.495000 3.135000 1.925000 ;
-      RECT 2.965000  0.825000 3.135000 1.495000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__or3b_2
-MACRO sky130_fd_sc_hd__or3b_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__or3b_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.400000 1.415000 2.720000 1.700000 ;
-        RECT 2.535000 0.995000 2.720000 1.415000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.890000 0.995000 3.200000 1.700000 ;
-    END
-  END B
-  PIN C_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.425000 1.640000 ;
-    END
-  END C_N
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.935000 0.735000 2.025000 0.905000 ;
-        RECT 0.935000 0.905000 1.105000 1.415000 ;
-        RECT 0.935000 1.415000 2.220000 1.700000 ;
-        RECT 1.000000 0.285000 1.330000 0.735000 ;
-        RECT 1.855000 0.255000 2.090000 0.585000 ;
-        RECT 1.855000 0.585000 2.025000 0.735000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.085000  0.290000 0.345000 0.735000 ;
-      RECT 0.085000  0.735000 0.765000 0.905000 ;
-      RECT 0.085000  1.810000 0.765000 1.870000 ;
-      RECT 0.085000  1.870000 3.620000 2.040000 ;
-      RECT 0.085000  2.040000 0.345000 2.220000 ;
-      RECT 0.550000  2.210000 0.910000 2.635000 ;
-      RECT 0.595000  0.905000 0.765000 1.810000 ;
-      RECT 0.620000  0.085000 0.790000 0.565000 ;
-      RECT 1.275000  1.075000 2.365000 1.245000 ;
-      RECT 1.420000  2.210000 1.750000 2.635000 ;
-      RECT 1.500000  0.085000 1.670000 0.565000 ;
-      RECT 2.195000  0.720000 4.055000 0.825000 ;
-      RECT 2.195000  0.825000 2.400000 0.890000 ;
-      RECT 2.195000  0.890000 2.365000 1.075000 ;
-      RECT 2.250000  0.655000 4.055000 0.720000 ;
-      RECT 2.255000  2.210000 2.595000 2.635000 ;
-      RECT 2.260000  0.085000 2.590000 0.485000 ;
-      RECT 2.760000  0.305000 2.930000 0.655000 ;
-      RECT 3.100000  0.085000 3.490000 0.485000 ;
-      RECT 3.390000  0.995000 3.680000 1.325000 ;
-      RECT 3.390000  1.325000 3.620000 1.870000 ;
-      RECT 3.520000  2.210000 4.055000 2.425000 ;
-      RECT 3.660000  0.305000 3.915000 0.605000 ;
-      RECT 3.660000  0.605000 4.055000 0.655000 ;
-      RECT 3.850000  0.825000 4.055000 2.210000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__or3b_4
-MACRO sky130_fd_sc_hd__o2bb2ai_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o2bb2ai_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.520000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1_N
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 1.075000 0.625000 1.445000 ;
-        RECT 0.090000 1.445000 1.945000 1.615000 ;
-        RECT 1.615000 1.075000 1.945000 1.445000 ;
-    END
-  END A1_N
-  PIN A2_N
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.795000 1.075000 1.400000 1.275000 ;
-    END
-  END A2_N
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.410000 1.075000 3.740000 1.445000 ;
-        RECT 3.410000 1.445000 5.435000 1.615000 ;
-        RECT 4.730000 1.075000 5.435000 1.445000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.960000 1.075000 4.500000 1.275000 ;
-    END
-  END B2
-  PIN Y
-    ANTENNADIFFAREA  0.715500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.745000 0.645000 3.075000 1.075000 ;
-        RECT 2.745000 1.075000 3.215000 1.785000 ;
-        RECT 2.745000 1.785000 4.330000 1.955000 ;
-        RECT 2.745000 1.955000 3.035000 2.465000 ;
-        RECT 4.080000 1.955000 4.330000 2.125000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.520000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.710000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.520000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.520000 0.085000 ;
-      RECT 0.000000  2.635000 5.520000 2.805000 ;
-      RECT 0.150000  1.795000 0.400000 2.635000 ;
-      RECT 0.195000  0.085000 0.365000 0.895000 ;
-      RECT 0.535000  0.305000 1.705000 0.475000 ;
-      RECT 0.535000  0.475000 0.785000 0.895000 ;
-      RECT 0.575000  1.785000 2.285000 1.965000 ;
-      RECT 0.575000  1.965000 0.825000 2.465000 ;
-      RECT 0.955000  0.645000 1.285000 0.725000 ;
-      RECT 0.955000  0.725000 2.285000 0.905000 ;
-      RECT 0.995000  2.135000 1.245000 2.635000 ;
-      RECT 1.415000  1.965000 1.665000 2.125000 ;
-      RECT 1.835000  2.135000 2.575000 2.635000 ;
-      RECT 1.875000  0.085000 2.045000 0.555000 ;
-      RECT 2.115000  0.905000 2.285000 0.995000 ;
-      RECT 2.115000  0.995000 2.575000 1.325000 ;
-      RECT 2.115000  1.325000 2.285000 1.785000 ;
-      RECT 2.325000  0.255000 3.530000 0.475000 ;
-      RECT 2.325000  0.475000 2.575000 0.555000 ;
-      RECT 3.205000  2.125000 3.490000 2.635000 ;
-      RECT 3.245000  0.475000 3.530000 0.735000 ;
-      RECT 3.245000  0.735000 5.210000 0.905000 ;
-      RECT 3.660000  2.125000 3.910000 2.295000 ;
-      RECT 3.660000  2.295000 4.750000 2.465000 ;
-      RECT 3.700000  0.085000 3.870000 0.555000 ;
-      RECT 4.040000  0.255000 4.370000 0.725000 ;
-      RECT 4.040000  0.725000 5.210000 0.735000 ;
-      RECT 4.500000  1.785000 4.750000 2.295000 ;
-      RECT 4.540000  0.085000 4.710000 0.555000 ;
-      RECT 4.880000  0.255000 5.210000 0.725000 ;
-      RECT 4.965000  1.795000 5.170000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o2bb2ai_2
-MACRO sky130_fd_sc_hd__o2bb2ai_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o2bb2ai_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1_N
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.985000 0.435000 1.285000 ;
-    END
-  END A1_N
-  PIN A2_N
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.605000 0.280000 0.825000 0.995000 ;
-        RECT 0.605000 0.995000 1.000000 1.325000 ;
-    END
-  END A2_N
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.785000 1.075000 3.135000 1.285000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.030000 1.075000 2.615000 1.325000 ;
-        RECT 2.445000 1.325000 2.615000 2.425000 ;
-    END
-  END B2
-  PIN Y
-    ANTENNADIFFAREA  0.439000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.560000 0.430000 1.810000 0.790000 ;
-        RECT 1.640000 0.790000 1.810000 1.495000 ;
-        RECT 1.640000 1.495000 2.270000 1.665000 ;
-        RECT 1.940000 1.665000 2.270000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.090000  0.085000 0.425000 0.815000 ;
-      RECT 0.150000  1.455000 0.400000 2.635000 ;
-      RECT 0.570000  1.495000 1.340000 1.665000 ;
-      RECT 0.570000  1.665000 0.820000 2.465000 ;
-      RECT 0.990000  1.835000 1.770000 2.635000 ;
-      RECT 1.000000  0.280000 1.340000 0.825000 ;
-      RECT 1.170000  0.825000 1.340000 0.995000 ;
-      RECT 1.170000  0.995000 1.470000 1.325000 ;
-      RECT 1.170000  1.325000 1.340000 1.495000 ;
-      RECT 1.980000  0.425000 2.270000 0.725000 ;
-      RECT 1.980000  0.725000 3.110000 0.905000 ;
-      RECT 2.440000  0.085000 2.610000 0.555000 ;
-      RECT 2.780000  0.275000 3.110000 0.725000 ;
-      RECT 2.820000  1.455000 3.070000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o2bb2ai_1
-MACRO sky130_fd_sc_hd__o2bb2ai_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o2bb2ai_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  10.12000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1_N
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.095000 1.075000 3.505000 1.285000 ;
-    END
-  END A1_N
-  PIN A2_N
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.425000 1.075000 1.825000 1.285000 ;
-    END
-  END A2_N
-  PIN B1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 8.045000 1.075000 10.005000 1.285000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.465000 1.075000 7.875000 1.285000 ;
-    END
-  END B2
-  PIN Y
-    ANTENNADIFFAREA  1.431000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.415000 0.645000 6.155000 0.905000 ;
-        RECT 4.425000 1.455000 7.715000 1.625000 ;
-        RECT 4.425000 1.625000 4.675000 2.465000 ;
-        RECT 5.265000 1.625000 5.515000 2.465000 ;
-        RECT 5.875000 0.905000 6.155000 1.455000 ;
-        RECT 6.625000 1.625000 6.875000 2.125000 ;
-        RECT 7.465000 1.625000 7.715000 2.125000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 10.120000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.135000 -0.085000 0.305000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 10.310000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 10.120000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 10.120000 0.085000 ;
-      RECT 0.000000  2.635000 10.120000 2.805000 ;
-      RECT 0.085000  0.645000  1.705000 0.905000 ;
-      RECT 0.085000  0.905000  0.255000 1.455000 ;
-      RECT 0.085000  1.455000  3.915000 1.625000 ;
-      RECT 0.100000  0.255000  2.125000 0.475000 ;
-      RECT 0.155000  1.795000  0.405000 2.635000 ;
-      RECT 0.575000  1.625000  0.825000 2.465000 ;
-      RECT 0.995000  1.795000  1.245000 2.635000 ;
-      RECT 1.415000  1.625000  1.665000 2.465000 ;
-      RECT 1.835000  1.795000  2.085000 2.635000 ;
-      RECT 1.875000  0.475000  2.125000 0.725000 ;
-      RECT 1.875000  0.725000  3.805000 0.905000 ;
-      RECT 2.255000  1.625000  2.505000 2.465000 ;
-      RECT 2.295000  0.085000  2.465000 0.555000 ;
-      RECT 2.635000  0.255000  2.965000 0.725000 ;
-      RECT 2.675000  1.795000  2.925000 2.635000 ;
-      RECT 3.095000  1.625000  3.345000 2.465000 ;
-      RECT 3.135000  0.085000  3.305000 0.555000 ;
-      RECT 3.475000  0.255000  3.805000 0.725000 ;
-      RECT 3.515000  1.795000  4.255000 2.635000 ;
-      RECT 3.745000  1.075000  5.705000 1.285000 ;
-      RECT 3.745000  1.285000  3.915000 1.455000 ;
-      RECT 4.060000  0.255000  6.495000 0.475000 ;
-      RECT 4.060000  0.475000  4.245000 0.835000 ;
-      RECT 4.845000  1.795000  5.095000 2.635000 ;
-      RECT 5.685000  1.795000  5.935000 2.635000 ;
-      RECT 6.175000  1.795000  6.455000 2.295000 ;
-      RECT 6.175000  2.295000  8.135000 2.465000 ;
-      RECT 6.325000  0.475000  6.495000 0.735000 ;
-      RECT 6.325000  0.735000  9.855000 0.905000 ;
-      RECT 6.665000  0.085000  6.835000 0.555000 ;
-      RECT 7.005000  0.255000  7.335000 0.725000 ;
-      RECT 7.005000  0.725000  9.855000 0.735000 ;
-      RECT 7.045000  1.795000  7.295000 2.295000 ;
-      RECT 7.505000  0.085000  7.675000 0.555000 ;
-      RECT 7.845000  0.255000  8.175000 0.725000 ;
-      RECT 7.885000  1.455000  9.875000 1.625000 ;
-      RECT 7.885000  1.625000  8.135000 2.295000 ;
-      RECT 8.305000  1.795000  8.555000 2.635000 ;
-      RECT 8.345000  0.085000  8.515000 0.555000 ;
-      RECT 8.685000  0.255000  9.015000 0.725000 ;
-      RECT 8.725000  1.625000  8.975000 2.465000 ;
-      RECT 9.145000  1.795000  9.395000 2.635000 ;
-      RECT 9.185000  0.085000  9.355000 0.555000 ;
-      RECT 9.525000  0.255000  9.855000 0.725000 ;
-      RECT 9.565000  1.625000  9.875000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-      RECT 9.805000 -0.085000 9.975000 0.085000 ;
-      RECT 9.805000  2.635000 9.975000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o2bb2ai_4
-MACRO sky130_fd_sc_hd__o41ai_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o41ai_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.980000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.720000 1.075000 5.895000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.780000 1.075000 4.540000 1.275000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.595000 1.075000 3.580000 1.275000 ;
-    END
-  END A3
-  PIN A4
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.500000 1.075000 2.325000 1.275000 ;
-    END
-  END A4
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.105000 1.075000 0.440000 1.275000 ;
-    END
-  END B1
-  PIN Y
-    ANTENNADIFFAREA  0.715500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 0.635000 0.845000 0.885000 ;
-        RECT 0.515000 1.505000 2.205000 1.665000 ;
-        RECT 0.515000 1.665000 0.845000 2.465000 ;
-        RECT 0.610000 0.885000 0.845000 1.445000 ;
-        RECT 0.610000 1.445000 2.205000 1.505000 ;
-        RECT 1.875000 1.665000 2.205000 2.125000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.980000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.170000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.980000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.980000 0.085000 ;
-      RECT 0.000000  2.635000 5.980000 2.805000 ;
-      RECT 0.085000  0.255000 1.265000 0.465000 ;
-      RECT 0.085000  0.465000 0.345000 0.905000 ;
-      RECT 0.085000  1.495000 0.345000 2.635000 ;
-      RECT 1.015000  0.465000 1.265000 0.735000 ;
-      RECT 1.015000  0.735000 5.705000 0.905000 ;
-      RECT 1.015000  1.835000 1.265000 2.635000 ;
-      RECT 1.455000  0.085000 1.705000 0.545000 ;
-      RECT 1.455000  1.835000 1.705000 2.295000 ;
-      RECT 1.455000  2.295000 2.545000 2.465000 ;
-      RECT 1.875000  0.255000 2.205000 0.735000 ;
-      RECT 2.375000  0.085000 2.545000 0.545000 ;
-      RECT 2.375000  1.445000 3.465000 1.615000 ;
-      RECT 2.375000  1.615000 2.545000 2.295000 ;
-      RECT 2.715000  0.255000 3.045000 0.735000 ;
-      RECT 2.715000  1.835000 3.045000 2.295000 ;
-      RECT 2.715000  2.295000 4.445000 2.465000 ;
-      RECT 3.215000  0.085000 3.450000 0.545000 ;
-      RECT 3.215000  1.615000 3.465000 2.125000 ;
-      RECT 3.695000  0.255000 4.025000 0.735000 ;
-      RECT 3.695000  1.445000 5.705000 1.615000 ;
-      RECT 3.695000  1.615000 3.945000 2.125000 ;
-      RECT 4.115000  1.835000 4.445000 2.295000 ;
-      RECT 4.195000  0.085000 4.365000 0.545000 ;
-      RECT 4.535000  0.255000 4.865000 0.735000 ;
-      RECT 4.615000  1.615000 4.785000 2.465000 ;
-      RECT 4.955000  1.785000 5.285000 2.635000 ;
-      RECT 5.035000  0.085000 5.205000 0.545000 ;
-      RECT 5.375000  0.255000 5.705000 0.735000 ;
-      RECT 5.455000  1.615000 5.705000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o41ai_2
-MACRO sky130_fd_sc_hd__o41ai_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o41ai_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.500000 1.075000 3.080000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.990000 1.415000 2.330000 2.355000 ;
-        RECT 2.000000 1.075000 2.330000 1.415000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.500000 1.075000 1.830000 1.245000 ;
-        RECT 1.500000 1.245000 1.820000 2.355000 ;
-    END
-  END A3
-  PIN A4
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.990000 1.075000 1.320000 1.245000 ;
-        RECT 1.015000 1.245000 1.320000 2.355000 ;
-    END
-  END A4
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.105000 1.075000 0.440000 1.275000 ;
-    END
-  END B1
-  PIN Y
-    ANTENNADIFFAREA  0.439000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.255000 0.425000 0.735000 ;
-        RECT 0.085000 0.735000 0.780000 0.905000 ;
-        RECT 0.515000 1.485000 0.845000 2.465000 ;
-        RECT 0.610000 0.905000 0.780000 1.485000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.085000  1.445000 0.345000 2.635000 ;
-      RECT 0.790000  0.255000 1.120000 0.565000 ;
-      RECT 0.950000  0.565000 1.120000 0.735000 ;
-      RECT 0.950000  0.735000 2.960000 0.905000 ;
-      RECT 1.290000  0.085000 1.540000 0.565000 ;
-      RECT 1.710000  0.255000 2.040000 0.735000 ;
-      RECT 2.210000  0.085000 2.460000 0.565000 ;
-      RECT 2.630000  0.255000 2.960000 0.735000 ;
-      RECT 2.630000  1.495000 2.960000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o41ai_1
-MACRO sky130_fd_sc_hd__o41ai_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o41ai_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  10.12000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 8.155000 1.075000 10.035000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.170000 1.075000 7.940000 1.275000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.310000 1.075000 5.980000 1.275000 ;
-    END
-  END A3
-  PIN A4
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.350000 1.075000 4.020000 1.275000 ;
-    END
-  END A4
-  PIN B1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.105000 1.075000 1.700000 1.275000 ;
-    END
-  END B1
-  PIN Y
-    ANTENNADIFFAREA  1.431000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 0.635000 2.160000 0.905000 ;
-        RECT 0.515000 1.445000 3.885000 1.615000 ;
-        RECT 0.515000 1.615000 0.845000 2.465000 ;
-        RECT 1.355000 1.615000 1.685000 2.465000 ;
-        RECT 1.870000 0.905000 2.160000 1.445000 ;
-        RECT 2.715000 1.615000 3.045000 2.125000 ;
-        RECT 3.555000 1.615000 3.885000 2.125000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 10.120000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 10.310000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 10.120000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 10.120000 0.085000 ;
-      RECT 0.000000  2.635000 10.120000 2.805000 ;
-      RECT 0.085000  0.255000  2.625000 0.465000 ;
-      RECT 0.085000  0.465000  0.345000 0.905000 ;
-      RECT 0.085000  1.445000  0.345000 2.635000 ;
-      RECT 1.015000  1.835000  1.185000 2.635000 ;
-      RECT 1.855000  1.835000  2.105000 2.635000 ;
-      RECT 2.295000  1.785000  2.545000 2.295000 ;
-      RECT 2.295000  2.295000  4.225000 2.465000 ;
-      RECT 2.350000  0.465000  2.625000 0.735000 ;
-      RECT 2.350000  0.735000  9.865000 0.905000 ;
-      RECT 2.795000  0.085000  2.965000 0.545000 ;
-      RECT 3.135000  0.255000  3.465000 0.735000 ;
-      RECT 3.215000  1.785000  3.385000 2.295000 ;
-      RECT 3.635000  0.085000  3.805000 0.545000 ;
-      RECT 3.975000  0.255000  4.305000 0.735000 ;
-      RECT 4.055000  1.445000  5.985000 1.615000 ;
-      RECT 4.055000  1.615000  4.225000 2.295000 ;
-      RECT 4.395000  1.785000  4.645000 2.295000 ;
-      RECT 4.395000  2.295000  7.685000 2.465000 ;
-      RECT 4.475000  0.085000  4.645000 0.545000 ;
-      RECT 4.815000  0.255000  5.145000 0.735000 ;
-      RECT 4.815000  1.615000  5.145000 2.125000 ;
-      RECT 5.315000  0.085000  5.485000 0.545000 ;
-      RECT 5.315000  1.785000  5.485000 2.295000 ;
-      RECT 5.655000  0.255000  5.985000 0.735000 ;
-      RECT 5.655000  1.615000  5.985000 2.125000 ;
-      RECT 6.175000  0.260000  6.505000 0.735000 ;
-      RECT 6.175000  1.445000  9.865000 1.615000 ;
-      RECT 6.175000  1.615000  6.505000 2.125000 ;
-      RECT 6.675000  0.085000  6.845000 0.545000 ;
-      RECT 6.675000  1.785000  6.845000 2.295000 ;
-      RECT 7.015000  0.260000  7.345000 0.735000 ;
-      RECT 7.015000  1.615000  7.345000 2.125000 ;
-      RECT 7.515000  0.085000  7.685000 0.545000 ;
-      RECT 7.515000  1.785000  7.685000 2.295000 ;
-      RECT 7.855000  0.260000  8.185000 0.735000 ;
-      RECT 7.855000  1.615000  8.185000 2.465000 ;
-      RECT 8.355000  0.085000  8.525000 0.545000 ;
-      RECT 8.355000  1.835000  8.525000 2.635000 ;
-      RECT 8.695000  0.260000  9.025000 0.735000 ;
-      RECT 8.695000  1.615000  9.025000 2.465000 ;
-      RECT 9.195000  0.085000  9.365000 0.545000 ;
-      RECT 9.195000  1.835000  9.365000 2.635000 ;
-      RECT 9.535000  0.260000  9.865000 0.735000 ;
-      RECT 9.535000  1.615000  9.865000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-      RECT 9.805000 -0.085000 9.975000 0.085000 ;
-      RECT 9.805000  2.635000 9.975000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o41ai_4
-MACRO sky130_fd_sc_hd__lpflow_inputiso1p_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_inputiso1p_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.300000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.145000 0.765000 0.500000 1.325000 ;
-    END
-  END A
-  PIN SLEEP
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.010000 0.765000 1.275000 1.325000 ;
-    END
-  END SLEEP
-  PIN X
-    ANTENNADIFFAREA  0.509000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.565000 0.255000 2.180000 0.825000 ;
-        RECT 1.645000 1.845000 2.180000 2.465000 ;
-        RECT 1.865000 0.825000 2.180000 1.845000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.300000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.490000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.300000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.300000 0.085000 ;
-      RECT 0.000000  2.635000 2.300000 2.805000 ;
-      RECT 0.250000  0.085000 0.490000 0.595000 ;
-      RECT 0.270000  1.495000 1.695000 1.665000 ;
-      RECT 0.270000  1.665000 0.660000 1.840000 ;
-      RECT 0.670000  0.265000 0.950000 0.595000 ;
-      RECT 0.670000  0.595000 0.840000 1.495000 ;
-      RECT 1.145000  1.835000 1.475000 2.635000 ;
-      RECT 1.180000  0.085000 1.395000 0.595000 ;
-      RECT 1.525000  0.995000 1.695000 1.495000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_inputiso1p_1
-MACRO sky130_fd_sc_hd__clkdlybuf4s25_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__clkdlybuf4s25_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.213000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.485000 1.320000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.702900 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.015000 0.255000 3.595000 0.640000 ;
-        RECT 3.035000 1.565000 3.595000 2.465000 ;
-        RECT 3.230000 0.640000 3.595000 1.565000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.085000  0.255000 0.410000 0.735000 ;
-      RECT 0.085000  0.735000 1.005000 0.905000 ;
-      RECT 0.085000  1.490000 1.005000 1.660000 ;
-      RECT 0.085000  1.660000 0.430000 2.465000 ;
-      RECT 0.580000  0.085000 0.910000 0.565000 ;
-      RECT 0.600000  1.830000 0.925000 2.635000 ;
-      RECT 0.655000  0.905000 1.005000 1.025000 ;
-      RECT 0.655000  1.025000 1.105000 1.295000 ;
-      RECT 0.655000  1.295000 1.005000 1.490000 ;
-      RECT 1.175000  0.255000 1.645000 0.855000 ;
-      RECT 1.195000  1.790000 1.645000 2.465000 ;
-      RECT 1.470000  0.855000 1.645000 1.075000 ;
-      RECT 1.470000  1.075000 2.420000 1.250000 ;
-      RECT 1.470000  1.250000 1.645000 1.790000 ;
-      RECT 1.815000  0.255000 2.065000 0.735000 ;
-      RECT 1.815000  0.735000 2.765000 0.905000 ;
-      RECT 1.815000  1.495000 2.765000 1.665000 ;
-      RECT 1.815000  1.665000 2.065000 2.465000 ;
-      RECT 2.235000  1.835000 2.845000 2.635000 ;
-      RECT 2.240000  0.085000 2.845000 0.565000 ;
-      RECT 2.595000  0.905000 2.765000 0.990000 ;
-      RECT 2.595000  0.990000 3.050000 1.325000 ;
-      RECT 2.595000  1.325000 2.765000 1.495000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__clkdlybuf4s25_1
-MACRO sky130_fd_sc_hd__clkdlybuf4s25_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__clkdlybuf4s25_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.213000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.495000 1.615000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.497000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.770000 0.285000 3.095000 0.615000 ;
-        RECT 2.770000 1.625000 3.095000 2.460000 ;
-        RECT 2.865000 0.615000 3.095000 0.765000 ;
-        RECT 2.865000 0.765000 3.595000 1.275000 ;
-        RECT 2.865000 1.275000 3.095000 1.625000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.095000  0.305000 0.345000 0.640000 ;
-      RECT 0.095000  0.640000 0.840000 0.810000 ;
-      RECT 0.095000  1.785000 0.835000 1.955000 ;
-      RECT 0.095000  1.955000 0.345000 2.465000 ;
-      RECT 0.575000  0.085000 0.905000 0.470000 ;
-      RECT 0.575000  2.125000 0.905000 2.635000 ;
-      RECT 0.665000  0.810000 0.840000 0.995000 ;
-      RECT 0.665000  0.995000 1.035000 1.325000 ;
-      RECT 0.665000  1.325000 1.005000 1.750000 ;
-      RECT 0.665000  1.750000 0.835000 1.785000 ;
-      RECT 1.095000  0.255000 1.425000 0.780000 ;
-      RECT 1.175000  1.425000 1.440000 2.465000 ;
-      RECT 1.205000  0.780000 1.425000 0.995000 ;
-      RECT 1.205000  0.995000 2.165000 1.325000 ;
-      RECT 1.205000  1.325000 1.440000 1.425000 ;
-      RECT 1.615000  0.255000 1.945000 0.635000 ;
-      RECT 1.615000  0.635000 2.595000 0.805000 ;
-      RECT 1.695000  1.500000 2.595000 1.745000 ;
-      RECT 1.695000  1.745000 1.945000 2.465000 ;
-      RECT 2.135000  0.085000 2.465000 0.465000 ;
-      RECT 2.135000  1.915000 2.465000 2.635000 ;
-      RECT 2.335000  0.805000 2.595000 1.500000 ;
-      RECT 3.265000  0.085000 3.595000 0.550000 ;
-      RECT 3.265000  1.635000 3.595000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__clkdlybuf4s25_2
-MACRO sky130_fd_sc_hd__a311oi_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a311oi_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.660000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.805000 0.995000 5.420000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.935000 0.995000 3.550000 1.325000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.120000 0.995000 1.735000 1.325000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.670000 0.995000 6.855000 1.630000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.935000 0.995000 9.530000 1.325000 ;
-    END
-  END C1
-  PIN Y
-    ANTENNADIFFAREA  1.898500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.975000 0.635000 9.485000 0.805000 ;
-        RECT 6.575000 0.255000 6.745000 0.635000 ;
-        RECT 7.415000 0.255000 7.585000 0.635000 ;
-        RECT 7.415000 0.805000 7.735000 1.545000 ;
-        RECT 7.415000 1.545000 9.145000 1.715000 ;
-        RECT 7.415000 1.715000 7.735000 1.975000 ;
-        RECT 7.975000 1.530000 8.305000 1.545000 ;
-        RECT 7.975000 1.715000 8.305000 2.085000 ;
-        RECT 8.475000 0.255000 8.645000 0.635000 ;
-        RECT 8.815000 1.715000 9.145000 2.085000 ;
-        RECT 9.315000 0.255000 9.485000 0.635000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.660000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.850000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.660000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.660000 0.085000 ;
-      RECT 0.000000  2.635000 9.660000 2.805000 ;
-      RECT 0.095000  1.575000 0.425000 2.635000 ;
-      RECT 0.175000  0.255000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 3.785000 0.805000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.595000  1.495000 4.965000 1.665000 ;
-      RECT 0.595000  1.665000 0.765000 2.465000 ;
-      RECT 0.935000  1.915000 1.265000 2.635000 ;
-      RECT 1.015000  0.255000 1.185000 0.635000 ;
-      RECT 1.355000  0.085000 1.685000 0.465000 ;
-      RECT 1.435000  1.665000 1.605000 2.465000 ;
-      RECT 1.775000  1.915000 2.105000 2.635000 ;
-      RECT 1.855000  0.255000 2.025000 0.635000 ;
-      RECT 2.195000  0.295000 5.565000 0.465000 ;
-      RECT 2.275000  1.665000 2.445000 2.465000 ;
-      RECT 2.615000  1.915000 2.945000 2.635000 ;
-      RECT 3.115000  1.665000 3.285000 2.465000 ;
-      RECT 3.455000  1.915000 3.785000 2.635000 ;
-      RECT 3.955000  1.665000 4.125000 2.465000 ;
-      RECT 4.295000  1.915000 4.625000 2.635000 ;
-      RECT 4.795000  1.665000 4.965000 1.915000 ;
-      RECT 4.795000  1.915000 7.245000 2.085000 ;
-      RECT 4.795000  2.085000 4.965000 2.465000 ;
-      RECT 5.135000  2.255000 5.465000 2.635000 ;
-      RECT 5.655000  2.255000 9.565000 2.425000 ;
-      RECT 6.075000  0.085000 6.405000 0.465000 ;
-      RECT 6.915000  0.085000 7.245000 0.465000 ;
-      RECT 7.975000  0.085000 8.305000 0.465000 ;
-      RECT 8.815000  0.085000 9.145000 0.465000 ;
-      RECT 9.315000  1.835000 9.565000 2.255000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a311oi_4
-MACRO sky130_fd_sc_hd__a311oi_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a311oi_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.520000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.000000 0.995000 3.115000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.055000 0.995000 1.805000 1.325000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.135000 0.995000 0.800000 1.325000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.395000 0.995000 4.055000 1.325000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.730000 1.075000 5.410000 1.295000 ;
-        RECT 5.175000 1.295000 5.410000 1.625000 ;
-    END
-  END C1
-  PIN Y
-    ANTENNADIFFAREA  1.141000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.295000 0.655000 5.345000 0.825000 ;
-        RECT 3.235000 0.255000 3.405000 0.655000 ;
-        RECT 4.085000 0.255000 4.255000 0.655000 ;
-        RECT 4.260000 0.825000 4.475000 1.510000 ;
-        RECT 4.260000 1.510000 4.990000 1.575000 ;
-        RECT 4.260000 1.575000 5.005000 1.680000 ;
-        RECT 4.660000 1.680000 5.005000 1.745000 ;
-        RECT 4.660000 1.745000 4.990000 1.915000 ;
-        RECT 4.660000 1.915000 5.005000 2.085000 ;
-        RECT 5.175000 0.255000 5.345000 0.655000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.520000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.710000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.520000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.520000 0.085000 ;
-      RECT 0.000000  2.635000 5.520000 2.805000 ;
-      RECT 0.095000  1.495000 0.345000 2.635000 ;
-      RECT 0.175000  0.255000 0.345000 0.655000 ;
-      RECT 0.175000  0.655000 2.105000 0.825000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.595000  1.575000 3.915000 1.745000 ;
-      RECT 0.595000  1.745000 0.765000 2.465000 ;
-      RECT 0.935000  1.915000 1.265000 2.635000 ;
-      RECT 1.015000  0.255000 1.185000 0.655000 ;
-      RECT 1.355000  0.305000 3.045000 0.475000 ;
-      RECT 1.435000  1.745000 1.605000 2.465000 ;
-      RECT 1.785000  1.915000 2.135000 2.635000 ;
-      RECT 2.305000  1.745000 2.475000 2.465000 ;
-      RECT 2.645000  1.915000 2.975000 2.635000 ;
-      RECT 3.145000  2.255000 5.345000 2.425000 ;
-      RECT 3.585000  0.085000 3.915000 0.465000 ;
-      RECT 3.585000  1.745000 3.915000 2.085000 ;
-      RECT 4.110000  1.915000 4.440000 2.255000 ;
-      RECT 4.110000  2.425000 4.440000 2.465000 ;
-      RECT 4.675000  0.085000 5.005000 0.465000 ;
-      RECT 5.175000  1.795000 5.345000 2.255000 ;
-      RECT 5.175000  2.425000 5.345000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a311oi_2
-MACRO sky130_fd_sc_hd__a311oi_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a311oi_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.965000 0.265000 1.365000 0.660000 ;
-        RECT 1.195000 0.660000 1.365000 0.995000 ;
-        RECT 1.195000 0.995000 1.455000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.600000 0.265000 0.795000 0.995000 ;
-        RECT 0.600000 0.995000 1.025000 1.325000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.975000 0.420000 1.325000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.710000 0.995000 1.935000 1.835000 ;
-        RECT 1.710000 1.835000 2.230000 2.005000 ;
-        RECT 1.950000 2.005000 2.230000 2.355000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.445000 0.995000 2.685000 1.325000 ;
-    END
-  END C1
-  PIN Y
-    ANTENNADIFFAREA  0.659750 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.535000 0.255000 1.705000 0.655000 ;
-        RECT 1.535000 0.655000 2.650000 0.825000 ;
-        RECT 2.105000 0.825000 2.275000 1.495000 ;
-        RECT 2.105000 1.495000 2.650000 1.665000 ;
-        RECT 2.405000 0.295000 2.650000 0.655000 ;
-        RECT 2.410000 1.665000 2.650000 2.335000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.155000 -0.085000 0.325000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.095000  0.085000 0.425000 0.805000 ;
-      RECT 0.095000  1.495000 0.425000 2.635000 ;
-      RECT 0.600000  1.575000 1.540000 1.745000 ;
-      RECT 0.600000  1.745000 0.770000 2.305000 ;
-      RECT 0.940000  1.915000 1.200000 2.635000 ;
-      RECT 1.370000  1.745000 1.540000 2.175000 ;
-      RECT 1.370000  2.175000 1.700000 2.345000 ;
-      RECT 1.905000  0.085000 2.235000 0.485000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a311oi_1
-MACRO sky130_fd_sc_hd__sdfbbn_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sdfbbn_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  14.26000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.775000 1.405000 4.105000 1.575000 ;
-        RECT 3.775000 1.575000 4.060000 1.675000 ;
-        RECT 3.825000 1.675000 4.060000 2.375000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 13.915000 0.255000 14.175000 0.785000 ;
-        RECT 13.915000 1.470000 14.175000 2.465000 ;
-        RECT 13.965000 0.785000 14.175000 1.470000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 12.500000 0.255000 12.785000 0.715000 ;
-        RECT 12.500000 1.630000 12.785000 2.465000 ;
-        RECT 12.605000 0.715000 12.785000 1.630000 ;
-    END
-  END Q_N
-  PIN RESET_B
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 11.535000 1.095000 11.990000 1.325000 ;
-    END
-  END RESET_B
-  PIN SCD
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.415000 1.025000 1.695000 1.685000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.935000 0.345000 2.155000 0.815000 ;
-        RECT 1.935000 0.815000 2.315000 1.150000 ;
-        RECT 1.935000 1.150000 2.155000 1.695000 ;
-    END
-  END SCE
-  PIN SET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.870000 0.735000 6.295000 0.965000 ;
-        RECT 5.870000 0.965000 6.215000 1.065000 ;
-      LAYER mcon ;
-        RECT 6.125000 0.765000 6.295000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 9.755000 0.735000 10.130000 1.065000 ;
-      LAYER mcon ;
-        RECT 9.805000 0.765000 9.975000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 6.065000 0.735000  6.355000 0.780000 ;
-        RECT 6.065000 0.780000 10.035000 0.920000 ;
-        RECT 6.065000 0.920000  6.355000 0.965000 ;
-        RECT 9.745000 0.735000 10.035000 0.780000 ;
-        RECT 9.745000 0.920000 10.035000 0.965000 ;
-    END
-  END SET_B
-  PIN CLK_N
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.975000 0.435000 1.625000 ;
-    END
-  END CLK_N
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 14.260000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 14.450000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 14.260000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 14.260000 0.085000 ;
-      RECT  0.000000  2.635000 14.260000 2.805000 ;
-      RECT  0.095000  0.345000  0.345000 0.635000 ;
-      RECT  0.095000  0.635000  0.835000 0.805000 ;
-      RECT  0.095000  1.795000  0.835000 1.965000 ;
-      RECT  0.095000  1.965000  0.345000 2.465000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.515000  2.135000  0.845000 2.635000 ;
-      RECT  0.605000  0.805000  0.835000 1.795000 ;
-      RECT  1.015000  0.345000  1.235000 2.465000 ;
-      RECT  1.430000  0.085000  1.705000 0.635000 ;
-      RECT  1.430000  1.885000  1.785000 2.635000 ;
-      RECT  2.215000  1.875000  2.575000 2.385000 ;
-      RECT  2.325000  0.265000  2.655000 0.595000 ;
-      RECT  2.405000  1.295000  3.075000 1.405000 ;
-      RECT  2.405000  1.405000  2.670000 1.430000 ;
-      RECT  2.405000  1.430000  2.630000 1.465000 ;
-      RECT  2.405000  1.465000  2.605000 1.505000 ;
-      RECT  2.405000  1.505000  2.575000 1.875000 ;
-      RECT  2.460000  1.255000  3.075000 1.295000 ;
-      RECT  2.485000  0.595000  2.655000 1.075000 ;
-      RECT  2.485000  1.075000  3.075000 1.255000 ;
-      RECT  2.760000  1.575000  3.605000 1.745000 ;
-      RECT  2.760000  1.745000  3.140000 1.905000 ;
-      RECT  2.870000  0.305000  3.040000 0.625000 ;
-      RECT  2.870000  0.625000  3.645000 0.765000 ;
-      RECT  2.870000  0.765000  3.770000 0.795000 ;
-      RECT  2.970000  1.905000  3.140000 2.465000 ;
-      RECT  3.225000  0.085000  3.555000 0.445000 ;
-      RECT  3.310000  2.215000  3.640000 2.635000 ;
-      RECT  3.430000  0.795000  3.770000 1.095000 ;
-      RECT  3.430000  1.095000  3.605000 1.575000 ;
-      RECT  3.950000  0.425000  4.330000 0.595000 ;
-      RECT  3.950000  0.595000  4.120000 1.065000 ;
-      RECT  3.950000  1.065000  4.400000 1.105000 ;
-      RECT  3.950000  1.105000  4.410000 1.175000 ;
-      RECT  3.950000  1.175000  4.445000 1.235000 ;
-      RECT  4.160000  0.265000  4.330000 0.425000 ;
-      RECT  4.225000  1.235000  4.445000 1.275000 ;
-      RECT  4.230000  2.135000  4.445000 2.465000 ;
-      RECT  4.245000  1.275000  4.445000 1.305000 ;
-      RECT  4.275000  1.305000  4.445000 2.135000 ;
-      RECT  4.555000  0.265000  5.655000 0.465000 ;
-      RECT  4.570000  0.705000  4.790000 1.035000 ;
-      RECT  4.615000  1.035000  4.790000 1.575000 ;
-      RECT  4.615000  1.575000  5.125000 1.955000 ;
-      RECT  4.635000  2.250000  5.465000 2.420000 ;
-      RECT  5.000000  0.735000  5.330000 1.015000 ;
-      RECT  5.295000  1.195000  5.670000 1.235000 ;
-      RECT  5.295000  1.235000  6.645000 1.405000 ;
-      RECT  5.295000  1.405000  5.465000 2.250000 ;
-      RECT  5.485000  0.465000  5.655000 0.585000 ;
-      RECT  5.485000  0.585000  5.670000 0.655000 ;
-      RECT  5.500000  0.655000  5.670000 1.195000 ;
-      RECT  5.635000  1.575000  5.885000 1.785000 ;
-      RECT  5.635000  1.785000  6.985000 2.035000 ;
-      RECT  5.705000  2.205000  6.085000 2.635000 ;
-      RECT  5.835000  0.085000  6.005000 0.525000 ;
-      RECT  6.260000  0.255000  7.350000 0.425000 ;
-      RECT  6.260000  0.425000  6.590000 0.465000 ;
-      RECT  6.385000  2.035000  6.555000 2.375000 ;
-      RECT  6.395000  1.405000  6.645000 1.485000 ;
-      RECT  6.425000  1.155000  6.645000 1.235000 ;
-      RECT  6.680000  0.610000  7.010000 0.780000 ;
-      RECT  6.810000  0.780000  7.010000 0.895000 ;
-      RECT  6.810000  0.895000  8.125000 1.060000 ;
-      RECT  6.815000  1.060000  8.125000 1.065000 ;
-      RECT  6.815000  1.065000  6.985000 1.785000 ;
-      RECT  7.155000  1.235000  7.485000 1.415000 ;
-      RECT  7.155000  1.415000  8.160000 1.655000 ;
-      RECT  7.175000  1.915000  7.505000 2.635000 ;
-      RECT  7.180000  0.425000  7.350000 0.715000 ;
-      RECT  7.620000  0.085000  7.975000 0.465000 ;
-      RECT  7.795000  1.065000  8.125000 1.235000 ;
-      RECT  8.360000  1.575000  8.595000 1.985000 ;
-      RECT  8.420000  0.705000  8.705000 1.125000 ;
-      RECT  8.420000  1.125000  9.040000 1.305000 ;
-      RECT  8.550000  2.250000  9.380000 2.420000 ;
-      RECT  8.615000  0.265000  9.380000 0.465000 ;
-      RECT  8.835000  1.305000  9.040000 1.905000 ;
-      RECT  9.210000  0.465000  9.380000 1.235000 ;
-      RECT  9.210000  1.235000 10.560000 1.405000 ;
-      RECT  9.210000  1.405000  9.380000 2.250000 ;
-      RECT  9.550000  1.575000  9.800000 1.915000 ;
-      RECT  9.550000  1.915000 12.330000 2.085000 ;
-      RECT  9.560000  0.085000  9.820000 0.525000 ;
-      RECT  9.620000  2.255000 10.000000 2.635000 ;
-      RECT 10.080000  0.255000 11.250000 0.425000 ;
-      RECT 10.080000  0.425000 10.410000 0.545000 ;
-      RECT 10.240000  2.085000 10.410000 2.375000 ;
-      RECT 10.340000  1.075000 10.560000 1.235000 ;
-      RECT 10.575000  0.595000 10.905000 0.780000 ;
-      RECT 10.730000  0.780000 10.905000 1.915000 ;
-      RECT 10.940000  2.255000 12.330000 2.635000 ;
-      RECT 11.075000  0.425000 11.250000 0.585000 ;
-      RECT 11.080000  0.755000 11.775000 0.925000 ;
-      RECT 11.080000  0.925000 11.355000 1.575000 ;
-      RECT 11.080000  1.575000 11.855000 1.745000 ;
-      RECT 11.565000  0.265000 11.775000 0.755000 ;
-      RECT 12.000000  0.085000 12.330000 0.805000 ;
-      RECT 12.160000  0.995000 12.425000 1.325000 ;
-      RECT 12.160000  1.325000 12.330000 1.915000 ;
-      RECT 12.960000  0.255000 13.275000 0.995000 ;
-      RECT 12.960000  0.995000 13.795000 1.325000 ;
-      RECT 12.960000  1.325000 13.275000 2.415000 ;
-      RECT 13.455000  0.085000 13.745000 0.545000 ;
-      RECT 13.455000  1.765000 13.740000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  0.765000  0.775000 0.935000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  1.785000  1.235000 1.955000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  1.105000  3.075000 1.275000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.230000  1.105000  4.400000 1.275000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  1.785000  4.915000 1.955000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.155000  0.765000  5.325000 0.935000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  1.445000  8.135000 1.615000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  1.105000  8.595000 1.275000 ;
-      RECT  8.425000  1.785000  8.595000 1.955000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  1.445000 11.355000 1.615000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-      RECT 12.105000  2.635000 12.275000 2.805000 ;
-      RECT 12.565000 -0.085000 12.735000 0.085000 ;
-      RECT 12.565000  2.635000 12.735000 2.805000 ;
-      RECT 13.025000 -0.085000 13.195000 0.085000 ;
-      RECT 13.025000  2.635000 13.195000 2.805000 ;
-      RECT 13.485000 -0.085000 13.655000 0.085000 ;
-      RECT 13.485000  2.635000 13.655000 2.805000 ;
-      RECT 13.945000 -0.085000 14.115000 0.085000 ;
-      RECT 13.945000  2.635000 14.115000 2.805000 ;
-    LAYER met1 ;
-      RECT  0.545000 0.735000  0.835000 0.780000 ;
-      RECT  0.545000 0.780000  5.385000 0.920000 ;
-      RECT  0.545000 0.920000  0.835000 0.965000 ;
-      RECT  1.005000 1.755000  1.295000 1.800000 ;
-      RECT  1.005000 1.800000  8.655000 1.940000 ;
-      RECT  1.005000 1.940000  1.295000 1.985000 ;
-      RECT  2.845000 1.075000  3.135000 1.120000 ;
-      RECT  2.845000 1.120000  4.460000 1.260000 ;
-      RECT  2.845000 1.260000  3.135000 1.305000 ;
-      RECT  4.170000 1.075000  4.460000 1.120000 ;
-      RECT  4.170000 1.260000  4.460000 1.305000 ;
-      RECT  4.685000 1.755000  4.975000 1.800000 ;
-      RECT  4.685000 1.940000  4.975000 1.985000 ;
-      RECT  5.095000 0.735000  5.385000 0.780000 ;
-      RECT  5.095000 0.920000  5.385000 0.965000 ;
-      RECT  5.170000 0.965000  5.385000 1.120000 ;
-      RECT  5.170000 1.120000  8.655000 1.260000 ;
-      RECT  7.905000 1.415000  8.195000 1.460000 ;
-      RECT  7.905000 1.460000 11.415000 1.600000 ;
-      RECT  7.905000 1.600000  8.195000 1.645000 ;
-      RECT  8.365000 1.075000  8.655000 1.120000 ;
-      RECT  8.365000 1.260000  8.655000 1.305000 ;
-      RECT  8.365000 1.755000  8.655000 1.800000 ;
-      RECT  8.365000 1.940000  8.655000 1.985000 ;
-      RECT 11.125000 1.415000 11.415000 1.460000 ;
-      RECT 11.125000 1.600000 11.415000 1.645000 ;
-  END
-END sky130_fd_sc_hd__sdfbbn_1
-MACRO sky130_fd_sc_hd__sdfbbn_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sdfbbn_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  15.18000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.825000 1.325000 4.025000 2.375000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 14.415000 0.255000 14.665000 0.825000 ;
-        RECT 14.415000 1.445000 14.665000 2.465000 ;
-        RECT 14.460000 0.825000 14.665000 1.445000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 12.580000 0.255000 12.830000 0.715000 ;
-        RECT 12.580000 1.630000 12.830000 2.465000 ;
-        RECT 12.660000 0.715000 12.830000 1.630000 ;
-    END
-  END Q_N
-  PIN RESET_B
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 11.590000 1.095000 12.070000 1.325000 ;
-    END
-  END RESET_B
-  PIN SCD
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.415000 1.025000 1.695000 1.685000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.935000 0.345000 2.145000 0.765000 ;
-        RECT 1.935000 0.765000 2.335000 1.095000 ;
-        RECT 1.935000 1.095000 2.155000 1.695000 ;
-    END
-  END SCE
-  PIN SET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.885000 0.735000 6.295000 0.965000 ;
-        RECT 5.885000 0.965000 6.215000 1.065000 ;
-      LAYER mcon ;
-        RECT 6.125000 0.765000 6.295000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 9.755000 0.735000 10.130000 1.065000 ;
-      LAYER mcon ;
-        RECT 9.805000 0.765000 9.975000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 6.065000 0.735000  6.355000 0.780000 ;
-        RECT 6.065000 0.780000 10.035000 0.920000 ;
-        RECT 6.065000 0.920000  6.355000 0.965000 ;
-        RECT 9.745000 0.735000 10.035000 0.780000 ;
-        RECT 9.745000 0.920000 10.035000 0.965000 ;
-    END
-  END SET_B
-  PIN CLK_N
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.975000 0.435000 1.625000 ;
-    END
-  END CLK_N
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 15.180000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 15.370000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 15.180000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 15.180000 0.085000 ;
-      RECT  0.000000  2.635000 15.180000 2.805000 ;
-      RECT  0.170000  0.345000  0.345000 0.635000 ;
-      RECT  0.170000  0.635000  0.835000 0.805000 ;
-      RECT  0.170000  1.795000  0.835000 1.965000 ;
-      RECT  0.170000  1.965000  0.345000 2.465000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.515000  2.135000  0.845000 2.635000 ;
-      RECT  0.605000  0.805000  0.835000 1.795000 ;
-      RECT  1.015000  0.345000  1.235000 2.465000 ;
-      RECT  1.430000  0.085000  1.705000 0.635000 ;
-      RECT  1.430000  1.885000  1.785000 2.635000 ;
-      RECT  2.215000  1.875000  2.575000 2.385000 ;
-      RECT  2.315000  0.265000  2.730000 0.595000 ;
-      RECT  2.405000  1.250000  3.075000 1.405000 ;
-      RECT  2.405000  1.405000  2.575000 1.875000 ;
-      RECT  2.435000  1.235000  3.075000 1.250000 ;
-      RECT  2.560000  0.595000  2.730000 1.075000 ;
-      RECT  2.560000  1.075000  3.075000 1.235000 ;
-      RECT  2.745000  1.575000  3.645000 1.745000 ;
-      RECT  2.745000  1.745000  3.065000 1.905000 ;
-      RECT  2.895000  1.905000  3.065000 2.465000 ;
-      RECT  2.955000  0.305000  3.125000 0.625000 ;
-      RECT  2.955000  0.625000  3.645000 0.765000 ;
-      RECT  2.955000  0.765000  3.770000 0.795000 ;
-      RECT  3.295000  2.215000  3.640000 2.635000 ;
-      RECT  3.370000  0.085000  3.700000 0.445000 ;
-      RECT  3.475000  0.795000  3.770000 1.095000 ;
-      RECT  3.475000  1.095000  3.645000 1.575000 ;
-      RECT  4.230000  0.305000  4.455000 2.465000 ;
-      RECT  4.625000  0.705000  4.845000 1.575000 ;
-      RECT  4.625000  1.575000  5.125000 1.955000 ;
-      RECT  4.635000  2.250000  5.465000 2.420000 ;
-      RECT  4.700000  0.265000  5.715000 0.465000 ;
-      RECT  5.025000  0.645000  5.375000 1.015000 ;
-      RECT  5.295000  1.195000  5.715000 1.235000 ;
-      RECT  5.295000  1.235000  6.645000 1.405000 ;
-      RECT  5.295000  1.405000  5.465000 2.250000 ;
-      RECT  5.545000  0.465000  5.715000 1.195000 ;
-      RECT  5.635000  1.575000  5.885000 1.785000 ;
-      RECT  5.635000  1.785000  6.985000 2.035000 ;
-      RECT  5.705000  2.205000  6.085000 2.635000 ;
-      RECT  5.885000  0.085000  6.055000 0.525000 ;
-      RECT  6.225000  0.255000  7.375000 0.425000 ;
-      RECT  6.225000  0.425000  6.555000 0.505000 ;
-      RECT  6.385000  2.035000  6.555000 2.375000 ;
-      RECT  6.395000  1.405000  6.645000 1.485000 ;
-      RECT  6.425000  1.155000  6.645000 1.235000 ;
-      RECT  6.705000  0.595000  7.035000 0.765000 ;
-      RECT  6.815000  0.765000  7.035000 0.895000 ;
-      RECT  6.815000  0.895000  8.125000 1.065000 ;
-      RECT  6.815000  1.065000  6.985000 1.785000 ;
-      RECT  7.155000  1.235000  7.485000 1.415000 ;
-      RECT  7.155000  1.415000  8.160000 1.655000 ;
-      RECT  7.175000  1.915000  7.505000 2.635000 ;
-      RECT  7.205000  0.425000  7.375000 0.715000 ;
-      RECT  7.645000  0.085000  7.975000 0.465000 ;
-      RECT  7.795000  1.065000  8.125000 1.235000 ;
-      RECT  8.360000  1.575000  8.595000 1.985000 ;
-      RECT  8.420000  0.705000  8.705000 1.125000 ;
-      RECT  8.420000  1.125000  9.040000 1.305000 ;
-      RECT  8.550000  2.250000  9.380000 2.420000 ;
-      RECT  8.615000  0.265000  9.380000 0.465000 ;
-      RECT  8.835000  1.305000  9.040000 1.905000 ;
-      RECT  9.210000  0.465000  9.380000 1.235000 ;
-      RECT  9.210000  1.235000 10.560000 1.405000 ;
-      RECT  9.210000  1.405000  9.380000 2.250000 ;
-      RECT  9.550000  1.575000  9.800000 1.915000 ;
-      RECT  9.550000  1.915000 12.410000 2.085000 ;
-      RECT  9.560000  0.085000  9.820000 0.525000 ;
-      RECT  9.620000  2.255000 10.000000 2.635000 ;
-      RECT 10.080000  0.255000 11.250000 0.425000 ;
-      RECT 10.080000  0.425000 10.410000 0.545000 ;
-      RECT 10.240000  2.085000 10.410000 2.375000 ;
-      RECT 10.340000  1.075000 10.560000 1.235000 ;
-      RECT 10.580000  0.595000 10.910000 0.780000 ;
-      RECT 10.730000  0.780000 10.910000 1.915000 ;
-      RECT 10.940000  2.255000 12.410000 2.635000 ;
-      RECT 11.080000  0.425000 11.250000 0.585000 ;
-      RECT 11.080000  0.755000 11.845000 0.925000 ;
-      RECT 11.080000  0.925000 11.355000 1.575000 ;
-      RECT 11.080000  1.575000 11.925000 1.745000 ;
-      RECT 11.620000  0.265000 11.845000 0.755000 ;
-      RECT 12.080000  0.085000 12.410000 0.805000 ;
-      RECT 12.240000  0.995000 12.480000 1.325000 ;
-      RECT 12.240000  1.325000 12.410000 1.915000 ;
-      RECT 13.000000  0.085000 13.235000 0.885000 ;
-      RECT 13.000000  1.495000 13.235000 2.635000 ;
-      RECT 13.455000  0.255000 13.770000 0.995000 ;
-      RECT 13.455000  0.995000 14.290000 1.325000 ;
-      RECT 13.455000  1.325000 13.770000 2.415000 ;
-      RECT 13.950000  0.085000 14.245000 0.545000 ;
-      RECT 13.950000  1.765000 14.245000 2.635000 ;
-      RECT 14.835000  0.085000 15.075000 0.885000 ;
-      RECT 14.835000  1.495000 15.075000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  0.765000  0.775000 0.935000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  1.785000  1.235000 1.955000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  1.105000  3.075000 1.275000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  1.105000  4.455000 1.275000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  1.785000  4.915000 1.955000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  0.765000  5.375000 0.935000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  1.445000  8.135000 1.615000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  1.105000  8.595000 1.275000 ;
-      RECT  8.425000  1.785000  8.595000 1.955000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  1.445000 11.355000 1.615000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-      RECT 12.105000  2.635000 12.275000 2.805000 ;
-      RECT 12.565000 -0.085000 12.735000 0.085000 ;
-      RECT 12.565000  2.635000 12.735000 2.805000 ;
-      RECT 13.025000 -0.085000 13.195000 0.085000 ;
-      RECT 13.025000  2.635000 13.195000 2.805000 ;
-      RECT 13.485000 -0.085000 13.655000 0.085000 ;
-      RECT 13.485000  2.635000 13.655000 2.805000 ;
-      RECT 13.945000 -0.085000 14.115000 0.085000 ;
-      RECT 13.945000  2.635000 14.115000 2.805000 ;
-      RECT 14.405000 -0.085000 14.575000 0.085000 ;
-      RECT 14.405000  2.635000 14.575000 2.805000 ;
-      RECT 14.865000 -0.085000 15.035000 0.085000 ;
-      RECT 14.865000  2.635000 15.035000 2.805000 ;
-    LAYER met1 ;
-      RECT  0.545000 0.735000  0.835000 0.780000 ;
-      RECT  0.545000 0.780000  5.435000 0.920000 ;
-      RECT  0.545000 0.920000  0.835000 0.965000 ;
-      RECT  1.005000 1.755000  1.295000 1.800000 ;
-      RECT  1.005000 1.800000  8.655000 1.940000 ;
-      RECT  1.005000 1.940000  1.295000 1.985000 ;
-      RECT  2.845000 1.075000  3.135000 1.120000 ;
-      RECT  2.845000 1.120000  4.515000 1.260000 ;
-      RECT  2.845000 1.260000  3.135000 1.305000 ;
-      RECT  4.225000 1.075000  4.515000 1.120000 ;
-      RECT  4.225000 1.260000  4.515000 1.305000 ;
-      RECT  4.685000 1.755000  4.975000 1.800000 ;
-      RECT  4.685000 1.940000  4.975000 1.985000 ;
-      RECT  5.145000 0.735000  5.435000 0.780000 ;
-      RECT  5.145000 0.920000  5.435000 0.965000 ;
-      RECT  5.220000 0.965000  5.435000 1.120000 ;
-      RECT  5.220000 1.120000  8.655000 1.260000 ;
-      RECT  7.905000 1.415000  8.195000 1.460000 ;
-      RECT  7.905000 1.460000 11.415000 1.600000 ;
-      RECT  7.905000 1.600000  8.195000 1.645000 ;
-      RECT  8.365000 1.075000  8.655000 1.120000 ;
-      RECT  8.365000 1.260000  8.655000 1.305000 ;
-      RECT  8.365000 1.755000  8.655000 1.800000 ;
-      RECT  8.365000 1.940000  8.655000 1.985000 ;
-      RECT 11.125000 1.415000 11.415000 1.460000 ;
-      RECT 11.125000 1.600000 11.415000 1.645000 ;
-  END
-END sky130_fd_sc_hd__sdfbbn_2
-MACRO sky130_fd_sc_hd__clkdlybuf4s15_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__clkdlybuf4s15_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.213000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.060000 0.555000 1.625000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.397600 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.050000 0.255000 3.550000 0.640000 ;
-        RECT 3.070000 1.485000 3.550000 2.465000 ;
-        RECT 3.355000 0.640000 3.550000 1.485000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.085000  0.255000 0.415000 0.720000 ;
-      RECT 0.085000  0.720000 1.060000 0.890000 ;
-      RECT 0.085000  1.795000 1.060000 1.965000 ;
-      RECT 0.085000  1.965000 0.430000 2.465000 ;
-      RECT 0.585000  0.085000 0.915000 0.550000 ;
-      RECT 0.600000  2.135000 0.930000 2.635000 ;
-      RECT 0.890000  0.890000 1.060000 1.075000 ;
-      RECT 0.890000  1.075000 1.320000 1.245000 ;
-      RECT 0.890000  1.245000 1.060000 1.795000 ;
-      RECT 1.230000  1.785000 1.660000 2.465000 ;
-      RECT 1.280000  0.255000 1.660000 0.905000 ;
-      RECT 1.490000  0.905000 1.660000 1.075000 ;
-      RECT 1.490000  1.075000 2.415000 1.485000 ;
-      RECT 1.490000  1.485000 1.660000 1.785000 ;
-      RECT 1.830000  0.255000 2.100000 0.735000 ;
-      RECT 1.830000  0.735000 2.900000 0.905000 ;
-      RECT 1.830000  1.790000 2.900000 1.965000 ;
-      RECT 1.830000  1.965000 2.100000 2.465000 ;
-      RECT 2.550000  0.085000 2.880000 0.565000 ;
-      RECT 2.550000  2.135000 2.880000 2.635000 ;
-      RECT 2.730000  0.905000 2.900000 1.075000 ;
-      RECT 2.730000  1.075000 3.185000 1.245000 ;
-      RECT 2.730000  1.245000 2.900000 1.790000 ;
-      RECT 3.720000  0.085000 4.055000 0.645000 ;
-      RECT 3.720000  1.485000 4.055000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__clkdlybuf4s15_2
-MACRO sky130_fd_sc_hd__clkdlybuf4s15_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__clkdlybuf4s15_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.213000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.055000 0.560000 1.325000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.376300 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.210000 0.285000 3.595000 0.545000 ;
-        RECT 3.210000 1.760000 3.595000 2.465000 ;
-        RECT 3.365000 0.545000 3.595000 1.760000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.085000  0.255000 0.425000 0.715000 ;
-      RECT 0.085000  0.715000 1.215000 0.885000 ;
-      RECT 0.085000  1.495000 1.215000 1.665000 ;
-      RECT 0.085000  1.665000 0.425000 2.465000 ;
-      RECT 0.595000  0.085000 0.910000 0.545000 ;
-      RECT 0.595000  1.835000 0.925000 2.635000 ;
-      RECT 0.730000  0.885000 1.215000 1.495000 ;
-      RECT 1.385000  0.255000 1.760000 0.825000 ;
-      RECT 1.385000  1.835000 1.760000 2.465000 ;
-      RECT 1.590000  0.825000 1.760000 1.055000 ;
-      RECT 1.590000  1.055000 2.685000 1.250000 ;
-      RECT 1.590000  1.250000 1.760000 1.835000 ;
-      RECT 1.930000  0.255000 2.260000 0.715000 ;
-      RECT 1.930000  0.715000 3.195000 0.885000 ;
-      RECT 1.930000  1.420000 3.195000 1.590000 ;
-      RECT 1.930000  1.590000 2.410000 2.465000 ;
-      RECT 2.640000  1.760000 3.040000 2.635000 ;
-      RECT 2.710000  0.085000 3.040000 0.545000 ;
-      RECT 2.855000  0.885000 3.195000 1.420000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__clkdlybuf4s15_1
-MACRO sky130_fd_sc_hd__clkbuf_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__clkbuf_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.213000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.425000 0.755000 0.775000 1.325000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.795200 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.010000 0.345000 1.305000 0.735000 ;
-        RECT 1.010000 0.735000 2.660000 0.905000 ;
-        RECT 1.045000 1.835000 2.165000 2.005000 ;
-        RECT 1.045000 2.005000 1.305000 2.465000 ;
-        RECT 1.905000 0.345000 2.165000 0.735000 ;
-        RECT 1.905000 1.415000 2.660000 1.585000 ;
-        RECT 1.905000 1.585000 2.165000 1.835000 ;
-        RECT 1.905000 2.005000 2.165000 2.465000 ;
-        RECT 2.255000 0.905000 2.660000 1.415000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.085000  0.255000 0.385000 0.585000 ;
-      RECT 0.085000  0.585000 0.255000 1.495000 ;
-      RECT 0.085000  1.495000 1.115000 1.665000 ;
-      RECT 0.085000  1.665000 0.395000 2.465000 ;
-      RECT 0.555000  0.085000 0.830000 0.565000 ;
-      RECT 0.565000  1.835000 0.875000 2.635000 ;
-      RECT 0.945000  1.075000 2.085000 1.245000 ;
-      RECT 0.945000  1.245000 1.115000 1.495000 ;
-      RECT 1.475000  0.085000 1.730000 0.565000 ;
-      RECT 1.475000  2.175000 1.730000 2.635000 ;
-      RECT 2.335000  0.085000 2.615000 0.565000 ;
-      RECT 2.335000  1.765000 2.620000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__clkbuf_4
-MACRO sky130_fd_sc_hd__clkbuf_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__clkbuf_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.840000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.213000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.425000 0.745000 0.785000 1.325000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.383400 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.040000 0.255000 1.245000 0.655000 ;
-        RECT 1.040000 0.655000 1.725000 0.825000 ;
-        RECT 1.060000 1.855000 1.725000 2.030000 ;
-        RECT 1.060000 2.030000 1.245000 2.435000 ;
-        RECT 1.385000 0.825000 1.725000 1.855000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.840000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.030000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.840000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.840000 0.085000 ;
-      RECT 0.000000  2.635000 1.840000 2.805000 ;
-      RECT 0.085000  0.255000 0.345000 0.585000 ;
-      RECT 0.085000  0.585000 0.255000 1.495000 ;
-      RECT 0.085000  1.495000 1.215000 1.665000 ;
-      RECT 0.085000  1.665000 0.355000 2.435000 ;
-      RECT 0.525000  1.855000 0.855000 2.635000 ;
-      RECT 0.555000  0.085000 0.830000 0.565000 ;
-      RECT 0.965000  0.995000 1.215000 1.495000 ;
-      RECT 1.415000  0.085000 1.750000 0.485000 ;
-      RECT 1.415000  2.210000 1.750000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-  END
-END sky130_fd_sc_hd__clkbuf_2
-MACRO sky130_fd_sc_hd__clkbuf_8
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__clkbuf_8 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.060000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.426000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.715000 0.400000 1.325000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  1.590400 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.420000 0.280000 1.680000 0.735000 ;
-        RECT 1.420000 0.735000 4.730000 0.905000 ;
-        RECT 1.420000 1.495000 4.730000 1.735000 ;
-        RECT 1.420000 1.735000 1.680000 2.460000 ;
-        RECT 2.280000 0.280000 2.540000 0.735000 ;
-        RECT 2.280000 1.735000 2.540000 2.460000 ;
-        RECT 3.140000 0.280000 3.400000 0.735000 ;
-        RECT 3.140000 1.735000 3.400000 2.460000 ;
-        RECT 3.760000 0.905000 4.730000 1.495000 ;
-        RECT 4.000000 0.280000 4.260000 0.735000 ;
-        RECT 4.000000 1.735000 4.260000 2.460000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.060000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.250000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.060000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.060000 0.085000 ;
-      RECT 0.000000  2.635000 5.060000 2.805000 ;
-      RECT 0.095000  1.525000 0.390000 2.635000 ;
-      RECT 0.145000  0.085000 0.390000 0.545000 ;
-      RECT 0.570000  0.265000 0.820000 1.075000 ;
-      RECT 0.570000  1.075000 3.590000 1.325000 ;
-      RECT 0.570000  1.325000 0.820000 2.460000 ;
-      RECT 0.990000  0.085000 1.250000 0.610000 ;
-      RECT 0.990000  1.525000 1.250000 2.635000 ;
-      RECT 1.850000  0.085000 2.110000 0.565000 ;
-      RECT 1.850000  1.905000 2.110000 2.635000 ;
-      RECT 2.710000  0.085000 2.970000 0.565000 ;
-      RECT 2.710000  1.905000 2.970000 2.635000 ;
-      RECT 3.570000  0.085000 3.830000 0.565000 ;
-      RECT 3.570000  1.905000 3.830000 2.635000 ;
-      RECT 4.430000  0.085000 4.730000 0.565000 ;
-      RECT 4.430000  1.905000 4.725000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-  END
-END sky130_fd_sc_hd__clkbuf_8
-MACRO sky130_fd_sc_hd__clkbuf_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__clkbuf_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.380000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.196500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.945000 0.985000 1.275000 1.355000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.340600 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.255000 0.345000 0.760000 ;
-        RECT 0.085000 0.760000 0.255000 1.560000 ;
-        RECT 0.085000 1.560000 0.355000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.380000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 1.065000 -0.085000 1.235000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 1.570000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.380000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.380000 0.085000 ;
-      RECT 0.000000  2.635000 1.380000 2.805000 ;
-      RECT 0.425000  1.060000 0.710000 1.390000 ;
-      RECT 0.525000  0.085000 0.855000 0.465000 ;
-      RECT 0.525000  1.875000 0.855000 2.635000 ;
-      RECT 0.540000  0.635000 1.205000 0.805000 ;
-      RECT 0.540000  0.805000 0.710000 1.060000 ;
-      RECT 0.540000  1.390000 0.710000 1.535000 ;
-      RECT 0.540000  1.535000 1.205000 1.705000 ;
-      RECT 1.035000  0.255000 1.205000 0.635000 ;
-      RECT 1.035000  1.705000 1.205000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-  END
-END sky130_fd_sc_hd__clkbuf_1
-MACRO sky130_fd_sc_hd__clkbuf_16
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__clkbuf_16 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.200000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.852000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.765000 0.400000 1.325000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  3.180800 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.280000 0.280000 2.540000 0.735000 ;
-        RECT 2.280000 0.735000 9.025000 0.905000 ;
-        RECT 2.280000 1.495000 9.025000 1.720000 ;
-        RECT 2.280000 1.720000 7.685000 1.735000 ;
-        RECT 2.280000 1.735000 2.540000 2.460000 ;
-        RECT 3.140000 0.280000 3.400000 0.735000 ;
-        RECT 3.140000 1.735000 3.400000 2.460000 ;
-        RECT 4.000000 0.280000 4.260000 0.735000 ;
-        RECT 4.000000 1.735000 4.260000 2.460000 ;
-        RECT 4.845000 0.280000 5.120000 0.735000 ;
-        RECT 4.860000 1.735000 5.120000 2.460000 ;
-        RECT 5.705000 0.280000 5.965000 0.735000 ;
-        RECT 5.705000 1.735000 5.965000 2.460000 ;
-        RECT 6.565000 0.280000 6.825000 0.735000 ;
-        RECT 6.565000 1.735000 6.825000 2.460000 ;
-        RECT 7.425000 0.280000 7.685000 0.735000 ;
-        RECT 7.425000 1.735000 7.685000 2.460000 ;
-        RECT 7.860000 0.905000 9.025000 1.495000 ;
-        RECT 8.295000 0.280000 8.555000 0.735000 ;
-        RECT 8.295000 1.720000 8.585000 2.460000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.200000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.390000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.200000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.200000 0.085000 ;
-      RECT 0.000000  2.635000 9.200000 2.805000 ;
-      RECT 0.085000  0.085000 0.390000 0.595000 ;
-      RECT 0.095000  1.825000 0.390000 2.635000 ;
-      RECT 0.570000  0.265000 0.820000 1.075000 ;
-      RECT 0.570000  1.075000 7.690000 1.325000 ;
-      RECT 0.570000  1.325000 0.815000 2.465000 ;
-      RECT 0.990000  0.085000 1.250000 0.610000 ;
-      RECT 0.990000  1.825000 1.250000 2.635000 ;
-      RECT 1.430000  0.265000 1.680000 1.075000 ;
-      RECT 1.430000  1.325000 1.680000 2.460000 ;
-      RECT 1.850000  0.085000 2.110000 0.645000 ;
-      RECT 1.850000  1.835000 2.110000 2.630000 ;
-      RECT 1.850000  2.630000 8.125000 2.635000 ;
-      RECT 2.710000  0.085000 2.970000 0.565000 ;
-      RECT 2.710000  1.905000 2.970000 2.630000 ;
-      RECT 3.570000  0.085000 3.830000 0.565000 ;
-      RECT 3.570000  1.905000 3.830000 2.630000 ;
-      RECT 4.430000  0.085000 4.675000 0.565000 ;
-      RECT 4.430000  1.905000 4.690000 2.630000 ;
-      RECT 5.290000  0.085000 5.535000 0.565000 ;
-      RECT 5.290000  1.905000 5.535000 2.630000 ;
-      RECT 6.145000  0.085000 6.395000 0.565000 ;
-      RECT 6.150000  1.905000 6.395000 2.630000 ;
-      RECT 7.005000  0.085000 7.255000 0.565000 ;
-      RECT 7.010000  1.905000 7.255000 2.630000 ;
-      RECT 7.865000  0.085000 8.125000 0.565000 ;
-      RECT 7.870000  1.905000 8.125000 2.630000 ;
-      RECT 8.725000  0.085000 9.025000 0.565000 ;
-      RECT 8.755000  1.890000 9.025000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-  END
-END sky130_fd_sc_hd__clkbuf_16
-MACRO sky130_fd_sc_hd__lpflow_inputiso1n_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_inputiso1n_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.540000 2.085000 1.735000 2.415000 ;
-    END
-  END A
-  PIN SLEEP_B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 1.075000 0.425000 1.325000 ;
-    END
-  END SLEEP_B
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.405000 0.415000 2.675000 0.760000 ;
-        RECT 2.405000 1.495000 2.675000 2.465000 ;
-        RECT 2.505000 0.760000 2.675000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.090000  1.495000 0.345000 2.635000 ;
-      RECT 0.110000  0.265000 0.420000 0.735000 ;
-      RECT 0.110000  0.735000 0.845000 0.905000 ;
-      RECT 0.590000  0.085000 1.325000 0.565000 ;
-      RECT 0.595000  0.905000 0.845000 0.995000 ;
-      RECT 0.595000  0.995000 1.335000 1.325000 ;
-      RECT 0.595000  1.325000 0.765000 1.885000 ;
-      RECT 0.990000  1.495000 2.235000 1.665000 ;
-      RECT 0.990000  1.665000 1.410000 1.915000 ;
-      RECT 1.495000  0.305000 1.665000 0.655000 ;
-      RECT 1.495000  0.655000 2.235000 0.825000 ;
-      RECT 1.835000  0.085000 2.215000 0.485000 ;
-      RECT 1.915000  1.835000 2.195000 2.635000 ;
-      RECT 2.065000  0.825000 2.235000 0.995000 ;
-      RECT 2.065000  0.995000 2.295000 1.325000 ;
-      RECT 2.065000  1.325000 2.235000 1.495000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_inputiso1n_1
-MACRO sky130_fd_sc_hd__a41oi_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a41oi_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  10.12000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.385000 0.995000 4.205000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.405000 1.075000 6.315000 1.285000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.560000 1.075000 7.955000 1.300000 ;
-    END
-  END A3
-  PIN A4
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 8.285000 1.075000 9.975000 1.280000 ;
-    END
-  END A4
-  PIN B1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.105000 1.075000 1.745000 1.305000 ;
-        RECT 0.105000 1.305000 0.325000 1.965000 ;
-    END
-  END B1
-  PIN Y
-    ANTENNADIFFAREA  1.242000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 1.575000 2.155000 1.685000 ;
-        RECT 0.515000 1.685000 1.685000 1.745000 ;
-        RECT 0.515000 1.745000 0.845000 2.085000 ;
-        RECT 0.595000 0.255000 0.765000 0.635000 ;
-        RECT 0.595000 0.635000 4.015000 0.805000 ;
-        RECT 1.350000 1.495000 2.155000 1.575000 ;
-        RECT 1.350000 1.745000 1.685000 2.085000 ;
-        RECT 1.435000 0.255000 1.605000 0.635000 ;
-        RECT 1.935000 0.805000 2.155000 1.495000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 10.120000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 10.310000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 10.120000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 10.120000 0.085000 ;
-      RECT 0.000000  2.635000 10.120000 2.805000 ;
-      RECT 0.090000  0.085000  0.425000 0.465000 ;
-      RECT 0.090000  2.255000  2.335000 2.425000 ;
-      RECT 0.935000  0.085000  1.265000 0.465000 ;
-      RECT 1.775000  0.085000  2.105000 0.465000 ;
-      RECT 2.165000  1.905000  3.515000 2.075000 ;
-      RECT 2.165000  2.075000  2.335000 2.255000 ;
-      RECT 2.165000  2.425000  2.335000 2.465000 ;
-      RECT 2.425000  0.295000  6.115000 0.465000 ;
-      RECT 2.505000  2.255000  3.175000 2.635000 ;
-      RECT 3.345000  1.575000  9.945000 1.745000 ;
-      RECT 3.345000  1.745000  3.515000 1.905000 ;
-      RECT 3.345000  2.075000  3.515000 2.465000 ;
-      RECT 3.685000  1.915000  4.015000 2.635000 ;
-      RECT 4.185000  1.745000  4.355000 2.425000 ;
-      RECT 4.525000  0.635000  7.895000 0.805000 ;
-      RECT 4.620000  1.915000  4.950000 2.635000 ;
-      RECT 5.120000  1.745000  5.290000 2.465000 ;
-      RECT 5.495000  1.915000  6.165000 2.635000 ;
-      RECT 6.305000  0.295000  8.235000 0.465000 ;
-      RECT 6.385000  1.745000  6.555000 2.465000 ;
-      RECT 6.725000  1.915000  7.055000 2.635000 ;
-      RECT 7.225000  1.745000  7.395000 2.465000 ;
-      RECT 7.565000  1.915000  7.895000 2.635000 ;
-      RECT 8.065000  0.255000  8.235000 0.295000 ;
-      RECT 8.065000  0.465000  8.235000 0.635000 ;
-      RECT 8.065000  0.635000  9.915000 0.805000 ;
-      RECT 8.065000  1.745000  8.235000 2.465000 ;
-      RECT 8.405000  0.085000  8.735000 0.465000 ;
-      RECT 8.405000  1.915000  8.735000 2.635000 ;
-      RECT 8.905000  0.255000  9.075000 0.635000 ;
-      RECT 8.905000  1.745000  9.075000 2.465000 ;
-      RECT 9.245000  0.085000  9.575000 0.465000 ;
-      RECT 9.245000  1.915000  9.575000 2.635000 ;
-      RECT 9.745000  0.255000  9.915000 0.635000 ;
-      RECT 9.775000  1.745000  9.945000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-      RECT 9.805000 -0.085000 9.975000 0.085000 ;
-      RECT 9.805000  2.635000 9.975000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a41oi_4
-MACRO sky130_fd_sc_hd__a41oi_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a41oi_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.980000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.785000 1.075000 2.455000 1.295000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.665000 1.075000 3.365000 1.285000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.545000 1.075000 4.575000 1.295000 ;
-    END
-  END A3
-  PIN A4
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.755000 1.075000 5.895000 1.295000 ;
-    END
-  END A4
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.545000 1.075000 1.555000 1.280000 ;
-    END
-  END B1
-  PIN Y
-    ANTENNADIFFAREA  0.621000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.145000 0.645000 2.295000 0.815000 ;
-        RECT 0.145000 0.815000 0.315000 1.455000 ;
-        RECT 0.145000 1.455000 1.455000 1.625000 ;
-        RECT 0.685000 0.255000 0.855000 0.645000 ;
-        RECT 1.125000 1.625000 1.455000 2.125000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.980000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.170000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.980000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.980000 0.085000 ;
-      RECT 0.000000  2.635000 5.980000 2.805000 ;
-      RECT 0.185000  0.085000 0.515000 0.465000 ;
-      RECT 0.785000  1.795000 0.955000 2.295000 ;
-      RECT 0.785000  2.295000 1.795000 2.465000 ;
-      RECT 1.025000  0.085000 1.375000 0.465000 ;
-      RECT 1.545000  0.295000 2.635000 0.465000 ;
-      RECT 1.625000  1.535000 5.760000 1.705000 ;
-      RECT 1.625000  1.705000 1.795000 2.295000 ;
-      RECT 1.965000  1.915000 2.295000 2.635000 ;
-      RECT 2.465000  0.465000 2.635000 0.645000 ;
-      RECT 2.465000  0.645000 3.555000 0.815000 ;
-      RECT 2.465000  1.705000 2.635000 2.465000 ;
-      RECT 2.805000  0.295000 4.495000 0.465000 ;
-      RECT 2.805000  1.915000 3.135000 2.635000 ;
-      RECT 3.325000  1.705000 3.495000 2.465000 ;
-      RECT 3.745000  0.645000 5.675000 0.815000 ;
-      RECT 3.755000  1.915000 4.425000 2.635000 ;
-      RECT 4.665000  1.705000 4.835000 2.465000 ;
-      RECT 5.005000  0.085000 5.335000 0.465000 ;
-      RECT 5.005000  1.915000 5.335000 2.635000 ;
-      RECT 5.505000  0.255000 5.675000 0.645000 ;
-      RECT 5.505000  1.705000 5.675000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a41oi_2
-MACRO sky130_fd_sc_hd__a41oi_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a41oi_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.780000 0.995000 3.085000 1.615000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.890000 0.755000 2.210000 1.665000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.470000 0.755000 1.710000 1.665000 ;
-    END
-  END A3
-  PIN A4
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.960000 0.965000 1.250000 1.665000 ;
-    END
-  END A4
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.540000 0.965000 0.780000 1.665000 ;
-    END
-  END B1
-  PIN Y
-    ANTENNADIFFAREA  0.669500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.285000 0.345000 0.615000 ;
-        RECT 0.090000 0.615000 1.290000 0.785000 ;
-        RECT 0.090000 0.785000 0.360000 1.845000 ;
-        RECT 0.090000 1.845000 0.425000 2.425000 ;
-        RECT 1.120000 0.295000 3.015000 0.465000 ;
-        RECT 1.120000 0.465000 1.290000 0.615000 ;
-        RECT 2.685000 0.465000 3.015000 0.805000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.595000  1.845000 3.015000 2.015000 ;
-      RECT 0.595000  2.015000 0.845000 2.465000 ;
-      RECT 0.620000  0.085000 0.950000 0.445000 ;
-      RECT 1.120000  2.195000 1.450000 2.635000 ;
-      RECT 1.760000  2.015000 1.930000 2.465000 ;
-      RECT 2.215000  2.195000 2.545000 2.635000 ;
-      RECT 2.765000  2.015000 3.015000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a41oi_1
-MACRO sky130_fd_sc_hd__nor4_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nor4_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.300000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.955000 0.655000 2.215000 1.665000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.245000 1.075000 1.695000 1.245000 ;
-        RECT 1.455000 1.245000 1.695000 2.450000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.845000 0.995000 1.075000 1.415000 ;
-        RECT 0.845000 1.415000 1.285000 1.615000 ;
-        RECT 1.030000 1.615000 1.285000 2.450000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.745000 0.335000 1.325000 ;
-    END
-  END D
-  PIN Y
-    ANTENNADIFFAREA  0.672750 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 1.495000 0.675000 1.665000 ;
-        RECT 0.090000 1.665000 0.425000 2.450000 ;
-        RECT 0.505000 0.645000 0.860000 0.655000 ;
-        RECT 0.505000 0.655000 1.705000 0.825000 ;
-        RECT 0.505000 0.825000 0.675000 1.495000 ;
-        RECT 0.595000 0.385000 0.860000 0.645000 ;
-        RECT 1.535000 0.385000 1.705000 0.655000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.300000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.490000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.300000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.300000 0.085000 ;
-      RECT 0.000000  2.635000 2.300000 2.805000 ;
-      RECT 0.085000  0.085000 0.345000 0.575000 ;
-      RECT 1.035000  0.085000 1.365000 0.485000 ;
-      RECT 1.875000  0.085000 2.205000 0.485000 ;
-      RECT 1.955000  1.835000 2.215000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nor4_1
-MACRO sky130_fd_sc_hd__nor4_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nor4_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.600000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.200000 1.075000 0.965000 1.285000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.135000 1.075000 1.940000 1.285000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.210000 1.075000 3.105000 1.285000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.340000 1.075000 3.925000 1.285000 ;
-    END
-  END D
-  PIN Y
-    ANTENNADIFFAREA  0.972000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.535000 0.255000 0.865000 0.725000 ;
-        RECT 0.535000 0.725000 4.515000 0.905000 ;
-        RECT 1.375000 0.255000 1.705000 0.725000 ;
-        RECT 2.775000 0.255000 3.105000 0.725000 ;
-        RECT 3.615000 0.255000 3.945000 0.725000 ;
-        RECT 3.655000 1.455000 4.515000 1.625000 ;
-        RECT 3.655000 1.625000 3.905000 2.125000 ;
-        RECT 4.180000 0.905000 4.515000 1.455000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.600000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.790000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.600000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.600000 0.085000 ;
-      RECT 0.000000  2.635000 4.600000 2.805000 ;
-      RECT 0.090000  0.085000 0.365000 0.905000 ;
-      RECT 0.150000  1.455000 2.085000 1.625000 ;
-      RECT 0.150000  1.625000 0.405000 2.465000 ;
-      RECT 0.575000  1.795000 0.825000 2.635000 ;
-      RECT 0.995000  1.625000 1.245000 2.465000 ;
-      RECT 1.035000  0.085000 1.205000 0.555000 ;
-      RECT 1.415000  1.795000 1.665000 2.295000 ;
-      RECT 1.415000  2.295000 3.065000 2.465000 ;
-      RECT 1.835000  1.625000 2.085000 2.125000 ;
-      RECT 1.875000  0.085000 2.605000 0.555000 ;
-      RECT 2.395000  1.455000 3.485000 1.625000 ;
-      RECT 2.395000  1.625000 2.645000 2.125000 ;
-      RECT 2.815000  1.795000 3.065000 2.295000 ;
-      RECT 3.235000  1.625000 3.485000 2.295000 ;
-      RECT 3.235000  2.295000 4.325000 2.465000 ;
-      RECT 3.275000  0.085000 3.445000 0.555000 ;
-      RECT 4.075000  1.795000 4.325000 2.295000 ;
-      RECT 4.115000  0.085000 4.405000 0.555000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nor4_2
-MACRO sky130_fd_sc_hd__nor4_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nor4_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.820000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.180000 1.075000 1.825000 1.285000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.095000 1.075000 4.070000 1.285000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.295000 1.075000 5.705000 1.285000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.875000 1.075000 7.295000 1.285000 ;
-    END
-  END D
-  PIN Y
-    ANTENNADIFFAREA  1.944000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.535000 0.255000 0.865000 0.725000 ;
-        RECT 0.535000 0.725000 7.735000 0.905000 ;
-        RECT 1.375000 0.255000 1.705000 0.725000 ;
-        RECT 2.215000 0.255000 2.545000 0.725000 ;
-        RECT 3.055000 0.255000 3.385000 0.725000 ;
-        RECT 4.415000 0.255000 4.745000 0.725000 ;
-        RECT 5.255000 0.255000 5.585000 0.725000 ;
-        RECT 6.095000 0.255000 6.425000 0.725000 ;
-        RECT 6.135000 1.455000 7.735000 1.625000 ;
-        RECT 6.135000 1.625000 6.385000 2.125000 ;
-        RECT 6.935000 0.255000 7.265000 0.725000 ;
-        RECT 6.975000 1.625000 7.225000 2.125000 ;
-        RECT 7.465000 0.905000 7.735000 1.455000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.820000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.010000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.820000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.820000 0.085000 ;
-      RECT 0.000000  2.635000 7.820000 2.805000 ;
-      RECT 0.090000  0.085000 0.365000 0.905000 ;
-      RECT 0.090000  1.455000 2.085000 1.625000 ;
-      RECT 0.090000  1.625000 0.405000 2.465000 ;
-      RECT 0.575000  1.795000 0.825000 2.635000 ;
-      RECT 0.995000  1.625000 1.245000 2.465000 ;
-      RECT 1.035000  0.085000 1.205000 0.555000 ;
-      RECT 1.415000  1.795000 1.665000 2.635000 ;
-      RECT 1.835000  1.625000 2.085000 2.295000 ;
-      RECT 1.835000  2.295000 3.820000 2.465000 ;
-      RECT 1.875000  0.085000 2.045000 0.555000 ;
-      RECT 2.255000  1.455000 5.545000 1.625000 ;
-      RECT 2.255000  1.625000 2.505000 2.125000 ;
-      RECT 2.675000  1.795000 2.925000 2.295000 ;
-      RECT 2.715000  0.085000 2.885000 0.555000 ;
-      RECT 3.095000  1.625000 3.345000 2.125000 ;
-      RECT 3.515000  1.795000 3.820000 2.295000 ;
-      RECT 3.555000  0.085000 4.245000 0.555000 ;
-      RECT 4.005000  1.795000 4.285000 2.295000 ;
-      RECT 4.005000  2.295000 7.645000 2.465000 ;
-      RECT 4.455000  1.625000 4.705000 2.125000 ;
-      RECT 4.875000  1.795000 5.125000 2.295000 ;
-      RECT 4.915000  0.085000 5.085000 0.555000 ;
-      RECT 5.295000  1.625000 5.545000 2.125000 ;
-      RECT 5.715000  1.795000 5.965000 2.295000 ;
-      RECT 5.755000  0.085000 5.925000 0.555000 ;
-      RECT 6.555000  1.795000 6.805000 2.295000 ;
-      RECT 6.595000  0.085000 6.765000 0.555000 ;
-      RECT 7.395000  1.795000 7.645000 2.295000 ;
-      RECT 7.435000  0.085000 7.605000 0.555000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nor4_4
-MACRO sky130_fd_sc_hd__tapvgnd2_1
-  CLASS CORE WELLTAP ;
-  FOREIGN sky130_fd_sc_hd__tapvgnd2_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  0.460000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 0.460000 0.240000 ;
-      LAYER pwell ;
-        RECT 0.145000 0.320000 0.315000 0.845000 ;
-    END
-  END VGND
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.085000 1.755000 0.375000 1.985000 ;
-      LAYER nwell ;
-        RECT -0.190000 1.305000 0.650000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 0.460000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 0.460000 0.085000 ;
-      RECT 0.000000  2.635000 0.460000 2.805000 ;
-      RECT 0.085000  0.085000 0.375000 0.810000 ;
-      RECT 0.085000  1.470000 0.375000 2.455000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  1.785000 0.315000 1.955000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-  END
-END sky130_fd_sc_hd__tapvgnd2_1
-MACRO sky130_fd_sc_hd__and3b_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__and3b_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.600000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.715000 0.615000 3.995000 1.705000 ;
-    END
-  END A_N
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.020000 0.725000 1.235000 1.340000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.525000 0.995000 1.715000 1.340000 ;
-    END
-  END C
-  PIN X
-    ANTENNADIFFAREA  0.934000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.225000 1.535000 3.535000 1.705000 ;
-        RECT 2.285000 0.515000 2.475000 0.615000 ;
-        RECT 2.285000 0.615000 3.535000 0.845000 ;
-        RECT 3.145000 0.255000 3.335000 0.615000 ;
-        RECT 3.270000 0.845000 3.535000 1.535000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.600000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.790000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.600000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.600000 0.085000 ;
-      RECT 0.000000  2.635000 4.600000 2.805000 ;
-      RECT 0.150000  0.255000 0.635000 0.355000 ;
-      RECT 0.150000  0.355000 1.600000 0.545000 ;
-      RECT 0.150000  0.545000 0.635000 0.805000 ;
-      RECT 0.150000  0.805000 0.370000 1.495000 ;
-      RECT 0.150000  1.495000 0.510000 2.165000 ;
-      RECT 0.540000  0.995000 0.850000 1.325000 ;
-      RECT 0.680000  1.325000 0.850000 1.875000 ;
-      RECT 0.680000  1.875000 4.445000 2.105000 ;
-      RECT 0.730000  2.275000 1.180000 2.635000 ;
-      RECT 1.280000  1.525000 2.055000 1.695000 ;
-      RECT 1.420000  0.545000 1.600000 0.615000 ;
-      RECT 1.420000  0.615000 2.115000 0.805000 ;
-      RECT 1.745000  2.275000 2.075000 2.635000 ;
-      RECT 1.780000  0.085000 2.110000 0.445000 ;
-      RECT 1.885000  0.805000 2.115000 1.020000 ;
-      RECT 1.885000  1.020000 3.100000 1.355000 ;
-      RECT 1.885000  1.355000 2.055000 1.525000 ;
-      RECT 2.645000  0.085000 2.975000 0.445000 ;
-      RECT 2.645000  2.275000 2.980000 2.635000 ;
-      RECT 3.505000  0.085000 3.835000 0.445000 ;
-      RECT 3.505000  2.275000 3.835000 2.635000 ;
-      RECT 4.165000  0.425000 4.445000 1.875000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-  END
-END sky130_fd_sc_hd__and3b_4
-MACRO sky130_fd_sc_hd__and3b_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__and3b_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.425000 1.955000 ;
-    END
-  END A_N
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.790000 2.125000 2.265000 2.465000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.985000 0.305000 2.185000 0.725000 ;
-        RECT 1.985000 0.725000 2.395000 1.245000 ;
-    END
-  END C
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.860000 1.765000 3.135000 2.465000 ;
-        RECT 2.875000 0.255000 3.135000 0.735000 ;
-        RECT 2.965000 0.735000 3.135000 1.765000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.085000  0.085000 0.345000 0.905000 ;
-      RECT 0.085000  2.125000 0.345000 2.635000 ;
-      RECT 0.515000  0.485000 0.845000 0.905000 ;
-      RECT 0.595000  0.905000 0.845000 0.995000 ;
-      RECT 0.595000  0.995000 1.390000 1.245000 ;
-      RECT 0.595000  1.245000 0.765000 2.465000 ;
-      RECT 1.005000  1.425000 2.795000 1.595000 ;
-      RECT 1.005000  1.595000 1.255000 1.960000 ;
-      RECT 1.005000  2.130000 1.620000 2.635000 ;
-      RECT 1.025000  0.305000 1.815000 0.570000 ;
-      RECT 1.425000  1.765000 1.755000 1.955000 ;
-      RECT 1.425000  1.955000 1.620000 2.130000 ;
-      RECT 1.560000  0.570000 1.815000 1.425000 ;
-      RECT 1.975000  1.595000 2.690000 1.890000 ;
-      RECT 2.375000  0.085000 2.705000 0.545000 ;
-      RECT 2.435000  2.090000 2.650000 2.635000 ;
-      RECT 2.565000  0.995000 2.795000 1.425000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__and3b_1
-MACRO sky130_fd_sc_hd__and3b_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__and3b_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.145000 0.745000 0.410000 1.325000 ;
-    END
-  END A_N
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.815000 2.125000 2.290000 2.465000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.010000 0.305000 2.220000 0.765000 ;
-        RECT 2.010000 0.765000 2.420000 1.245000 ;
-    END
-  END C
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.875000 1.795000 3.160000 2.465000 ;
-        RECT 2.915000 0.255000 3.160000 0.715000 ;
-        RECT 2.990000 0.715000 3.160000 0.925000 ;
-        RECT 2.990000 0.925000 3.595000 1.445000 ;
-        RECT 2.990000 1.445000 3.160000 1.795000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.085000  0.085000 0.355000 0.575000 ;
-      RECT 0.085000  1.575000 0.400000 2.635000 ;
-      RECT 0.580000  0.305000 0.855000 1.015000 ;
-      RECT 0.580000  1.015000 1.415000 1.245000 ;
-      RECT 0.580000  1.245000 0.855000 1.905000 ;
-      RECT 1.030000  2.130000 1.645000 2.635000 ;
-      RECT 1.050000  1.425000 2.820000 1.595000 ;
-      RECT 1.050000  1.595000 1.285000 1.960000 ;
-      RECT 1.055000  0.305000 1.840000 0.570000 ;
-      RECT 1.455000  1.765000 1.785000 1.955000 ;
-      RECT 1.455000  1.955000 1.645000 2.130000 ;
-      RECT 1.585000  0.570000 1.840000 1.425000 ;
-      RECT 2.010000  1.595000 2.200000 1.890000 ;
-      RECT 2.410000  0.085000 2.740000 0.580000 ;
-      RECT 2.460000  1.790000 2.675000 2.635000 ;
-      RECT 2.590000  0.995000 2.820000 1.425000 ;
-      RECT 3.330000  0.085000 3.595000 0.745000 ;
-      RECT 3.330000  1.625000 3.595000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__and3b_2
-MACRO sky130_fd_sc_hd__nor2_8
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nor2_8 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.360000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  1.980000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.360000 1.075000 3.530000 1.275000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  1.980000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.800000 1.075000 6.540000 1.275000 ;
-    END
-  END B
-  PIN Y
-    ANTENNADIFFAREA  2.484000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.535000 0.255000 0.865000 0.725000 ;
-        RECT 0.535000 0.725000 7.275000 0.905000 ;
-        RECT 1.375000 0.255000 1.705000 0.725000 ;
-        RECT 2.215000 0.255000 2.545000 0.725000 ;
-        RECT 3.055000 0.255000 3.385000 0.725000 ;
-        RECT 3.895000 0.255000 4.225000 0.725000 ;
-        RECT 3.935000 1.445000 7.275000 1.615000 ;
-        RECT 3.935000 1.615000 4.185000 2.125000 ;
-        RECT 4.735000 0.255000 5.065000 0.725000 ;
-        RECT 4.775000 1.615000 5.025000 2.125000 ;
-        RECT 5.575000 0.255000 5.905000 0.725000 ;
-        RECT 5.615000 1.615000 5.865000 2.125000 ;
-        RECT 6.415000 0.255000 6.745000 0.725000 ;
-        RECT 6.455000 1.615000 6.705000 2.125000 ;
-        RECT 6.710000 0.905000 7.275000 1.445000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.360000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.550000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.360000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.360000 0.085000 ;
-      RECT 0.000000  2.635000 7.360000 2.805000 ;
-      RECT 0.090000  0.085000 0.365000 0.905000 ;
-      RECT 0.090000  1.455000 3.765000 1.665000 ;
-      RECT 0.090000  1.665000 0.405000 2.465000 ;
-      RECT 0.575000  1.835000 0.825000 2.635000 ;
-      RECT 0.995000  1.665000 1.245000 2.465000 ;
-      RECT 1.035000  0.085000 1.205000 0.555000 ;
-      RECT 1.415000  1.835000 1.665000 2.635000 ;
-      RECT 1.835000  1.665000 2.085000 2.465000 ;
-      RECT 1.875000  0.085000 2.045000 0.555000 ;
-      RECT 2.255000  1.835000 2.505000 2.635000 ;
-      RECT 2.675000  1.665000 2.925000 2.465000 ;
-      RECT 2.715000  0.085000 2.885000 0.555000 ;
-      RECT 3.095000  1.835000 3.345000 2.635000 ;
-      RECT 3.515000  1.665000 3.765000 2.295000 ;
-      RECT 3.515000  2.295000 7.125000 2.465000 ;
-      RECT 3.555000  0.085000 3.725000 0.555000 ;
-      RECT 4.355000  1.785000 4.605000 2.295000 ;
-      RECT 4.395000  0.085000 4.565000 0.555000 ;
-      RECT 5.195000  1.785000 5.445000 2.295000 ;
-      RECT 5.235000  0.085000 5.405000 0.555000 ;
-      RECT 6.035000  1.785000 6.285000 2.295000 ;
-      RECT 6.075000  0.085000 6.245000 0.555000 ;
-      RECT 6.875000  1.785000 7.125000 2.295000 ;
-      RECT 6.915000  0.085000 7.205000 0.555000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nor2_8
-MACRO sky130_fd_sc_hd__nor2_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nor2_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.140000 1.075000 1.800000 1.275000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.120000 1.075000 3.485000 1.275000 ;
-    END
-  END B
-  PIN Y
-    ANTENNADIFFAREA  1.242000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.535000 0.255000 0.865000 0.725000 ;
-        RECT 0.535000 0.725000 4.055000 0.905000 ;
-        RECT 1.375000 0.255000 1.705000 0.725000 ;
-        RECT 2.215000 0.255000 2.545000 0.725000 ;
-        RECT 2.295000 1.445000 4.055000 1.745000 ;
-        RECT 2.295000 1.745000 2.465000 2.125000 ;
-        RECT 3.055000 0.255000 3.385000 0.725000 ;
-        RECT 3.135000 1.745000 3.305000 2.125000 ;
-        RECT 3.655000 0.905000 4.055000 1.445000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.090000  0.085000 0.365000 0.905000 ;
-      RECT 0.090000  1.455000 2.125000 1.665000 ;
-      RECT 0.090000  1.665000 0.365000 2.465000 ;
-      RECT 0.535000  1.835000 0.865000 2.635000 ;
-      RECT 1.035000  0.085000 1.205000 0.555000 ;
-      RECT 1.035000  1.665000 1.205000 2.465000 ;
-      RECT 1.375000  1.835000 1.625000 2.635000 ;
-      RECT 1.795000  1.665000 2.125000 2.295000 ;
-      RECT 1.795000  2.295000 3.890000 2.465000 ;
-      RECT 1.875000  0.085000 2.045000 0.555000 ;
-      RECT 2.635000  1.935000 2.965000 2.295000 ;
-      RECT 2.715000  0.085000 2.885000 0.555000 ;
-      RECT 3.475000  1.915000 3.890000 2.295000 ;
-      RECT 3.555000  0.085000 3.840000 0.555000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nor2_4
-MACRO sky130_fd_sc_hd__nor2_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nor2_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.300000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 1.075000 0.810000 1.275000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.980000 1.075000 1.750000 1.275000 ;
-    END
-  END B
-  PIN Y
-    ANTENNADIFFAREA  0.621000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.535000 0.255000 0.865000 0.725000 ;
-        RECT 0.535000 0.725000 1.705000 0.735000 ;
-        RECT 0.535000 0.735000 2.135000 0.905000 ;
-        RECT 1.375000 0.255000 1.705000 0.725000 ;
-        RECT 1.375000 1.445000 2.135000 1.665000 ;
-        RECT 1.375000 1.665000 1.705000 2.125000 ;
-        RECT 1.920000 0.905000 2.135000 1.445000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.300000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.490000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.300000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.300000 0.085000 ;
-      RECT 0.000000  2.635000 2.300000 2.805000 ;
-      RECT 0.090000  0.085000 0.365000 0.905000 ;
-      RECT 0.090000  1.455000 1.205000 1.665000 ;
-      RECT 0.090000  1.665000 0.365000 2.465000 ;
-      RECT 0.535000  1.835000 0.865000 2.635000 ;
-      RECT 1.035000  0.085000 1.205000 0.555000 ;
-      RECT 1.035000  1.665000 1.205000 2.295000 ;
-      RECT 1.035000  2.295000 2.175000 2.465000 ;
-      RECT 1.875000  0.085000 2.165000 0.555000 ;
-      RECT 1.875000  1.835000 2.175000 2.295000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nor2_2
-MACRO sky130_fd_sc_hd__nor2_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nor2_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.380000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.945000 1.075000 1.295000 1.325000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.435000 1.325000 ;
-    END
-  END B
-  PIN Y
-    ANTENNADIFFAREA  0.435500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 1.495000 0.775000 1.665000 ;
-        RECT 0.095000 1.665000 0.425000 2.450000 ;
-        RECT 0.515000 0.255000 0.845000 0.895000 ;
-        RECT 0.605000 0.895000 0.775000 1.495000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.380000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 1.570000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.380000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.380000 0.085000 ;
-      RECT 0.000000  2.635000 1.380000 2.805000 ;
-      RECT 0.105000  0.085000 0.345000 0.895000 ;
-      RECT 0.955000  1.495000 1.285000 2.635000 ;
-      RECT 1.015000  0.085000 1.285000 0.895000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nor2_1
-MACRO sky130_fd_sc_hd__macro_sparecell
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__macro_sparecell ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  13.34000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN LO
-    ANTENNAGATEAREA  1.980000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.215000 1.075000 4.965000 1.325000 ;
-      LAYER mcon ;
-        RECT 4.775000 1.105000 4.945000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 5.135000 1.075000 5.895000 1.325000 ;
-      LAYER mcon ;
-        RECT 5.705000 1.105000 5.875000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 6.755000 0.915000 7.275000 2.465000 ;
-      LAYER mcon ;
-        RECT 6.765000 1.105000 6.935000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 7.445000 1.075000 8.205000 1.325000 ;
-      LAYER mcon ;
-        RECT 7.625000 1.105000 7.795000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 8.375000 1.075000 9.125000 1.325000 ;
-      LAYER mcon ;
-        RECT 8.485000 1.105000 8.655000 1.275000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 4.715000 1.075000 5.005000 1.120000 ;
-        RECT 4.715000 1.120000 8.715000 1.260000 ;
-        RECT 4.715000 1.260000 5.005000 1.305000 ;
-        RECT 5.645000 1.075000 5.935000 1.120000 ;
-        RECT 5.645000 1.260000 5.935000 1.305000 ;
-        RECT 6.705000 1.075000 6.995000 1.120000 ;
-        RECT 6.705000 1.260000 6.995000 1.305000 ;
-        RECT 7.565000 1.075000 7.855000 1.120000 ;
-        RECT 7.565000 1.260000 7.855000 1.305000 ;
-        RECT 8.425000 1.075000 8.715000 1.120000 ;
-        RECT 8.425000 1.260000 8.715000 1.305000 ;
-    END
-  END LO
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER li1 ;
-        RECT  0.000000 -0.085000 13.340000 0.085000 ;
-        RECT  0.145000  0.085000  0.355000 0.905000 ;
-        RECT  1.025000  0.085000  1.255000 0.905000 ;
-        RECT  1.515000  0.085000  1.805000 0.555000 ;
-        RECT  2.475000  0.085000  2.645000 0.555000 ;
-        RECT  3.315000  0.085000  3.590000 0.905000 ;
-        RECT  5.215000  0.085000  5.385000 0.545000 ;
-        RECT  6.755000  0.085000  7.095000 0.745000 ;
-        RECT  7.955000  0.085000  8.125000 0.545000 ;
-        RECT  9.750000  0.085000 10.025000 0.905000 ;
-        RECT 10.695000  0.085000 10.865000 0.555000 ;
-        RECT 11.535000  0.085000 11.825000 0.555000 ;
-        RECT 12.085000  0.085000 12.315000 0.905000 ;
-        RECT 12.985000  0.085000 13.195000 0.905000 ;
-      LAYER mcon ;
-        RECT  0.145000 -0.085000  0.315000 0.085000 ;
-        RECT  0.605000 -0.085000  0.775000 0.085000 ;
-        RECT  1.065000 -0.085000  1.235000 0.085000 ;
-        RECT  1.525000 -0.085000  1.695000 0.085000 ;
-        RECT  1.985000 -0.085000  2.155000 0.085000 ;
-        RECT  2.445000 -0.085000  2.615000 0.085000 ;
-        RECT  2.905000 -0.085000  3.075000 0.085000 ;
-        RECT  3.365000 -0.085000  3.535000 0.085000 ;
-        RECT  3.825000 -0.085000  3.995000 0.085000 ;
-        RECT  4.285000 -0.085000  4.455000 0.085000 ;
-        RECT  4.745000 -0.085000  4.915000 0.085000 ;
-        RECT  5.205000 -0.085000  5.375000 0.085000 ;
-        RECT  5.665000 -0.085000  5.835000 0.085000 ;
-        RECT  6.125000 -0.085000  6.295000 0.085000 ;
-        RECT  6.585000 -0.085000  6.755000 0.085000 ;
-        RECT  7.045000 -0.085000  7.215000 0.085000 ;
-        RECT  7.505000 -0.085000  7.675000 0.085000 ;
-        RECT  7.965000 -0.085000  8.135000 0.085000 ;
-        RECT  8.425000 -0.085000  8.595000 0.085000 ;
-        RECT  8.885000 -0.085000  9.055000 0.085000 ;
-        RECT  9.345000 -0.085000  9.515000 0.085000 ;
-        RECT  9.805000 -0.085000  9.975000 0.085000 ;
-        RECT 10.265000 -0.085000 10.435000 0.085000 ;
-        RECT 10.725000 -0.085000 10.895000 0.085000 ;
-        RECT 11.185000 -0.085000 11.355000 0.085000 ;
-        RECT 11.645000 -0.085000 11.815000 0.085000 ;
-        RECT 12.105000 -0.085000 12.275000 0.085000 ;
-        RECT 12.565000 -0.085000 12.735000 0.085000 ;
-        RECT 13.025000 -0.085000 13.195000 0.085000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 13.340000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 13.530000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT  0.000000 2.635000 13.340000 2.805000 ;
-        RECT  0.145000 1.495000  0.355000 2.635000 ;
-        RECT  1.025000 1.495000  1.255000 2.635000 ;
-        RECT  2.815000 1.835000  3.145000 2.635000 ;
-        RECT  3.870000 1.835000  4.125000 2.635000 ;
-        RECT  4.795000 1.835000  4.965000 2.635000 ;
-        RECT  5.635000 1.495000  5.895000 2.635000 ;
-        RECT  6.255000 1.910000  6.585000 2.635000 ;
-        RECT  7.445000 1.495000  7.705000 2.635000 ;
-        RECT  8.375000 1.835000  8.545000 2.635000 ;
-        RECT  9.215000 1.835000  9.470000 2.635000 ;
-        RECT 10.195000 1.835000 10.525000 2.635000 ;
-        RECT 12.085000 1.495000 12.315000 2.635000 ;
-        RECT 12.985000 1.495000 13.195000 2.635000 ;
-      LAYER mcon ;
-        RECT  0.145000 2.635000  0.315000 2.805000 ;
-        RECT  0.605000 2.635000  0.775000 2.805000 ;
-        RECT  1.065000 2.635000  1.235000 2.805000 ;
-        RECT  1.525000 2.635000  1.695000 2.805000 ;
-        RECT  1.985000 2.635000  2.155000 2.805000 ;
-        RECT  2.445000 2.635000  2.615000 2.805000 ;
-        RECT  2.905000 2.635000  3.075000 2.805000 ;
-        RECT  3.365000 2.635000  3.535000 2.805000 ;
-        RECT  3.825000 2.635000  3.995000 2.805000 ;
-        RECT  4.285000 2.635000  4.455000 2.805000 ;
-        RECT  4.745000 2.635000  4.915000 2.805000 ;
-        RECT  5.205000 2.635000  5.375000 2.805000 ;
-        RECT  5.665000 2.635000  5.835000 2.805000 ;
-        RECT  6.125000 2.635000  6.295000 2.805000 ;
-        RECT  6.585000 2.635000  6.755000 2.805000 ;
-        RECT  7.045000 2.635000  7.215000 2.805000 ;
-        RECT  7.505000 2.635000  7.675000 2.805000 ;
-        RECT  7.965000 2.635000  8.135000 2.805000 ;
-        RECT  8.425000 2.635000  8.595000 2.805000 ;
-        RECT  8.885000 2.635000  9.055000 2.805000 ;
-        RECT  9.345000 2.635000  9.515000 2.805000 ;
-        RECT  9.805000 2.635000  9.975000 2.805000 ;
-        RECT 10.265000 2.635000 10.435000 2.805000 ;
-        RECT 10.725000 2.635000 10.895000 2.805000 ;
-        RECT 11.185000 2.635000 11.355000 2.805000 ;
-        RECT 11.645000 2.635000 11.815000 2.805000 ;
-        RECT 12.105000 2.635000 12.275000 2.805000 ;
-        RECT 12.565000 2.635000 12.735000 2.805000 ;
-        RECT 13.025000 2.635000 13.195000 2.805000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 13.340000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.525000 0.255000  0.855000 0.885000 ;
-      RECT  0.525000 0.885000  0.775000 1.485000 ;
-      RECT  0.525000 1.485000  0.855000 2.465000 ;
-      RECT  0.945000 1.075000  1.275000 1.325000 ;
-      RECT  1.505000 1.835000  1.805000 2.295000 ;
-      RECT  1.505000 2.295000  2.645000 2.465000 ;
-      RECT  1.545000 0.735000  3.145000 0.905000 ;
-      RECT  1.545000 0.905000  1.760000 1.445000 ;
-      RECT  1.545000 1.445000  2.305000 1.665000 ;
-      RECT  1.930000 1.075000  2.700000 1.275000 ;
-      RECT  1.975000 0.255000  2.305000 0.725000 ;
-      RECT  1.975000 0.725000  3.145000 0.735000 ;
-      RECT  1.975000 1.665000  2.305000 2.125000 ;
-      RECT  2.475000 1.455000  3.590000 1.665000 ;
-      RECT  2.475000 1.665000  2.645000 2.295000 ;
-      RECT  2.815000 0.255000  3.145000 0.725000 ;
-      RECT  2.870000 1.075000  3.590000 1.275000 ;
-      RECT  3.315000 1.665000  3.590000 2.465000 ;
-      RECT  3.765000 0.655000  4.625000 0.905000 ;
-      RECT  3.765000 0.905000  4.045000 1.495000 ;
-      RECT  3.765000 1.495000  5.465000 1.665000 ;
-      RECT  3.875000 0.255000  5.045000 0.465000 ;
-      RECT  3.875000 0.465000  4.205000 0.485000 ;
-      RECT  4.295000 1.665000  4.625000 2.465000 ;
-      RECT  4.795000 0.465000  5.045000 0.715000 ;
-      RECT  4.795000 0.715000  5.895000 0.885000 ;
-      RECT  5.135000 1.665000  5.465000 2.465000 ;
-      RECT  5.555000 0.255000  5.895000 0.715000 ;
-      RECT  6.065000 0.255000  6.585000 1.740000 ;
-      RECT  7.445000 0.255000  7.785000 0.715000 ;
-      RECT  7.445000 0.715000  8.545000 0.885000 ;
-      RECT  7.875000 1.495000  9.575000 1.665000 ;
-      RECT  7.875000 1.665000  8.205000 2.465000 ;
-      RECT  8.295000 0.255000  9.465000 0.465000 ;
-      RECT  8.295000 0.465000  8.545000 0.715000 ;
-      RECT  8.715000 0.655000  9.575000 0.905000 ;
-      RECT  8.715000 1.665000  9.045000 2.465000 ;
-      RECT  9.135000 0.465000  9.465000 0.485000 ;
-      RECT  9.295000 0.905000  9.575000 1.495000 ;
-      RECT  9.750000 1.075000 10.470000 1.275000 ;
-      RECT  9.750000 1.455000 10.865000 1.665000 ;
-      RECT  9.750000 1.665000 10.025000 2.465000 ;
-      RECT 10.195000 0.255000 10.525000 0.725000 ;
-      RECT 10.195000 0.725000 11.365000 0.735000 ;
-      RECT 10.195000 0.735000 11.795000 0.905000 ;
-      RECT 10.640000 1.075000 11.410000 1.275000 ;
-      RECT 10.695000 1.665000 10.865000 2.295000 ;
-      RECT 10.695000 2.295000 11.835000 2.465000 ;
-      RECT 11.035000 0.255000 11.365000 0.725000 ;
-      RECT 11.035000 1.445000 11.795000 1.665000 ;
-      RECT 11.035000 1.665000 11.365000 2.125000 ;
-      RECT 11.535000 1.835000 11.835000 2.295000 ;
-      RECT 11.580000 0.905000 11.795000 1.445000 ;
-      RECT 12.065000 1.075000 12.395000 1.325000 ;
-      RECT 12.485000 0.255000 12.815000 0.885000 ;
-      RECT 12.485000 1.485000 12.815000 2.465000 ;
-      RECT 12.565000 0.885000 12.815000 1.485000 ;
-    LAYER mcon ;
-      RECT  0.565000 1.105000  0.735000 1.275000 ;
-      RECT  1.085000 1.105000  1.255000 1.275000 ;
-      RECT  1.570000 1.105000  1.740000 1.275000 ;
-      RECT  2.100000 1.105000  2.270000 1.275000 ;
-      RECT  2.960000 1.105000  3.130000 1.275000 ;
-      RECT  3.820000 1.105000  3.990000 1.275000 ;
-      RECT  9.345000 1.105000  9.515000 1.275000 ;
-      RECT 10.205000 1.105000 10.375000 1.275000 ;
-      RECT 11.065000 1.105000 11.235000 1.275000 ;
-      RECT 11.605000 1.105000 11.775000 1.275000 ;
-      RECT 12.090000 1.105000 12.260000 1.275000 ;
-      RECT 12.605000 1.105000 12.775000 1.275000 ;
-    LAYER met1 ;
-      RECT  0.505000 1.075000  0.875000 1.305000 ;
-      RECT  1.025000 1.075000  1.315000 1.120000 ;
-      RECT  1.025000 1.120000  1.800000 1.260000 ;
-      RECT  1.025000 1.260000  1.315000 1.305000 ;
-      RECT  1.510000 1.075000  1.800000 1.120000 ;
-      RECT  1.510000 1.260000  1.800000 1.305000 ;
-      RECT  2.040000 1.075000  2.330000 1.120000 ;
-      RECT  2.040000 1.120000  4.050000 1.260000 ;
-      RECT  2.040000 1.260000  2.330000 1.305000 ;
-      RECT  2.900000 1.075000  3.190000 1.120000 ;
-      RECT  2.900000 1.260000  3.190000 1.305000 ;
-      RECT  3.760000 1.075000  4.050000 1.120000 ;
-      RECT  3.760000 1.260000  4.050000 1.305000 ;
-      RECT  9.285000 1.075000  9.575000 1.120000 ;
-      RECT  9.285000 1.120000 11.295000 1.260000 ;
-      RECT  9.285000 1.260000  9.575000 1.305000 ;
-      RECT 10.145000 1.075000 10.435000 1.120000 ;
-      RECT 10.145000 1.260000 10.435000 1.305000 ;
-      RECT 11.005000 1.075000 11.295000 1.120000 ;
-      RECT 11.005000 1.260000 11.295000 1.305000 ;
-      RECT 11.545000 1.075000 11.835000 1.120000 ;
-      RECT 11.545000 1.120000 12.320000 1.260000 ;
-      RECT 11.545000 1.260000 11.835000 1.305000 ;
-      RECT 12.030000 1.075000 12.320000 1.120000 ;
-      RECT 12.030000 1.260000 12.320000 1.305000 ;
-      RECT 12.470000 1.075000 12.835000 1.305000 ;
-    LAYER pwell ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  3.360000 -0.085000  3.530000 0.085000 ;
-      RECT  5.660000 -0.085000  5.830000 0.085000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  7.510000 -0.085000  7.680000 0.085000 ;
-      RECT  9.810000 -0.085000  9.980000 0.085000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-  END
-END sky130_fd_sc_hd__macro_sparecell
-MACRO sky130_fd_sc_hd__conb_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__conb_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.380000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN HI
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.255000 0.605000 1.740000 ;
-    END
-  END HI
-  PIN LO
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.775000 0.915000 1.295000 2.465000 ;
-    END
-  END LO
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.380000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 1.570000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.380000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.380000 0.085000 ;
-      RECT 0.000000  2.635000 1.380000 2.805000 ;
-      RECT 0.275000  1.910000 0.605000 2.635000 ;
-      RECT 0.775000  0.085000 1.115000 0.745000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-  END
-END sky130_fd_sc_hd__conb_1
-MACRO sky130_fd_sc_hd__tapvgnd_1
-  CLASS CORE WELLTAP ;
-  FOREIGN sky130_fd_sc_hd__tapvgnd_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  0.460000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 0.460000 0.240000 ;
-      LAYER pwell ;
-        RECT 0.145000 0.320000 0.315000 0.845000 ;
-    END
-  END VGND
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.085000 2.095000 0.375000 2.325000 ;
-      LAYER nwell ;
-        RECT -0.190000 1.305000 0.650000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 0.460000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 0.460000 0.085000 ;
-      RECT 0.000000  2.635000 0.460000 2.805000 ;
-      RECT 0.085000  0.085000 0.375000 0.810000 ;
-      RECT 0.085000  1.470000 0.375000 2.455000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.125000 0.315000 2.295000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-  END
-END sky130_fd_sc_hd__tapvgnd_1
-MACRO sky130_fd_sc_hd__dlymetal6s6s_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlymetal6s6s_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.600000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.575000 1.700000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.080000 0.255000 4.515000 0.825000 ;
-        RECT 4.080000 1.495000 4.515000 2.465000 ;
-        RECT 4.155000 0.825000 4.515000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.600000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.125000 -0.085000 0.295000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.790000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.600000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.600000 0.085000 ;
-      RECT 0.000000  2.635000 4.600000 2.805000 ;
-      RECT 0.085000  0.255000 0.525000 0.655000 ;
-      RECT 0.085000  0.655000 1.080000 0.825000 ;
-      RECT 0.085000  1.870000 1.080000 2.040000 ;
-      RECT 0.085000  2.040000 0.525000 2.465000 ;
-      RECT 0.695000  0.085000 1.080000 0.485000 ;
-      RECT 0.695000  2.210000 1.080000 2.635000 ;
-      RECT 0.745000  0.825000 1.080000 0.995000 ;
-      RECT 0.745000  0.995000 1.155000 1.325000 ;
-      RECT 0.745000  1.325000 1.080000 1.870000 ;
-      RECT 1.250000  0.255000 1.520000 0.825000 ;
-      RECT 1.250000  1.495000 1.975000 1.675000 ;
-      RECT 1.250000  1.675000 1.520000 2.465000 ;
-      RECT 1.325000  0.825000 1.520000 0.995000 ;
-      RECT 1.325000  0.995000 1.975000 1.495000 ;
-      RECT 1.690000  0.255000 1.940000 0.655000 ;
-      RECT 1.690000  0.655000 2.495000 0.825000 ;
-      RECT 1.690000  1.845000 2.495000 2.040000 ;
-      RECT 1.690000  2.040000 1.940000 2.465000 ;
-      RECT 2.110000  0.085000 2.495000 0.485000 ;
-      RECT 2.110000  2.210000 2.495000 2.635000 ;
-      RECT 2.145000  0.825000 2.495000 0.995000 ;
-      RECT 2.145000  0.995000 2.570000 1.325000 ;
-      RECT 2.145000  1.325000 2.495000 1.845000 ;
-      RECT 2.665000  0.255000 2.915000 0.825000 ;
-      RECT 2.665000  1.495000 3.390000 1.675000 ;
-      RECT 2.665000  1.675000 2.915000 2.465000 ;
-      RECT 2.740000  0.825000 2.915000 0.995000 ;
-      RECT 2.740000  0.995000 3.390000 1.495000 ;
-      RECT 3.085000  0.255000 3.355000 0.655000 ;
-      RECT 3.085000  0.655000 3.910000 0.825000 ;
-      RECT 3.085000  1.845000 3.910000 2.040000 ;
-      RECT 3.085000  2.040000 3.355000 2.465000 ;
-      RECT 3.525000  0.085000 3.910000 0.485000 ;
-      RECT 3.525000  2.210000 3.910000 2.635000 ;
-      RECT 3.560000  0.825000 3.910000 0.995000 ;
-      RECT 3.560000  0.995000 3.985000 1.325000 ;
-      RECT 3.560000  1.325000 3.910000 1.845000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-  END
-END sky130_fd_sc_hd__dlymetal6s6s_1
-MACRO sky130_fd_sc_hd__dfxtp_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dfxtp_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.820000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.370000 0.715000 1.650000 1.665000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.885000 1.495000 7.275000 1.575000 ;
-        RECT 6.885000 1.575000 7.215000 2.420000 ;
-        RECT 6.895000 0.305000 7.225000 0.740000 ;
-        RECT 6.895000 0.740000 7.275000 0.825000 ;
-        RECT 7.050000 0.825000 7.275000 0.865000 ;
-        RECT 7.060000 1.445000 7.275000 1.495000 ;
-        RECT 7.105000 0.865000 7.275000 1.445000 ;
-    END
-  END Q
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.975000 0.440000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.820000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.010000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.820000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.820000 0.085000 ;
-      RECT 0.000000  2.635000 7.820000 2.805000 ;
-      RECT 0.175000  0.345000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 0.840000 0.805000 ;
-      RECT 0.175000  1.795000 0.840000 1.965000 ;
-      RECT 0.175000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.840000 1.795000 ;
-      RECT 1.015000  0.345000 1.200000 2.465000 ;
-      RECT 1.440000  2.175000 1.705000 2.635000 ;
-      RECT 1.455000  0.085000 1.705000 0.545000 ;
-      RECT 1.820000  0.675000 2.045000 0.805000 ;
-      RECT 1.820000  0.805000 1.990000 1.910000 ;
-      RECT 1.820000  1.910000 2.125000 2.040000 ;
-      RECT 1.875000  0.365000 2.210000 0.535000 ;
-      RECT 1.875000  0.535000 2.045000 0.675000 ;
-      RECT 1.875000  2.040000 2.125000 2.465000 ;
-      RECT 2.160000  1.125000 2.400000 1.720000 ;
-      RECT 2.215000  0.735000 2.740000 0.955000 ;
-      RECT 2.335000  2.190000 3.440000 2.360000 ;
-      RECT 2.405000  0.365000 3.080000 0.535000 ;
-      RECT 2.570000  0.955000 2.740000 1.655000 ;
-      RECT 2.570000  1.655000 3.100000 2.020000 ;
-      RECT 2.910000  0.535000 3.080000 1.315000 ;
-      RECT 2.910000  1.315000 3.780000 1.485000 ;
-      RECT 3.270000  1.485000 3.780000 1.575000 ;
-      RECT 3.270000  1.575000 3.440000 2.190000 ;
-      RECT 3.290000  0.765000 4.120000 1.065000 ;
-      RECT 3.290000  1.065000 3.490000 1.095000 ;
-      RECT 3.400000  0.085000 3.770000 0.585000 ;
-      RECT 3.610000  1.245000 3.780000 1.315000 ;
-      RECT 3.610000  1.835000 3.780000 2.635000 ;
-      RECT 3.950000  0.365000 4.355000 0.535000 ;
-      RECT 3.950000  0.535000 4.120000 0.765000 ;
-      RECT 3.950000  1.065000 4.120000 2.135000 ;
-      RECT 3.950000  2.135000 4.200000 2.465000 ;
-      RECT 4.290000  1.245000 4.480000 1.965000 ;
-      RECT 4.425000  2.165000 5.310000 2.335000 ;
-      RECT 4.505000  0.705000 4.970000 1.035000 ;
-      RECT 4.525000  0.365000 5.310000 0.535000 ;
-      RECT 4.650000  1.035000 4.970000 1.995000 ;
-      RECT 5.140000  0.535000 5.310000 0.995000 ;
-      RECT 5.140000  0.995000 6.015000 1.325000 ;
-      RECT 5.140000  1.325000 5.310000 2.165000 ;
-      RECT 5.480000  1.530000 6.375000 1.905000 ;
-      RECT 5.490000  2.135000 5.805000 2.635000 ;
-      RECT 5.585000  0.085000 5.795000 0.615000 ;
-      RECT 6.035000  1.905000 6.375000 2.465000 ;
-      RECT 6.055000  0.300000 6.385000 0.825000 ;
-      RECT 6.185000  0.825000 6.385000 0.995000 ;
-      RECT 6.185000  0.995000 6.935000 1.325000 ;
-      RECT 6.185000  1.325000 6.375000 1.530000 ;
-      RECT 6.545000  1.625000 6.715000 2.635000 ;
-      RECT 6.555000  0.085000 6.725000 0.695000 ;
-      RECT 7.385000  1.720000 7.555000 2.635000 ;
-      RECT 7.395000  0.085000 7.565000 0.600000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.630000  1.785000 0.800000 1.955000 ;
-      RECT 1.025000  1.445000 1.195000 1.615000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.215000  1.445000 2.385000 1.615000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.730000  1.785000 2.900000 1.955000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.300000  1.785000 4.470000 1.955000 ;
-      RECT 4.735000  1.445000 4.905000 1.615000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.570000 1.755000 0.860000 1.800000 ;
-      RECT 0.570000 1.800000 4.530000 1.940000 ;
-      RECT 0.570000 1.940000 0.860000 1.985000 ;
-      RECT 0.965000 1.415000 1.255000 1.460000 ;
-      RECT 0.965000 1.460000 4.965000 1.600000 ;
-      RECT 0.965000 1.600000 1.255000 1.645000 ;
-      RECT 2.155000 1.415000 2.445000 1.460000 ;
-      RECT 2.155000 1.600000 2.445000 1.645000 ;
-      RECT 2.670000 1.755000 2.960000 1.800000 ;
-      RECT 2.670000 1.940000 2.960000 1.985000 ;
-      RECT 4.240000 1.755000 4.530000 1.800000 ;
-      RECT 4.240000 1.940000 4.530000 1.985000 ;
-      RECT 4.675000 1.415000 4.965000 1.460000 ;
-      RECT 4.675000 1.600000 4.965000 1.645000 ;
-  END
-END sky130_fd_sc_hd__dfxtp_2
-MACRO sky130_fd_sc_hd__dfxtp_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dfxtp_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  8.740000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.440000 1.065000 1.720000 1.665000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.985000 0.305000 7.320000 0.730000 ;
-        RECT 6.985000 0.730000 8.655000 0.900000 ;
-        RECT 6.985000 1.465000 8.655000 1.635000 ;
-        RECT 6.985000 1.635000 7.320000 2.395000 ;
-        RECT 7.840000 0.305000 8.175000 0.730000 ;
-        RECT 7.840000 1.635000 8.170000 2.395000 ;
-        RECT 8.410000 0.900000 8.655000 1.465000 ;
-    END
-  END Q
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.975000 0.440000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 8.740000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.930000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 8.740000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 8.740000 0.085000 ;
-      RECT 0.000000  2.635000 8.740000 2.805000 ;
-      RECT 0.175000  0.345000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 0.840000 0.805000 ;
-      RECT 0.175000  1.795000 0.840000 1.965000 ;
-      RECT 0.175000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.840000 1.795000 ;
-      RECT 1.015000  0.345000 1.240000 2.465000 ;
-      RECT 1.440000  2.175000 1.705000 2.635000 ;
-      RECT 1.455000  0.085000 1.705000 0.545000 ;
-      RECT 1.890000  0.365000 2.220000 0.535000 ;
-      RECT 1.890000  0.535000 2.060000 2.065000 ;
-      RECT 1.890000  2.065000 2.125000 2.440000 ;
-      RECT 2.230000  0.705000 2.810000 1.035000 ;
-      RECT 2.230000  1.035000 2.470000 1.905000 ;
-      RECT 2.370000  2.190000 3.440000 2.360000 ;
-      RECT 2.400000  0.365000 3.150000 0.535000 ;
-      RECT 2.660000  1.655000 3.100000 2.010000 ;
-      RECT 2.980000  0.535000 3.150000 1.315000 ;
-      RECT 2.980000  1.315000 3.780000 1.485000 ;
-      RECT 3.270000  1.485000 3.780000 1.575000 ;
-      RECT 3.270000  1.575000 3.440000 2.190000 ;
-      RECT 3.320000  0.765000 4.120000 1.065000 ;
-      RECT 3.320000  1.065000 3.490000 1.095000 ;
-      RECT 3.400000  0.085000 3.770000 0.585000 ;
-      RECT 3.610000  1.245000 3.780000 1.315000 ;
-      RECT 3.610000  1.835000 3.780000 2.635000 ;
-      RECT 3.950000  0.365000 4.410000 0.535000 ;
-      RECT 3.950000  0.535000 4.120000 0.765000 ;
-      RECT 3.950000  1.065000 4.120000 2.135000 ;
-      RECT 3.950000  2.135000 4.200000 2.465000 ;
-      RECT 4.290000  0.705000 4.840000 1.035000 ;
-      RECT 4.290000  1.245000 4.480000 1.965000 ;
-      RECT 4.425000  2.165000 5.310000 2.335000 ;
-      RECT 4.640000  0.365000 5.310000 0.535000 ;
-      RECT 4.650000  1.035000 4.840000 1.575000 ;
-      RECT 4.650000  1.575000 4.970000 1.905000 ;
-      RECT 5.140000  0.535000 5.310000 1.075000 ;
-      RECT 5.140000  1.075000 6.230000 1.245000 ;
-      RECT 5.140000  1.245000 5.310000 2.165000 ;
-      RECT 5.480000  1.500000 6.590000 1.670000 ;
-      RECT 5.480000  1.670000 6.340000 1.830000 ;
-      RECT 5.490000  2.135000 5.705000 2.635000 ;
-      RECT 5.625000  0.085000 5.795000 0.615000 ;
-      RECT 6.090000  0.295000 6.450000 0.735000 ;
-      RECT 6.090000  0.735000 6.590000 0.905000 ;
-      RECT 6.170000  1.830000 6.340000 2.455000 ;
-      RECT 6.420000  0.905000 6.590000 1.075000 ;
-      RECT 6.420000  1.075000 8.240000 1.245000 ;
-      RECT 6.420000  1.245000 6.590000 1.500000 ;
-      RECT 6.625000  0.085000 6.795000 0.565000 ;
-      RECT 6.625000  1.855000 6.805000 2.635000 ;
-      RECT 7.495000  0.085000 7.665000 0.560000 ;
-      RECT 7.500000  1.805000 7.670000 2.635000 ;
-      RECT 8.340000  1.805000 8.510000 2.635000 ;
-      RECT 8.345000  0.085000 8.515000 0.560000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.610000  1.785000 0.780000 1.955000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.070000  0.765000 1.240000 0.935000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.470000  0.765000 2.640000 0.935000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 2.930000  1.785000 3.100000 1.955000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.310000  0.765000 4.480000 0.935000 ;
-      RECT 4.310000  1.785000 4.480000 1.955000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.755000 0.840000 1.800000 ;
-      RECT 0.550000 1.800000 4.540000 1.940000 ;
-      RECT 0.550000 1.940000 0.840000 1.985000 ;
-      RECT 1.010000 0.735000 1.300000 0.780000 ;
-      RECT 1.010000 0.780000 4.540000 0.920000 ;
-      RECT 1.010000 0.920000 1.300000 0.965000 ;
-      RECT 2.410000 0.735000 2.700000 0.780000 ;
-      RECT 2.410000 0.920000 2.700000 0.965000 ;
-      RECT 2.870000 1.755000 3.160000 1.800000 ;
-      RECT 2.870000 1.940000 3.160000 1.985000 ;
-      RECT 4.250000 0.735000 4.540000 0.780000 ;
-      RECT 4.250000 0.920000 4.540000 0.965000 ;
-      RECT 4.250000 1.755000 4.540000 1.800000 ;
-      RECT 4.250000 1.940000 4.540000 1.985000 ;
-  END
-END sky130_fd_sc_hd__dfxtp_4
-MACRO sky130_fd_sc_hd__dfxtp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dfxtp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.360000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.370000 0.715000 1.650000 1.665000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.885000 1.495000 7.275000 1.575000 ;
-        RECT 6.885000 1.575000 7.215000 2.420000 ;
-        RECT 6.895000 0.305000 7.225000 0.740000 ;
-        RECT 6.895000 0.740000 7.275000 0.825000 ;
-        RECT 7.050000 0.825000 7.275000 0.865000 ;
-        RECT 7.060000 1.445000 7.275000 1.495000 ;
-        RECT 7.105000 0.865000 7.275000 1.445000 ;
-    END
-  END Q
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.975000 0.440000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.360000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.550000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.360000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.360000 0.085000 ;
-      RECT 0.000000  2.635000 7.360000 2.805000 ;
-      RECT 0.175000  0.345000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 0.840000 0.805000 ;
-      RECT 0.175000  1.795000 0.840000 1.965000 ;
-      RECT 0.175000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.840000 1.795000 ;
-      RECT 1.015000  0.345000 1.200000 2.465000 ;
-      RECT 1.440000  2.175000 1.705000 2.635000 ;
-      RECT 1.455000  0.085000 1.705000 0.545000 ;
-      RECT 1.820000  0.675000 2.045000 0.805000 ;
-      RECT 1.820000  0.805000 1.990000 1.910000 ;
-      RECT 1.820000  1.910000 2.125000 2.040000 ;
-      RECT 1.875000  0.365000 2.210000 0.535000 ;
-      RECT 1.875000  0.535000 2.045000 0.675000 ;
-      RECT 1.875000  2.040000 2.125000 2.465000 ;
-      RECT 2.160000  1.125000 2.400000 1.720000 ;
-      RECT 2.215000  0.735000 2.740000 0.955000 ;
-      RECT 2.335000  2.190000 3.440000 2.360000 ;
-      RECT 2.405000  0.365000 3.080000 0.535000 ;
-      RECT 2.570000  0.955000 2.740000 1.655000 ;
-      RECT 2.570000  1.655000 3.100000 2.020000 ;
-      RECT 2.910000  0.535000 3.080000 1.315000 ;
-      RECT 2.910000  1.315000 3.780000 1.485000 ;
-      RECT 3.270000  1.485000 3.780000 1.575000 ;
-      RECT 3.270000  1.575000 3.440000 2.190000 ;
-      RECT 3.290000  0.765000 4.120000 1.065000 ;
-      RECT 3.290000  1.065000 3.490000 1.095000 ;
-      RECT 3.400000  0.085000 3.770000 0.585000 ;
-      RECT 3.610000  1.245000 3.780000 1.315000 ;
-      RECT 3.610000  1.835000 3.780000 2.635000 ;
-      RECT 3.950000  0.365000 4.355000 0.535000 ;
-      RECT 3.950000  0.535000 4.120000 0.765000 ;
-      RECT 3.950000  1.065000 4.120000 2.135000 ;
-      RECT 3.950000  2.135000 4.200000 2.465000 ;
-      RECT 4.290000  1.245000 4.480000 1.965000 ;
-      RECT 4.425000  2.165000 5.310000 2.335000 ;
-      RECT 4.505000  0.705000 4.970000 1.035000 ;
-      RECT 4.525000  0.365000 5.310000 0.535000 ;
-      RECT 4.650000  1.035000 4.970000 1.995000 ;
-      RECT 5.140000  0.535000 5.310000 0.995000 ;
-      RECT 5.140000  0.995000 6.015000 1.325000 ;
-      RECT 5.140000  1.325000 5.310000 2.165000 ;
-      RECT 5.480000  1.530000 6.375000 1.905000 ;
-      RECT 5.490000  2.135000 5.805000 2.635000 ;
-      RECT 5.585000  0.085000 5.795000 0.615000 ;
-      RECT 6.035000  1.905000 6.375000 2.465000 ;
-      RECT 6.055000  0.300000 6.385000 0.825000 ;
-      RECT 6.185000  0.825000 6.385000 0.995000 ;
-      RECT 6.185000  0.995000 6.935000 1.325000 ;
-      RECT 6.185000  1.325000 6.375000 1.530000 ;
-      RECT 6.545000  1.625000 6.715000 2.635000 ;
-      RECT 6.555000  0.085000 6.725000 0.695000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.630000  1.785000 0.800000 1.955000 ;
-      RECT 1.025000  1.445000 1.195000 1.615000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.215000  1.445000 2.385000 1.615000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.730000  1.785000 2.900000 1.955000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.300000  1.785000 4.470000 1.955000 ;
-      RECT 4.735000  1.445000 4.905000 1.615000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.570000 1.755000 0.860000 1.800000 ;
-      RECT 0.570000 1.800000 4.530000 1.940000 ;
-      RECT 0.570000 1.940000 0.860000 1.985000 ;
-      RECT 0.965000 1.415000 1.255000 1.460000 ;
-      RECT 0.965000 1.460000 4.965000 1.600000 ;
-      RECT 0.965000 1.600000 1.255000 1.645000 ;
-      RECT 2.155000 1.415000 2.445000 1.460000 ;
-      RECT 2.155000 1.600000 2.445000 1.645000 ;
-      RECT 2.670000 1.755000 2.960000 1.800000 ;
-      RECT 2.670000 1.940000 2.960000 1.985000 ;
-      RECT 4.240000 1.755000 4.530000 1.800000 ;
-      RECT 4.240000 1.940000 4.530000 1.985000 ;
-      RECT 4.675000 1.415000 4.965000 1.460000 ;
-      RECT 4.675000 1.600000 4.965000 1.645000 ;
-  END
-END sky130_fd_sc_hd__dfxtp_1
-MACRO sky130_fd_sc_hd__or4_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__or4_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.490000 0.995000 1.895000 1.325000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 2.125000 1.745000 2.415000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.610000 0.995000 1.320000 1.615000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.755000 0.440000 1.325000 ;
-    END
-  END D
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.405000 0.415000 2.680000 0.760000 ;
-        RECT 2.405000 1.495000 2.680000 2.465000 ;
-        RECT 2.510000 0.760000 2.680000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.085000  1.495000 0.410000 1.785000 ;
-      RECT 0.085000  1.785000 1.680000 1.955000 ;
-      RECT 0.090000  0.085000 0.425000 0.585000 ;
-      RECT 0.625000  0.305000 0.795000 0.655000 ;
-      RECT 0.625000  0.655000 2.235000 0.825000 ;
-      RECT 0.995000  0.085000 1.325000 0.485000 ;
-      RECT 1.495000  0.305000 1.665000 0.655000 ;
-      RECT 1.510000  1.495000 2.235000 1.665000 ;
-      RECT 1.510000  1.665000 1.680000 1.785000 ;
-      RECT 1.835000  0.085000 2.215000 0.485000 ;
-      RECT 1.915000  1.835000 2.195000 2.635000 ;
-      RECT 2.065000  0.825000 2.235000 0.995000 ;
-      RECT 2.065000  0.995000 2.340000 1.325000 ;
-      RECT 2.065000  1.325000 2.235000 1.495000 ;
-      RECT 2.850000  0.085000 3.020000 1.000000 ;
-      RECT 2.850000  1.455000 3.020000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__or4_2
-MACRO sky130_fd_sc_hd__or4_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__or4_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.840000 0.995000 2.010000 1.445000 ;
-        RECT 1.840000 1.445000 2.275000 1.615000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.280000 0.995000 1.610000 1.450000 ;
-        RECT 1.400000 1.450000 1.610000 1.785000 ;
-        RECT 1.400000 1.785000 1.720000 2.375000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.880000 0.995000 1.050000 1.620000 ;
-        RECT 0.880000 1.620000 1.230000 2.375000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.755000 0.370000 1.325000 ;
-    END
-  END D
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.480000 1.455000 4.055000 1.625000 ;
-        RECT 2.480000 1.625000 2.730000 2.465000 ;
-        RECT 2.520000 0.255000 2.770000 0.725000 ;
-        RECT 2.520000 0.725000 4.055000 0.905000 ;
-        RECT 3.280000 0.255000 3.610000 0.725000 ;
-        RECT 3.320000 1.625000 3.570000 2.465000 ;
-        RECT 3.810000 0.905000 4.055000 1.455000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.140000 -0.085000 0.310000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.115000  1.495000 0.710000 1.665000 ;
-      RECT 0.115000  1.665000 0.450000 2.450000 ;
-      RECT 0.120000  0.085000 0.370000 0.585000 ;
-      RECT 0.540000  0.655000 2.350000 0.825000 ;
-      RECT 0.540000  0.825000 0.710000 1.495000 ;
-      RECT 0.700000  0.305000 0.870000 0.655000 ;
-      RECT 1.070000  0.085000 1.400000 0.485000 ;
-      RECT 1.570000  0.305000 1.740000 0.655000 ;
-      RECT 1.960000  0.085000 2.340000 0.485000 ;
-      RECT 2.005000  1.795000 2.255000 2.635000 ;
-      RECT 2.180000  0.825000 2.350000 1.075000 ;
-      RECT 2.180000  1.075000 3.640000 1.245000 ;
-      RECT 2.900000  1.795000 3.150000 2.635000 ;
-      RECT 2.940000  0.085000 3.110000 0.555000 ;
-      RECT 3.740000  1.795000 3.990000 2.635000 ;
-      RECT 3.780000  0.085000 3.950000 0.555000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__or4_4
-MACRO sky130_fd_sc_hd__or4_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__or4_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.490000 0.995000 1.895000 1.325000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 2.125000 1.745000 2.415000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.610000 0.995000 1.320000 1.615000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.755000 0.440000 1.325000 ;
-    END
-  END D
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.405000 0.415000 2.675000 0.760000 ;
-        RECT 2.405000 1.495000 2.675000 2.465000 ;
-        RECT 2.505000 0.760000 2.675000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.090000  1.495000 0.410000 1.785000 ;
-      RECT 0.090000  1.785000 1.680000 1.955000 ;
-      RECT 0.095000  0.085000 0.425000 0.585000 ;
-      RECT 0.625000  0.305000 0.795000 0.655000 ;
-      RECT 0.625000  0.655000 2.235000 0.825000 ;
-      RECT 0.995000  0.085000 1.325000 0.485000 ;
-      RECT 1.495000  0.305000 1.665000 0.655000 ;
-      RECT 1.510000  1.495000 2.235000 1.665000 ;
-      RECT 1.510000  1.665000 1.680000 1.785000 ;
-      RECT 1.835000  0.085000 2.215000 0.485000 ;
-      RECT 1.915000  1.835000 2.195000 2.635000 ;
-      RECT 2.065000  0.825000 2.235000 0.995000 ;
-      RECT 2.065000  0.995000 2.335000 1.325000 ;
-      RECT 2.065000  1.325000 2.235000 1.495000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__or4_1
-MACRO sky130_fd_sc_hd__lpflow_isobufsrckapwr_16
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_isobufsrckapwr_16 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  14.26000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.615000 1.320000 ;
-    END
-  END A
-  PIN SLEEP
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.260000 1.075000 4.700000 1.275000 ;
-    END
-  END SLEEP
-  PIN X
-    ANTENNADIFFAREA  3.180800 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT  7.340000 0.280000  7.600000 0.735000 ;
-        RECT  7.340000 0.735000 14.085000 0.905000 ;
-        RECT  7.375000 1.495000 14.085000 1.720000 ;
-        RECT  7.375000 1.720000 12.745000 1.735000 ;
-        RECT  7.375000 1.735000  7.600000 2.460000 ;
-        RECT  8.200000 0.280000  8.460000 0.735000 ;
-        RECT  8.200000 1.735000  8.460000 2.460000 ;
-        RECT  9.060000 0.280000  9.320000 0.735000 ;
-        RECT  9.060000 1.735000  9.320000 2.460000 ;
-        RECT  9.905000 0.280000 10.180000 0.735000 ;
-        RECT  9.920000 1.735000 10.180000 2.460000 ;
-        RECT 10.765000 0.280000 11.025000 0.735000 ;
-        RECT 10.765000 1.735000 11.025000 2.460000 ;
-        RECT 11.625000 0.280000 11.885000 0.735000 ;
-        RECT 11.625000 1.735000 11.885000 2.460000 ;
-        RECT 12.485000 0.280000 12.745000 0.735000 ;
-        RECT 12.485000 1.735000 12.745000 2.460000 ;
-        RECT 12.920000 0.905000 14.085000 1.495000 ;
-        RECT 13.355000 0.280000 13.615000 0.735000 ;
-        RECT 13.355000 1.720000 13.645000 2.460000 ;
-    END
-  END X
-  PIN KAPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 10.350000 1.905000 10.595000 2.465000 ;
-      LAYER mcon ;
-        RECT 10.395000 2.125000 10.565000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 11.210000 1.905000 11.455000 2.465000 ;
-      LAYER mcon ;
-        RECT 11.255000 2.125000 11.425000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 12.070000 1.905000 12.315000 2.465000 ;
-      LAYER mcon ;
-        RECT 12.110000 2.125000 12.280000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 12.930000 1.905000 13.185000 2.465000 ;
-      LAYER mcon ;
-        RECT 12.960000 2.125000 13.130000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 13.815000 1.890000 14.085000 2.465000 ;
-      LAYER mcon ;
-        RECT 13.840000 2.125000 14.010000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 5.155000 1.495000 5.485000 2.465000 ;
-      LAYER mcon ;
-        RECT 5.235000 2.125000 5.405000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 6.015000 1.495000 6.345000 2.465000 ;
-      LAYER mcon ;
-        RECT 6.095000 2.125000 6.265000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 6.875000 1.495000 7.205000 2.465000 ;
-      LAYER mcon ;
-        RECT 6.950000 2.125000 7.120000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 7.770000 1.905000 8.030000 2.465000 ;
-      LAYER mcon ;
-        RECT 7.800000 2.125000 7.970000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 8.630000 1.905000 8.890000 2.465000 ;
-      LAYER mcon ;
-        RECT 8.680000 2.125000 8.850000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 9.490000 1.905000 9.750000 2.465000 ;
-      LAYER mcon ;
-        RECT 9.540000 2.125000 9.710000 2.295000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT  0.070000 2.140000 14.190000 2.340000 ;
-        RECT  5.175000 2.080000  5.465000 2.140000 ;
-        RECT  6.035000 2.080000  6.325000 2.140000 ;
-        RECT  6.890000 2.080000  7.180000 2.140000 ;
-        RECT  7.740000 2.080000  8.030000 2.140000 ;
-        RECT  8.620000 2.080000  8.910000 2.140000 ;
-        RECT  9.480000 2.080000  9.770000 2.140000 ;
-        RECT 10.335000 2.080000 10.625000 2.140000 ;
-        RECT 11.195000 2.080000 11.485000 2.140000 ;
-        RECT 12.050000 2.080000 12.340000 2.140000 ;
-        RECT 12.900000 2.080000 13.190000 2.140000 ;
-        RECT 13.780000 2.080000 14.070000 2.140000 ;
-    END
-  END KAPWR
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 14.260000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-    PORT
-      LAYER pwell ;
-        RECT 5.205000 -0.085000 5.375000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 14.450000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 14.260000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 14.260000 0.085000 ;
-      RECT  0.000000  2.635000 14.260000 2.805000 ;
-      RECT  0.130000  1.495000  0.535000 2.635000 ;
-      RECT  0.245000  0.085000  0.535000 0.905000 ;
-      RECT  0.705000  0.255000  1.035000 0.815000 ;
-      RECT  0.705000  1.575000  1.035000 2.465000 ;
-      RECT  0.785000  0.815000  1.035000 1.075000 ;
-      RECT  0.785000  1.075000  2.265000 1.275000 ;
-      RECT  0.785000  1.275000  1.035000 1.575000 ;
-      RECT  1.205000  1.575000  1.585000 2.295000 ;
-      RECT  1.205000  2.295000  3.265000 2.465000 ;
-      RECT  1.215000  0.085000  1.505000 0.905000 ;
-      RECT  1.675000  0.255000  2.005000 0.725000 ;
-      RECT  1.675000  0.725000  4.525000 0.905000 ;
-      RECT  1.755000  1.445000  2.765000 1.745000 ;
-      RECT  1.755000  1.745000  1.925000 2.125000 ;
-      RECT  2.095000  1.935000  2.425000 2.295000 ;
-      RECT  2.175000  0.085000  2.345000 0.555000 ;
-      RECT  2.435000  0.905000  3.095000 0.965000 ;
-      RECT  2.435000  0.965000  2.765000 1.445000 ;
-      RECT  2.515000  0.255000  2.845000 0.725000 ;
-      RECT  2.595000  1.745000  2.765000 2.125000 ;
-      RECT  2.935000  1.455000  4.975000 1.665000 ;
-      RECT  2.935000  1.665000  3.265000 2.295000 ;
-      RECT  3.015000  0.085000  3.185000 0.555000 ;
-      RECT  3.355000  0.255000  3.685000 0.725000 ;
-      RECT  3.435000  1.835000  3.685000 2.635000 ;
-      RECT  3.855000  0.085000  4.025000 0.555000 ;
-      RECT  3.855000  1.665000  4.025000 2.465000 ;
-      RECT  4.195000  0.255000  4.525000 0.725000 ;
-      RECT  4.195000  1.835000  4.525000 2.635000 ;
-      RECT  4.695000  0.085000  5.450000 0.565000 ;
-      RECT  4.695000  0.565000  4.975000 0.905000 ;
-      RECT  4.695000  1.665000  4.975000 2.465000 ;
-      RECT  5.145000  0.735000  5.460000 1.325000 ;
-      RECT  5.655000  0.265000  5.880000 1.075000 ;
-      RECT  5.655000  1.075000 12.750000 1.325000 ;
-      RECT  5.655000  1.325000  5.845000 2.465000 ;
-      RECT  6.050000  0.085000  6.310000 0.610000 ;
-      RECT  6.490000  0.265000  6.740000 1.075000 ;
-      RECT  6.515000  1.325000  6.705000 2.460000 ;
-      RECT  6.910000  0.085000  7.170000 0.645000 ;
-      RECT  7.770000  0.085000  8.030000 0.565000 ;
-      RECT  8.630000  0.085000  8.890000 0.565000 ;
-      RECT  9.490000  0.085000  9.735000 0.565000 ;
-      RECT 10.350000  0.085000 10.595000 0.565000 ;
-      RECT 11.205000  0.085000 11.455000 0.565000 ;
-      RECT 12.065000  0.085000 12.315000 0.565000 ;
-      RECT 12.925000  0.085000 13.185000 0.565000 ;
-      RECT 13.785000  0.085000 14.085000 0.565000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.525000  0.765000  2.695000 0.935000 ;
-      RECT  2.885000  0.765000  3.055000 0.935000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.210000  0.765000  5.380000 0.935000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-      RECT 12.105000  2.635000 12.275000 2.805000 ;
-      RECT 12.565000 -0.085000 12.735000 0.085000 ;
-      RECT 12.565000  2.635000 12.735000 2.805000 ;
-      RECT 13.025000 -0.085000 13.195000 0.085000 ;
-      RECT 13.025000  2.635000 13.195000 2.805000 ;
-      RECT 13.485000 -0.085000 13.655000 0.085000 ;
-      RECT 13.485000  2.635000 13.655000 2.805000 ;
-      RECT 13.945000 -0.085000 14.115000 0.085000 ;
-      RECT 13.945000  2.635000 14.115000 2.805000 ;
-    LAYER met1 ;
-      RECT 2.465000 0.735000 3.115000 0.780000 ;
-      RECT 2.465000 0.780000 5.440000 0.920000 ;
-      RECT 2.465000 0.920000 3.115000 0.965000 ;
-      RECT 5.150000 0.735000 5.440000 0.780000 ;
-      RECT 5.150000 0.920000 5.440000 0.965000 ;
-  END
-END sky130_fd_sc_hd__lpflow_isobufsrckapwr_16
-MACRO sky130_fd_sc_hd__decap_12
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__decap_12 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.520000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.520000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.710000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.520000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.520000 0.085000 ;
-      RECT 0.000000  2.635000 5.520000 2.805000 ;
-      RECT 0.085000  0.085000 5.430000 0.855000 ;
-      RECT 0.085000  0.855000 2.665000 1.375000 ;
-      RECT 0.085000  1.545000 5.430000 2.635000 ;
-      RECT 2.835000  1.025000 5.430000 1.545000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-  END
-END sky130_fd_sc_hd__decap_12
-MACRO sky130_fd_sc_hd__decap_3
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__decap_3 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.380000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.380000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 1.570000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.380000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.380000 0.085000 ;
-      RECT 0.000000  2.635000 1.380000 2.805000 ;
-      RECT 0.085000  0.085000 1.295000 0.835000 ;
-      RECT 0.085000  0.835000 0.605000 1.375000 ;
-      RECT 0.085000  1.545000 1.295000 2.635000 ;
-      RECT 0.775000  1.005000 1.295000 1.545000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-  END
-END sky130_fd_sc_hd__decap_3
-MACRO sky130_fd_sc_hd__decap_6
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__decap_6 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.085000  0.085000 2.675000 0.855000 ;
-      RECT 0.085000  0.855000 1.295000 1.375000 ;
-      RECT 0.085000  1.545000 2.675000 2.635000 ;
-      RECT 1.465000  1.025000 2.675000 1.545000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__decap_6
-MACRO sky130_fd_sc_hd__decap_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__decap_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.840000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.840000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.030000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.840000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.840000 0.085000 ;
-      RECT 0.000000  2.635000 1.840000 2.805000 ;
-      RECT 0.085000  0.085000 1.755000 0.855000 ;
-      RECT 0.085000  0.855000 0.835000 1.375000 ;
-      RECT 0.085000  1.545000 1.755000 2.635000 ;
-      RECT 1.005000  1.025000 1.755000 1.545000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-  END
-END sky130_fd_sc_hd__decap_4
-MACRO sky130_fd_sc_hd__decap_8
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__decap_8 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.085000  0.085000 3.595000 0.855000 ;
-      RECT 0.085000  0.855000 1.735000 1.375000 ;
-      RECT 0.085000  1.545000 3.595000 2.635000 ;
-      RECT 1.905000  1.025000 3.595000 1.545000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__decap_8
-MACRO sky130_fd_sc_hd__o22a_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o22a_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.095000 1.075000 3.590000 1.275000 ;
-        RECT 3.270000 1.275000 3.590000 1.615000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.595000 1.075000 2.925000 1.325000 ;
-        RECT 2.745000 1.325000 2.925000 1.445000 ;
-        RECT 2.745000 1.445000 3.100000 1.615000 ;
-        RECT 2.900000 1.615000 3.100000 2.405000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.435000 1.075000 1.790000 1.325000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.960000 1.075000 2.425000 1.325000 ;
-    END
-  END B2
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.590000 0.365000 0.805000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.130000 -0.085000 0.300000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.115000  1.445000 0.365000 2.635000 ;
-      RECT 0.185000  0.085000 0.355000 0.885000 ;
-      RECT 0.975000  0.715000 2.215000 0.895000 ;
-      RECT 0.975000  0.895000 1.255000 1.495000 ;
-      RECT 0.975000  1.495000 2.575000 1.705000 ;
-      RECT 0.995000  1.875000 1.795000 2.635000 ;
-      RECT 1.025000  0.085000 1.205000 0.545000 ;
-      RECT 1.465000  0.295000 2.730000 0.475000 ;
-      RECT 1.850000  0.645000 2.215000 0.715000 ;
-      RECT 2.190000  1.705000 2.575000 2.465000 ;
-      RECT 2.390000  0.475000 2.730000 0.695000 ;
-      RECT 2.390000  0.695000 3.590000 0.825000 ;
-      RECT 2.560000  0.825000 3.590000 0.865000 ;
-      RECT 2.915000  0.085000 3.085000 0.525000 ;
-      RECT 3.255000  0.280000 3.590000 0.695000 ;
-      RECT 3.270000  1.795000 3.590000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o22a_2
-MACRO sky130_fd_sc_hd__o22a_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o22a_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.670000 1.075000 3.135000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.165000 1.075000 2.495000 1.325000 ;
-        RECT 2.315000 1.325000 2.495000 1.445000 ;
-        RECT 2.315000 1.445000 2.645000 1.615000 ;
-        RECT 2.445000 1.615000 2.645000 2.405000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.980000 1.075000 1.335000 1.325000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.530000 1.075000 1.995000 1.325000 ;
-    END
-  END B2
-  PIN X
-    ANTENNADIFFAREA  0.449000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.365000 0.365000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.535000  0.715000 1.785000 0.895000 ;
-      RECT 0.535000  0.895000 0.810000 1.495000 ;
-      RECT 0.535000  1.495000 2.145000 1.705000 ;
-      RECT 0.555000  1.875000 1.340000 2.635000 ;
-      RECT 0.595000  0.085000 0.765000 0.545000 ;
-      RECT 1.035000  0.295000 2.285000 0.475000 ;
-      RECT 1.420000  0.645000 1.785000 0.715000 ;
-      RECT 1.735000  1.705000 2.145000 1.805000 ;
-      RECT 1.735000  1.805000 2.120000 2.465000 ;
-      RECT 1.955000  0.475000 2.285000 0.695000 ;
-      RECT 1.955000  0.695000 3.135000 0.865000 ;
-      RECT 2.455000  0.085000 2.625000 0.525000 ;
-      RECT 2.795000  0.280000 3.135000 0.695000 ;
-      RECT 2.815000  1.455000 3.135000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o22a_1
-MACRO sky130_fd_sc_hd__o22a_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o22a_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.440000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.350000 1.075000 4.680000 1.445000 ;
-        RECT 4.350000 1.445000 5.735000 1.615000 ;
-        RECT 5.565000 1.075000 6.355000 1.275000 ;
-        RECT 5.565000 1.275000 5.735000 1.445000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.900000 1.075000 5.395000 1.275000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.420000 1.075000 2.955000 1.445000 ;
-        RECT 2.420000 1.445000 4.180000 1.615000 ;
-        RECT 3.850000 1.075000 4.180000 1.445000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.125000 1.075000 3.680000 1.275000 ;
-    END
-  END B2
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.725000 1.770000 0.905000 ;
-        RECT 0.085000 0.905000 0.370000 1.445000 ;
-        RECT 0.085000 1.445000 1.730000 1.615000 ;
-        RECT 0.600000 0.265000 0.930000 0.725000 ;
-        RECT 0.640000 1.615000 0.890000 2.465000 ;
-        RECT 1.440000 0.255000 1.770000 0.725000 ;
-        RECT 1.480000 1.615000 1.730000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 6.440000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.630000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.440000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.440000 0.085000 ;
-      RECT 0.000000  2.635000 6.440000 2.805000 ;
-      RECT 0.220000  1.825000 0.470000 2.635000 ;
-      RECT 0.260000  0.085000 0.430000 0.555000 ;
-      RECT 0.540000  1.075000 2.230000 1.275000 ;
-      RECT 1.060000  1.795000 1.310000 2.635000 ;
-      RECT 1.100000  0.085000 1.270000 0.555000 ;
-      RECT 1.900000  1.275000 2.230000 1.785000 ;
-      RECT 1.900000  1.785000 5.270000 1.955000 ;
-      RECT 1.900000  2.125000 2.670000 2.635000 ;
-      RECT 1.940000  0.085000 2.110000 0.555000 ;
-      RECT 1.940000  0.735000 3.970000 0.905000 ;
-      RECT 1.940000  0.905000 2.230000 1.075000 ;
-      RECT 2.380000  0.255000 4.470000 0.475000 ;
-      RECT 2.415000  0.645000 3.970000 0.735000 ;
-      RECT 2.840000  2.125000 3.090000 2.295000 ;
-      RECT 2.840000  2.295000 3.930000 2.465000 ;
-      RECT 3.260000  1.955000 3.510000 2.125000 ;
-      RECT 3.680000  2.125000 3.930000 2.295000 ;
-      RECT 4.100000  2.125000 4.430000 2.635000 ;
-      RECT 4.140000  0.475000 4.470000 0.735000 ;
-      RECT 4.140000  0.735000 6.150000 0.905000 ;
-      RECT 4.600000  2.125000 4.850000 2.295000 ;
-      RECT 4.600000  2.295000 5.690000 2.465000 ;
-      RECT 4.640000  0.085000 4.810000 0.555000 ;
-      RECT 4.980000  0.255000 5.310000 0.725000 ;
-      RECT 4.980000  0.725000 6.150000 0.735000 ;
-      RECT 5.020000  1.955000 5.270000 2.125000 ;
-      RECT 5.440000  1.785000 5.690000 2.295000 ;
-      RECT 5.480000  0.085000 5.650000 0.555000 ;
-      RECT 5.820000  0.255000 6.150000 0.725000 ;
-      RECT 5.905000  1.455000 6.110000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o22a_4
-MACRO sky130_fd_sc_hd__sdfsbp_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sdfsbp_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  14.26000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.050000 0.765000 1.335000 1.675000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 13.410000 0.275000 13.740000 0.825000 ;
-        RECT 13.410000 1.495000 13.740000 2.450000 ;
-        RECT 13.515000 0.825000 13.740000 1.495000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 11.460000 0.255000 11.855000 2.465000 ;
-    END
-  END Q_N
-  PIN SCD
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.765000 0.340000 1.675000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.540000 0.765000 0.820000 1.675000 ;
-      LAYER mcon ;
-        RECT 0.605000 1.105000 0.775000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 2.405000 1.075000 2.735000 1.590000 ;
-      LAYER mcon ;
-        RECT 2.445000 1.105000 2.615000 1.275000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.545000 1.075000 0.835000 1.120000 ;
-        RECT 0.545000 1.120000 2.675000 1.260000 ;
-        RECT 0.545000 1.260000 0.835000 1.305000 ;
-        RECT 2.385000 1.075000 2.675000 1.120000 ;
-        RECT 2.385000 1.260000 2.675000 1.305000 ;
-    END
-  END SCE
-  PIN SET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.640000 1.445000 7.065000 1.765000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 8.880000 1.435000 9.115000 1.525000 ;
-        RECT 8.880000 1.525000 9.935000 1.725000 ;
-      LAYER mcon ;
-        RECT 8.940000 1.445000 9.110000 1.615000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 6.580000 1.415000 6.870000 1.460000 ;
-        RECT 6.580000 1.460000 9.170000 1.600000 ;
-        RECT 6.580000 1.600000 6.870000 1.645000 ;
-        RECT 8.880000 1.415000 9.170000 1.460000 ;
-        RECT 8.880000 1.600000 9.170000 1.645000 ;
-    END
-  END SET_B
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 2.905000 0.725000 3.100000 1.055000 ;
-        RECT 2.905000 1.055000 3.565000 1.615000 ;
-        RECT 2.905000 1.615000 3.100000 1.970000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 14.260000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 14.450000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 14.260000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 14.260000 0.085000 ;
-      RECT  0.000000  2.635000 14.260000 2.805000 ;
-      RECT  0.085000  0.085000  0.700000 0.595000 ;
-      RECT  0.085000  1.845000  1.185000 2.075000 ;
-      RECT  0.085000  2.075000  0.345000 2.465000 ;
-      RECT  0.515000  2.275000  0.845000 2.635000 ;
-      RECT  0.870000  0.255000  1.670000 0.595000 ;
-      RECT  1.015000  2.075000  1.185000 2.255000 ;
-      RECT  1.015000  2.255000  2.105000 2.465000 ;
-      RECT  1.355000  1.845000  1.695000 2.085000 ;
-      RECT  1.495000  0.595000  1.670000 0.645000 ;
-      RECT  1.495000  0.645000  1.695000 0.705000 ;
-      RECT  1.500000  0.705000  1.695000 0.720000 ;
-      RECT  1.505000  0.720000  1.695000 1.845000 ;
-      RECT  1.840000  0.085000  2.090000 0.545000 ;
-      RECT  1.980000  0.715000  2.530000 0.905000 ;
-      RECT  1.980000  0.905000  2.235000 1.760000 ;
-      RECT  1.980000  1.760000  2.535000 2.085000 ;
-      RECT  2.260000  0.255000  2.530000 0.715000 ;
-      RECT  2.275000  2.085000  2.535000 2.465000 ;
-      RECT  2.700000  0.085000  3.100000 0.555000 ;
-      RECT  2.705000  2.140000  3.100000 2.635000 ;
-      RECT  3.270000  0.255000  3.470000 0.715000 ;
-      RECT  3.270000  0.715000  3.995000 0.885000 ;
-      RECT  3.270000  1.830000  3.995000 2.000000 ;
-      RECT  3.270000  2.000000  3.475000 2.325000 ;
-      RECT  3.640000  0.085000  3.940000 0.545000 ;
-      RECT  3.645000  2.275000  3.975000 2.635000 ;
-      RECT  3.735000  0.885000  3.995000 1.830000 ;
-      RECT  4.110000  0.255000  4.335000 0.585000 ;
-      RECT  4.145000  2.135000  4.440000 2.465000 ;
-      RECT  4.165000  0.585000  4.335000 1.090000 ;
-      RECT  4.165000  1.090000  4.490000 1.420000 ;
-      RECT  4.165000  1.420000  4.440000 2.135000 ;
-      RECT  4.505000  0.255000  4.885000 0.920000 ;
-      RECT  4.665000  1.590000  4.970000 1.615000 ;
-      RECT  4.665000  1.615000  4.890000 2.465000 ;
-      RECT  4.715000  0.920000  4.885000 1.445000 ;
-      RECT  4.715000  1.445000  4.970000 1.590000 ;
-      RECT  5.055000  0.255000  5.450000 1.225000 ;
-      RECT  5.055000  1.225000  7.705000 1.275000 ;
-      RECT  5.060000  2.135000  5.805000 2.465000 ;
-      RECT  5.140000  1.275000  6.475000 1.395000 ;
-      RECT  5.205000  1.575000  5.465000 1.955000 ;
-      RECT  5.620000  0.635000  6.550000 0.805000 ;
-      RECT  5.620000  0.805000  6.015000 1.015000 ;
-      RECT  5.635000  1.395000  5.805000 2.135000 ;
-      RECT  5.665000  0.085000  6.165000 0.465000 ;
-      RECT  5.975000  1.575000  6.145000 1.935000 ;
-      RECT  5.975000  1.935000  6.820000 2.105000 ;
-      RECT  6.000000  2.275000  6.330000 2.635000 ;
-      RECT  6.305000  0.975000  7.705000 1.225000 ;
-      RECT  6.335000  0.255000  6.550000 0.635000 ;
-      RECT  6.605000  2.105000  6.820000 2.450000 ;
-      RECT  6.720000  0.085000  7.705000 0.805000 ;
-      RECT  7.060000  2.125000  8.015000 2.635000 ;
-      RECT  7.355000  1.275000  7.705000 1.325000 ;
-      RECT  7.385000  1.705000  8.055000 1.955000 ;
-      RECT  7.885000  0.695000  9.085000 0.895000 ;
-      RECT  7.885000  0.895000  8.055000 1.705000 ;
-      RECT  8.185000  2.125000  8.990000 2.460000 ;
-      RECT  8.420000  1.075000  8.650000 1.905000 ;
-      RECT  8.465000  0.275000  9.855000 0.515000 ;
-      RECT  8.820000  1.895000 10.430000 2.065000 ;
-      RECT  8.820000  2.065000  8.990000 2.125000 ;
-      RECT  8.830000  0.895000  9.085000 1.265000 ;
-      RECT  9.160000  2.235000  9.490000 2.635000 ;
-      RECT  9.285000  0.855000  9.515000 1.185000 ;
-      RECT  9.285000  1.185000 10.910000 1.355000 ;
-      RECT  9.660000  2.065000  9.930000 2.450000 ;
-      RECT  9.685000  0.515000  9.855000 0.845000 ;
-      RECT  9.685000  0.845000 10.560000 1.015000 ;
-      RECT 10.035000  0.085000 10.285000 0.545000 ;
-      RECT 10.100000  2.235000 10.430000 2.635000 ;
-      RECT 10.105000  1.525000 10.430000 1.895000 ;
-      RECT 10.465000  0.255000 10.910000 0.585000 ;
-      RECT 10.600000  1.355000 10.845000 2.465000 ;
-      RECT 10.730000  0.585000 10.910000 1.185000 ;
-      RECT 11.080000  1.485000 11.290000 2.635000 ;
-      RECT 11.120000  0.085000 11.290000 0.885000 ;
-      RECT 12.025000  0.085000 12.315000 0.885000 ;
-      RECT 12.025000  1.485000 12.315000 2.635000 ;
-      RECT 12.530000  0.255000 12.715000 0.995000 ;
-      RECT 12.530000  0.995000 13.345000 1.325000 ;
-      RECT 12.530000  1.325000 12.715000 2.465000 ;
-      RECT 12.885000  0.085000 13.240000 0.825000 ;
-      RECT 12.885000  1.635000 13.240000 2.635000 ;
-      RECT 13.910000  0.085000 14.175000 0.885000 ;
-      RECT 13.910000  1.485000 14.175000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  1.445000  1.695000 1.615000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  1.785000  3.995000 1.955000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  1.105000  4.455000 1.275000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  4.800000  1.445000  4.970000 1.615000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.260000  1.785000  5.430000 1.955000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.560000  1.785000  7.730000 1.955000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.480000  1.105000  8.650000 1.275000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-      RECT 12.105000  2.635000 12.275000 2.805000 ;
-      RECT 12.565000 -0.085000 12.735000 0.085000 ;
-      RECT 12.565000  2.635000 12.735000 2.805000 ;
-      RECT 13.025000 -0.085000 13.195000 0.085000 ;
-      RECT 13.025000  2.635000 13.195000 2.805000 ;
-      RECT 13.485000 -0.085000 13.655000 0.085000 ;
-      RECT 13.485000  2.635000 13.655000 2.805000 ;
-      RECT 13.945000 -0.085000 14.115000 0.085000 ;
-      RECT 13.945000  2.635000 14.115000 2.805000 ;
-    LAYER met1 ;
-      RECT 1.465000 1.415000 1.755000 1.460000 ;
-      RECT 1.465000 1.460000 5.030000 1.600000 ;
-      RECT 1.465000 1.600000 1.755000 1.645000 ;
-      RECT 3.765000 1.755000 4.055000 1.800000 ;
-      RECT 3.765000 1.800000 7.790000 1.940000 ;
-      RECT 3.765000 1.940000 4.055000 1.985000 ;
-      RECT 4.225000 1.075000 4.515000 1.120000 ;
-      RECT 4.225000 1.120000 8.710000 1.260000 ;
-      RECT 4.225000 1.260000 4.515000 1.305000 ;
-      RECT 4.740000 1.415000 5.030000 1.460000 ;
-      RECT 4.740000 1.600000 5.030000 1.645000 ;
-      RECT 5.200000 1.755000 5.490000 1.800000 ;
-      RECT 5.200000 1.940000 5.490000 1.985000 ;
-      RECT 7.500000 1.755000 7.790000 1.800000 ;
-      RECT 7.500000 1.940000 7.790000 1.985000 ;
-      RECT 8.420000 1.075000 8.710000 1.120000 ;
-      RECT 8.420000 1.260000 8.710000 1.305000 ;
-  END
-END sky130_fd_sc_hd__sdfsbp_2
-MACRO sky130_fd_sc_hd__sdfsbp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sdfsbp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  13.34000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.055000 0.765000 1.335000 1.675000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 12.915000 0.275000 13.255000 0.825000 ;
-        RECT 12.915000 1.495000 13.255000 2.450000 ;
-        RECT 13.070000 0.825000 13.255000 1.495000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 11.500000 0.255000 11.830000 2.465000 ;
-    END
-  END Q_N
-  PIN SCD
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.765000 0.345000 1.675000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.545000 0.765000 0.825000 1.675000 ;
-      LAYER mcon ;
-        RECT 0.610000 1.105000 0.780000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 2.370000 1.075000 2.700000 1.600000 ;
-      LAYER mcon ;
-        RECT 2.445000 1.105000 2.615000 1.275000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.550000 1.075000 0.840000 1.120000 ;
-        RECT 0.550000 1.120000 2.675000 1.260000 ;
-        RECT 0.550000 1.260000 0.840000 1.305000 ;
-        RECT 2.385000 1.075000 2.675000 1.120000 ;
-        RECT 2.385000 1.260000 2.675000 1.305000 ;
-    END
-  END SCE
-  PIN SET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.640000 1.445000 7.015000 1.765000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 8.885000 1.415000  9.110000 1.525000 ;
-        RECT 8.885000 1.525000 10.075000 1.725000 ;
-      LAYER mcon ;
-        RECT 8.885000 1.445000 9.055000 1.615000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 6.580000 1.415000 6.870000 1.460000 ;
-        RECT 6.580000 1.460000 9.115000 1.600000 ;
-        RECT 6.580000 1.600000 6.870000 1.645000 ;
-        RECT 8.825000 1.415000 9.115000 1.460000 ;
-        RECT 8.825000 1.600000 9.115000 1.645000 ;
-    END
-  END SET_B
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 2.905000 0.725000 3.100000 1.055000 ;
-        RECT 2.905000 1.055000 3.565000 1.590000 ;
-        RECT 2.905000 1.590000 3.085000 1.960000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 13.340000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 13.530000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 13.340000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 13.340000 0.085000 ;
-      RECT  0.000000  2.635000 13.340000 2.805000 ;
-      RECT  0.085000  0.085000  0.480000 0.595000 ;
-      RECT  0.085000  1.845000  1.105000 2.025000 ;
-      RECT  0.085000  2.025000  0.345000 2.465000 ;
-      RECT  0.515000  2.195000  0.765000 2.635000 ;
-      RECT  0.875000  0.280000  1.655000 0.560000 ;
-      RECT  0.935000  2.025000  1.105000 2.255000 ;
-      RECT  0.935000  2.255000  2.045000 2.465000 ;
-      RECT  1.295000  1.870000  1.695000 2.075000 ;
-      RECT  1.380000  0.560000  1.655000 0.590000 ;
-      RECT  1.380000  0.590000  1.660000 0.600000 ;
-      RECT  1.395000  0.600000  1.660000 0.605000 ;
-      RECT  1.405000  0.605000  1.660000 0.610000 ;
-      RECT  1.420000  0.610000  1.660000 0.615000 ;
-      RECT  1.430000  0.615000  1.670000 0.620000 ;
-      RECT  1.440000  0.620000  1.670000 0.630000 ;
-      RECT  1.445000  0.630000  1.670000 0.635000 ;
-      RECT  1.460000  0.635000  1.670000 0.645000 ;
-      RECT  1.475000  0.645000  1.670000 0.655000 ;
-      RECT  1.475000  0.655000  1.695000 0.665000 ;
-      RECT  1.495000  0.665000  1.695000 0.705000 ;
-      RECT  1.505000  0.705000  1.695000 1.870000 ;
-      RECT  1.825000  0.085000  2.005000 0.545000 ;
-      RECT  1.865000  0.715000  2.515000 0.905000 ;
-      RECT  1.865000  0.905000  2.200000 1.770000 ;
-      RECT  1.865000  1.770000  2.520000 2.085000 ;
-      RECT  2.260000  0.255000  2.515000 0.715000 ;
-      RECT  2.270000  2.085000  2.520000 2.465000 ;
-      RECT  2.690000  0.085000  3.030000 0.555000 ;
-      RECT  2.690000  2.140000  3.030000 2.635000 ;
-      RECT  3.255000  1.775000  3.995000 1.955000 ;
-      RECT  3.255000  1.955000  3.425000 2.325000 ;
-      RECT  3.270000  0.255000  3.455000 0.715000 ;
-      RECT  3.270000  0.715000  3.995000 0.885000 ;
-      RECT  3.595000  2.275000  3.925000 2.635000 ;
-      RECT  3.630000  0.085000  3.940000 0.545000 ;
-      RECT  3.735000  0.885000  3.995000 1.775000 ;
-      RECT  4.095000  2.135000  4.440000 2.465000 ;
-      RECT  4.110000  0.255000  4.335000 0.585000 ;
-      RECT  4.165000  0.585000  4.335000 1.090000 ;
-      RECT  4.165000  1.090000  4.490000 1.420000 ;
-      RECT  4.165000  1.420000  4.440000 2.135000 ;
-      RECT  4.505000  0.255000  4.830000 0.920000 ;
-      RECT  4.610000  1.590000  4.915000 1.615000 ;
-      RECT  4.610000  1.615000  4.830000 2.465000 ;
-      RECT  4.660000  0.920000  4.830000 1.445000 ;
-      RECT  4.660000  1.445000  4.915000 1.590000 ;
-      RECT  5.000000  0.255000  5.440000 1.225000 ;
-      RECT  5.000000  1.225000  7.660000 1.275000 ;
-      RECT  5.030000  2.135000  5.755000 2.465000 ;
-      RECT  5.085000  1.275000  6.435000 1.395000 ;
-      RECT  5.205000  1.575000  5.415000 1.955000 ;
-      RECT  5.585000  1.395000  5.755000 2.135000 ;
-      RECT  5.610000  0.085000  6.095000 0.465000 ;
-      RECT  5.610000  0.635000  6.535000 0.805000 ;
-      RECT  5.610000  0.805000  5.975000 1.015000 ;
-      RECT  5.925000  1.575000  6.095000 1.935000 ;
-      RECT  5.925000  1.935000  6.765000 2.105000 ;
-      RECT  5.945000  2.275000  6.275000 2.635000 ;
-      RECT  6.250000  0.975000  7.660000 1.225000 ;
-      RECT  6.275000  0.255000  6.535000 0.635000 ;
-      RECT  6.550000  2.105000  6.765000 2.450000 ;
-      RECT  6.735000  0.085000  7.630000 0.805000 ;
-      RECT  7.005000  2.125000  7.960000 2.635000 ;
-      RECT  7.190000  1.495000  8.005000 1.955000 ;
-      RECT  7.300000  1.275000  7.660000 1.325000 ;
-      RECT  7.835000  0.695000  9.040000 0.895000 ;
-      RECT  7.835000  0.895000  8.005000 1.495000 ;
-      RECT  8.130000  2.125000  8.935000 2.460000 ;
-      RECT  8.365000  1.075000  8.595000 1.905000 ;
-      RECT  8.410000  0.275000  9.825000 0.445000 ;
-      RECT  8.765000  1.895000 10.465000 2.065000 ;
-      RECT  8.765000  2.065000  8.935000 2.125000 ;
-      RECT  8.810000  0.895000  9.040000 1.245000 ;
-      RECT  9.195000  2.235000  9.525000 2.635000 ;
-      RECT  9.290000  0.855000  9.465000 1.185000 ;
-      RECT  9.290000  1.185000 10.895000 1.355000 ;
-      RECT  9.655000  0.445000  9.825000 0.845000 ;
-      RECT  9.655000  0.845000 10.545000 1.015000 ;
-      RECT  9.695000  2.065000  9.910000 2.450000 ;
-      RECT 10.135000  2.235000 10.465000 2.635000 ;
-      RECT 10.220000  0.085000 10.390000 0.545000 ;
-      RECT 10.245000  1.525000 10.465000 1.895000 ;
-      RECT 10.560000  0.255000 10.895000 0.540000 ;
-      RECT 10.635000  1.355000 10.895000 2.465000 ;
-      RECT 10.715000  0.540000 10.895000 1.185000 ;
-      RECT 11.120000  0.085000 11.330000 0.885000 ;
-      RECT 11.120000  1.485000 11.330000 2.635000 ;
-      RECT 12.060000  0.255000 12.270000 0.995000 ;
-      RECT 12.060000  0.995000 12.900000 1.325000 ;
-      RECT 12.060000  1.325000 12.270000 2.465000 ;
-      RECT 12.540000  0.085000 12.745000 0.825000 ;
-      RECT 12.575000  1.575000 12.745000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  1.445000  1.695000 1.615000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  1.785000  3.995000 1.955000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  1.105000  4.455000 1.275000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  1.445000  4.915000 1.615000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  1.785000  5.375000 1.955000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  1.785000  7.675000 1.955000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  1.105000  8.595000 1.275000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-      RECT 12.105000  2.635000 12.275000 2.805000 ;
-      RECT 12.565000 -0.085000 12.735000 0.085000 ;
-      RECT 12.565000  2.635000 12.735000 2.805000 ;
-      RECT 13.025000 -0.085000 13.195000 0.085000 ;
-      RECT 13.025000  2.635000 13.195000 2.805000 ;
-    LAYER met1 ;
-      RECT 1.465000 1.415000 1.755000 1.460000 ;
-      RECT 1.465000 1.460000 4.975000 1.600000 ;
-      RECT 1.465000 1.600000 1.755000 1.645000 ;
-      RECT 3.765000 1.755000 4.055000 1.800000 ;
-      RECT 3.765000 1.800000 7.735000 1.940000 ;
-      RECT 3.765000 1.940000 4.055000 1.985000 ;
-      RECT 4.225000 1.075000 4.515000 1.120000 ;
-      RECT 4.225000 1.120000 8.655000 1.260000 ;
-      RECT 4.225000 1.260000 4.515000 1.305000 ;
-      RECT 4.685000 1.415000 4.975000 1.460000 ;
-      RECT 4.685000 1.600000 4.975000 1.645000 ;
-      RECT 5.145000 1.755000 5.435000 1.800000 ;
-      RECT 5.145000 1.940000 5.435000 1.985000 ;
-      RECT 7.445000 1.755000 7.735000 1.800000 ;
-      RECT 7.445000 1.940000 7.735000 1.985000 ;
-      RECT 8.365000 1.075000 8.655000 1.120000 ;
-      RECT 8.365000 1.260000 8.655000 1.305000 ;
-  END
-END sky130_fd_sc_hd__sdfsbp_1
-MACRO sky130_fd_sc_hd__dfxbp_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dfxbp_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.660000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.370000 0.715000 1.650000 1.665000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.890000 1.495000 7.300000 1.575000 ;
-        RECT 6.890000 1.575000 7.220000 2.420000 ;
-        RECT 6.900000 0.305000 7.230000 0.740000 ;
-        RECT 6.900000 0.740000 7.300000 0.825000 ;
-        RECT 7.055000 0.825000 7.300000 0.865000 ;
-        RECT 7.065000 1.445000 7.300000 1.495000 ;
-        RECT 7.110000 0.865000 7.300000 1.445000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 8.810000 1.495000 9.145000 2.465000 ;
-        RECT 8.890000 0.265000 9.145000 0.885000 ;
-        RECT 8.930000 0.885000 9.145000 1.495000 ;
-    END
-  END Q_N
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.975000 0.440000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.660000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.850000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.660000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.660000 0.085000 ;
-      RECT 0.000000  2.635000 9.660000 2.805000 ;
-      RECT 0.175000  0.345000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 0.840000 0.805000 ;
-      RECT 0.175000  1.795000 0.840000 1.965000 ;
-      RECT 0.175000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.840000 1.795000 ;
-      RECT 1.015000  0.345000 1.200000 2.465000 ;
-      RECT 1.440000  2.175000 1.705000 2.635000 ;
-      RECT 1.455000  0.085000 1.705000 0.545000 ;
-      RECT 1.820000  0.675000 2.045000 0.805000 ;
-      RECT 1.820000  0.805000 1.990000 1.910000 ;
-      RECT 1.820000  1.910000 2.125000 2.040000 ;
-      RECT 1.875000  0.365000 2.210000 0.535000 ;
-      RECT 1.875000  0.535000 2.045000 0.675000 ;
-      RECT 1.875000  2.040000 2.125000 2.465000 ;
-      RECT 2.160000  1.125000 2.400000 1.720000 ;
-      RECT 2.215000  0.735000 2.740000 0.955000 ;
-      RECT 2.335000  2.190000 3.440000 2.360000 ;
-      RECT 2.405000  0.365000 3.080000 0.535000 ;
-      RECT 2.570000  0.955000 2.740000 1.655000 ;
-      RECT 2.570000  1.655000 3.100000 2.020000 ;
-      RECT 2.910000  0.535000 3.080000 1.315000 ;
-      RECT 2.910000  1.315000 3.780000 1.485000 ;
-      RECT 3.270000  1.485000 3.780000 1.575000 ;
-      RECT 3.270000  1.575000 3.440000 2.190000 ;
-      RECT 3.290000  0.765000 4.120000 1.065000 ;
-      RECT 3.290000  1.065000 3.490000 1.095000 ;
-      RECT 3.400000  0.085000 3.770000 0.585000 ;
-      RECT 3.610000  1.245000 3.780000 1.315000 ;
-      RECT 3.610000  1.835000 3.780000 2.635000 ;
-      RECT 3.950000  0.365000 4.355000 0.535000 ;
-      RECT 3.950000  0.535000 4.120000 0.765000 ;
-      RECT 3.950000  1.065000 4.120000 2.135000 ;
-      RECT 3.950000  2.135000 4.200000 2.465000 ;
-      RECT 4.290000  1.245000 4.480000 1.965000 ;
-      RECT 4.425000  2.165000 5.310000 2.335000 ;
-      RECT 4.505000  0.705000 4.970000 1.035000 ;
-      RECT 4.525000  0.365000 5.310000 0.535000 ;
-      RECT 4.650000  1.035000 4.970000 1.995000 ;
-      RECT 5.140000  0.535000 5.310000 0.995000 ;
-      RECT 5.140000  0.995000 6.020000 1.325000 ;
-      RECT 5.140000  1.325000 5.310000 2.165000 ;
-      RECT 5.480000  1.530000 6.380000 1.905000 ;
-      RECT 5.490000  2.135000 5.805000 2.635000 ;
-      RECT 5.585000  0.085000 5.795000 0.615000 ;
-      RECT 6.040000  1.905000 6.380000 2.465000 ;
-      RECT 6.060000  0.300000 6.390000 0.825000 ;
-      RECT 6.190000  0.825000 6.390000 0.995000 ;
-      RECT 6.190000  0.995000 6.940000 1.325000 ;
-      RECT 6.190000  1.325000 6.380000 1.530000 ;
-      RECT 6.550000  1.625000 6.720000 2.635000 ;
-      RECT 6.560000  0.085000 6.730000 0.695000 ;
-      RECT 7.390000  1.720000 7.565000 2.635000 ;
-      RECT 7.400000  0.085000 7.570000 0.600000 ;
-      RECT 7.905000  0.345000 8.165000 0.615000 ;
-      RECT 7.905000  1.715000 8.235000 2.445000 ;
-      RECT 7.965000  0.615000 8.165000 0.995000 ;
-      RECT 7.965000  0.995000 8.760000 1.325000 ;
-      RECT 7.965000  1.325000 8.235000 1.715000 ;
-      RECT 8.390000  0.085000 8.720000 0.825000 ;
-      RECT 8.425000  1.495000 8.640000 2.635000 ;
-      RECT 9.315000  0.085000 9.565000 0.905000 ;
-      RECT 9.315000  1.495000 9.565000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.630000  1.785000 0.800000 1.955000 ;
-      RECT 1.025000  1.445000 1.195000 1.615000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.215000  1.445000 2.385000 1.615000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.730000  1.785000 2.900000 1.955000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.300000  1.785000 4.470000 1.955000 ;
-      RECT 4.735000  1.445000 4.905000 1.615000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.570000 1.755000 0.860000 1.800000 ;
-      RECT 0.570000 1.800000 4.530000 1.940000 ;
-      RECT 0.570000 1.940000 0.860000 1.985000 ;
-      RECT 0.965000 1.415000 1.255000 1.460000 ;
-      RECT 0.965000 1.460000 4.965000 1.600000 ;
-      RECT 0.965000 1.600000 1.255000 1.645000 ;
-      RECT 2.155000 1.415000 2.445000 1.460000 ;
-      RECT 2.155000 1.600000 2.445000 1.645000 ;
-      RECT 2.670000 1.755000 2.960000 1.800000 ;
-      RECT 2.670000 1.940000 2.960000 1.985000 ;
-      RECT 4.240000 1.755000 4.530000 1.800000 ;
-      RECT 4.240000 1.940000 4.530000 1.985000 ;
-      RECT 4.675000 1.415000 4.965000 1.460000 ;
-      RECT 4.675000 1.600000 4.965000 1.645000 ;
-  END
-END sky130_fd_sc_hd__dfxbp_2
-MACRO sky130_fd_sc_hd__dfxbp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dfxbp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  8.740000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.370000 0.715000 1.650000 1.665000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.890000 1.495000 7.300000 1.575000 ;
-        RECT 6.890000 1.575000 7.220000 2.420000 ;
-        RECT 6.900000 0.305000 7.230000 0.740000 ;
-        RECT 6.900000 0.740000 7.300000 0.825000 ;
-        RECT 7.055000 0.825000 7.300000 0.865000 ;
-        RECT 7.065000 1.445000 7.300000 1.495000 ;
-        RECT 7.110000 0.865000 7.300000 1.445000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 8.315000 1.480000 8.650000 2.465000 ;
-        RECT 8.395000 0.255000 8.650000 0.910000 ;
-        RECT 8.415000 0.910000 8.650000 1.480000 ;
-    END
-  END Q_N
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.975000 0.440000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 8.740000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.930000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 8.740000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 8.740000 0.085000 ;
-      RECT 0.000000  2.635000 8.740000 2.805000 ;
-      RECT 0.175000  0.345000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 0.840000 0.805000 ;
-      RECT 0.175000  1.795000 0.840000 1.965000 ;
-      RECT 0.175000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.840000 1.795000 ;
-      RECT 1.015000  0.345000 1.200000 2.465000 ;
-      RECT 1.440000  2.175000 1.705000 2.635000 ;
-      RECT 1.455000  0.085000 1.705000 0.545000 ;
-      RECT 1.820000  0.675000 2.045000 0.805000 ;
-      RECT 1.820000  0.805000 1.990000 1.910000 ;
-      RECT 1.820000  1.910000 2.125000 2.040000 ;
-      RECT 1.875000  0.365000 2.210000 0.535000 ;
-      RECT 1.875000  0.535000 2.045000 0.675000 ;
-      RECT 1.875000  2.040000 2.125000 2.465000 ;
-      RECT 2.160000  1.125000 2.400000 1.720000 ;
-      RECT 2.215000  0.735000 2.740000 0.955000 ;
-      RECT 2.335000  2.190000 3.440000 2.360000 ;
-      RECT 2.405000  0.365000 3.080000 0.535000 ;
-      RECT 2.570000  0.955000 2.740000 1.655000 ;
-      RECT 2.570000  1.655000 3.100000 2.020000 ;
-      RECT 2.910000  0.535000 3.080000 1.315000 ;
-      RECT 2.910000  1.315000 3.780000 1.485000 ;
-      RECT 3.270000  1.485000 3.780000 1.575000 ;
-      RECT 3.270000  1.575000 3.440000 2.190000 ;
-      RECT 3.290000  0.765000 4.120000 1.065000 ;
-      RECT 3.290000  1.065000 3.490000 1.095000 ;
-      RECT 3.400000  0.085000 3.770000 0.585000 ;
-      RECT 3.610000  1.245000 3.780000 1.315000 ;
-      RECT 3.610000  1.835000 3.780000 2.635000 ;
-      RECT 3.950000  0.365000 4.355000 0.535000 ;
-      RECT 3.950000  0.535000 4.120000 0.765000 ;
-      RECT 3.950000  1.065000 4.120000 2.135000 ;
-      RECT 3.950000  2.135000 4.200000 2.465000 ;
-      RECT 4.290000  1.245000 4.480000 1.965000 ;
-      RECT 4.425000  2.165000 5.310000 2.335000 ;
-      RECT 4.505000  0.705000 4.970000 1.035000 ;
-      RECT 4.525000  0.365000 5.310000 0.535000 ;
-      RECT 4.650000  1.035000 4.970000 1.995000 ;
-      RECT 5.140000  0.535000 5.310000 0.995000 ;
-      RECT 5.140000  0.995000 6.020000 1.325000 ;
-      RECT 5.140000  1.325000 5.310000 2.165000 ;
-      RECT 5.480000  1.530000 6.380000 1.905000 ;
-      RECT 5.490000  2.135000 5.805000 2.635000 ;
-      RECT 5.585000  0.085000 5.795000 0.615000 ;
-      RECT 6.040000  1.905000 6.380000 2.465000 ;
-      RECT 6.060000  0.300000 6.390000 0.825000 ;
-      RECT 6.190000  0.825000 6.390000 0.995000 ;
-      RECT 6.190000  0.995000 6.940000 1.325000 ;
-      RECT 6.190000  1.325000 6.380000 1.530000 ;
-      RECT 6.550000  1.625000 6.720000 2.635000 ;
-      RECT 6.560000  0.085000 6.730000 0.695000 ;
-      RECT 7.410000  1.715000 7.740000 2.445000 ;
-      RECT 7.420000  0.345000 7.670000 0.615000 ;
-      RECT 7.470000  0.615000 7.670000 0.995000 ;
-      RECT 7.470000  0.995000 8.245000 1.325000 ;
-      RECT 7.470000  1.325000 7.740000 1.715000 ;
-      RECT 7.905000  0.085000 8.225000 0.545000 ;
-      RECT 7.930000  1.495000 8.145000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.630000  1.785000 0.800000 1.955000 ;
-      RECT 1.025000  1.445000 1.195000 1.615000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.215000  1.445000 2.385000 1.615000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.730000  1.785000 2.900000 1.955000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.300000  1.785000 4.470000 1.955000 ;
-      RECT 4.735000  1.445000 4.905000 1.615000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.570000 1.755000 0.860000 1.800000 ;
-      RECT 0.570000 1.800000 4.530000 1.940000 ;
-      RECT 0.570000 1.940000 0.860000 1.985000 ;
-      RECT 0.965000 1.415000 1.255000 1.460000 ;
-      RECT 0.965000 1.460000 4.965000 1.600000 ;
-      RECT 0.965000 1.600000 1.255000 1.645000 ;
-      RECT 2.155000 1.415000 2.445000 1.460000 ;
-      RECT 2.155000 1.600000 2.445000 1.645000 ;
-      RECT 2.670000 1.755000 2.960000 1.800000 ;
-      RECT 2.670000 1.940000 2.960000 1.985000 ;
-      RECT 4.240000 1.755000 4.530000 1.800000 ;
-      RECT 4.240000 1.940000 4.530000 1.985000 ;
-      RECT 4.675000 1.415000 4.965000 1.460000 ;
-      RECT 4.675000 1.600000 4.965000 1.645000 ;
-  END
-END sky130_fd_sc_hd__dfxbp_1
-MACRO sky130_fd_sc_hd__a2bb2oi_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a2bb2oi_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1_N
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.150000 0.995000 0.520000 1.615000 ;
-    END
-  END A1_N
-  PIN A2_N
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.725000 1.010000 1.240000 1.275000 ;
-    END
-  END A2_N
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.780000 0.995000 3.070000 1.615000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.245000 0.995000 2.610000 1.615000 ;
-        RECT 2.440000 0.425000 2.610000 0.995000 ;
-    END
-  END B2
-  PIN Y
-    ANTENNADIFFAREA  0.515500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.420000 1.785000 1.945000 1.955000 ;
-        RECT 1.420000 1.955000 1.785000 2.465000 ;
-        RECT 1.775000 0.255000 2.205000 0.825000 ;
-        RECT 1.775000 0.825000 1.945000 1.785000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.095000  0.085000 0.425000 0.825000 ;
-      RECT 0.095000  1.805000 0.425000 2.635000 ;
-      RECT 0.595000  0.255000 0.765000 0.660000 ;
-      RECT 0.595000  0.660000 1.580000 0.830000 ;
-      RECT 0.875000  1.445000 1.580000 1.615000 ;
-      RECT 0.875000  1.615000 1.205000 2.465000 ;
-      RECT 0.935000  0.085000 1.605000 0.490000 ;
-      RECT 1.410000  0.830000 1.580000 1.445000 ;
-      RECT 1.955000  2.235000 2.285000 2.465000 ;
-      RECT 2.115000  1.785000 3.130000 1.955000 ;
-      RECT 2.115000  1.955000 2.285000 2.235000 ;
-      RECT 2.455000  2.135000 2.705000 2.635000 ;
-      RECT 2.795000  0.085000 3.125000 0.825000 ;
-      RECT 2.875000  1.955000 3.130000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a2bb2oi_1
-MACRO sky130_fd_sc_hd__a2bb2oi_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a2bb2oi_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.660000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1_N
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.945000 1.075000 7.320000 1.275000 ;
-    END
-  END A1_N
-  PIN A2_N
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.595000 1.075000 9.045000 1.275000 ;
-    END
-  END A2_N
-  PIN B1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.100000 1.075000 1.555000 1.285000 ;
-        RECT 1.385000 1.285000 1.555000 1.445000 ;
-        RECT 1.385000 1.445000 3.575000 1.615000 ;
-        RECT 3.245000 1.075000 3.575000 1.445000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.725000 1.075000 3.075000 1.275000 ;
-    END
-  END B2
-  PIN Y
-    ANTENNADIFFAREA  1.242000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.775000 0.645000 2.995000 0.725000 ;
-        RECT 1.775000 0.725000 5.045000 0.905000 ;
-        RECT 3.745000 0.905000 3.915000 1.415000 ;
-        RECT 3.745000 1.415000 4.965000 1.615000 ;
-        RECT 3.875000 0.275000 4.205000 0.725000 ;
-        RECT 3.915000 1.615000 4.165000 2.125000 ;
-        RECT 4.715000 0.275000 5.045000 0.725000 ;
-        RECT 4.745000 1.615000 4.965000 2.125000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.660000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.850000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.660000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.660000 0.085000 ;
-      RECT 0.000000  2.635000 9.660000 2.805000 ;
-      RECT 0.085000  1.455000 1.215000 1.625000 ;
-      RECT 0.085000  1.625000 0.425000 2.465000 ;
-      RECT 0.175000  0.085000 0.345000 0.895000 ;
-      RECT 0.515000  0.255000 0.845000 0.725000 ;
-      RECT 0.515000  0.725000 1.605000 0.905000 ;
-      RECT 0.595000  1.795000 0.805000 2.635000 ;
-      RECT 0.975000  1.625000 1.215000 1.795000 ;
-      RECT 0.975000  1.795000 3.745000 1.965000 ;
-      RECT 0.975000  1.965000 1.215000 2.465000 ;
-      RECT 1.015000  0.085000 1.185000 0.555000 ;
-      RECT 1.355000  0.255000 3.365000 0.475000 ;
-      RECT 1.355000  0.475000 1.605000 0.725000 ;
-      RECT 1.395000  2.135000 1.645000 2.635000 ;
-      RECT 1.815000  1.965000 2.065000 2.465000 ;
-      RECT 2.235000  2.135000 2.485000 2.635000 ;
-      RECT 2.655000  1.965000 2.905000 2.465000 ;
-      RECT 3.075000  2.135000 3.325000 2.635000 ;
-      RECT 3.495000  1.965000 3.745000 2.295000 ;
-      RECT 3.495000  2.295000 5.465000 2.465000 ;
-      RECT 3.535000  0.085000 3.705000 0.555000 ;
-      RECT 4.085000  1.075000 5.725000 1.245000 ;
-      RECT 4.335000  1.795000 4.575000 2.295000 ;
-      RECT 4.375000  0.085000 4.545000 0.555000 ;
-      RECT 5.135000  1.455000 5.465000 2.295000 ;
-      RECT 5.215000  0.085000 5.905000 0.555000 ;
-      RECT 5.555000  0.735000 9.575000 0.905000 ;
-      RECT 5.555000  0.905000 5.725000 1.075000 ;
-      RECT 5.655000  1.455000 7.625000 1.625000 ;
-      RECT 5.655000  1.625000 5.985000 2.465000 ;
-      RECT 6.075000  0.255000 6.405000 0.725000 ;
-      RECT 6.075000  0.725000 8.925000 0.735000 ;
-      RECT 6.155000  1.795000 6.365000 2.635000 ;
-      RECT 6.540000  1.625000 6.780000 2.465000 ;
-      RECT 6.575000  0.085000 6.745000 0.555000 ;
-      RECT 6.915000  0.255000 7.245000 0.725000 ;
-      RECT 6.955000  1.795000 7.205000 2.635000 ;
-      RECT 7.375000  1.625000 7.625000 2.295000 ;
-      RECT 7.375000  2.295000 9.310000 2.465000 ;
-      RECT 7.415000  0.085000 7.585000 0.555000 ;
-      RECT 7.755000  0.255000 8.085000 0.725000 ;
-      RECT 7.795000  1.455000 9.575000 1.625000 ;
-      RECT 7.795000  1.625000 8.045000 2.125000 ;
-      RECT 8.215000  1.795000 8.465000 2.295000 ;
-      RECT 8.255000  0.085000 8.425000 0.555000 ;
-      RECT 8.595000  0.255000 8.925000 0.725000 ;
-      RECT 8.635000  1.625000 8.885000 2.125000 ;
-      RECT 9.060000  1.795000 9.310000 2.295000 ;
-      RECT 9.095000  0.085000 9.265000 0.555000 ;
-      RECT 9.215000  0.905000 9.575000 1.455000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a2bb2oi_4
-MACRO sky130_fd_sc_hd__a2bb2oi_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a2bb2oi_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.520000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1_N
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.310000 1.075000 4.205000 1.275000 ;
-    END
-  END A1_N
-  PIN A2_N
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.455000 1.075000 5.435000 1.275000 ;
-    END
-  END A2_N
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.710000 1.445000 ;
-        RECT 0.085000 1.445000 2.030000 1.615000 ;
-        RECT 1.700000 1.075000 2.030000 1.445000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.940000 1.075000 1.480000 1.275000 ;
-    END
-  END B2
-  PIN Y
-    ANTENNADIFFAREA  0.621000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.070000 0.645000 1.400000 0.725000 ;
-        RECT 1.070000 0.725000 2.660000 0.905000 ;
-        RECT 2.330000 0.255000 2.660000 0.725000 ;
-        RECT 2.370000 0.905000 2.660000 1.660000 ;
-        RECT 2.370000 1.660000 2.620000 2.125000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.520000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.710000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.520000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.520000 0.085000 ;
-      RECT 0.000000  2.635000 5.520000 2.805000 ;
-      RECT 0.270000  1.785000 2.200000 1.955000 ;
-      RECT 0.270000  1.955000 0.520000 2.465000 ;
-      RECT 0.310000  0.085000 0.480000 0.895000 ;
-      RECT 0.650000  0.255000 1.820000 0.475000 ;
-      RECT 0.650000  0.475000 0.900000 0.895000 ;
-      RECT 0.690000  2.135000 0.940000 2.635000 ;
-      RECT 1.110000  1.955000 1.360000 2.465000 ;
-      RECT 1.530000  2.135000 1.780000 2.635000 ;
-      RECT 1.950000  1.955000 2.200000 2.295000 ;
-      RECT 1.950000  2.295000 3.040000 2.465000 ;
-      RECT 1.990000  0.085000 2.160000 0.555000 ;
-      RECT 2.790000  1.795000 3.040000 2.295000 ;
-      RECT 2.830000  0.085000 3.520000 0.555000 ;
-      RECT 2.830000  0.995000 3.120000 1.325000 ;
-      RECT 2.950000  0.725000 4.860000 0.905000 ;
-      RECT 2.950000  0.905000 3.120000 0.995000 ;
-      RECT 2.950000  1.325000 3.120000 1.445000 ;
-      RECT 2.950000  1.445000 4.820000 1.615000 ;
-      RECT 3.310000  1.785000 4.400000 1.965000 ;
-      RECT 3.310000  1.965000 3.560000 2.465000 ;
-      RECT 3.690000  0.255000 4.020000 0.725000 ;
-      RECT 3.730000  2.135000 3.980000 2.635000 ;
-      RECT 4.150000  1.965000 4.400000 2.295000 ;
-      RECT 4.150000  2.295000 5.240000 2.465000 ;
-      RECT 4.190000  0.085000 4.360000 0.555000 ;
-      RECT 4.530000  0.255000 4.860000 0.725000 ;
-      RECT 4.570000  1.615000 4.820000 2.125000 ;
-      RECT 4.990000  1.455000 5.240000 2.295000 ;
-      RECT 5.030000  0.085000 5.200000 0.905000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a2bb2oi_2
-MACRO sky130_fd_sc_hd__nor4bb_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nor4bb_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.115000 0.995000 3.595000 1.275000 ;
-        RECT 3.295000 1.275000 3.595000 1.705000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.615000 0.995000 2.945000 1.445000 ;
-        RECT 2.615000 1.445000 3.085000 1.630000 ;
-        RECT 2.825000 1.630000 3.085000 2.410000 ;
-    END
-  END B
-  PIN C_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.430000 0.995000 0.780000 1.695000 ;
-    END
-  END C_N
-  PIN D_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.950000 0.995000 1.240000 1.325000 ;
-    END
-  END D_N
-  PIN Y
-    ANTENNADIFFAREA  0.606900 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.470000 1.955000 2.055000 2.125000 ;
-        RECT 1.855000 0.655000 3.085000 0.825000 ;
-        RECT 1.855000 0.825000 2.055000 1.955000 ;
-        RECT 2.015000 0.300000 2.215000 0.655000 ;
-        RECT 2.885000 0.310000 3.085000 0.655000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.085000  0.450000 0.405000 0.825000 ;
-      RECT 0.085000  0.825000 0.260000 1.885000 ;
-      RECT 0.085000  1.885000 1.205000 2.070000 ;
-      RECT 0.085000  2.070000 0.345000 2.455000 ;
-      RECT 0.515000  2.240000 0.845000 2.635000 ;
-      RECT 0.655000  0.085000 0.825000 0.825000 ;
-      RECT 0.995000  1.525000 1.590000 1.715000 ;
-      RECT 1.035000  2.070000 1.205000 2.295000 ;
-      RECT 1.035000  2.295000 2.395000 2.465000 ;
-      RECT 1.075000  0.450000 1.245000 0.655000 ;
-      RECT 1.075000  0.655000 1.590000 0.825000 ;
-      RECT 1.410000  0.825000 1.590000 0.995000 ;
-      RECT 1.410000  0.995000 1.685000 1.325000 ;
-      RECT 1.410000  1.325000 1.590000 1.525000 ;
-      RECT 1.515000  0.085000 1.845000 0.480000 ;
-      RECT 2.225000  0.995000 2.395000 2.295000 ;
-      RECT 2.385000  0.085000 2.715000 0.485000 ;
-      RECT 3.255000  0.085000 3.585000 0.825000 ;
-      RECT 3.255000  1.875000 3.585000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nor4bb_1
-MACRO sky130_fd_sc_hd__nor4bb_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nor4bb_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.980000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.130000 1.075000 5.895000 1.275000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.165000 1.075000 4.960000 1.275000 ;
-    END
-  END B
-  PIN C_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.950000 0.995000 1.235000 1.325000 ;
-    END
-  END C_N
-  PIN D_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.425000 0.995000 0.780000 1.695000 ;
-    END
-  END D_N
-  PIN Y
-    ANTENNADIFFAREA  0.972000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.060000 0.255000 2.390000 0.725000 ;
-        RECT 2.060000 0.725000 5.450000 0.905000 ;
-        RECT 2.900000 0.255000 3.230000 0.725000 ;
-        RECT 2.900000 1.445000 3.995000 1.705000 ;
-        RECT 3.575000 0.905000 3.995000 1.445000 ;
-        RECT 4.280000 0.255000 4.610000 0.725000 ;
-        RECT 5.120000 0.255000 5.450000 0.725000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.980000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.170000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.980000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.980000 0.085000 ;
-      RECT 0.000000  2.635000 5.980000 2.805000 ;
-      RECT 0.085000  0.450000 0.465000 0.825000 ;
-      RECT 0.085000  0.825000 0.255000 1.885000 ;
-      RECT 0.085000  1.885000 1.915000 2.055000 ;
-      RECT 0.085000  2.055000 0.345000 2.455000 ;
-      RECT 0.515000  2.240000 0.845000 2.635000 ;
-      RECT 0.635000  0.085000 0.805000 0.825000 ;
-      RECT 0.995000  1.525000 1.575000 1.715000 ;
-      RECT 1.055000  0.450000 1.250000 0.655000 ;
-      RECT 1.055000  0.655000 1.575000 0.825000 ;
-      RECT 1.405000  0.825000 1.575000 1.075000 ;
-      RECT 1.405000  1.075000 2.390000 1.245000 ;
-      RECT 1.405000  1.245000 1.575000 1.525000 ;
-      RECT 1.560000  0.085000 1.890000 0.480000 ;
-      RECT 1.640000  2.225000 1.970000 2.295000 ;
-      RECT 1.640000  2.295000 3.650000 2.465000 ;
-      RECT 1.745000  1.415000 2.730000 1.585000 ;
-      RECT 1.745000  1.585000 1.915000 1.885000 ;
-      RECT 2.140000  1.795000 2.310000 1.875000 ;
-      RECT 2.140000  1.875000 4.610000 2.045000 ;
-      RECT 2.140000  2.045000 2.310000 2.125000 ;
-      RECT 2.480000  2.215000 3.650000 2.295000 ;
-      RECT 2.560000  0.085000 2.730000 0.555000 ;
-      RECT 2.560000  1.075000 3.405000 1.275000 ;
-      RECT 2.560000  1.275000 2.730000 1.415000 ;
-      RECT 3.400000  0.085000 4.110000 0.555000 ;
-      RECT 3.860000  2.215000 4.990000 2.465000 ;
-      RECT 4.320000  1.455000 4.610000 1.875000 ;
-      RECT 4.780000  0.085000 4.950000 0.555000 ;
-      RECT 4.780000  1.455000 5.870000 1.625000 ;
-      RECT 4.780000  1.625000 4.990000 2.215000 ;
-      RECT 5.160000  1.795000 5.370000 2.635000 ;
-      RECT 5.540000  1.625000 5.870000 2.465000 ;
-      RECT 5.620000  0.085000 5.895000 0.905000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nor4bb_2
-MACRO sky130_fd_sc_hd__nor4bb_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nor4bb_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.200000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.375000 1.075000 9.110000 1.285000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.150000 1.075000 7.105000 1.285000 ;
-    END
-  END B
-  PIN C_N
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.110000 1.075000 0.445000 1.365000 ;
-    END
-  END C_N
-  PIN D_N
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.955000 1.075000 1.295000 1.325000 ;
-    END
-  END D_N
-  PIN Y
-    ANTENNADIFFAREA  1.944000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.840000 1.415000 3.185000 1.705000 ;
-        RECT 1.935000 0.255000 2.265000 0.725000 ;
-        RECT 1.935000 0.725000 8.665000 0.905000 ;
-        RECT 2.775000 0.255000 3.105000 0.725000 ;
-        RECT 3.015000 0.905000 3.185000 1.415000 ;
-        RECT 3.615000 0.255000 3.945000 0.725000 ;
-        RECT 4.455000 0.255000 4.785000 0.725000 ;
-        RECT 5.815000 0.255000 6.145000 0.725000 ;
-        RECT 6.655000 0.255000 6.985000 0.725000 ;
-        RECT 7.495000 0.255000 7.825000 0.725000 ;
-        RECT 8.335000 0.255000 8.665000 0.725000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.200000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.390000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.200000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.200000 0.085000 ;
-      RECT 0.000000  2.635000 9.200000 2.805000 ;
-      RECT 0.085000  0.255000 0.445000 0.725000 ;
-      RECT 0.085000  0.725000 0.785000 0.895000 ;
-      RECT 0.085000  1.535000 0.785000 1.875000 ;
-      RECT 0.085000  1.875000 3.525000 2.045000 ;
-      RECT 0.085000  2.045000 0.365000 2.465000 ;
-      RECT 0.535000  2.215000 0.865000 2.635000 ;
-      RECT 0.615000  0.085000 0.785000 0.555000 ;
-      RECT 0.615000  0.895000 0.785000 1.535000 ;
-      RECT 0.955000  0.255000 1.285000 0.735000 ;
-      RECT 0.955000  0.735000 1.635000 0.905000 ;
-      RECT 0.955000  1.535000 1.635000 1.705000 ;
-      RECT 1.465000  0.905000 1.635000 1.075000 ;
-      RECT 1.465000  1.075000 2.845000 1.245000 ;
-      RECT 1.465000  1.245000 1.635000 1.535000 ;
-      RECT 1.515000  2.215000 3.525000 2.295000 ;
-      RECT 1.515000  2.295000 5.195000 2.465000 ;
-      RECT 1.595000  0.085000 1.765000 0.555000 ;
-      RECT 2.435000  0.085000 2.605000 0.555000 ;
-      RECT 3.275000  0.085000 3.445000 0.555000 ;
-      RECT 3.355000  1.075000 4.905000 1.285000 ;
-      RECT 3.355000  1.285000 3.525000 1.875000 ;
-      RECT 3.695000  1.455000 6.945000 1.625000 ;
-      RECT 3.695000  1.625000 3.905000 2.125000 ;
-      RECT 4.075000  1.795000 4.325000 2.295000 ;
-      RECT 4.115000  0.085000 4.285000 0.555000 ;
-      RECT 4.495000  1.625000 4.745000 2.125000 ;
-      RECT 4.915000  1.795000 5.195000 2.295000 ;
-      RECT 4.955000  0.085000 5.645000 0.555000 ;
-      RECT 5.380000  1.795000 5.685000 2.295000 ;
-      RECT 5.380000  2.295000 7.365000 2.465000 ;
-      RECT 5.855000  1.625000 6.105000 2.125000 ;
-      RECT 6.275000  1.795000 6.525000 2.295000 ;
-      RECT 6.315000  0.085000 6.485000 0.555000 ;
-      RECT 6.695000  1.625000 6.945000 2.125000 ;
-      RECT 7.115000  1.455000 9.110000 1.625000 ;
-      RECT 7.115000  1.625000 7.365000 2.295000 ;
-      RECT 7.155000  0.085000 7.325000 0.555000 ;
-      RECT 7.535000  1.795000 7.785000 2.635000 ;
-      RECT 7.955000  1.625000 8.205000 2.465000 ;
-      RECT 7.995000  0.085000 8.165000 0.555000 ;
-      RECT 8.375000  1.795000 8.625000 2.635000 ;
-      RECT 8.795000  1.625000 9.110000 2.465000 ;
-      RECT 8.835000  0.085000 9.110000 0.905000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nor4bb_4
-MACRO sky130_fd_sc_hd__ha_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__ha_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.520000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.790000 1.055000 4.045000 1.225000 ;
-        RECT 3.820000 1.225000 4.045000 1.675000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.310000 1.005000 2.615000 1.395000 ;
-        RECT 2.310000 1.395000 3.595000 1.675000 ;
-    END
-  END B
-  PIN COUT
-    ANTENNADIFFAREA  0.511500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.635000 0.315000 4.965000 0.825000 ;
-        RECT 4.715000 1.545000 4.965000 2.415000 ;
-        RECT 4.790000 0.825000 4.965000 1.545000 ;
-    END
-  END COUT
-  PIN SUM
-    ANTENNADIFFAREA  0.511500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.555000 0.315000 0.885000 0.825000 ;
-        RECT 0.555000 0.825000 0.780000 1.565000 ;
-        RECT 0.555000 1.565000 0.885000 2.415000 ;
-    END
-  END SUM
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.520000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.710000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.520000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.520000 0.085000 ;
-      RECT 0.000000  2.635000 5.520000 2.805000 ;
-      RECT 0.135000  0.085000 0.375000 0.885000 ;
-      RECT 0.135000  1.495000 0.375000 2.635000 ;
-      RECT 0.950000  1.075000 1.590000 1.245000 ;
-      RECT 1.055000  0.085000 1.250000 0.885000 ;
-      RECT 1.055000  1.515000 1.250000 2.635000 ;
-      RECT 1.420000  0.345000 1.745000 0.675000 ;
-      RECT 1.420000  0.675000 1.590000 1.075000 ;
-      RECT 1.420000  1.245000 1.590000 2.205000 ;
-      RECT 1.420000  2.205000 2.220000 2.375000 ;
-      RECT 1.760000  0.995000 1.930000 1.855000 ;
-      RECT 1.760000  1.855000 4.465000 2.025000 ;
-      RECT 1.995000  0.345000 2.165000 0.635000 ;
-      RECT 1.995000  0.635000 3.005000 0.805000 ;
-      RECT 2.335000  0.085000 2.665000 0.465000 ;
-      RECT 2.835000  0.345000 3.005000 0.635000 ;
-      RECT 2.850000  2.205000 3.640000 2.635000 ;
-      RECT 3.460000  0.345000 3.630000 0.715000 ;
-      RECT 3.460000  0.715000 4.465000 0.885000 ;
-      RECT 3.810000  2.025000 3.980000 2.355000 ;
-      RECT 4.215000  0.085000 4.465000 0.545000 ;
-      RECT 4.215000  2.205000 4.545000 2.635000 ;
-      RECT 4.295000  0.885000 4.465000 0.995000 ;
-      RECT 4.295000  0.995000 4.620000 1.325000 ;
-      RECT 4.295000  1.325000 4.465000 1.855000 ;
-      RECT 5.145000  0.085000 5.385000 0.885000 ;
-      RECT 5.145000  1.495000 5.385000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-  END
-END sky130_fd_sc_hd__ha_2
-MACRO sky130_fd_sc_hd__ha_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__ha_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.200000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.320000 1.075000 4.380000 1.245000 ;
-        RECT 4.210000 1.245000 4.380000 1.505000 ;
-        RECT 4.210000 1.505000 6.810000 1.675000 ;
-        RECT 5.625000 0.995000 5.795000 1.505000 ;
-        RECT 6.580000 0.995000 7.055000 1.325000 ;
-        RECT 6.580000 1.325000 6.810000 1.505000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.550000 0.995000 5.455000 1.165000 ;
-        RECT 4.550000 1.165000 4.720000 1.325000 ;
-        RECT 5.285000 0.730000 6.315000 0.825000 ;
-        RECT 5.285000 0.825000 5.535000 0.845000 ;
-        RECT 5.285000 0.845000 5.495000 0.875000 ;
-        RECT 5.285000 0.875000 5.455000 0.995000 ;
-        RECT 5.295000 0.720000 6.315000 0.730000 ;
-        RECT 5.310000 0.710000 6.315000 0.720000 ;
-        RECT 5.320000 0.695000 6.315000 0.710000 ;
-        RECT 5.335000 0.675000 6.315000 0.695000 ;
-        RECT 5.345000 0.655000 6.315000 0.675000 ;
-        RECT 6.085000 0.825000 6.315000 1.325000 ;
-    END
-  END B
-  PIN COUT
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.595000 0.315000 7.845000 0.735000 ;
-        RECT 7.595000 0.735000 8.685000 0.905000 ;
-        RECT 7.595000 1.415000 8.685000 1.585000 ;
-        RECT 7.595000 1.585000 7.765000 2.415000 ;
-        RECT 8.405000 0.315000 8.685000 0.735000 ;
-        RECT 8.405000 0.905000 8.685000 1.415000 ;
-        RECT 8.405000 1.585000 8.685000 2.415000 ;
-    END
-  END COUT
-  PIN SUM
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 0.315000 0.845000 1.065000 ;
-        RECT 0.515000 1.065000 1.550000 1.335000 ;
-        RECT 0.515000 1.335000 0.845000 2.415000 ;
-        RECT 1.355000 0.315000 1.685000 0.825000 ;
-        RECT 1.355000 0.825000 1.550000 1.065000 ;
-        RECT 1.355000 1.335000 1.550000 1.565000 ;
-        RECT 1.355000 1.565000 1.685000 2.415000 ;
-    END
-  END SUM
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.200000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.390000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.200000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.200000 0.085000 ;
-      RECT 0.000000  2.635000 9.200000 2.805000 ;
-      RECT 0.135000  0.085000 0.345000 0.885000 ;
-      RECT 0.135000  1.495000 0.345000 2.635000 ;
-      RECT 1.015000  0.085000 1.185000 0.885000 ;
-      RECT 1.015000  1.515000 1.185000 2.635000 ;
-      RECT 1.720000  1.075000 2.750000 1.245000 ;
-      RECT 1.855000  0.085000 2.095000 0.885000 ;
-      RECT 1.855000  1.495000 2.365000 2.635000 ;
-      RECT 2.270000  0.305000 3.385000 0.475000 ;
-      RECT 2.580000  0.645000 3.045000 0.815000 ;
-      RECT 2.580000  0.815000 2.750000 1.075000 ;
-      RECT 2.580000  1.245000 2.750000 1.765000 ;
-      RECT 2.580000  1.765000 3.700000 1.935000 ;
-      RECT 2.770000  1.935000 2.940000 2.355000 ;
-      RECT 2.920000  0.995000 3.090000 1.425000 ;
-      RECT 2.920000  1.425000 4.040000 1.595000 ;
-      RECT 3.190000  2.105000 3.360000 2.635000 ;
-      RECT 3.215000  0.475000 3.385000 0.645000 ;
-      RECT 3.215000  0.645000 5.115000 0.815000 ;
-      RECT 3.530000  1.935000 3.700000 2.205000 ;
-      RECT 3.530000  2.205000 4.330000 2.375000 ;
-      RECT 3.555000  0.085000 3.910000 0.465000 ;
-      RECT 3.870000  1.595000 4.040000 1.855000 ;
-      RECT 3.870000  1.855000 7.395000 2.025000 ;
-      RECT 4.080000  0.345000 4.250000 0.645000 ;
-      RECT 4.420000  0.085000 4.750000 0.465000 ;
-      RECT 4.920000  0.255000 5.190000 0.585000 ;
-      RECT 4.920000  0.585000 5.115000 0.645000 ;
-      RECT 5.240000  2.205000 5.570000 2.635000 ;
-      RECT 5.385000  0.085000 5.715000 0.465000 ;
-      RECT 5.835000  2.025000 6.005000 2.355000 ;
-      RECT 6.175000  0.295000 6.875000 0.465000 ;
-      RECT 6.175000  2.205000 6.505000 2.635000 ;
-      RECT 6.675000  2.025000 6.845000 2.355000 ;
-      RECT 6.705000  0.465000 6.875000 0.645000 ;
-      RECT 6.705000  0.645000 7.395000 0.815000 ;
-      RECT 7.055000  0.085000 7.385000 0.465000 ;
-      RECT 7.055000  2.205000 7.385000 2.635000 ;
-      RECT 7.225000  0.815000 7.395000 1.075000 ;
-      RECT 7.225000  1.075000 8.225000 1.245000 ;
-      RECT 7.225000  1.245000 7.395000 1.855000 ;
-      RECT 7.935000  1.755000 8.225000 2.635000 ;
-      RECT 8.015000  0.085000 8.225000 0.565000 ;
-      RECT 8.855000  0.085000 9.065000 0.885000 ;
-      RECT 8.855000  1.495000 9.065000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-  END
-END sky130_fd_sc_hd__ha_4
-MACRO sky130_fd_sc_hd__ha_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__ha_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.600000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.335000 1.315000 3.585000 1.485000 ;
-        RECT 3.360000 1.055000 3.585000 1.315000 ;
-        RECT 3.360000 1.485000 3.585000 1.615000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.850000 1.345000 2.155000 1.655000 ;
-        RECT 1.850000 1.655000 3.165000 1.825000 ;
-        RECT 1.850000 1.825000 2.155000 2.375000 ;
-    END
-  END B
-  PIN COUT
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.175000 0.315000 4.515000 0.825000 ;
-        RECT 4.175000 1.565000 4.515000 2.415000 ;
-        RECT 4.330000 0.825000 4.515000 1.565000 ;
-    END
-  END COUT
-  PIN SUM
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.315000 0.425000 0.825000 ;
-        RECT 0.090000 0.825000 0.320000 1.565000 ;
-        RECT 0.090000 1.565000 0.425000 2.415000 ;
-    END
-  END SUM
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.600000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.790000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.600000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.600000 0.085000 ;
-      RECT 0.000000  2.635000 4.600000 2.805000 ;
-      RECT 0.490000  1.075000 1.130000 1.245000 ;
-      RECT 0.595000  0.085000 0.790000 0.885000 ;
-      RECT 0.595000  1.515000 0.790000 2.275000 ;
-      RECT 0.595000  2.275000 1.260000 2.635000 ;
-      RECT 0.960000  0.345000 1.285000 0.675000 ;
-      RECT 0.960000  0.675000 1.130000 1.075000 ;
-      RECT 0.960000  1.245000 1.130000 1.935000 ;
-      RECT 0.960000  1.935000 1.680000 2.105000 ;
-      RECT 1.300000  0.975000 3.170000 1.145000 ;
-      RECT 1.300000  1.145000 1.470000 1.325000 ;
-      RECT 1.510000  2.105000 1.680000 2.355000 ;
-      RECT 1.535000  0.345000 1.705000 0.635000 ;
-      RECT 1.535000  0.635000 2.545000 0.805000 ;
-      RECT 1.875000  0.085000 2.205000 0.465000 ;
-      RECT 2.375000  0.345000 2.545000 0.635000 ;
-      RECT 2.450000  2.275000 3.120000 2.635000 ;
-      RECT 3.000000  0.345000 3.170000 0.715000 ;
-      RECT 3.000000  0.715000 4.005000 0.885000 ;
-      RECT 3.000000  0.885000 3.170000 0.975000 ;
-      RECT 3.350000  1.785000 4.005000 1.955000 ;
-      RECT 3.350000  1.955000 3.520000 2.355000 ;
-      RECT 3.755000  0.085000 4.005000 0.545000 ;
-      RECT 3.755000  2.125000 4.005000 2.635000 ;
-      RECT 3.835000  0.885000 4.005000 0.995000 ;
-      RECT 3.835000  0.995000 4.160000 1.325000 ;
-      RECT 3.835000  1.325000 4.005000 1.785000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-  END
-END sky130_fd_sc_hd__ha_1
-MACRO sky130_fd_sc_hd__dlclkp_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlclkp_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.820000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN GATE
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.530000 0.765000 1.950000 1.015000 ;
-    END
-  END GATE
-  PIN GCLK
-    ANTENNADIFFAREA  1.039500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.040000 0.255000 6.460000 0.545000 ;
-        RECT 6.040000 1.835000 7.300000 2.005000 ;
-        RECT 6.040000 2.005000 6.370000 2.455000 ;
-        RECT 6.290000 0.545000 6.460000 0.715000 ;
-        RECT 6.290000 0.715000 7.300000 0.885000 ;
-        RECT 6.585000 1.785000 7.300000 1.835000 ;
-        RECT 6.750000 0.885000 7.300000 1.785000 ;
-        RECT 6.970000 0.255000 7.300000 0.715000 ;
-        RECT 6.970000 2.005000 7.300000 2.465000 ;
-    END
-  END GCLK
-  PIN CLK
-    ANTENNAGATEAREA  0.406500 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.985000 0.330000 1.625000 ;
-      LAYER mcon ;
-        RECT 0.150000 1.105000 0.320000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 5.230000 1.055000 5.740000 1.325000 ;
-      LAYER mcon ;
-        RECT 5.230000 1.105000 5.400000 1.275000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.090000 1.075000 0.380000 1.120000 ;
-        RECT 0.090000 1.120000 5.460000 1.260000 ;
-        RECT 0.090000 1.260000 0.380000 1.305000 ;
-        RECT 5.170000 1.075000 5.460000 1.120000 ;
-        RECT 5.170000 1.260000 5.460000 1.305000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.820000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.010000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.820000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.820000 0.085000 ;
-      RECT 0.000000  2.635000 7.820000 2.805000 ;
-      RECT 0.085000  0.345000 0.345000 0.635000 ;
-      RECT 0.085000  0.635000 0.780000 0.805000 ;
-      RECT 0.085000  1.795000 0.780000 1.965000 ;
-      RECT 0.085000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.780000 1.070000 ;
-      RECT 0.610000  1.070000 0.840000 1.400000 ;
-      RECT 0.610000  1.400000 0.780000 1.795000 ;
-      RECT 1.015000  0.345000 1.280000 1.355000 ;
-      RECT 1.015000  1.355000 2.335000 1.585000 ;
-      RECT 1.015000  1.585000 1.240000 2.465000 ;
-      RECT 1.450000  0.085000 1.785000 0.465000 ;
-      RECT 1.450000  2.195000 1.815000 2.635000 ;
-      RECT 1.525000  1.785000 1.695000 1.855000 ;
-      RECT 1.525000  1.855000 2.845000 1.905000 ;
-      RECT 1.525000  1.905000 2.735000 2.025000 ;
-      RECT 2.045000  1.585000 2.335000 1.685000 ;
-      RECT 2.290000  0.705000 2.735000 1.035000 ;
-      RECT 2.415000  0.365000 3.075000 0.535000 ;
-      RECT 2.475000  2.195000 3.165000 2.425000 ;
-      RECT 2.505000  1.575000 2.845000 1.855000 ;
-      RECT 2.565000  1.035000 2.735000 1.575000 ;
-      RECT 2.905000  0.535000 3.075000 0.995000 ;
-      RECT 2.905000  0.995000 3.775000 1.165000 ;
-      RECT 2.915000  2.060000 3.185000 2.090000 ;
-      RECT 2.915000  2.090000 3.180000 2.105000 ;
-      RECT 2.915000  2.105000 3.165000 2.195000 ;
-      RECT 2.980000  2.015000 3.185000 2.060000 ;
-      RECT 3.015000  1.165000 3.775000 1.325000 ;
-      RECT 3.015000  1.325000 3.185000 2.015000 ;
-      RECT 3.315000  0.085000 3.650000 0.530000 ;
-      RECT 3.335000  2.175000 3.695000 2.635000 ;
-      RECT 3.355000  1.535000 4.115000 1.865000 ;
-      RECT 3.895000  0.415000 4.115000 0.745000 ;
-      RECT 3.895000  1.865000 4.115000 2.435000 ;
-      RECT 3.945000  0.745000 4.115000 0.995000 ;
-      RECT 3.945000  0.995000 4.720000 1.325000 ;
-      RECT 3.945000  1.325000 4.115000 1.535000 ;
-      RECT 4.295000  0.085000 4.580000 0.715000 ;
-      RECT 4.295000  2.010000 4.580000 2.635000 ;
-      RECT 4.750000  0.290000 5.060000 0.715000 ;
-      RECT 4.750000  0.715000 6.120000 0.825000 ;
-      RECT 4.750000  1.495000 6.140000 1.665000 ;
-      RECT 4.750000  1.665000 5.035000 2.465000 ;
-      RECT 4.890000  0.825000 6.120000 0.885000 ;
-      RECT 4.890000  0.885000 5.060000 1.495000 ;
-      RECT 5.575000  1.835000 5.840000 2.635000 ;
-      RECT 5.590000  0.085000 5.870000 0.545000 ;
-      RECT 5.910000  0.885000 6.120000 1.055000 ;
-      RECT 5.910000  1.055000 6.580000 1.290000 ;
-      RECT 5.910000  1.290000 6.140000 1.495000 ;
-      RECT 6.540000  2.175000 6.800000 2.635000 ;
-      RECT 6.630000  0.085000 6.800000 0.545000 ;
-      RECT 7.470000  0.085000 7.735000 0.885000 ;
-      RECT 7.470000  1.485000 7.735000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.610000  1.785000 0.780000 1.955000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.755000 0.840000 1.800000 ;
-      RECT 0.550000 1.800000 1.755000 1.940000 ;
-      RECT 0.550000 1.940000 0.840000 1.985000 ;
-      RECT 1.465000 1.755000 1.755000 1.800000 ;
-      RECT 1.465000 1.940000 1.755000 1.985000 ;
-  END
-END sky130_fd_sc_hd__dlclkp_4
-MACRO sky130_fd_sc_hd__dlclkp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlclkp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.440000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN GATE
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.525000 1.435000 2.185000 1.685000 ;
-        RECT 1.985000 0.385000 2.185000 1.435000 ;
-    END
-  END GATE
-  PIN GCLK
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.055000 0.255000 6.355000 0.595000 ;
-        RECT 6.090000 1.495000 6.355000 2.455000 ;
-        RECT 6.170000 0.595000 6.355000 1.495000 ;
-    END
-  END GCLK
-  PIN CLK
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.985000 0.330000 1.625000 ;
-      LAYER mcon ;
-        RECT 0.145000 1.105000 0.315000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 5.190000 1.105000 5.510000 1.435000 ;
-      LAYER mcon ;
-        RECT 5.210000 1.105000 5.380000 1.275000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.085000 1.075000 0.380000 1.120000 ;
-        RECT 0.085000 1.120000 5.440000 1.260000 ;
-        RECT 0.085000 1.260000 0.380000 1.305000 ;
-        RECT 5.150000 1.075000 5.440000 1.120000 ;
-        RECT 5.150000 1.260000 5.440000 1.305000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 6.440000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 0.995000 1.355000 ;
-        RECT -0.190000 1.355000 6.630000 2.910000 ;
-        RECT  2.620000 1.305000 6.630000 1.355000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.440000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.440000 0.085000 ;
-      RECT 0.000000  2.635000 6.440000 2.805000 ;
-      RECT 0.175000  0.260000 0.345000 0.615000 ;
-      RECT 0.175000  0.615000 0.780000 0.785000 ;
-      RECT 0.175000  1.795000 0.780000 1.965000 ;
-      RECT 0.175000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.445000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.785000 0.780000 1.060000 ;
-      RECT 0.610000  1.060000 0.840000 1.390000 ;
-      RECT 0.610000  1.390000 0.780000 1.795000 ;
-      RECT 1.015000  0.260000 1.280000 1.855000 ;
-      RECT 1.015000  1.855000 2.590000 2.025000 ;
-      RECT 1.015000  2.025000 1.240000 2.465000 ;
-      RECT 1.450000  2.195000 1.815000 2.635000 ;
-      RECT 1.480000  0.085000 1.810000 0.905000 ;
-      RECT 2.390000  0.815000 3.220000 0.985000 ;
-      RECT 2.390000  0.985000 2.590000 1.855000 ;
-      RECT 2.475000  2.255000 3.225000 2.425000 ;
-      RECT 2.790000  0.390000 3.725000 0.560000 ;
-      RECT 3.055000  1.155000 4.175000 1.325000 ;
-      RECT 3.055000  1.325000 3.225000 2.255000 ;
-      RECT 3.395000  2.135000 3.695000 2.635000 ;
-      RECT 3.430000  1.535000 4.710000 1.840000 ;
-      RECT 3.430000  1.840000 4.130000 1.865000 ;
-      RECT 3.555000  0.560000 3.725000 0.995000 ;
-      RECT 3.555000  0.995000 4.175000 1.155000 ;
-      RECT 3.895000  0.085000 4.145000 0.610000 ;
-      RECT 3.910000  1.865000 4.130000 2.435000 ;
-      RECT 4.310000  2.010000 4.595000 2.635000 ;
-      RECT 4.320000  0.255000 4.580000 0.615000 ;
-      RECT 4.345000  0.615000 4.580000 0.995000 ;
-      RECT 4.345000  0.995000 4.740000 1.325000 ;
-      RECT 4.345000  1.325000 4.710000 1.535000 ;
-      RECT 4.840000  0.290000 5.155000 0.620000 ;
-      RECT 4.935000  0.620000 5.155000 0.765000 ;
-      RECT 4.935000  0.765000 6.000000 0.935000 ;
-      RECT 5.005000  1.725000 5.920000 1.895000 ;
-      RECT 5.005000  1.895000 5.335000 2.465000 ;
-      RECT 5.570000  2.130000 5.920000 2.635000 ;
-      RECT 5.670000  0.085000 5.840000 0.545000 ;
-      RECT 5.750000  0.935000 6.000000 1.325000 ;
-      RECT 5.750000  1.325000 5.920000 1.725000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-  END
-END sky130_fd_sc_hd__dlclkp_1
-MACRO sky130_fd_sc_hd__dlclkp_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlclkp_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.900000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN GATE
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.530000 1.435000 2.215000 1.685000 ;
-        RECT 1.985000 0.285000 2.215000 1.435000 ;
-    END
-  END GATE
-  PIN GCLK
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.060000 0.255000 6.360000 0.595000 ;
-        RECT 6.095000 1.495000 6.360000 2.455000 ;
-        RECT 6.165000 0.595000 6.360000 1.495000 ;
-    END
-  END GCLK
-  PIN CLK
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.985000 0.330000 1.625000 ;
-      LAYER mcon ;
-        RECT 0.150000 1.105000 0.320000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 5.210000 1.105000 5.485000 1.435000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.090000 1.075000 0.380000 1.120000 ;
-        RECT 0.090000 1.120000 5.440000 1.260000 ;
-        RECT 0.090000 1.260000 0.380000 1.305000 ;
-        RECT 5.150000 1.075000 5.440000 1.120000 ;
-        RECT 5.150000 1.260000 5.440000 1.305000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 6.900000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 0.995000 1.355000 ;
-        RECT -0.190000 1.355000 7.090000 2.910000 ;
-        RECT  2.625000 1.305000 7.090000 1.355000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.900000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.900000 0.085000 ;
-      RECT 0.000000  2.635000 6.900000 2.805000 ;
-      RECT 0.175000  0.260000 0.345000 0.615000 ;
-      RECT 0.175000  0.615000 0.780000 0.785000 ;
-      RECT 0.175000  1.795000 0.780000 1.965000 ;
-      RECT 0.175000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.445000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.785000 0.780000 1.060000 ;
-      RECT 0.610000  1.060000 0.840000 1.390000 ;
-      RECT 0.610000  1.390000 0.780000 1.795000 ;
-      RECT 1.015000  0.260000 1.280000 1.855000 ;
-      RECT 1.015000  1.855000 2.645000 2.025000 ;
-      RECT 1.015000  2.025000 1.240000 2.465000 ;
-      RECT 1.455000  2.195000 1.820000 2.635000 ;
-      RECT 1.485000  0.085000 1.815000 0.905000 ;
-      RECT 2.395000  0.815000 3.225000 0.985000 ;
-      RECT 2.395000  0.985000 2.645000 1.855000 ;
-      RECT 2.480000  2.255000 3.230000 2.425000 ;
-      RECT 2.795000  0.390000 3.725000 0.560000 ;
-      RECT 3.060000  1.155000 4.180000 1.325000 ;
-      RECT 3.060000  1.325000 3.230000 2.255000 ;
-      RECT 3.400000  2.135000 3.700000 2.635000 ;
-      RECT 3.435000  1.535000 4.735000 1.840000 ;
-      RECT 3.435000  1.840000 4.135000 1.865000 ;
-      RECT 3.555000  0.560000 3.725000 0.995000 ;
-      RECT 3.555000  0.995000 4.180000 1.155000 ;
-      RECT 3.895000  0.085000 4.145000 0.610000 ;
-      RECT 3.915000  1.865000 4.135000 2.435000 ;
-      RECT 4.315000  0.255000 4.585000 0.615000 ;
-      RECT 4.315000  2.010000 4.600000 2.635000 ;
-      RECT 4.350000  0.615000 4.585000 0.995000 ;
-      RECT 4.350000  0.995000 4.735000 1.535000 ;
-      RECT 4.835000  0.290000 5.150000 0.620000 ;
-      RECT 4.930000  0.620000 5.150000 0.765000 ;
-      RECT 4.930000  0.765000 5.995000 0.935000 ;
-      RECT 5.010000  1.725000 5.925000 1.895000 ;
-      RECT 5.010000  1.895000 5.340000 2.465000 ;
-      RECT 5.575000  2.130000 5.925000 2.635000 ;
-      RECT 5.675000  0.085000 5.845000 0.545000 ;
-      RECT 5.755000  0.935000 5.995000 1.325000 ;
-      RECT 5.755000  1.325000 5.925000 1.725000 ;
-      RECT 6.530000  0.085000 6.810000 0.885000 ;
-      RECT 6.530000  1.485000 6.810000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-  END
-END sky130_fd_sc_hd__dlclkp_2
-MACRO sky130_fd_sc_hd__or2_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__or2_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.300000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.010000 0.765000 1.275000 1.325000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.145000 0.765000 0.500000 1.325000 ;
-    END
-  END B
-  PIN X
-    ANTENNADIFFAREA  0.509000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.565000 0.255000 2.180000 0.825000 ;
-        RECT 1.645000 1.845000 2.180000 2.465000 ;
-        RECT 1.865000 0.825000 2.180000 1.845000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.300000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.490000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.300000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.300000 0.085000 ;
-      RECT 0.000000  2.635000 2.300000 2.805000 ;
-      RECT 0.250000  0.085000 0.490000 0.595000 ;
-      RECT 0.270000  1.495000 1.695000 1.665000 ;
-      RECT 0.270000  1.665000 0.660000 1.840000 ;
-      RECT 0.670000  0.265000 0.950000 0.595000 ;
-      RECT 0.670000  0.595000 0.840000 1.495000 ;
-      RECT 1.145000  1.835000 1.475000 2.635000 ;
-      RECT 1.180000  0.085000 1.395000 0.595000 ;
-      RECT 1.525000  0.995000 1.695000 1.495000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-  END
-END sky130_fd_sc_hd__or2_1
-MACRO sky130_fd_sc_hd__or2_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__or2_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.865000 0.995000 1.240000 1.325000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.765000 0.345000 1.325000 ;
-    END
-  END B
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.440000 0.265000 1.770000 0.735000 ;
-        RECT 1.440000 0.735000 3.135000 0.905000 ;
-        RECT 1.440000 1.835000 2.610000 2.005000 ;
-        RECT 1.440000 2.005000 1.770000 2.465000 ;
-        RECT 2.280000 0.265000 2.610000 0.735000 ;
-        RECT 2.280000 1.495000 3.135000 1.665000 ;
-        RECT 2.280000 1.665000 2.610000 1.835000 ;
-        RECT 2.280000 2.005000 2.610000 2.465000 ;
-        RECT 2.790000 0.905000 3.135000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.105000  0.085000 0.345000 0.595000 ;
-      RECT 0.155000  1.495000 1.615000 1.665000 ;
-      RECT 0.155000  1.665000 0.515000 2.465000 ;
-      RECT 0.515000  0.290000 0.845000 0.825000 ;
-      RECT 0.515000  0.825000 0.695000 1.495000 ;
-      RECT 1.060000  0.085000 1.230000 0.825000 ;
-      RECT 1.060000  1.835000 1.230000 2.635000 ;
-      RECT 1.410000  1.075000 2.620000 1.245000 ;
-      RECT 1.410000  1.245000 1.615000 1.495000 ;
-      RECT 1.940000  0.085000 2.110000 0.565000 ;
-      RECT 1.940000  2.175000 2.110000 2.635000 ;
-      RECT 2.780000  0.085000 2.950000 0.565000 ;
-      RECT 2.780000  1.835000 2.950000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__or2_4
-MACRO sky130_fd_sc_hd__or2_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__or2_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.300000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.865000 0.765000 1.275000 1.325000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.150000 0.765000 0.345000 1.325000 ;
-    END
-  END B
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.440000 1.835000 2.215000 2.005000 ;
-        RECT 1.440000 2.005000 1.770000 2.465000 ;
-        RECT 1.520000 0.385000 1.690000 0.655000 ;
-        RECT 1.520000 0.655000 2.215000 0.825000 ;
-        RECT 1.785000 0.825000 2.215000 1.835000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.300000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.490000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.300000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.300000 0.085000 ;
-      RECT 0.000000  2.635000 2.300000 2.805000 ;
-      RECT 0.105000  0.085000 0.345000 0.595000 ;
-      RECT 0.155000  1.495000 1.615000 1.665000 ;
-      RECT 0.155000  1.665000 0.515000 1.840000 ;
-      RECT 0.515000  0.255000 0.805000 0.595000 ;
-      RECT 0.515000  0.595000 0.695000 1.495000 ;
-      RECT 1.035000  0.085000 1.350000 0.595000 ;
-      RECT 1.100000  1.835000 1.270000 2.635000 ;
-      RECT 1.445000  0.995000 1.615000 1.495000 ;
-      RECT 1.860000  0.085000 2.190000 0.485000 ;
-      RECT 1.940000  2.175000 2.110000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-  END
-END sky130_fd_sc_hd__or2_2
-MACRO sky130_fd_sc_hd__or2_0
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__or2_0 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.300000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.010000 0.995000 1.335000 1.615000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.145000 0.995000 0.500000 1.615000 ;
-    END
-  END B
-  PIN X
-    ANTENNADIFFAREA  0.326800 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.565000 0.525000 2.180000 0.825000 ;
-        RECT 1.645000 2.135000 2.180000 2.465000 ;
-        RECT 1.865000 0.825000 2.180000 2.135000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.300000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.490000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.300000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.300000 0.085000 ;
-      RECT 0.000000  2.635000 2.300000 2.805000 ;
-      RECT 0.250000  0.085000 0.490000 0.825000 ;
-      RECT 0.270000  1.785000 1.695000 1.955000 ;
-      RECT 0.270000  1.955000 0.660000 2.130000 ;
-      RECT 0.670000  0.425000 0.950000 0.825000 ;
-      RECT 0.670000  0.825000 0.840000 1.785000 ;
-      RECT 1.145000  2.125000 1.475000 2.635000 ;
-      RECT 1.180000  0.085000 1.395000 0.825000 ;
-      RECT 1.525000  0.995000 1.695000 1.785000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-  END
-END sky130_fd_sc_hd__or2_0
-MACRO sky130_fd_sc_hd__dlymetal6s4s_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlymetal6s4s_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.600000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.570000 1.700000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.660000 0.255000 3.105000 0.825000 ;
-        RECT 2.660000 1.495000 3.565000 1.675000 ;
-        RECT 2.660000 1.675000 3.105000 2.465000 ;
-        RECT 2.735000 0.825000 3.105000 0.995000 ;
-        RECT 2.735000 0.995000 3.565000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.600000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.120000 -0.085000 0.290000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.790000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.600000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.600000 0.085000 ;
-      RECT 0.000000  2.635000 4.600000 2.805000 ;
-      RECT 0.085000  0.255000 0.520000 0.655000 ;
-      RECT 0.085000  0.655000 1.075000 0.825000 ;
-      RECT 0.085000  1.870000 1.075000 2.040000 ;
-      RECT 0.085000  2.040000 0.520000 2.465000 ;
-      RECT 0.690000  0.085000 1.075000 0.485000 ;
-      RECT 0.690000  2.210000 1.075000 2.635000 ;
-      RECT 0.740000  0.825000 1.075000 0.995000 ;
-      RECT 0.740000  0.995000 1.150000 1.325000 ;
-      RECT 0.740000  1.325000 1.075000 1.870000 ;
-      RECT 1.245000  0.255000 1.515000 0.825000 ;
-      RECT 1.245000  1.495000 1.970000 1.675000 ;
-      RECT 1.245000  1.675000 1.515000 2.465000 ;
-      RECT 1.320000  0.825000 1.515000 0.995000 ;
-      RECT 1.320000  0.995000 1.970000 1.495000 ;
-      RECT 1.685000  0.255000 1.935000 0.655000 ;
-      RECT 1.685000  0.655000 2.490000 0.825000 ;
-      RECT 1.685000  1.845000 2.490000 2.040000 ;
-      RECT 1.685000  2.040000 1.935000 2.465000 ;
-      RECT 2.105000  0.085000 2.490000 0.485000 ;
-      RECT 2.105000  2.210000 2.490000 2.635000 ;
-      RECT 2.140000  0.825000 2.490000 0.995000 ;
-      RECT 2.140000  0.995000 2.565000 1.325000 ;
-      RECT 2.140000  1.325000 2.490000 1.845000 ;
-      RECT 3.275000  0.255000 3.530000 0.655000 ;
-      RECT 3.275000  0.655000 4.085000 0.825000 ;
-      RECT 3.275000  1.845000 4.085000 2.040000 ;
-      RECT 3.275000  2.040000 3.530000 2.465000 ;
-      RECT 3.700000  0.085000 4.085000 0.485000 ;
-      RECT 3.700000  2.210000 4.085000 2.635000 ;
-      RECT 3.735000  0.825000 4.085000 0.995000 ;
-      RECT 3.735000  0.995000 4.160000 1.325000 ;
-      RECT 3.735000  1.325000 4.085000 1.845000 ;
-      RECT 4.255000  0.255000 4.515000 0.825000 ;
-      RECT 4.255000  1.495000 4.515000 2.465000 ;
-      RECT 4.330000  0.825000 4.515000 1.495000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-  END
-END sky130_fd_sc_hd__dlymetal6s4s_1
-MACRO sky130_fd_sc_hd__xnor3_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__xnor3_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.660000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.246000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 8.425000 1.075000 8.835000 1.325000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.661500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.605000 0.995000 7.775000 1.445000 ;
-        RECT 7.605000 1.445000 8.185000 1.615000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.381000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.995000 1.075000 3.560000 1.325000 ;
-    END
-  END C
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.625000 0.375000 0.875000 0.995000 ;
-        RECT 0.625000 0.995000 1.710000 1.325000 ;
-        RECT 0.625000 1.325000 0.955000 2.425000 ;
-        RECT 1.465000 0.350000 1.725000 0.925000 ;
-        RECT 1.465000 0.925000 1.710000 0.995000 ;
-        RECT 1.465000 1.325000 1.710000 1.440000 ;
-        RECT 1.465000 1.440000 1.745000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.660000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.850000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.660000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.660000 0.085000 ;
-      RECT 0.000000  2.635000 9.660000 2.805000 ;
-      RECT 0.285000  0.085000 0.455000 0.735000 ;
-      RECT 0.285000  1.490000 0.455000 2.635000 ;
-      RECT 1.125000  0.085000 1.295000 0.735000 ;
-      RECT 1.125000  1.495000 1.295000 2.635000 ;
-      RECT 1.880000  0.995000 2.085000 1.325000 ;
-      RECT 1.895000  0.085000 2.145000 0.525000 ;
-      RECT 1.910000  0.695000 2.485000 0.865000 ;
-      RECT 1.910000  0.865000 2.085000 0.995000 ;
-      RECT 1.915000  1.325000 2.085000 1.875000 ;
-      RECT 1.915000  1.875000 2.600000 2.045000 ;
-      RECT 1.915000  2.215000 2.250000 2.635000 ;
-      RECT 2.315000  0.255000 3.885000 0.425000 ;
-      RECT 2.315000  0.425000 2.485000 0.695000 ;
-      RECT 2.315000  1.535000 3.900000 1.705000 ;
-      RECT 2.430000  2.045000 2.600000 2.235000 ;
-      RECT 2.430000  2.235000 3.900000 2.405000 ;
-      RECT 2.655000  0.595000 2.825000 1.535000 ;
-      RECT 2.940000  1.895000 5.440000 2.065000 ;
-      RECT 3.125000  0.625000 4.345000 0.795000 ;
-      RECT 3.125000  0.795000 3.505000 0.905000 ;
-      RECT 3.450000  0.425000 3.885000 0.455000 ;
-      RECT 3.730000  0.995000 4.005000 1.325000 ;
-      RECT 3.730000  1.325000 3.900000 1.535000 ;
-      RECT 4.055000  0.285000 4.685000 0.455000 ;
-      RECT 4.070000  1.525000 4.455000 1.695000 ;
-      RECT 4.175000  0.795000 4.345000 1.375000 ;
-      RECT 4.175000  1.375000 4.455000 1.525000 ;
-      RECT 4.515000  0.455000 4.685000 1.035000 ;
-      RECT 4.515000  1.035000 4.795000 1.205000 ;
-      RECT 4.605000  2.235000 4.935000 2.635000 ;
-      RECT 4.625000  1.205000 4.795000 1.895000 ;
-      RECT 4.855000  0.085000 5.025000 0.865000 ;
-      RECT 5.025000  1.445000 5.445000 1.715000 ;
-      RECT 5.205000  0.415000 5.445000 1.445000 ;
-      RECT 5.270000  2.065000 5.440000 2.275000 ;
-      RECT 5.270000  2.275000 8.365000 2.445000 ;
-      RECT 5.625000  0.265000 6.035000 0.485000 ;
-      RECT 5.625000  0.485000 5.835000 0.595000 ;
-      RECT 5.625000  0.595000 5.795000 2.105000 ;
-      RECT 5.965000  0.720000 6.375000 0.825000 ;
-      RECT 5.965000  0.825000 6.175000 0.890000 ;
-      RECT 5.965000  0.890000 6.135000 2.275000 ;
-      RECT 6.005000  0.655000 6.375000 0.720000 ;
-      RECT 6.205000  0.320000 6.375000 0.655000 ;
-      RECT 6.315000  1.445000 7.095000 1.615000 ;
-      RECT 6.315000  1.615000 6.730000 2.045000 ;
-      RECT 6.330000  0.995000 6.755000 1.270000 ;
-      RECT 6.545000  0.630000 6.755000 0.995000 ;
-      RECT 6.925000  0.255000 8.070000 0.425000 ;
-      RECT 6.925000  0.425000 7.095000 1.445000 ;
-      RECT 7.265000  0.595000 7.435000 1.935000 ;
-      RECT 7.265000  1.935000 9.575000 2.105000 ;
-      RECT 7.605000  0.425000 8.070000 0.465000 ;
-      RECT 7.945000  0.730000 8.150000 0.945000 ;
-      RECT 7.945000  0.945000 8.255000 1.275000 ;
-      RECT 8.355000  1.495000 9.175000 1.705000 ;
-      RECT 8.395000  0.295000 8.685000 0.735000 ;
-      RECT 8.395000  0.735000 9.175000 0.750000 ;
-      RECT 8.435000  0.750000 9.175000 0.905000 ;
-      RECT 8.775000  2.275000 9.110000 2.635000 ;
-      RECT 8.855000  0.085000 9.025000 0.565000 ;
-      RECT 9.005000  0.905000 9.175000 0.995000 ;
-      RECT 9.005000  0.995000 9.235000 1.325000 ;
-      RECT 9.005000  1.325000 9.175000 1.495000 ;
-      RECT 9.090000  1.875000 9.575000 1.935000 ;
-      RECT 9.275000  0.255000 9.575000 0.585000 ;
-      RECT 9.280000  2.105000 9.575000 2.465000 ;
-      RECT 9.405000  0.585000 9.575000 1.875000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  1.445000 4.455000 1.615000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  0.765000 5.375000 0.935000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  0.425000 5.835000 0.595000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  0.765000 6.755000 0.935000 ;
-      RECT 6.585000  1.445000 6.755000 1.615000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  0.765000 8.135000 0.935000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  0.425000 8.595000 0.595000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-    LAYER met1 ;
-      RECT 4.225000 1.415000 4.515000 1.460000 ;
-      RECT 4.225000 1.460000 6.815000 1.600000 ;
-      RECT 4.225000 1.600000 4.515000 1.645000 ;
-      RECT 5.145000 0.735000 5.435000 0.780000 ;
-      RECT 5.145000 0.780000 8.195000 0.920000 ;
-      RECT 5.145000 0.920000 5.435000 0.965000 ;
-      RECT 5.605000 0.395000 5.895000 0.440000 ;
-      RECT 5.605000 0.440000 8.655000 0.580000 ;
-      RECT 5.605000 0.580000 5.895000 0.625000 ;
-      RECT 6.525000 0.735000 6.815000 0.780000 ;
-      RECT 6.525000 0.920000 6.815000 0.965000 ;
-      RECT 6.525000 1.415000 6.815000 1.460000 ;
-      RECT 6.525000 1.600000 6.815000 1.645000 ;
-      RECT 7.905000 0.735000 8.195000 0.780000 ;
-      RECT 7.905000 0.920000 8.195000 0.965000 ;
-      RECT 8.365000 0.395000 8.655000 0.440000 ;
-      RECT 8.365000 0.580000 8.655000 0.625000 ;
-  END
-END sky130_fd_sc_hd__xnor3_4
-MACRO sky130_fd_sc_hd__xnor3_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__xnor3_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  8.280000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.246000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.045000 1.075000 7.455000 1.325000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.661500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.225000 0.995000 6.395000 1.445000 ;
-        RECT 6.225000 1.445000 6.805000 1.615000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.381000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.615000 1.075000 2.180000 1.325000 ;
-    END
-  END C
-  PIN X
-    ANTENNADIFFAREA  0.449000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.350000 0.345000 0.925000 ;
-        RECT 0.085000 0.925000 0.330000 1.440000 ;
-        RECT 0.085000 1.440000 0.365000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 8.280000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.470000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 8.280000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 8.280000 0.085000 ;
-      RECT 0.000000  2.635000 8.280000 2.805000 ;
-      RECT 0.500000  0.995000 0.705000 1.325000 ;
-      RECT 0.515000  0.085000 0.765000 0.525000 ;
-      RECT 0.530000  0.695000 1.105000 0.865000 ;
-      RECT 0.530000  0.865000 0.705000 0.995000 ;
-      RECT 0.535000  1.325000 0.705000 1.875000 ;
-      RECT 0.535000  1.875000 1.220000 2.045000 ;
-      RECT 0.535000  2.215000 0.870000 2.635000 ;
-      RECT 0.935000  0.255000 2.505000 0.425000 ;
-      RECT 0.935000  0.425000 1.105000 0.695000 ;
-      RECT 0.935000  1.535000 2.520000 1.705000 ;
-      RECT 1.050000  2.045000 1.220000 2.235000 ;
-      RECT 1.050000  2.235000 2.520000 2.405000 ;
-      RECT 1.275000  0.595000 1.445000 1.535000 ;
-      RECT 1.560000  1.895000 4.060000 2.065000 ;
-      RECT 1.745000  0.625000 2.965000 0.795000 ;
-      RECT 1.745000  0.795000 2.125000 0.905000 ;
-      RECT 2.070000  0.425000 2.505000 0.455000 ;
-      RECT 2.350000  0.995000 2.625000 1.325000 ;
-      RECT 2.350000  1.325000 2.520000 1.535000 ;
-      RECT 2.675000  0.285000 3.305000 0.455000 ;
-      RECT 2.690000  1.525000 3.075000 1.695000 ;
-      RECT 2.795000  0.795000 2.965000 1.375000 ;
-      RECT 2.795000  1.375000 3.075000 1.525000 ;
-      RECT 3.135000  0.455000 3.305000 1.035000 ;
-      RECT 3.135000  1.035000 3.415000 1.205000 ;
-      RECT 3.225000  2.235000 3.555000 2.635000 ;
-      RECT 3.245000  1.205000 3.415000 1.895000 ;
-      RECT 3.475000  0.085000 3.645000 0.865000 ;
-      RECT 3.645000  1.445000 4.065000 1.715000 ;
-      RECT 3.825000  0.415000 4.065000 1.445000 ;
-      RECT 3.890000  2.065000 4.060000 2.275000 ;
-      RECT 3.890000  2.275000 6.985000 2.445000 ;
-      RECT 4.245000  0.265000 4.655000 0.485000 ;
-      RECT 4.245000  0.485000 4.455000 0.595000 ;
-      RECT 4.245000  0.595000 4.415000 2.105000 ;
-      RECT 4.585000  0.720000 4.995000 0.825000 ;
-      RECT 4.585000  0.825000 4.795000 0.890000 ;
-      RECT 4.585000  0.890000 4.755000 2.275000 ;
-      RECT 4.625000  0.655000 4.995000 0.720000 ;
-      RECT 4.825000  0.320000 4.995000 0.655000 ;
-      RECT 4.935000  1.445000 5.715000 1.615000 ;
-      RECT 4.935000  1.615000 5.350000 2.045000 ;
-      RECT 4.950000  0.995000 5.375000 1.270000 ;
-      RECT 5.165000  0.630000 5.375000 0.995000 ;
-      RECT 5.545000  0.255000 6.690000 0.425000 ;
-      RECT 5.545000  0.425000 5.715000 1.445000 ;
-      RECT 5.885000  0.595000 6.055000 1.935000 ;
-      RECT 5.885000  1.935000 8.195000 2.105000 ;
-      RECT 6.225000  0.425000 6.690000 0.465000 ;
-      RECT 6.565000  0.730000 6.770000 0.945000 ;
-      RECT 6.565000  0.945000 6.875000 1.275000 ;
-      RECT 6.975000  1.495000 7.795000 1.705000 ;
-      RECT 7.015000  0.295000 7.305000 0.735000 ;
-      RECT 7.015000  0.735000 7.795000 0.750000 ;
-      RECT 7.055000  0.750000 7.795000 0.905000 ;
-      RECT 7.395000  2.275000 7.730000 2.635000 ;
-      RECT 7.475000  0.085000 7.645000 0.565000 ;
-      RECT 7.625000  0.905000 7.795000 0.995000 ;
-      RECT 7.625000  0.995000 7.855000 1.325000 ;
-      RECT 7.625000  1.325000 7.795000 1.495000 ;
-      RECT 7.710000  1.875000 8.195000 1.935000 ;
-      RECT 7.895000  0.255000 8.195000 0.585000 ;
-      RECT 7.900000  2.105000 8.195000 2.465000 ;
-      RECT 8.025000  0.585000 8.195000 1.875000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  1.445000 3.075000 1.615000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  0.765000 3.995000 0.935000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  0.425000 4.455000 0.595000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  0.765000 5.375000 0.935000 ;
-      RECT 5.205000  1.445000 5.375000 1.615000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  0.765000 6.755000 0.935000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  0.425000 7.215000 0.595000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-    LAYER met1 ;
-      RECT 2.845000 1.415000 3.135000 1.460000 ;
-      RECT 2.845000 1.460000 5.435000 1.600000 ;
-      RECT 2.845000 1.600000 3.135000 1.645000 ;
-      RECT 3.765000 0.735000 4.055000 0.780000 ;
-      RECT 3.765000 0.780000 6.815000 0.920000 ;
-      RECT 3.765000 0.920000 4.055000 0.965000 ;
-      RECT 4.225000 0.395000 4.515000 0.440000 ;
-      RECT 4.225000 0.440000 7.275000 0.580000 ;
-      RECT 4.225000 0.580000 4.515000 0.625000 ;
-      RECT 5.145000 0.735000 5.435000 0.780000 ;
-      RECT 5.145000 0.920000 5.435000 0.965000 ;
-      RECT 5.145000 1.415000 5.435000 1.460000 ;
-      RECT 5.145000 1.600000 5.435000 1.645000 ;
-      RECT 6.525000 0.735000 6.815000 0.780000 ;
-      RECT 6.525000 0.920000 6.815000 0.965000 ;
-      RECT 6.985000 0.395000 7.275000 0.440000 ;
-      RECT 6.985000 0.580000 7.275000 0.625000 ;
-  END
-END sky130_fd_sc_hd__xnor3_1
-MACRO sky130_fd_sc_hd__xnor3_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__xnor3_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  8.740000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.246000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.505000 1.075000 7.915000 1.325000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.661500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.685000 0.995000 6.855000 1.445000 ;
-        RECT 6.685000 1.445000 7.265000 1.615000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.381000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.075000 1.075000 2.640000 1.325000 ;
-    END
-  END C
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.545000 0.350000 0.805000 0.925000 ;
-        RECT 0.545000 0.925000 0.790000 1.440000 ;
-        RECT 0.545000 1.440000 0.825000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 8.740000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.930000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 8.740000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 8.740000 0.085000 ;
-      RECT 0.000000  2.635000 8.740000 2.805000 ;
-      RECT 0.085000  0.085000 0.375000 0.735000 ;
-      RECT 0.085000  1.490000 0.375000 2.635000 ;
-      RECT 0.960000  0.995000 1.165000 1.325000 ;
-      RECT 0.975000  0.085000 1.225000 0.525000 ;
-      RECT 0.990000  0.695000 1.565000 0.865000 ;
-      RECT 0.990000  0.865000 1.165000 0.995000 ;
-      RECT 0.995000  1.325000 1.165000 1.875000 ;
-      RECT 0.995000  1.875000 1.680000 2.045000 ;
-      RECT 0.995000  2.215000 1.330000 2.635000 ;
-      RECT 1.395000  0.255000 2.965000 0.425000 ;
-      RECT 1.395000  0.425000 1.565000 0.695000 ;
-      RECT 1.395000  1.535000 2.980000 1.705000 ;
-      RECT 1.510000  2.045000 1.680000 2.235000 ;
-      RECT 1.510000  2.235000 2.980000 2.405000 ;
-      RECT 1.735000  0.595000 1.905000 1.535000 ;
-      RECT 2.020000  1.895000 4.520000 2.065000 ;
-      RECT 2.205000  0.625000 3.425000 0.795000 ;
-      RECT 2.205000  0.795000 2.585000 0.905000 ;
-      RECT 2.530000  0.425000 2.965000 0.455000 ;
-      RECT 2.810000  0.995000 3.085000 1.325000 ;
-      RECT 2.810000  1.325000 2.980000 1.535000 ;
-      RECT 3.135000  0.285000 3.765000 0.455000 ;
-      RECT 3.150000  1.525000 3.535000 1.695000 ;
-      RECT 3.255000  0.795000 3.425000 1.375000 ;
-      RECT 3.255000  1.375000 3.535000 1.525000 ;
-      RECT 3.595000  0.455000 3.765000 1.035000 ;
-      RECT 3.595000  1.035000 3.875000 1.205000 ;
-      RECT 3.685000  2.235000 4.015000 2.635000 ;
-      RECT 3.705000  1.205000 3.875000 1.895000 ;
-      RECT 3.935000  0.085000 4.105000 0.865000 ;
-      RECT 4.105000  1.445000 4.525000 1.715000 ;
-      RECT 4.285000  0.415000 4.525000 1.445000 ;
-      RECT 4.350000  2.065000 4.520000 2.275000 ;
-      RECT 4.350000  2.275000 7.445000 2.445000 ;
-      RECT 4.705000  0.265000 5.115000 0.485000 ;
-      RECT 4.705000  0.485000 4.915000 0.595000 ;
-      RECT 4.705000  0.595000 4.875000 2.105000 ;
-      RECT 5.045000  0.720000 5.455000 0.825000 ;
-      RECT 5.045000  0.825000 5.255000 0.890000 ;
-      RECT 5.045000  0.890000 5.215000 2.275000 ;
-      RECT 5.085000  0.655000 5.455000 0.720000 ;
-      RECT 5.285000  0.320000 5.455000 0.655000 ;
-      RECT 5.395000  1.445000 6.175000 1.615000 ;
-      RECT 5.395000  1.615000 5.810000 2.045000 ;
-      RECT 5.410000  0.995000 5.835000 1.270000 ;
-      RECT 5.625000  0.630000 5.835000 0.995000 ;
-      RECT 6.005000  0.255000 7.150000 0.425000 ;
-      RECT 6.005000  0.425000 6.175000 1.445000 ;
-      RECT 6.345000  0.595000 6.515000 1.935000 ;
-      RECT 6.345000  1.935000 8.655000 2.105000 ;
-      RECT 6.685000  0.425000 7.150000 0.465000 ;
-      RECT 7.025000  0.730000 7.230000 0.945000 ;
-      RECT 7.025000  0.945000 7.335000 1.275000 ;
-      RECT 7.435000  1.495000 8.255000 1.705000 ;
-      RECT 7.475000  0.295000 7.765000 0.735000 ;
-      RECT 7.475000  0.735000 8.255000 0.750000 ;
-      RECT 7.515000  0.750000 8.255000 0.905000 ;
-      RECT 7.855000  2.275000 8.190000 2.635000 ;
-      RECT 7.935000  0.085000 8.105000 0.565000 ;
-      RECT 8.085000  0.905000 8.255000 0.995000 ;
-      RECT 8.085000  0.995000 8.315000 1.325000 ;
-      RECT 8.085000  1.325000 8.255000 1.495000 ;
-      RECT 8.170000  1.875000 8.655000 1.935000 ;
-      RECT 8.355000  0.255000 8.655000 0.585000 ;
-      RECT 8.360000  2.105000 8.655000 2.465000 ;
-      RECT 8.485000  0.585000 8.655000 1.875000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  1.445000 3.535000 1.615000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  0.765000 4.455000 0.935000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  0.425000 4.915000 0.595000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  0.765000 5.835000 0.935000 ;
-      RECT 5.665000  1.445000 5.835000 1.615000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  0.765000 7.215000 0.935000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  0.425000 7.675000 0.595000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-    LAYER met1 ;
-      RECT 3.305000 1.415000 3.595000 1.460000 ;
-      RECT 3.305000 1.460000 5.895000 1.600000 ;
-      RECT 3.305000 1.600000 3.595000 1.645000 ;
-      RECT 4.225000 0.735000 4.515000 0.780000 ;
-      RECT 4.225000 0.780000 7.275000 0.920000 ;
-      RECT 4.225000 0.920000 4.515000 0.965000 ;
-      RECT 4.685000 0.395000 4.975000 0.440000 ;
-      RECT 4.685000 0.440000 7.735000 0.580000 ;
-      RECT 4.685000 0.580000 4.975000 0.625000 ;
-      RECT 5.605000 0.735000 5.895000 0.780000 ;
-      RECT 5.605000 0.920000 5.895000 0.965000 ;
-      RECT 5.605000 1.415000 5.895000 1.460000 ;
-      RECT 5.605000 1.600000 5.895000 1.645000 ;
-      RECT 6.985000 0.735000 7.275000 0.780000 ;
-      RECT 6.985000 0.920000 7.275000 0.965000 ;
-      RECT 7.445000 0.395000 7.735000 0.440000 ;
-      RECT 7.445000 0.580000 7.735000 0.625000 ;
-  END
-END sky130_fd_sc_hd__xnor3_2
-MACRO sky130_fd_sc_hd__dfbbn_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dfbbn_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  11.96000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.745000 1.005000 2.155000 1.625000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 11.615000 0.255000 11.875000 0.825000 ;
-        RECT 11.615000 1.455000 11.875000 2.465000 ;
-        RECT 11.665000 0.825000 11.875000 1.455000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 10.200000 0.255000 10.485000 0.715000 ;
-        RECT 10.200000 1.630000 10.485000 2.465000 ;
-        RECT 10.305000 0.715000 10.485000 1.630000 ;
-    END
-  END Q_N
-  PIN RESET_B
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 9.235000 1.095000 9.690000 1.325000 ;
-    END
-  END RESET_B
-  PIN SET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.585000 0.735000 3.995000 0.965000 ;
-        RECT 3.585000 0.965000 3.915000 1.065000 ;
-      LAYER mcon ;
-        RECT 3.825000 0.765000 3.995000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 7.280000 0.735000 7.825000 1.065000 ;
-      LAYER mcon ;
-        RECT 7.575000 0.765000 7.745000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 3.765000 0.735000 4.055000 0.780000 ;
-        RECT 3.765000 0.780000 7.805000 0.920000 ;
-        RECT 3.765000 0.920000 4.055000 0.965000 ;
-        RECT 7.515000 0.735000 7.805000 0.780000 ;
-        RECT 7.515000 0.920000 7.805000 0.965000 ;
-    END
-  END SET_B
-  PIN CLK_N
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.975000 0.435000 1.625000 ;
-    END
-  END CLK_N
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 11.960000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 12.150000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 11.960000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 11.960000 0.085000 ;
-      RECT  0.000000  2.635000 11.960000 2.805000 ;
-      RECT  0.175000  0.345000  0.345000 0.635000 ;
-      RECT  0.175000  0.635000  0.840000 0.805000 ;
-      RECT  0.175000  1.795000  0.840000 1.965000 ;
-      RECT  0.175000  1.965000  0.345000 2.465000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.515000  2.135000  0.845000 2.635000 ;
-      RECT  0.610000  0.805000  0.840000 1.795000 ;
-      RECT  1.015000  0.345000  1.235000 2.465000 ;
-      RECT  1.405000  0.635000  2.125000 0.825000 ;
-      RECT  1.405000  0.825000  1.575000 1.795000 ;
-      RECT  1.405000  1.795000  2.125000 1.965000 ;
-      RECT  1.430000  0.085000  1.785000 0.465000 ;
-      RECT  1.430000  2.135000  1.785000 2.635000 ;
-      RECT  1.955000  0.305000  2.125000 0.635000 ;
-      RECT  1.955000  1.965000  2.125000 2.465000 ;
-      RECT  2.325000  0.705000  2.545000 1.575000 ;
-      RECT  2.325000  1.575000  2.825000 1.955000 ;
-      RECT  2.335000  2.250000  3.165000 2.420000 ;
-      RECT  2.400000  0.265000  3.415000 0.465000 ;
-      RECT  2.725000  0.645000  3.075000 1.015000 ;
-      RECT  2.995000  1.195000  3.415000 1.235000 ;
-      RECT  2.995000  1.235000  4.345000 1.405000 ;
-      RECT  2.995000  1.405000  3.165000 2.250000 ;
-      RECT  3.245000  0.465000  3.415000 1.195000 ;
-      RECT  3.335000  1.575000  3.585000 1.785000 ;
-      RECT  3.335000  1.785000  4.685000 2.035000 ;
-      RECT  3.405000  2.205000  3.785000 2.635000 ;
-      RECT  3.585000  0.085000  3.755000 0.525000 ;
-      RECT  3.925000  0.255000  5.075000 0.425000 ;
-      RECT  3.925000  0.425000  4.255000 0.505000 ;
-      RECT  4.085000  2.035000  4.255000 2.375000 ;
-      RECT  4.095000  1.405000  4.345000 1.485000 ;
-      RECT  4.125000  1.155000  4.345000 1.235000 ;
-      RECT  4.405000  0.595000  4.735000 0.765000 ;
-      RECT  4.515000  0.765000  4.735000 0.895000 ;
-      RECT  4.515000  0.895000  5.825000 1.065000 ;
-      RECT  4.515000  1.065000  4.685000 1.785000 ;
-      RECT  4.855000  1.235000  5.185000 1.415000 ;
-      RECT  4.855000  1.415000  5.860000 1.655000 ;
-      RECT  4.875000  1.915000  5.205000 2.635000 ;
-      RECT  4.905000  0.425000  5.075000 0.715000 ;
-      RECT  5.325000  0.085000  5.675000 0.465000 ;
-      RECT  5.495000  1.065000  5.825000 1.235000 ;
-      RECT  6.060000  1.575000  6.295000 1.985000 ;
-      RECT  6.065000  1.060000  6.405000 1.125000 ;
-      RECT  6.065000  1.125000  6.740000 1.305000 ;
-      RECT  6.185000  0.705000  6.405000 1.060000 ;
-      RECT  6.250000  2.250000  7.080000 2.420000 ;
-      RECT  6.300000  0.265000  7.080000 0.465000 ;
-      RECT  6.535000  1.305000  6.740000 1.905000 ;
-      RECT  6.910000  0.465000  7.080000 1.235000 ;
-      RECT  6.910000  1.235000  8.260000 1.405000 ;
-      RECT  6.910000  1.405000  7.080000 2.250000 ;
-      RECT  7.250000  0.085000  7.575000 0.525000 ;
-      RECT  7.250000  1.575000  7.500000 1.915000 ;
-      RECT  7.250000  1.915000 10.030000 2.085000 ;
-      RECT  7.320000  2.255000  7.700000 2.635000 ;
-      RECT  7.745000  0.255000  8.955000 0.425000 ;
-      RECT  7.745000  0.425000  8.075000 0.545000 ;
-      RECT  7.940000  2.085000  8.110000 2.375000 ;
-      RECT  8.040000  1.075000  8.260000 1.235000 ;
-      RECT  8.215000  0.665000  8.615000 0.835000 ;
-      RECT  8.430000  0.835000  8.615000 0.840000 ;
-      RECT  8.430000  0.840000  8.600000 1.915000 ;
-      RECT  8.640000  2.255000 10.030000 2.635000 ;
-      RECT  8.770000  1.110000  9.055000 1.575000 ;
-      RECT  8.770000  1.575000  9.555000 1.745000 ;
-      RECT  8.785000  0.425000  8.955000 0.585000 ;
-      RECT  8.835000  0.755000  9.475000 0.925000 ;
-      RECT  8.835000  0.925000  9.055000 1.110000 ;
-      RECT  9.265000  0.265000  9.475000 0.755000 ;
-      RECT  9.725000  0.085000 10.030000 0.805000 ;
-      RECT  9.860000  0.995000 10.125000 1.325000 ;
-      RECT  9.860000  1.325000 10.030000 1.915000 ;
-      RECT 10.660000  0.255000 10.975000 0.995000 ;
-      RECT 10.660000  0.995000 11.495000 1.325000 ;
-      RECT 10.660000  1.325000 10.975000 2.415000 ;
-      RECT 11.150000  0.085000 11.445000 0.545000 ;
-      RECT 11.155000  1.765000 11.445000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.610000  0.765000  0.780000 0.935000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  1.785000  1.235000 1.955000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  1.785000  2.615000 1.955000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  0.765000  3.075000 0.935000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  1.445000  5.835000 1.615000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  1.105000  6.295000 1.275000 ;
-      RECT  6.125000  1.785000  6.295000 1.955000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.855000  1.445000  9.025000 1.615000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 0.735000 0.840000 0.780000 ;
-      RECT 0.550000 0.780000 3.135000 0.920000 ;
-      RECT 0.550000 0.920000 0.840000 0.965000 ;
-      RECT 1.005000 1.755000 1.295000 1.800000 ;
-      RECT 1.005000 1.800000 6.355000 1.940000 ;
-      RECT 1.005000 1.940000 1.295000 1.985000 ;
-      RECT 2.385000 1.755000 2.675000 1.800000 ;
-      RECT 2.385000 1.940000 2.675000 1.985000 ;
-      RECT 2.845000 0.735000 3.135000 0.780000 ;
-      RECT 2.845000 0.920000 3.135000 0.965000 ;
-      RECT 2.920000 0.965000 3.135000 1.120000 ;
-      RECT 2.920000 1.120000 6.355000 1.260000 ;
-      RECT 5.605000 1.415000 5.895000 1.460000 ;
-      RECT 5.605000 1.460000 9.085000 1.600000 ;
-      RECT 5.605000 1.600000 5.895000 1.645000 ;
-      RECT 6.065000 1.075000 6.355000 1.120000 ;
-      RECT 6.065000 1.260000 6.355000 1.305000 ;
-      RECT 6.065000 1.755000 6.355000 1.800000 ;
-      RECT 6.065000 1.940000 6.355000 1.985000 ;
-      RECT 8.795000 1.415000 9.085000 1.460000 ;
-      RECT 8.795000 1.600000 9.085000 1.645000 ;
-  END
-END sky130_fd_sc_hd__dfbbn_1
-MACRO sky130_fd_sc_hd__dfbbn_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dfbbn_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  12.88000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.760000 1.005000 2.170000 1.625000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 12.115000 0.255000 12.345000 0.825000 ;
-        RECT 12.115000 1.445000 12.345000 2.465000 ;
-        RECT 12.160000 0.825000 12.345000 1.445000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 10.240000 0.255000 10.500000 0.715000 ;
-        RECT 10.240000 1.630000 10.500000 2.465000 ;
-        RECT 10.320000 0.715000 10.500000 1.630000 ;
-    END
-  END Q_N
-  PIN RESET_B
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 9.250000 1.095000 9.730000 1.325000 ;
-    END
-  END RESET_B
-  PIN SET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.600000 0.735000 4.010000 0.965000 ;
-        RECT 3.600000 0.965000 3.930000 1.065000 ;
-      LAYER mcon ;
-        RECT 3.840000 0.765000 4.010000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 7.470000 0.735000 7.845000 1.065000 ;
-      LAYER mcon ;
-        RECT 7.520000 0.765000 7.690000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 3.780000 0.735000 4.070000 0.780000 ;
-        RECT 3.780000 0.780000 7.750000 0.920000 ;
-        RECT 3.780000 0.920000 4.070000 0.965000 ;
-        RECT 7.460000 0.735000 7.750000 0.780000 ;
-        RECT 7.460000 0.920000 7.750000 0.965000 ;
-    END
-  END SET_B
-  PIN CLK_N
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.975000 0.440000 1.625000 ;
-    END
-  END CLK_N
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 12.880000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 13.070000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 12.880000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 12.880000 0.085000 ;
-      RECT  0.000000  2.635000 12.880000 2.805000 ;
-      RECT  0.085000  0.345000  0.345000 0.635000 ;
-      RECT  0.085000  0.635000  0.840000 0.805000 ;
-      RECT  0.085000  1.795000  0.840000 1.965000 ;
-      RECT  0.085000  1.965000  0.345000 2.465000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.515000  2.135000  0.845000 2.635000 ;
-      RECT  0.610000  0.805000  0.840000 1.795000 ;
-      RECT  1.015000  0.345000  1.240000 2.465000 ;
-      RECT  1.420000  0.635000  2.125000 0.825000 ;
-      RECT  1.420000  0.825000  1.590000 1.795000 ;
-      RECT  1.420000  1.795000  2.125000 1.965000 ;
-      RECT  1.445000  0.085000  1.785000 0.465000 ;
-      RECT  1.445000  2.135000  1.785000 2.635000 ;
-      RECT  1.955000  0.305000  2.125000 0.635000 ;
-      RECT  1.955000  1.965000  2.125000 2.465000 ;
-      RECT  2.340000  0.705000  2.560000 1.575000 ;
-      RECT  2.340000  1.575000  2.840000 1.955000 ;
-      RECT  2.350000  2.250000  3.180000 2.420000 ;
-      RECT  2.415000  0.265000  3.410000 0.465000 ;
-      RECT  2.740000  0.645000  3.070000 1.015000 ;
-      RECT  3.010000  1.195000  3.410000 1.235000 ;
-      RECT  3.010000  1.235000  4.360000 1.405000 ;
-      RECT  3.010000  1.405000  3.180000 2.250000 ;
-      RECT  3.240000  0.465000  3.410000 1.195000 ;
-      RECT  3.350000  1.575000  3.600000 1.785000 ;
-      RECT  3.350000  1.785000  4.700000 2.035000 ;
-      RECT  3.420000  2.205000  3.800000 2.635000 ;
-      RECT  3.580000  0.085000  3.750000 0.525000 ;
-      RECT  3.920000  0.255000  5.170000 0.425000 ;
-      RECT  3.920000  0.425000  4.250000 0.545000 ;
-      RECT  4.100000  2.035000  4.270000 2.375000 ;
-      RECT  4.110000  1.405000  4.360000 1.485000 ;
-      RECT  4.140000  1.155000  4.360000 1.235000 ;
-      RECT  4.420000  0.595000  4.750000 0.765000 ;
-      RECT  4.530000  0.765000  4.750000 0.895000 ;
-      RECT  4.530000  0.895000  5.840000 1.065000 ;
-      RECT  4.530000  1.065000  4.700000 1.785000 ;
-      RECT  4.870000  1.235000  5.200000 1.415000 ;
-      RECT  4.870000  1.415000  5.875000 1.655000 ;
-      RECT  4.890000  1.915000  5.220000 2.635000 ;
-      RECT  4.920000  0.425000  5.170000 0.715000 ;
-      RECT  5.360000  0.085000  5.690000 0.465000 ;
-      RECT  5.510000  1.065000  5.840000 1.235000 ;
-      RECT  6.075000  1.575000  6.310000 1.985000 ;
-      RECT  6.135000  0.705000  6.420000 1.125000 ;
-      RECT  6.135000  1.125000  6.755000 1.305000 ;
-      RECT  6.265000  2.250000  7.095000 2.420000 ;
-      RECT  6.330000  0.265000  7.095000 0.465000 ;
-      RECT  6.550000  1.305000  6.755000 1.905000 ;
-      RECT  6.925000  0.465000  7.095000 1.235000 ;
-      RECT  6.925000  1.235000  8.275000 1.405000 ;
-      RECT  6.925000  1.405000  7.095000 2.250000 ;
-      RECT  7.265000  1.575000  7.515000 1.915000 ;
-      RECT  7.265000  1.915000 10.070000 2.085000 ;
-      RECT  7.275000  0.085000  7.535000 0.525000 ;
-      RECT  7.335000  2.255000  7.715000 2.635000 ;
-      RECT  7.795000  0.255000  8.965000 0.425000 ;
-      RECT  7.795000  0.425000  8.125000 0.545000 ;
-      RECT  7.955000  2.085000  8.125000 2.375000 ;
-      RECT  8.055000  1.075000  8.275000 1.235000 ;
-      RECT  8.295000  0.595000  8.625000 0.780000 ;
-      RECT  8.445000  0.780000  8.625000 1.915000 ;
-      RECT  8.655000  2.255000 10.070000 2.635000 ;
-      RECT  8.795000  0.425000  8.965000 0.585000 ;
-      RECT  8.795000  0.755000  9.500000 0.925000 ;
-      RECT  8.795000  0.925000  9.070000 1.575000 ;
-      RECT  8.795000  1.575000  9.570000 1.745000 ;
-      RECT  9.280000  0.265000  9.500000 0.755000 ;
-      RECT  9.740000  0.085000 10.070000 0.805000 ;
-      RECT  9.900000  0.995000 10.140000 1.325000 ;
-      RECT  9.900000  1.325000 10.070000 1.915000 ;
-      RECT 10.680000  0.085000 10.910000 0.885000 ;
-      RECT 10.680000  1.465000 10.910000 2.635000 ;
-      RECT 11.215000  0.255000 11.470000 0.995000 ;
-      RECT 11.215000  0.995000 11.990000 1.325000 ;
-      RECT 11.215000  1.325000 11.470000 2.415000 ;
-      RECT 11.650000  0.085000 11.945000 0.545000 ;
-      RECT 11.650000  1.765000 11.945000 2.635000 ;
-      RECT 12.515000  0.085000 12.795000 0.885000 ;
-      RECT 12.515000  1.465000 12.795000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.610000  0.765000  0.780000 0.935000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.070000  1.785000  1.240000 1.955000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.460000  1.785000  2.630000 1.955000 ;
-      RECT  2.900000  0.765000  3.070000 0.935000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  5.680000  1.445000  5.850000 1.615000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.140000  1.105000  6.310000 1.275000 ;
-      RECT  6.140000  1.785000  6.310000 1.955000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  8.900000  1.445000  9.070000 1.615000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-      RECT 12.105000  2.635000 12.275000 2.805000 ;
-      RECT 12.565000 -0.085000 12.735000 0.085000 ;
-      RECT 12.565000  2.635000 12.735000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 0.735000 0.840000 0.780000 ;
-      RECT 0.550000 0.780000 3.130000 0.920000 ;
-      RECT 0.550000 0.920000 0.840000 0.965000 ;
-      RECT 1.010000 1.755000 1.300000 1.800000 ;
-      RECT 1.010000 1.800000 6.370000 1.940000 ;
-      RECT 1.010000 1.940000 1.300000 1.985000 ;
-      RECT 2.400000 1.755000 2.690000 1.800000 ;
-      RECT 2.400000 1.940000 2.690000 1.985000 ;
-      RECT 2.840000 0.735000 3.130000 0.780000 ;
-      RECT 2.840000 0.920000 3.130000 0.965000 ;
-      RECT 2.935000 0.965000 3.130000 1.120000 ;
-      RECT 2.935000 1.120000 6.370000 1.260000 ;
-      RECT 5.620000 1.415000 5.910000 1.460000 ;
-      RECT 5.620000 1.460000 9.130000 1.600000 ;
-      RECT 5.620000 1.600000 5.910000 1.645000 ;
-      RECT 6.080000 1.075000 6.370000 1.120000 ;
-      RECT 6.080000 1.260000 6.370000 1.305000 ;
-      RECT 6.080000 1.755000 6.370000 1.800000 ;
-      RECT 6.080000 1.940000 6.370000 1.985000 ;
-      RECT 8.840000 1.415000 9.130000 1.460000 ;
-      RECT 8.840000 1.600000 9.130000 1.645000 ;
-  END
-END sky130_fd_sc_hd__dfbbn_2
-MACRO sky130_fd_sc_hd__dlygate4sd1_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlygate4sd1_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.055000 0.555000 1.615000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.410000 0.255000 2.700000 0.825000 ;
-        RECT 2.440000 1.495000 2.700000 2.465000 ;
-        RECT 2.530000 0.825000 2.700000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.140000 -0.085000 0.310000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.085000  1.785000 0.895000 2.005000 ;
-      RECT 0.085000  2.005000 0.380000 2.465000 ;
-      RECT 0.095000  0.255000 0.380000 0.715000 ;
-      RECT 0.095000  0.715000 0.895000 0.885000 ;
-      RECT 0.550000  0.085000 0.765000 0.545000 ;
-      RECT 0.550000  2.175000 0.765000 2.635000 ;
-      RECT 0.725000  0.885000 0.895000 0.995000 ;
-      RECT 0.725000  0.995000 0.980000 1.325000 ;
-      RECT 0.725000  1.325000 0.895000 1.785000 ;
-      RECT 0.935000  0.255000 1.320000 0.545000 ;
-      RECT 0.935000  2.175000 1.320000 2.465000 ;
-      RECT 1.150000  0.545000 1.320000 1.075000 ;
-      RECT 1.150000  1.075000 1.900000 1.275000 ;
-      RECT 1.150000  1.275000 1.320000 2.175000 ;
-      RECT 1.515000  0.255000 1.740000 0.735000 ;
-      RECT 1.515000  0.735000 2.240000 0.905000 ;
-      RECT 1.515000  1.575000 2.240000 1.745000 ;
-      RECT 1.515000  1.745000 1.740000 2.430000 ;
-      RECT 1.910000  0.085000 2.240000 0.565000 ;
-      RECT 1.910000  1.915000 2.270000 2.635000 ;
-      RECT 2.070000  0.905000 2.240000 0.995000 ;
-      RECT 2.070000  0.995000 2.360000 1.325000 ;
-      RECT 2.070000  1.325000 2.240000 1.575000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__dlygate4sd1_1
-MACRO sky130_fd_sc_hd__and2_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__and2_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.300000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.100000 1.075000 0.775000 1.325000 ;
-        RECT 0.100000 1.325000 0.365000 1.685000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.995000 1.075000 1.335000 1.325000 ;
-    END
-  END B
-  PIN X
-    ANTENNADIFFAREA  0.657000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.655000 0.255000 2.215000 0.545000 ;
-        RECT 1.755000 1.915000 2.215000 2.465000 ;
-        RECT 1.965000 0.545000 2.215000 1.915000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.300000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.490000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.300000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.300000 0.085000 ;
-      RECT 0.000000  2.635000 2.300000 2.805000 ;
-      RECT 0.285000  0.355000 0.615000 0.715000 ;
-      RECT 0.285000  0.715000 1.675000 0.905000 ;
-      RECT 0.285000  1.965000 0.565000 2.635000 ;
-      RECT 0.735000  1.575000 1.675000 1.745000 ;
-      RECT 0.735000  1.745000 1.035000 2.295000 ;
-      RECT 1.235000  0.085000 1.485000 0.545000 ;
-      RECT 1.235000  1.915000 1.565000 2.635000 ;
-      RECT 1.505000  0.905000 1.675000 0.995000 ;
-      RECT 1.505000  0.995000 1.795000 1.325000 ;
-      RECT 1.505000  1.325000 1.675000 1.575000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-  END
-END sky130_fd_sc_hd__and2_1
-MACRO sky130_fd_sc_hd__and2_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__and2_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.125000 0.995000 0.435000 1.615000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.605000 0.995000 0.980000 1.325000 ;
-    END
-  END B
-  PIN X
-    ANTENNADIFFAREA  0.924000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.530000 0.515000 1.720000 0.615000 ;
-        RECT 1.530000 0.615000 3.135000 0.845000 ;
-        RECT 1.530000 1.535000 3.135000 1.760000 ;
-        RECT 1.530000 1.760000 1.720000 2.465000 ;
-        RECT 2.390000 0.255000 2.580000 0.615000 ;
-        RECT 2.390000 1.760000 3.135000 1.765000 ;
-        RECT 2.390000 1.765000 2.580000 2.465000 ;
-        RECT 2.855000 0.845000 3.135000 1.535000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.095000  0.255000 0.425000 0.615000 ;
-      RECT 0.095000  0.615000 1.360000 0.805000 ;
-      RECT 0.095000  1.880000 0.425000 2.635000 ;
-      RECT 0.605000  1.580000 1.360000 1.750000 ;
-      RECT 0.605000  1.750000 0.785000 2.465000 ;
-      RECT 0.955000  0.085000 1.285000 0.445000 ;
-      RECT 0.990000  1.935000 1.320000 2.635000 ;
-      RECT 1.150000  0.805000 1.360000 1.020000 ;
-      RECT 1.150000  1.020000 2.685000 1.355000 ;
-      RECT 1.150000  1.355000 1.360000 1.580000 ;
-      RECT 1.890000  0.085000 2.220000 0.445000 ;
-      RECT 1.890000  1.935000 2.220000 2.635000 ;
-      RECT 2.750000  0.085000 3.080000 0.445000 ;
-      RECT 2.750000  1.935000 3.080000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__and2_4
-MACRO sky130_fd_sc_hd__and2_0
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__and2_0 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.300000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.185000 0.430000 1.955000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.940000 1.080000 1.270000 1.615000 ;
-    END
-  END B
-  PIN X
-    ANTENNADIFFAREA  0.280900 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.560000 0.255000 2.215000 0.525000 ;
-        RECT 1.790000 1.835000 2.215000 2.465000 ;
-        RECT 1.950000 0.525000 2.215000 1.835000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.300000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.490000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.300000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.300000 0.085000 ;
-      RECT 0.000000  2.635000 2.300000 2.805000 ;
-      RECT 0.160000  2.175000 0.430000 2.635000 ;
-      RECT 0.185000  0.280000 0.490000 0.695000 ;
-      RECT 0.185000  0.695000 1.780000 0.910000 ;
-      RECT 0.185000  0.910000 0.770000 0.950000 ;
-      RECT 0.600000  0.950000 0.770000 2.135000 ;
-      RECT 0.600000  2.135000 0.865000 2.465000 ;
-      RECT 0.950000  0.085000 1.390000 0.525000 ;
-      RECT 1.110000  1.835000 1.620000 2.635000 ;
-      RECT 1.450000  0.910000 1.780000 1.435000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-  END
-END sky130_fd_sc_hd__and2_0
-MACRO sky130_fd_sc_hd__and2_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__and2_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.775000 1.325000 ;
-        RECT 0.085000 1.325000 0.400000 1.765000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.005000 1.075000 1.335000 1.325000 ;
-    END
-  END B
-  PIN X
-    ANTENNADIFFAREA  0.643500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.665000 0.255000 2.215000 0.545000 ;
-        RECT 1.765000 1.915000 2.215000 2.465000 ;
-        RECT 1.965000 0.545000 2.215000 1.915000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.285000  0.355000 0.615000 0.715000 ;
-      RECT 0.285000  0.715000 1.675000 0.905000 ;
-      RECT 0.285000  1.965000 0.565000 2.635000 ;
-      RECT 0.735000  1.575000 1.675000 1.745000 ;
-      RECT 0.735000  1.745000 1.035000 2.295000 ;
-      RECT 1.245000  0.085000 1.495000 0.545000 ;
-      RECT 1.245000  1.915000 1.575000 2.635000 ;
-      RECT 1.505000  0.905000 1.675000 0.995000 ;
-      RECT 1.505000  0.995000 1.795000 1.325000 ;
-      RECT 1.505000  1.325000 1.675000 1.575000 ;
-      RECT 2.385000  0.085000 2.675000 0.885000 ;
-      RECT 2.385000  1.495000 2.675000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__and2_2
-MACRO sky130_fd_sc_hd__sdfstp_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sdfstp_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  12.88000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.050000 0.765000 1.335000 1.675000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.519750 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 12.035000 0.255000 12.365000 0.825000 ;
-        RECT 12.035000 1.495000 12.365000 2.450000 ;
-        RECT 12.145000 0.825000 12.365000 1.495000 ;
-    END
-  END Q
-  PIN SCD
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.765000 0.340000 1.675000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.540000 0.765000 0.820000 1.675000 ;
-      LAYER mcon ;
-        RECT 0.605000 1.105000 0.775000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 2.370000 1.075000 2.700000 1.600000 ;
-      LAYER mcon ;
-        RECT 2.445000 1.105000 2.615000 1.275000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.545000 1.075000 0.835000 1.120000 ;
-        RECT 0.545000 1.120000 2.675000 1.260000 ;
-        RECT 0.545000 1.260000 0.835000 1.305000 ;
-        RECT 2.385000 1.075000 2.675000 1.120000 ;
-        RECT 2.385000 1.260000 2.675000 1.305000 ;
-    END
-  END SCE
-  PIN SET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.640000 1.445000 7.065000 1.765000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 8.880000 1.425000 9.135000 1.545000 ;
-        RECT 8.880000 1.545000 9.945000 1.725000 ;
-      LAYER mcon ;
-        RECT 8.940000 1.445000 9.110000 1.615000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 6.580000 1.415000 6.870000 1.460000 ;
-        RECT 6.580000 1.460000 9.170000 1.600000 ;
-        RECT 6.580000 1.600000 6.870000 1.645000 ;
-        RECT 8.880000 1.415000 9.170000 1.460000 ;
-        RECT 8.880000 1.600000 9.170000 1.645000 ;
-    END
-  END SET_B
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 2.905000 0.725000 3.100000 1.055000 ;
-        RECT 2.905000 1.055000 3.565000 1.615000 ;
-        RECT 2.905000 1.615000 3.085000 1.960000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 12.880000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 13.070000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 12.880000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 12.880000 0.085000 ;
-      RECT  0.000000  2.635000 12.880000 2.805000 ;
-      RECT  0.085000  0.085000  0.700000 0.595000 ;
-      RECT  0.085000  1.845000  1.125000 2.025000 ;
-      RECT  0.085000  2.025000  0.345000 2.465000 ;
-      RECT  0.515000  2.195000  0.785000 2.635000 ;
-      RECT  0.870000  0.255000  1.625000 0.555000 ;
-      RECT  0.870000  0.555000  1.640000 0.575000 ;
-      RECT  0.870000  0.575000  1.650000 0.595000 ;
-      RECT  0.955000  2.025000  1.125000 2.255000 ;
-      RECT  0.955000  2.255000  2.045000 2.465000 ;
-      RECT  1.295000  1.845000  1.695000 2.085000 ;
-      RECT  1.380000  0.595000  1.660000 0.600000 ;
-      RECT  1.395000  0.600000  1.660000 0.605000 ;
-      RECT  1.405000  0.605000  1.660000 0.610000 ;
-      RECT  1.420000  0.610000  1.660000 0.615000 ;
-      RECT  1.430000  0.615000  1.660000 0.620000 ;
-      RECT  1.440000  0.620000  1.665000 0.630000 ;
-      RECT  1.445000  0.630000  1.665000 0.635000 ;
-      RECT  1.460000  0.635000  1.665000 0.645000 ;
-      RECT  1.475000  0.645000  1.670000 0.660000 ;
-      RECT  1.475000  0.660000  1.675000 0.665000 ;
-      RECT  1.495000  0.665000  1.675000 0.705000 ;
-      RECT  1.505000  0.705000  1.675000 0.710000 ;
-      RECT  1.505000  0.710000  1.695000 1.845000 ;
-      RECT  1.825000  0.085000  2.090000 0.545000 ;
-      RECT  1.865000  0.715000  2.520000 0.905000 ;
-      RECT  1.865000  0.905000  2.200000 1.770000 ;
-      RECT  1.865000  1.770000  2.520000 2.085000 ;
-      RECT  2.260000  0.255000  2.520000 0.715000 ;
-      RECT  2.270000  2.085000  2.520000 2.465000 ;
-      RECT  2.690000  0.085000  3.100000 0.555000 ;
-      RECT  2.690000  2.140000  2.985000 2.635000 ;
-      RECT  3.255000  1.830000  3.995000 1.990000 ;
-      RECT  3.255000  1.990000  3.985000 2.000000 ;
-      RECT  3.255000  2.000000  3.425000 2.325000 ;
-      RECT  3.270000  0.255000  3.455000 0.715000 ;
-      RECT  3.270000  0.715000  3.995000 0.885000 ;
-      RECT  3.595000  2.275000  3.925000 2.635000 ;
-      RECT  3.625000  0.085000  3.955000 0.545000 ;
-      RECT  3.735000  0.885000  3.995000 1.830000 ;
-      RECT  4.095000  2.135000  4.440000 2.465000 ;
-      RECT  4.125000  0.255000  4.335000 0.585000 ;
-      RECT  4.165000  0.585000  4.335000 1.090000 ;
-      RECT  4.165000  1.090000  4.490000 1.420000 ;
-      RECT  4.165000  1.420000  4.440000 2.135000 ;
-      RECT  4.505000  0.255000  4.830000 0.920000 ;
-      RECT  4.615000  1.590000  4.915000 1.615000 ;
-      RECT  4.615000  1.615000  4.830000 2.465000 ;
-      RECT  4.660000  0.920000  4.830000 1.445000 ;
-      RECT  4.660000  1.445000  4.915000 1.590000 ;
-      RECT  5.000000  0.255000  5.440000 1.225000 ;
-      RECT  5.000000  1.225000  7.715000 1.275000 ;
-      RECT  5.035000  2.135000  5.755000 2.465000 ;
-      RECT  5.085000  1.275000  6.475000 1.395000 ;
-      RECT  5.205000  1.575000  5.415000 1.955000 ;
-      RECT  5.585000  1.395000  5.755000 2.135000 ;
-      RECT  5.610000  0.085000  6.095000 0.465000 ;
-      RECT  5.645000  0.635000  6.535000 0.805000 ;
-      RECT  5.645000  0.805000  5.975000 1.015000 ;
-      RECT  5.925000  1.575000  6.095000 1.935000 ;
-      RECT  5.925000  1.935000  6.820000 2.105000 ;
-      RECT  5.945000  2.275000  6.330000 2.635000 ;
-      RECT  6.285000  0.255000  6.535000 0.635000 ;
-      RECT  6.305000  0.975000  7.715000 1.225000 ;
-      RECT  6.605000  2.105000  6.820000 2.450000 ;
-      RECT  6.705000  0.085000  7.715000 0.805000 ;
-      RECT  7.060000  2.125000  8.015000 2.635000 ;
-      RECT  7.235000  1.670000  8.135000 1.955000 ;
-      RECT  7.355000  1.275000  7.715000 1.325000 ;
-      RECT  7.885000  0.720000  9.105000 0.905000 ;
-      RECT  7.885000  0.905000  8.135000 1.670000 ;
-      RECT  8.185000  2.125000  8.990000 2.460000 ;
-      RECT  8.425000  1.075000  8.650000 1.905000 ;
-      RECT  8.465000  0.275000  9.910000 0.545000 ;
-      RECT  8.820000  0.905000  9.105000 1.255000 ;
-      RECT  8.820000  1.895000 10.485000 2.065000 ;
-      RECT  8.820000  2.065000  8.990000 2.125000 ;
-      RECT  9.160000  2.235000  9.490000 2.635000 ;
-      RECT  9.320000  0.855000  9.530000 1.195000 ;
-      RECT  9.320000  1.195000 10.915000 1.365000 ;
-      RECT  9.660000  2.065000  9.965000 2.450000 ;
-      RECT  9.710000  0.545000  9.910000 0.785000 ;
-      RECT  9.710000  0.785000 10.515000 1.015000 ;
-      RECT 10.115000  0.085000 10.365000 0.545000 ;
-      RECT 10.155000  1.605000 10.485000 1.895000 ;
-      RECT 10.155000  2.235000 10.485000 2.635000 ;
-      RECT 10.575000  0.255000 10.915000 0.585000 ;
-      RECT 10.655000  1.365000 10.915000 2.465000 ;
-      RECT 10.685000  0.585000 10.915000 1.195000 ;
-      RECT 11.085000  0.255000 11.345000 0.995000 ;
-      RECT 11.085000  0.995000 11.975000 1.325000 ;
-      RECT 11.085000  1.325000 11.345000 2.465000 ;
-      RECT 11.570000  0.085000 11.865000 0.825000 ;
-      RECT 11.570000  1.790000 11.820000 2.635000 ;
-      RECT 12.535000  0.085000 12.795000 0.885000 ;
-      RECT 12.535000  1.495000 12.795000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  1.445000  1.695000 1.615000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  1.785000  3.995000 1.955000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  1.105000  4.455000 1.275000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  1.445000  4.915000 1.615000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  1.785000  5.375000 1.955000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.560000  1.785000  7.730000 1.955000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.480000  1.105000  8.650000 1.275000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-      RECT 12.105000  2.635000 12.275000 2.805000 ;
-      RECT 12.565000 -0.085000 12.735000 0.085000 ;
-      RECT 12.565000  2.635000 12.735000 2.805000 ;
-    LAYER met1 ;
-      RECT 1.465000 1.415000 1.755000 1.460000 ;
-      RECT 1.465000 1.460000 4.975000 1.600000 ;
-      RECT 1.465000 1.600000 1.755000 1.645000 ;
-      RECT 3.765000 1.755000 4.055000 1.800000 ;
-      RECT 3.765000 1.800000 7.790000 1.940000 ;
-      RECT 3.765000 1.940000 4.055000 1.985000 ;
-      RECT 4.225000 1.075000 4.515000 1.120000 ;
-      RECT 4.225000 1.120000 8.710000 1.260000 ;
-      RECT 4.225000 1.260000 4.515000 1.305000 ;
-      RECT 4.685000 1.415000 4.975000 1.460000 ;
-      RECT 4.685000 1.600000 4.975000 1.645000 ;
-      RECT 5.145000 1.755000 5.435000 1.800000 ;
-      RECT 5.145000 1.940000 5.435000 1.985000 ;
-      RECT 7.500000 1.755000 7.790000 1.800000 ;
-      RECT 7.500000 1.940000 7.790000 1.985000 ;
-      RECT 8.420000 1.075000 8.710000 1.120000 ;
-      RECT 8.420000 1.260000 8.710000 1.305000 ;
-  END
-END sky130_fd_sc_hd__sdfstp_2
-MACRO sky130_fd_sc_hd__sdfstp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sdfstp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  12.42000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.050000 0.765000 1.335000 1.675000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 11.995000 0.275000 12.335000 0.825000 ;
-        RECT 11.995000 1.495000 12.335000 2.450000 ;
-        RECT 12.145000 0.825000 12.335000 1.495000 ;
-    END
-  END Q
-  PIN SCD
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.765000 0.340000 1.675000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.540000 0.765000 0.820000 1.675000 ;
-      LAYER mcon ;
-        RECT 0.605000 1.105000 0.775000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 2.370000 1.075000 2.700000 1.600000 ;
-      LAYER mcon ;
-        RECT 2.445000 1.105000 2.615000 1.275000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.545000 1.075000 0.835000 1.120000 ;
-        RECT 0.545000 1.120000 2.675000 1.260000 ;
-        RECT 0.545000 1.260000 0.835000 1.305000 ;
-        RECT 2.385000 1.075000 2.675000 1.120000 ;
-        RECT 2.385000 1.260000 2.675000 1.305000 ;
-    END
-  END SCE
-  PIN SET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.640000 1.445000 7.065000 1.765000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 8.880000 1.425000 9.135000 1.545000 ;
-        RECT 8.880000 1.545000 9.945000 1.725000 ;
-      LAYER mcon ;
-        RECT 8.940000 1.445000 9.110000 1.615000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 6.580000 1.415000 6.870000 1.460000 ;
-        RECT 6.580000 1.460000 9.170000 1.600000 ;
-        RECT 6.580000 1.600000 6.870000 1.645000 ;
-        RECT 8.880000 1.415000 9.170000 1.460000 ;
-        RECT 8.880000 1.600000 9.170000 1.645000 ;
-    END
-  END SET_B
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 2.905000 0.725000 3.100000 1.055000 ;
-        RECT 2.905000 1.055000 3.565000 1.615000 ;
-        RECT 2.905000 1.615000 3.085000 1.960000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 12.420000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 12.610000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 12.420000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 12.420000 0.085000 ;
-      RECT  0.000000  2.635000 12.420000 2.805000 ;
-      RECT  0.085000  0.085000  0.700000 0.595000 ;
-      RECT  0.085000  1.845000  1.125000 2.025000 ;
-      RECT  0.085000  2.025000  0.345000 2.465000 ;
-      RECT  0.515000  2.195000  0.785000 2.635000 ;
-      RECT  0.870000  0.255000  1.625000 0.555000 ;
-      RECT  0.870000  0.555000  1.640000 0.575000 ;
-      RECT  0.870000  0.575000  1.650000 0.595000 ;
-      RECT  0.955000  2.025000  1.125000 2.255000 ;
-      RECT  0.955000  2.255000  2.045000 2.465000 ;
-      RECT  1.295000  1.845000  1.695000 2.085000 ;
-      RECT  1.380000  0.595000  1.660000 0.600000 ;
-      RECT  1.395000  0.600000  1.660000 0.605000 ;
-      RECT  1.405000  0.605000  1.660000 0.610000 ;
-      RECT  1.420000  0.610000  1.660000 0.615000 ;
-      RECT  1.430000  0.615000  1.660000 0.620000 ;
-      RECT  1.440000  0.620000  1.665000 0.630000 ;
-      RECT  1.445000  0.630000  1.665000 0.635000 ;
-      RECT  1.460000  0.635000  1.665000 0.645000 ;
-      RECT  1.475000  0.645000  1.670000 0.660000 ;
-      RECT  1.475000  0.660000  1.675000 0.665000 ;
-      RECT  1.495000  0.665000  1.675000 0.705000 ;
-      RECT  1.505000  0.705000  1.675000 0.710000 ;
-      RECT  1.505000  0.710000  1.695000 1.845000 ;
-      RECT  1.825000  0.085000  2.090000 0.545000 ;
-      RECT  1.865000  0.715000  2.520000 0.905000 ;
-      RECT  1.865000  0.905000  2.200000 1.770000 ;
-      RECT  1.865000  1.770000  2.520000 2.085000 ;
-      RECT  2.260000  0.255000  2.520000 0.715000 ;
-      RECT  2.270000  2.085000  2.520000 2.465000 ;
-      RECT  2.690000  0.085000  3.100000 0.555000 ;
-      RECT  2.690000  2.140000  2.985000 2.635000 ;
-      RECT  3.255000  1.830000  3.995000 1.990000 ;
-      RECT  3.255000  1.990000  3.985000 2.000000 ;
-      RECT  3.255000  2.000000  3.425000 2.325000 ;
-      RECT  3.270000  0.255000  3.455000 0.715000 ;
-      RECT  3.270000  0.715000  3.995000 0.885000 ;
-      RECT  3.595000  2.275000  3.925000 2.635000 ;
-      RECT  3.625000  0.085000  3.955000 0.545000 ;
-      RECT  3.735000  0.885000  3.995000 1.830000 ;
-      RECT  4.095000  2.135000  4.440000 2.465000 ;
-      RECT  4.125000  0.255000  4.335000 0.585000 ;
-      RECT  4.165000  0.585000  4.335000 1.090000 ;
-      RECT  4.165000  1.090000  4.490000 1.420000 ;
-      RECT  4.165000  1.420000  4.440000 2.135000 ;
-      RECT  4.505000  0.255000  4.830000 0.920000 ;
-      RECT  4.615000  1.590000  4.915000 1.615000 ;
-      RECT  4.615000  1.615000  4.830000 2.465000 ;
-      RECT  4.660000  0.920000  4.830000 1.445000 ;
-      RECT  4.660000  1.445000  4.915000 1.590000 ;
-      RECT  5.000000  0.255000  5.440000 1.225000 ;
-      RECT  5.000000  1.225000  7.715000 1.275000 ;
-      RECT  5.035000  2.135000  5.755000 2.465000 ;
-      RECT  5.085000  1.275000  6.475000 1.395000 ;
-      RECT  5.205000  1.575000  5.415000 1.955000 ;
-      RECT  5.585000  1.395000  5.755000 2.135000 ;
-      RECT  5.610000  0.085000  6.095000 0.465000 ;
-      RECT  5.645000  0.635000  6.535000 0.805000 ;
-      RECT  5.645000  0.805000  5.975000 1.015000 ;
-      RECT  5.925000  1.575000  6.095000 1.935000 ;
-      RECT  5.925000  1.935000  6.820000 2.105000 ;
-      RECT  5.945000  2.275000  6.330000 2.635000 ;
-      RECT  6.285000  0.255000  6.535000 0.635000 ;
-      RECT  6.305000  0.975000  7.715000 1.225000 ;
-      RECT  6.605000  2.105000  6.820000 2.450000 ;
-      RECT  6.705000  0.085000  7.715000 0.805000 ;
-      RECT  7.060000  2.125000  8.015000 2.635000 ;
-      RECT  7.235000  1.670000  8.135000 1.955000 ;
-      RECT  7.355000  1.275000  7.715000 1.325000 ;
-      RECT  7.885000  0.720000  9.105000 0.905000 ;
-      RECT  7.885000  0.905000  8.135000 1.670000 ;
-      RECT  8.185000  2.125000  8.990000 2.460000 ;
-      RECT  8.425000  1.075000  8.650000 1.905000 ;
-      RECT  8.465000  0.275000  9.910000 0.545000 ;
-      RECT  8.820000  0.905000  9.105000 1.255000 ;
-      RECT  8.820000  1.895000 10.485000 2.065000 ;
-      RECT  8.820000  2.065000  8.990000 2.125000 ;
-      RECT  9.160000  2.235000  9.490000 2.635000 ;
-      RECT  9.320000  0.855000  9.530000 1.195000 ;
-      RECT  9.320000  1.195000 10.915000 1.365000 ;
-      RECT  9.660000  2.065000  9.965000 2.450000 ;
-      RECT  9.710000  0.545000  9.910000 0.785000 ;
-      RECT  9.710000  0.785000 10.515000 1.015000 ;
-      RECT 10.115000  0.085000 10.365000 0.545000 ;
-      RECT 10.155000  1.605000 10.485000 1.895000 ;
-      RECT 10.155000  2.235000 10.485000 2.635000 ;
-      RECT 10.575000  0.255000 10.915000 0.585000 ;
-      RECT 10.655000  1.365000 10.915000 2.465000 ;
-      RECT 10.685000  0.585000 10.915000 1.195000 ;
-      RECT 11.085000  0.255000 11.345000 0.995000 ;
-      RECT 11.085000  0.995000 11.975000 1.325000 ;
-      RECT 11.085000  1.325000 11.345000 2.465000 ;
-      RECT 11.515000  0.085000 11.825000 0.825000 ;
-      RECT 11.515000  1.790000 11.825000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  1.445000  1.695000 1.615000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  1.785000  3.995000 1.955000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  1.105000  4.455000 1.275000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  1.445000  4.915000 1.615000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  1.785000  5.375000 1.955000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.560000  1.785000  7.730000 1.955000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.480000  1.105000  8.650000 1.275000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-      RECT 12.105000  2.635000 12.275000 2.805000 ;
-    LAYER met1 ;
-      RECT 1.465000 1.415000 1.755000 1.460000 ;
-      RECT 1.465000 1.460000 4.975000 1.600000 ;
-      RECT 1.465000 1.600000 1.755000 1.645000 ;
-      RECT 3.765000 1.755000 4.055000 1.800000 ;
-      RECT 3.765000 1.800000 7.790000 1.940000 ;
-      RECT 3.765000 1.940000 4.055000 1.985000 ;
-      RECT 4.225000 1.075000 4.515000 1.120000 ;
-      RECT 4.225000 1.120000 8.710000 1.260000 ;
-      RECT 4.225000 1.260000 4.515000 1.305000 ;
-      RECT 4.685000 1.415000 4.975000 1.460000 ;
-      RECT 4.685000 1.600000 4.975000 1.645000 ;
-      RECT 5.145000 1.755000 5.435000 1.800000 ;
-      RECT 5.145000 1.940000 5.435000 1.985000 ;
-      RECT 7.500000 1.755000 7.790000 1.800000 ;
-      RECT 7.500000 1.940000 7.790000 1.985000 ;
-      RECT 8.420000 1.075000 8.710000 1.120000 ;
-      RECT 8.420000 1.260000 8.710000 1.305000 ;
-  END
-END sky130_fd_sc_hd__sdfstp_1
-MACRO sky130_fd_sc_hd__sdfstp_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sdfstp_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  13.80000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.050000 0.765000 1.335000 1.675000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 12.040000 0.275000 12.370000 0.825000 ;
-        RECT 12.040000 1.495000 12.370000 2.450000 ;
-        RECT 12.145000 0.825000 12.370000 1.055000 ;
-        RECT 12.145000 1.055000 13.210000 1.325000 ;
-        RECT 12.145000 1.325000 12.370000 1.495000 ;
-        RECT 12.880000 0.255000 13.210000 1.055000 ;
-        RECT 12.880000 1.325000 13.210000 2.465000 ;
-    END
-  END Q
-  PIN SCD
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.765000 0.340000 1.675000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.540000 0.765000 0.820000 1.675000 ;
-      LAYER mcon ;
-        RECT 0.605000 1.105000 0.775000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 2.370000 1.075000 2.700000 1.600000 ;
-      LAYER mcon ;
-        RECT 2.445000 1.105000 2.615000 1.275000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.545000 1.075000 0.835000 1.120000 ;
-        RECT 0.545000 1.120000 2.675000 1.260000 ;
-        RECT 0.545000 1.260000 0.835000 1.305000 ;
-        RECT 2.385000 1.075000 2.675000 1.120000 ;
-        RECT 2.385000 1.260000 2.675000 1.305000 ;
-    END
-  END SCE
-  PIN SET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.640000 1.445000 7.065000 1.765000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 8.880000 1.425000 9.135000 1.545000 ;
-        RECT 8.880000 1.545000 9.945000 1.725000 ;
-      LAYER mcon ;
-        RECT 8.940000 1.445000 9.110000 1.615000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 6.580000 1.415000 6.870000 1.460000 ;
-        RECT 6.580000 1.460000 9.170000 1.600000 ;
-        RECT 6.580000 1.600000 6.870000 1.645000 ;
-        RECT 8.880000 1.415000 9.170000 1.460000 ;
-        RECT 8.880000 1.600000 9.170000 1.645000 ;
-    END
-  END SET_B
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 2.905000 0.725000 3.100000 1.055000 ;
-        RECT 2.905000 1.055000 3.565000 1.615000 ;
-        RECT 2.905000 1.615000 3.085000 1.960000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 13.800000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 13.990000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 13.800000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 13.800000 0.085000 ;
-      RECT  0.000000  2.635000 13.800000 2.805000 ;
-      RECT  0.085000  0.085000  0.700000 0.595000 ;
-      RECT  0.085000  1.845000  1.125000 2.025000 ;
-      RECT  0.085000  2.025000  0.345000 2.465000 ;
-      RECT  0.515000  2.195000  0.785000 2.635000 ;
-      RECT  0.870000  0.255000  1.625000 0.555000 ;
-      RECT  0.870000  0.555000  1.640000 0.575000 ;
-      RECT  0.870000  0.575000  1.650000 0.595000 ;
-      RECT  0.955000  2.025000  1.125000 2.255000 ;
-      RECT  0.955000  2.255000  2.045000 2.465000 ;
-      RECT  1.295000  1.845000  1.695000 2.085000 ;
-      RECT  1.380000  0.595000  1.660000 0.600000 ;
-      RECT  1.395000  0.600000  1.660000 0.605000 ;
-      RECT  1.405000  0.605000  1.660000 0.610000 ;
-      RECT  1.420000  0.610000  1.660000 0.615000 ;
-      RECT  1.430000  0.615000  1.660000 0.620000 ;
-      RECT  1.440000  0.620000  1.665000 0.630000 ;
-      RECT  1.445000  0.630000  1.665000 0.635000 ;
-      RECT  1.460000  0.635000  1.665000 0.645000 ;
-      RECT  1.475000  0.645000  1.670000 0.660000 ;
-      RECT  1.475000  0.660000  1.675000 0.665000 ;
-      RECT  1.495000  0.665000  1.675000 0.705000 ;
-      RECT  1.505000  0.705000  1.675000 0.710000 ;
-      RECT  1.505000  0.710000  1.695000 1.845000 ;
-      RECT  1.825000  0.085000  2.090000 0.545000 ;
-      RECT  1.865000  0.715000  2.520000 0.905000 ;
-      RECT  1.865000  0.905000  2.200000 1.770000 ;
-      RECT  1.865000  1.770000  2.520000 2.085000 ;
-      RECT  2.260000  0.255000  2.520000 0.715000 ;
-      RECT  2.270000  2.085000  2.520000 2.465000 ;
-      RECT  2.690000  0.085000  3.100000 0.555000 ;
-      RECT  2.690000  2.140000  2.985000 2.635000 ;
-      RECT  3.255000  1.830000  3.995000 1.990000 ;
-      RECT  3.255000  1.990000  3.985000 2.000000 ;
-      RECT  3.255000  2.000000  3.425000 2.325000 ;
-      RECT  3.270000  0.255000  3.455000 0.715000 ;
-      RECT  3.270000  0.715000  3.995000 0.885000 ;
-      RECT  3.595000  2.275000  3.925000 2.635000 ;
-      RECT  3.625000  0.085000  3.955000 0.545000 ;
-      RECT  3.735000  0.885000  3.995000 1.830000 ;
-      RECT  4.095000  2.135000  4.440000 2.465000 ;
-      RECT  4.125000  0.255000  4.335000 0.585000 ;
-      RECT  4.165000  0.585000  4.335000 1.090000 ;
-      RECT  4.165000  1.090000  4.490000 1.420000 ;
-      RECT  4.165000  1.420000  4.440000 2.135000 ;
-      RECT  4.505000  0.255000  4.830000 0.920000 ;
-      RECT  4.615000  1.590000  4.915000 1.615000 ;
-      RECT  4.615000  1.615000  4.830000 2.465000 ;
-      RECT  4.660000  0.920000  4.830000 1.445000 ;
-      RECT  4.660000  1.445000  4.915000 1.590000 ;
-      RECT  5.000000  0.255000  5.440000 1.225000 ;
-      RECT  5.000000  1.225000  7.715000 1.275000 ;
-      RECT  5.035000  2.135000  5.755000 2.465000 ;
-      RECT  5.085000  1.275000  6.475000 1.395000 ;
-      RECT  5.205000  1.575000  5.415000 1.955000 ;
-      RECT  5.585000  1.395000  5.755000 2.135000 ;
-      RECT  5.610000  0.085000  6.095000 0.465000 ;
-      RECT  5.645000  0.635000  6.535000 0.805000 ;
-      RECT  5.645000  0.805000  5.975000 1.015000 ;
-      RECT  5.925000  1.575000  6.095000 1.935000 ;
-      RECT  5.925000  1.935000  6.820000 2.105000 ;
-      RECT  5.945000  2.275000  6.330000 2.635000 ;
-      RECT  6.285000  0.255000  6.535000 0.635000 ;
-      RECT  6.305000  0.975000  7.715000 1.225000 ;
-      RECT  6.605000  2.105000  6.820000 2.450000 ;
-      RECT  6.705000  0.085000  7.715000 0.805000 ;
-      RECT  7.060000  2.125000  8.015000 2.635000 ;
-      RECT  7.235000  1.670000  8.135000 1.955000 ;
-      RECT  7.355000  1.275000  7.715000 1.325000 ;
-      RECT  7.885000  0.720000  9.105000 0.905000 ;
-      RECT  7.885000  0.905000  8.135000 1.670000 ;
-      RECT  8.185000  2.125000  8.990000 2.460000 ;
-      RECT  8.425000  1.075000  8.650000 1.905000 ;
-      RECT  8.465000  0.275000  9.910000 0.545000 ;
-      RECT  8.820000  0.905000  9.105000 1.255000 ;
-      RECT  8.820000  1.895000 10.485000 2.065000 ;
-      RECT  8.820000  2.065000  8.990000 2.125000 ;
-      RECT  9.160000  2.235000  9.490000 2.635000 ;
-      RECT  9.320000  0.855000  9.530000 1.195000 ;
-      RECT  9.320000  1.195000 10.915000 1.365000 ;
-      RECT  9.660000  2.065000  9.965000 2.450000 ;
-      RECT  9.710000  0.545000  9.910000 0.785000 ;
-      RECT  9.710000  0.785000 10.515000 1.015000 ;
-      RECT 10.115000  0.085000 10.365000 0.545000 ;
-      RECT 10.155000  1.605000 10.485000 1.895000 ;
-      RECT 10.155000  2.235000 10.485000 2.635000 ;
-      RECT 10.575000  0.255000 10.915000 0.585000 ;
-      RECT 10.655000  1.365000 10.915000 2.465000 ;
-      RECT 10.685000  0.585000 10.915000 1.195000 ;
-      RECT 11.085000  0.255000 11.345000 0.995000 ;
-      RECT 11.085000  0.995000 11.975000 1.325000 ;
-      RECT 11.085000  1.325000 11.345000 2.465000 ;
-      RECT 11.515000  0.085000 11.870000 0.825000 ;
-      RECT 11.515000  1.495000 11.870000 2.635000 ;
-      RECT 12.540000  0.085000 12.710000 0.885000 ;
-      RECT 12.540000  1.495000 12.710000 2.635000 ;
-      RECT 13.380000  0.085000 13.715000 0.885000 ;
-      RECT 13.380000  1.495000 13.715000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  1.445000  1.695000 1.615000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  1.785000  3.995000 1.955000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  1.105000  4.455000 1.275000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  1.445000  4.915000 1.615000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  1.785000  5.375000 1.955000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.560000  1.785000  7.730000 1.955000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.480000  1.105000  8.650000 1.275000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-      RECT 12.105000  2.635000 12.275000 2.805000 ;
-      RECT 12.565000 -0.085000 12.735000 0.085000 ;
-      RECT 12.565000  2.635000 12.735000 2.805000 ;
-      RECT 13.025000 -0.085000 13.195000 0.085000 ;
-      RECT 13.025000  2.635000 13.195000 2.805000 ;
-      RECT 13.485000 -0.085000 13.655000 0.085000 ;
-      RECT 13.485000  2.635000 13.655000 2.805000 ;
-    LAYER met1 ;
-      RECT 1.465000 1.415000 1.755000 1.460000 ;
-      RECT 1.465000 1.460000 4.975000 1.600000 ;
-      RECT 1.465000 1.600000 1.755000 1.645000 ;
-      RECT 3.765000 1.755000 4.055000 1.800000 ;
-      RECT 3.765000 1.800000 7.790000 1.940000 ;
-      RECT 3.765000 1.940000 4.055000 1.985000 ;
-      RECT 4.225000 1.075000 4.515000 1.120000 ;
-      RECT 4.225000 1.120000 8.710000 1.260000 ;
-      RECT 4.225000 1.260000 4.515000 1.305000 ;
-      RECT 4.685000 1.415000 4.975000 1.460000 ;
-      RECT 4.685000 1.600000 4.975000 1.645000 ;
-      RECT 5.145000 1.755000 5.435000 1.800000 ;
-      RECT 5.145000 1.940000 5.435000 1.985000 ;
-      RECT 7.500000 1.755000 7.790000 1.800000 ;
-      RECT 7.500000 1.940000 7.790000 1.985000 ;
-      RECT 8.420000 1.075000 8.710000 1.120000 ;
-      RECT 8.420000 1.260000 8.710000 1.305000 ;
-  END
-END sky130_fd_sc_hd__sdfstp_4
-MACRO sky130_fd_sc_hd__dfstp_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dfstp_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  11.04000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.222000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.770000 1.005000 2.180000 1.625000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  1.320000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT  8.925000 0.265000  9.170000 0.715000 ;
-        RECT  8.925000 0.715000 10.955000 0.885000 ;
-        RECT  8.925000 1.470000 10.955000 1.640000 ;
-        RECT  8.925000 1.640000  9.170000 2.465000 ;
-        RECT  9.765000 0.265000  9.935000 0.715000 ;
-        RECT  9.765000 1.640000  9.935000 2.465000 ;
-        RECT 10.605000 0.265000 10.955000 0.715000 ;
-        RECT 10.605000 1.640000 10.955000 2.465000 ;
-        RECT 10.725000 0.885000 10.955000 1.470000 ;
-    END
-  END Q
-  PIN SET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.610000 0.735000 4.020000 1.065000 ;
-      LAYER mcon ;
-        RECT 3.825000 0.765000 3.995000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 6.660000 0.735000 7.320000 1.005000 ;
-        RECT 6.660000 1.005000 6.990000 1.065000 ;
-      LAYER mcon ;
-        RECT 7.045000 0.765000 7.215000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 3.765000 0.735000 4.055000 0.780000 ;
-        RECT 3.765000 0.780000 7.275000 0.920000 ;
-        RECT 3.765000 0.920000 4.055000 0.965000 ;
-        RECT 6.985000 0.735000 7.275000 0.780000 ;
-        RECT 6.985000 0.920000 7.275000 0.965000 ;
-    END
-  END SET_B
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.975000 0.440000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 11.040000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 11.230000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 11.040000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 11.040000 0.085000 ;
-      RECT  0.000000  2.635000 11.040000 2.805000 ;
-      RECT  0.175000  0.345000  0.345000 0.635000 ;
-      RECT  0.175000  0.635000  0.840000 0.805000 ;
-      RECT  0.175000  1.795000  0.840000 1.965000 ;
-      RECT  0.175000  1.965000  0.345000 2.465000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.515000  2.135000  0.845000 2.635000 ;
-      RECT  0.610000  0.805000  0.840000 1.795000 ;
-      RECT  1.015000  0.345000  1.240000 2.465000 ;
-      RECT  1.430000  0.635000  2.125000 0.825000 ;
-      RECT  1.430000  0.825000  1.600000 1.795000 ;
-      RECT  1.430000  1.795000  2.125000 1.965000 ;
-      RECT  1.455000  0.085000  1.785000 0.465000 ;
-      RECT  1.455000  2.135000  1.785000 2.635000 ;
-      RECT  1.955000  0.305000  2.125000 0.635000 ;
-      RECT  1.955000  1.965000  2.125000 2.465000 ;
-      RECT  2.350000  0.705000  2.570000 1.575000 ;
-      RECT  2.350000  1.575000  2.850000 1.955000 ;
-      RECT  2.360000  2.250000  3.190000 2.420000 ;
-      RECT  2.425000  0.265000  3.440000 0.465000 ;
-      RECT  2.750000  0.645000  3.100000 1.015000 ;
-      RECT  3.020000  1.195000  3.440000 1.235000 ;
-      RECT  3.020000  1.235000  4.370000 1.405000 ;
-      RECT  3.020000  1.405000  3.190000 2.250000 ;
-      RECT  3.270000  0.465000  3.440000 1.195000 ;
-      RECT  3.360000  1.575000  3.610000 1.835000 ;
-      RECT  3.360000  1.835000  4.710000 2.085000 ;
-      RECT  3.430000  2.255000  3.810000 2.635000 ;
-      RECT  3.610000  0.085000  4.020000 0.525000 ;
-      RECT  3.990000  2.085000  4.160000 2.375000 ;
-      RECT  4.120000  1.405000  4.370000 1.565000 ;
-      RECT  4.310000  0.295000  4.560000 0.725000 ;
-      RECT  4.310000  0.725000  4.710000 1.065000 ;
-      RECT  4.330000  2.255000  4.660000 2.635000 ;
-      RECT  4.540000  1.065000  4.710000 1.835000 ;
-      RECT  4.740000  0.085000  5.080000 0.545000 ;
-      RECT  4.880000  0.725000  6.150000 0.895000 ;
-      RECT  4.880000  0.895000  5.050000 1.655000 ;
-      RECT  4.880000  1.655000  5.400000 1.965000 ;
-      RECT  5.110000  2.165000  5.740000 2.415000 ;
-      RECT  5.220000  1.065000  5.400000 1.475000 ;
-      RECT  5.570000  1.235000  7.470000 1.405000 ;
-      RECT  5.570000  1.405000  5.740000 1.915000 ;
-      RECT  5.570000  1.915000  6.780000 2.085000 ;
-      RECT  5.570000  2.085000  5.740000 2.165000 ;
-      RECT  5.640000  0.305000  6.490000 0.475000 ;
-      RECT  5.820000  0.895000  6.150000 1.015000 ;
-      RECT  5.910000  1.575000  7.850000 1.745000 ;
-      RECT  5.920000  2.255000  6.340000 2.635000 ;
-      RECT  6.320000  0.475000  6.490000 1.235000 ;
-      RECT  6.540000  2.085000  6.780000 2.375000 ;
-      RECT  6.670000  0.085000  7.330000 0.565000 ;
-      RECT  7.010000  1.945000  7.340000 2.635000 ;
-      RECT  7.140000  1.175000  7.470000 1.235000 ;
-      RECT  7.510000  0.350000  7.850000 0.680000 ;
-      RECT  7.510000  1.745000  7.850000 1.765000 ;
-      RECT  7.510000  1.765000  7.680000 2.375000 ;
-      RECT  7.640000  0.680000  7.850000 1.575000 ;
-      RECT  7.950000  1.915000  8.280000 2.425000 ;
-      RECT  8.030000  0.345000  8.280000 1.055000 ;
-      RECT  8.030000  1.055000 10.555000 1.275000 ;
-      RECT  8.030000  1.275000  8.280000 1.915000 ;
-      RECT  8.460000  0.085000  8.745000 0.545000 ;
-      RECT  8.460000  1.835000  8.745000 2.635000 ;
-      RECT  9.340000  0.085000  9.595000 0.545000 ;
-      RECT  9.340000  1.810000  9.595000 2.635000 ;
-      RECT 10.105000  0.085000 10.435000 0.545000 ;
-      RECT 10.105000  1.810000 10.435000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.615000  1.785000  0.785000 1.955000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  0.765000  1.235000 0.935000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  1.785000  2.615000 1.955000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  0.765000  3.075000 0.935000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  1.785000  5.375000 1.955000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.225000  1.105000  5.395000 1.275000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.555000 1.755000 0.845000 1.800000 ;
-      RECT 0.555000 1.800000 5.435000 1.940000 ;
-      RECT 0.555000 1.940000 0.845000 1.985000 ;
-      RECT 1.005000 0.735000 1.295000 0.780000 ;
-      RECT 1.005000 0.780000 3.135000 0.920000 ;
-      RECT 1.005000 0.920000 1.295000 0.965000 ;
-      RECT 2.385000 1.755000 2.675000 1.800000 ;
-      RECT 2.385000 1.940000 2.675000 1.985000 ;
-      RECT 2.845000 0.735000 3.135000 0.780000 ;
-      RECT 2.845000 0.920000 3.135000 0.965000 ;
-      RECT 2.920000 0.965000 3.135000 1.120000 ;
-      RECT 2.920000 1.120000 5.455000 1.260000 ;
-      RECT 5.145000 1.755000 5.435000 1.800000 ;
-      RECT 5.145000 1.940000 5.435000 1.985000 ;
-      RECT 5.165000 1.075000 5.455000 1.120000 ;
-      RECT 5.165000 1.260000 5.455000 1.305000 ;
-  END
-END sky130_fd_sc_hd__dfstp_4
-MACRO sky130_fd_sc_hd__dfstp_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dfstp_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.660000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.222000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.770000 1.005000 2.180000 1.625000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 8.810000 1.495000 9.575000 1.615000 ;
-        RECT 8.810000 1.615000 9.140000 2.460000 ;
-        RECT 8.890000 0.265000 9.135000 0.765000 ;
-        RECT 8.890000 0.765000 9.575000 0.825000 ;
-        RECT 8.975000 0.825000 9.575000 0.855000 ;
-        RECT 8.975000 1.445000 9.575000 1.495000 ;
-        RECT 8.990000 0.855000 9.575000 0.895000 ;
-        RECT 9.020000 0.895000 9.575000 1.445000 ;
-    END
-  END Q
-  PIN SET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.610000 0.735000 4.020000 1.065000 ;
-      LAYER mcon ;
-        RECT 3.825000 0.765000 3.995000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 6.660000 0.735000 7.340000 1.005000 ;
-        RECT 6.660000 1.005000 7.010000 1.065000 ;
-      LAYER mcon ;
-        RECT 7.045000 0.765000 7.215000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 3.765000 0.735000 4.055000 0.780000 ;
-        RECT 3.765000 0.780000 7.275000 0.920000 ;
-        RECT 3.765000 0.920000 4.055000 0.965000 ;
-        RECT 6.985000 0.735000 7.275000 0.780000 ;
-        RECT 6.985000 0.920000 7.275000 0.965000 ;
-    END
-  END SET_B
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.975000 0.435000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.660000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.850000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.660000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.660000 0.085000 ;
-      RECT 0.000000  2.635000 9.660000 2.805000 ;
-      RECT 0.085000  0.345000 0.345000 0.635000 ;
-      RECT 0.085000  0.635000 0.835000 0.805000 ;
-      RECT 0.085000  1.795000 0.835000 1.965000 ;
-      RECT 0.085000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.605000  0.805000 0.835000 1.795000 ;
-      RECT 1.005000  0.565000 1.235000 2.045000 ;
-      RECT 1.015000  0.345000 1.235000 0.565000 ;
-      RECT 1.015000  2.045000 1.235000 2.465000 ;
-      RECT 1.430000  0.635000 2.125000 0.825000 ;
-      RECT 1.430000  0.825000 1.600000 1.795000 ;
-      RECT 1.430000  1.795000 2.125000 1.965000 ;
-      RECT 1.455000  0.085000 1.785000 0.465000 ;
-      RECT 1.455000  2.135000 1.785000 2.635000 ;
-      RECT 1.955000  0.305000 2.125000 0.635000 ;
-      RECT 1.955000  1.965000 2.125000 2.465000 ;
-      RECT 2.350000  0.705000 2.570000 1.575000 ;
-      RECT 2.350000  1.575000 2.850000 1.955000 ;
-      RECT 2.360000  2.250000 3.190000 2.420000 ;
-      RECT 2.425000  0.265000 3.440000 0.465000 ;
-      RECT 2.750000  0.645000 3.100000 1.015000 ;
-      RECT 3.020000  1.195000 3.440000 1.235000 ;
-      RECT 3.020000  1.235000 4.370000 1.405000 ;
-      RECT 3.020000  1.405000 3.190000 2.250000 ;
-      RECT 3.270000  0.465000 3.440000 1.195000 ;
-      RECT 3.360000  1.575000 3.610000 1.835000 ;
-      RECT 3.360000  1.835000 4.710000 2.085000 ;
-      RECT 3.430000  2.255000 3.810000 2.635000 ;
-      RECT 3.610000  0.085000 4.020000 0.525000 ;
-      RECT 3.990000  2.085000 4.160000 2.375000 ;
-      RECT 4.120000  1.405000 4.370000 1.565000 ;
-      RECT 4.310000  0.295000 4.560000 0.725000 ;
-      RECT 4.310000  0.725000 4.710000 1.065000 ;
-      RECT 4.330000  2.255000 4.660000 2.635000 ;
-      RECT 4.540000  1.065000 4.710000 1.835000 ;
-      RECT 4.760000  0.085000 5.080000 0.545000 ;
-      RECT 4.880000  0.725000 6.150000 0.895000 ;
-      RECT 4.880000  0.895000 5.050000 1.655000 ;
-      RECT 4.880000  1.655000 5.400000 1.965000 ;
-      RECT 5.110000  2.165000 5.740000 2.415000 ;
-      RECT 5.220000  1.065000 5.400000 1.475000 ;
-      RECT 5.570000  1.235000 7.490000 1.405000 ;
-      RECT 5.570000  1.405000 5.740000 1.915000 ;
-      RECT 5.570000  1.915000 6.780000 2.085000 ;
-      RECT 5.570000  2.085000 5.740000 2.165000 ;
-      RECT 5.640000  0.305000 6.490000 0.475000 ;
-      RECT 5.800000  0.895000 6.150000 1.015000 ;
-      RECT 5.910000  1.575000 7.880000 1.745000 ;
-      RECT 5.920000  2.255000 6.340000 2.635000 ;
-      RECT 6.320000  0.475000 6.490000 1.235000 ;
-      RECT 6.540000  2.085000 6.780000 2.375000 ;
-      RECT 6.690000  0.085000 7.330000 0.565000 ;
-      RECT 7.010000  1.945000 7.340000 2.635000 ;
-      RECT 7.140000  1.175000 7.490000 1.235000 ;
-      RECT 7.510000  1.745000 7.880000 1.765000 ;
-      RECT 7.510000  1.765000 7.680000 2.375000 ;
-      RECT 7.530000  0.350000 7.880000 0.680000 ;
-      RECT 7.690000  0.680000 7.880000 1.575000 ;
-      RECT 7.970000  1.915000 8.300000 2.425000 ;
-      RECT 8.050000  0.345000 8.220000 0.995000 ;
-      RECT 8.050000  0.995000 8.850000 1.325000 ;
-      RECT 8.050000  1.325000 8.300000 1.915000 ;
-      RECT 8.390000  0.085000 8.720000 0.825000 ;
-      RECT 8.470000  1.495000 8.640000 2.635000 ;
-      RECT 9.305000  0.085000 9.575000 0.595000 ;
-      RECT 9.310000  1.785000 9.575000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  1.785000 0.775000 1.955000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  0.765000 1.235000 0.935000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  1.785000 2.615000 1.955000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  0.765000 3.075000 0.935000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  1.785000 5.375000 1.955000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.225000  1.105000 5.395000 1.275000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.545000 1.755000 0.835000 1.800000 ;
-      RECT 0.545000 1.800000 5.435000 1.940000 ;
-      RECT 0.545000 1.940000 0.835000 1.985000 ;
-      RECT 1.005000 0.735000 1.295000 0.780000 ;
-      RECT 1.005000 0.780000 3.135000 0.920000 ;
-      RECT 1.005000 0.920000 1.295000 0.965000 ;
-      RECT 2.385000 1.755000 2.675000 1.800000 ;
-      RECT 2.385000 1.940000 2.675000 1.985000 ;
-      RECT 2.845000 0.735000 3.135000 0.780000 ;
-      RECT 2.845000 0.920000 3.135000 0.965000 ;
-      RECT 2.920000 0.965000 3.135000 1.120000 ;
-      RECT 2.920000 1.120000 5.455000 1.260000 ;
-      RECT 5.145000 1.755000 5.435000 1.800000 ;
-      RECT 5.145000 1.940000 5.435000 1.985000 ;
-      RECT 5.165000 1.075000 5.455000 1.120000 ;
-      RECT 5.165000 1.260000 5.455000 1.305000 ;
-  END
-END sky130_fd_sc_hd__dfstp_2
-MACRO sky130_fd_sc_hd__dfstp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dfstp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.660000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.222000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.770000 1.005000 2.180000 1.625000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 8.945000 0.265000 9.200000 0.795000 ;
-        RECT 8.945000 1.655000 9.200000 2.325000 ;
-        RECT 9.020000 0.795000 9.200000 1.655000 ;
-    END
-  END Q
-  PIN SET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.610000 0.735000 4.020000 1.065000 ;
-      LAYER mcon ;
-        RECT 3.850000 0.765000 4.020000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 6.680000 0.735000 7.340000 1.005000 ;
-        RECT 6.680000 1.005000 7.010000 1.065000 ;
-      LAYER mcon ;
-        RECT 7.110000 0.765000 7.280000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 3.790000 0.735000 4.080000 0.780000 ;
-        RECT 3.790000 0.780000 7.340000 0.920000 ;
-        RECT 3.790000 0.920000 4.080000 0.965000 ;
-        RECT 7.050000 0.735000 7.340000 0.780000 ;
-        RECT 7.050000 0.920000 7.340000 0.965000 ;
-    END
-  END SET_B
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.975000 0.440000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.660000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.850000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.660000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.660000 0.085000 ;
-      RECT 0.000000  2.635000 9.660000 2.805000 ;
-      RECT 0.175000  0.345000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 0.840000 0.805000 ;
-      RECT 0.175000  1.795000 0.840000 1.965000 ;
-      RECT 0.175000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.840000 1.795000 ;
-      RECT 1.015000  0.345000 1.240000 2.465000 ;
-      RECT 1.430000  0.635000 2.125000 0.825000 ;
-      RECT 1.430000  0.825000 1.600000 1.795000 ;
-      RECT 1.430000  1.795000 2.125000 1.965000 ;
-      RECT 1.455000  0.085000 1.785000 0.465000 ;
-      RECT 1.455000  2.135000 1.785000 2.635000 ;
-      RECT 1.955000  0.305000 2.125000 0.635000 ;
-      RECT 1.955000  1.965000 2.125000 2.465000 ;
-      RECT 2.350000  0.705000 2.570000 1.575000 ;
-      RECT 2.350000  1.575000 2.850000 1.955000 ;
-      RECT 2.360000  2.250000 3.190000 2.420000 ;
-      RECT 2.425000  0.265000 3.440000 0.465000 ;
-      RECT 2.750000  0.645000 3.100000 1.015000 ;
-      RECT 3.020000  1.195000 3.440000 1.235000 ;
-      RECT 3.020000  1.235000 4.370000 1.405000 ;
-      RECT 3.020000  1.405000 3.190000 2.250000 ;
-      RECT 3.270000  0.465000 3.440000 1.195000 ;
-      RECT 3.360000  1.575000 3.610000 1.835000 ;
-      RECT 3.360000  1.835000 4.730000 2.085000 ;
-      RECT 3.430000  2.255000 3.810000 2.635000 ;
-      RECT 3.610000  0.085000 4.020000 0.525000 ;
-      RECT 3.990000  2.085000 4.160000 2.375000 ;
-      RECT 4.120000  1.405000 4.370000 1.565000 ;
-      RECT 4.310000  0.295000 4.560000 0.725000 ;
-      RECT 4.310000  0.725000 4.730000 1.065000 ;
-      RECT 4.330000  2.255000 4.660000 2.635000 ;
-      RECT 4.540000  1.065000 4.730000 1.835000 ;
-      RECT 4.760000  0.085000 5.080000 0.545000 ;
-      RECT 4.900000  0.725000 6.150000 0.895000 ;
-      RECT 4.900000  0.895000 5.070000 1.655000 ;
-      RECT 4.900000  1.655000 5.420000 1.965000 ;
-      RECT 5.130000  2.165000 5.760000 2.415000 ;
-      RECT 5.240000  1.065000 5.420000 1.475000 ;
-      RECT 5.590000  1.235000 7.490000 1.405000 ;
-      RECT 5.590000  1.405000 5.760000 1.915000 ;
-      RECT 5.590000  1.915000 6.800000 2.085000 ;
-      RECT 5.590000  2.085000 5.760000 2.165000 ;
-      RECT 5.640000  0.305000 6.490000 0.475000 ;
-      RECT 5.820000  0.895000 6.150000 1.015000 ;
-      RECT 5.930000  1.575000 7.850000 1.745000 ;
-      RECT 5.940000  2.255000 6.360000 2.635000 ;
-      RECT 6.320000  0.475000 6.490000 1.235000 ;
-      RECT 6.560000  2.085000 6.800000 2.375000 ;
-      RECT 6.690000  0.085000 7.350000 0.565000 ;
-      RECT 7.030000  1.945000 7.360000 2.635000 ;
-      RECT 7.160000  1.175000 7.490000 1.235000 ;
-      RECT 7.530000  0.350000 7.850000 0.680000 ;
-      RECT 7.530000  1.745000 7.850000 1.765000 ;
-      RECT 7.530000  1.765000 7.700000 2.375000 ;
-      RECT 7.660000  0.680000 7.850000 1.575000 ;
-      RECT 7.970000  1.915000 8.300000 2.425000 ;
-      RECT 8.050000  0.345000 8.300000 0.995000 ;
-      RECT 8.050000  0.995000 8.850000 1.325000 ;
-      RECT 8.050000  1.325000 8.300000 1.915000 ;
-      RECT 8.480000  0.085000 8.765000 0.545000 ;
-      RECT 8.480000  1.835000 8.765000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.610000  1.785000 0.780000 1.955000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.070000  0.765000 1.240000 0.935000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.470000  1.785000 2.640000 1.955000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 2.930000  0.765000 3.100000 0.935000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.250000  1.105000 5.420000 1.275000 ;
-      RECT 5.250000  1.785000 5.420000 1.955000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.755000 0.840000 1.800000 ;
-      RECT 0.550000 1.800000 5.480000 1.940000 ;
-      RECT 0.550000 1.940000 0.840000 1.985000 ;
-      RECT 1.010000 0.735000 1.300000 0.780000 ;
-      RECT 1.010000 0.780000 3.160000 0.920000 ;
-      RECT 1.010000 0.920000 1.300000 0.965000 ;
-      RECT 2.410000 1.755000 2.700000 1.800000 ;
-      RECT 2.410000 1.940000 2.700000 1.985000 ;
-      RECT 2.870000 0.735000 3.160000 0.780000 ;
-      RECT 2.870000 0.920000 3.160000 0.965000 ;
-      RECT 2.945000 0.965000 3.160000 1.120000 ;
-      RECT 2.945000 1.120000 5.480000 1.260000 ;
-      RECT 5.190000 1.075000 5.480000 1.120000 ;
-      RECT 5.190000 1.260000 5.480000 1.305000 ;
-      RECT 5.190000 1.755000 5.480000 1.800000 ;
-      RECT 5.190000 1.940000 5.480000 1.985000 ;
-  END
-END sky130_fd_sc_hd__dfstp_1
-MACRO sky130_fd_sc_hd__probec_p_8
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__probec_p_8 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.520000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.742500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.140000 1.075000 1.240000 1.275000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  1.782000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met4 ;
-        RECT -1.140000 0.770000 0.040000 1.950000 ;
-        RECT  1.460000 0.770000 2.640000 1.950000 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT -1.260000  0.560000 2.760000 2.160000 ;
-        RECT  1.160000 -1.105000 2.760000 0.560000 ;
-        RECT  1.160000  2.160000 2.760000 3.825000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met5 ;
-        RECT 4.360000 -1.170000 6.675000 0.560000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.710000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met5 ;
-        RECT 4.360000 2.160000 6.675000 3.890000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.520000 0.085000 ;
-      RECT 0.000000  2.635000 5.520000 2.805000 ;
-      RECT 0.095000  1.445000 1.595000 1.615000 ;
-      RECT 0.095000  1.615000 0.425000 2.465000 ;
-      RECT 0.175000  0.255000 0.345000 0.735000 ;
-      RECT 0.175000  0.735000 1.595000 0.905000 ;
-      RECT 0.515000  0.085000 0.845000 0.565000 ;
-      RECT 0.595000  1.835000 0.765000 2.635000 ;
-      RECT 0.935000  1.615000 1.265000 2.465000 ;
-      RECT 1.015000  0.260000 1.185000 0.735000 ;
-      RECT 1.355000  0.085000 1.685000 0.565000 ;
-      RECT 1.420000  0.905000 1.595000 1.075000 ;
-      RECT 1.420000  1.075000 4.045000 1.245000 ;
-      RECT 1.420000  1.245000 1.595000 1.445000 ;
-      RECT 1.435000  1.835000 1.605000 2.635000 ;
-      RECT 1.855000  0.255000 2.025000 0.735000 ;
-      RECT 1.855000  0.735000 4.545000 0.905000 ;
-      RECT 1.855000  1.445000 4.545000 1.615000 ;
-      RECT 1.855000  1.615000 2.025000 2.465000 ;
-      RECT 2.195000  0.085000 2.525000 0.565000 ;
-      RECT 2.195000  1.835000 2.525000 2.635000 ;
-      RECT 2.695000  0.255000 2.865000 0.735000 ;
-      RECT 2.695000  1.615000 2.865000 2.465000 ;
-      RECT 3.035000  0.085000 3.365000 0.565000 ;
-      RECT 3.035000  1.835000 3.365000 2.635000 ;
-      RECT 3.535000  0.255000 3.705000 0.735000 ;
-      RECT 3.535000  1.615000 3.705000 2.465000 ;
-      RECT 3.875000  0.085000 4.205000 0.565000 ;
-      RECT 3.875000  1.835000 4.205000 2.635000 ;
-      RECT 4.290000  0.905000 4.545000 1.055000 ;
-      RECT 4.290000  1.055000 4.870000 1.315000 ;
-      RECT 4.290000  1.315000 4.545000 1.445000 ;
-      RECT 4.375000  0.255000 4.545000 0.735000 ;
-      RECT 4.375000  1.615000 4.545000 2.465000 ;
-      RECT 4.715000  0.085000 5.045000 0.885000 ;
-      RECT 4.715000  1.485000 5.045000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.305000  1.105000 4.475000 1.275000 ;
-      RECT 4.665000  1.105000 4.835000 1.275000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.000000 -0.240000 5.520000 -0.130000 ;
-      RECT 0.000000 -0.130000 5.840000  0.130000 ;
-      RECT 0.000000  0.130000 5.520000  0.240000 ;
-      RECT 0.000000  2.480000 5.520000  2.590000 ;
-      RECT 0.000000  2.590000 5.840000  2.850000 ;
-      RECT 0.000000  2.850000 5.520000  2.960000 ;
-      RECT 2.020000  1.060000 2.660000  1.120000 ;
-      RECT 2.020000  1.120000 4.895000  1.260000 ;
-      RECT 2.020000  1.260000 2.660000  1.320000 ;
-      RECT 4.245000  1.075000 4.895000  1.120000 ;
-      RECT 4.245000  1.260000 4.895000  1.305000 ;
-    LAYER met2 ;
-      RECT 1.890000  1.050000 2.660000 1.330000 ;
-      RECT 5.135000 -0.140000 5.905000 0.140000 ;
-      RECT 5.135000  2.580000 5.905000 2.860000 ;
-    LAYER met3 ;
-      RECT -0.715000  1.030000 0.065000 1.350000 ;
-      RECT  1.885000  1.025000 2.665000 1.355000 ;
-      RECT  5.130000 -0.165000 5.910000 0.165000 ;
-      RECT  5.130000  2.555000 5.910000 2.885000 ;
-    LAYER met4 ;
-      RECT 4.930000 -0.895000 6.110000 0.285000 ;
-      RECT 4.930000  2.435000 6.110000 3.615000 ;
-    LAYER via ;
-      RECT 2.050000  1.060000 2.310000 1.320000 ;
-      RECT 2.370000  1.060000 2.630000 1.320000 ;
-      RECT 5.230000 -0.130000 5.490000 0.130000 ;
-      RECT 5.230000  2.590000 5.490000 2.850000 ;
-      RECT 5.550000 -0.130000 5.810000 0.130000 ;
-      RECT 5.550000  2.590000 5.810000 2.850000 ;
-    LAYER via2 ;
-      RECT 1.935000  1.050000 2.215000 1.330000 ;
-      RECT 2.335000  1.050000 2.615000 1.330000 ;
-      RECT 5.180000 -0.140000 5.460000 0.140000 ;
-      RECT 5.180000  2.580000 5.460000 2.860000 ;
-      RECT 5.580000 -0.140000 5.860000 0.140000 ;
-      RECT 5.580000  2.580000 5.860000 2.860000 ;
-    LAYER via3 ;
-      RECT -0.685000  1.030000 -0.365000 1.350000 ;
-      RECT -0.285000  1.030000  0.035000 1.350000 ;
-      RECT  1.915000  1.030000  2.235000 1.350000 ;
-      RECT  2.315000  1.030000  2.635000 1.350000 ;
-      RECT  5.160000 -0.160000  5.480000 0.160000 ;
-      RECT  5.160000  2.560000  5.480000 2.880000 ;
-      RECT  5.560000 -0.160000  5.880000 0.160000 ;
-      RECT  5.560000  2.560000  5.880000 2.880000 ;
-  END
-END sky130_fd_sc_hd__probec_p_8
-MACRO sky130_fd_sc_hd__sdfbbp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sdfbbp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  14.26000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.825000 1.325000 4.025000 2.375000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 13.915000 0.255000 14.175000 0.825000 ;
-        RECT 13.915000 1.605000 14.175000 2.465000 ;
-        RECT 13.965000 0.825000 14.175000 1.605000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 12.500000 0.255000 12.785000 0.715000 ;
-        RECT 12.500000 1.630000 12.785000 2.465000 ;
-        RECT 12.605000 0.715000 12.785000 1.630000 ;
-    END
-  END Q_N
-  PIN RESET_B
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 11.535000 1.095000 11.990000 1.325000 ;
-    END
-  END RESET_B
-  PIN SCD
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.440000 1.025000 1.720000 1.685000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.960000 0.345000 2.180000 0.845000 ;
-        RECT 1.960000 0.845000 2.415000 1.015000 ;
-        RECT 1.960000 1.015000 2.180000 1.695000 ;
-    END
-  END SCE
-  PIN SET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.885000 0.735000 6.295000 0.965000 ;
-        RECT 5.885000 0.965000 6.215000 1.065000 ;
-      LAYER mcon ;
-        RECT 6.125000 0.765000 6.295000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 9.755000 0.735000 10.130000 1.065000 ;
-      LAYER mcon ;
-        RECT 9.805000 0.765000 9.975000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 6.065000 0.735000  6.355000 0.780000 ;
-        RECT 6.065000 0.780000 10.035000 0.920000 ;
-        RECT 6.065000 0.920000  6.355000 0.965000 ;
-        RECT 9.745000 0.735000 10.035000 0.780000 ;
-        RECT 9.745000 0.920000 10.035000 0.965000 ;
-    END
-  END SET_B
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.975000 0.435000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 14.260000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 14.450000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 14.260000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 14.260000 0.085000 ;
-      RECT  0.000000  2.635000 14.260000 2.805000 ;
-      RECT  0.170000  0.345000  0.345000 0.635000 ;
-      RECT  0.170000  0.635000  0.835000 0.805000 ;
-      RECT  0.170000  1.795000  0.835000 1.965000 ;
-      RECT  0.170000  1.965000  0.345000 2.465000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.515000  2.135000  0.845000 2.635000 ;
-      RECT  0.605000  0.805000  0.835000 1.795000 ;
-      RECT  1.015000  0.345000  1.240000 2.465000 ;
-      RECT  1.455000  0.085000  1.705000 0.635000 ;
-      RECT  1.455000  1.885000  1.785000 2.635000 ;
-      RECT  2.235000  1.875000  2.565000 2.385000 ;
-      RECT  2.350000  0.265000  2.755000 0.595000 ;
-      RECT  2.350000  1.185000  3.075000 1.365000 ;
-      RECT  2.350000  1.365000  2.565000 1.875000 ;
-      RECT  2.585000  0.595000  2.755000 1.075000 ;
-      RECT  2.585000  1.075000  3.075000 1.185000 ;
-      RECT  2.745000  1.575000  3.645000 1.745000 ;
-      RECT  2.745000  1.745000  3.065000 1.905000 ;
-      RECT  2.895000  1.905000  3.065000 2.465000 ;
-      RECT  2.925000  0.305000  3.125000 0.625000 ;
-      RECT  2.925000  0.625000  3.645000 0.765000 ;
-      RECT  2.925000  0.765000  3.770000 0.795000 ;
-      RECT  3.310000  2.215000  3.640000 2.635000 ;
-      RECT  3.370000  0.085000  3.700000 0.445000 ;
-      RECT  3.475000  0.795000  3.770000 1.095000 ;
-      RECT  3.475000  1.095000  3.645000 1.575000 ;
-      RECT  4.230000  0.305000  4.455000 2.465000 ;
-      RECT  4.625000  0.705000  4.845000 1.575000 ;
-      RECT  4.625000  1.575000  5.125000 1.955000 ;
-      RECT  4.635000  2.250000  5.465000 2.420000 ;
-      RECT  4.700000  0.265000  5.715000 0.465000 ;
-      RECT  5.025000  0.645000  5.375000 1.015000 ;
-      RECT  5.295000  1.195000  5.715000 1.235000 ;
-      RECT  5.295000  1.235000  6.645000 1.405000 ;
-      RECT  5.295000  1.405000  5.465000 2.250000 ;
-      RECT  5.545000  0.465000  5.715000 1.195000 ;
-      RECT  5.635000  1.575000  5.885000 1.785000 ;
-      RECT  5.635000  1.785000  6.985000 2.035000 ;
-      RECT  5.705000  2.205000  6.085000 2.635000 ;
-      RECT  5.885000  0.085000  6.055000 0.525000 ;
-      RECT  6.225000  0.255000  7.395000 0.425000 ;
-      RECT  6.225000  0.425000  6.555000 0.465000 ;
-      RECT  6.385000  2.035000  6.555000 2.375000 ;
-      RECT  6.395000  1.405000  6.645000 1.485000 ;
-      RECT  6.425000  1.155000  6.645000 1.235000 ;
-      RECT  6.700000  0.595000  7.030000 0.765000 ;
-      RECT  6.815000  0.765000  7.030000 0.895000 ;
-      RECT  6.815000  0.895000  8.125000 1.065000 ;
-      RECT  6.815000  1.065000  6.985000 1.785000 ;
-      RECT  7.155000  1.235000  7.485000 1.415000 ;
-      RECT  7.155000  1.415000  8.160000 1.655000 ;
-      RECT  7.175000  1.915000  7.505000 2.635000 ;
-      RECT  7.200000  0.425000  7.395000 0.715000 ;
-      RECT  7.640000  0.085000  7.975000 0.465000 ;
-      RECT  7.795000  1.065000  8.125000 1.235000 ;
-      RECT  8.360000  1.575000  8.595000 1.985000 ;
-      RECT  8.420000  0.705000  8.705000 1.125000 ;
-      RECT  8.420000  1.125000  9.040000 1.305000 ;
-      RECT  8.550000  2.250000  9.380000 2.420000 ;
-      RECT  8.615000  0.265000  9.380000 0.465000 ;
-      RECT  8.835000  1.305000  9.040000 1.905000 ;
-      RECT  9.210000  0.465000  9.380000 1.235000 ;
-      RECT  9.210000  1.235000 10.560000 1.405000 ;
-      RECT  9.210000  1.405000  9.380000 2.250000 ;
-      RECT  9.550000  1.575000  9.800000 1.915000 ;
-      RECT  9.550000  1.915000 12.330000 2.085000 ;
-      RECT  9.560000  0.085000  9.820000 0.525000 ;
-      RECT  9.620000  2.255000 10.000000 2.635000 ;
-      RECT 10.080000  0.255000 11.250000 0.425000 ;
-      RECT 10.080000  0.425000 10.430000 0.465000 ;
-      RECT 10.240000  2.085000 10.410000 2.375000 ;
-      RECT 10.340000  1.075000 10.560000 1.235000 ;
-      RECT 10.575000  0.645000 10.905000 0.815000 ;
-      RECT 10.730000  0.815000 10.905000 1.915000 ;
-      RECT 10.940000  2.255000 12.330000 2.635000 ;
-      RECT 11.075000  0.425000 11.250000 0.585000 ;
-      RECT 11.080000  0.755000 11.765000 0.925000 ;
-      RECT 11.080000  0.925000 11.355000 1.575000 ;
-      RECT 11.080000  1.575000 11.855000 1.745000 ;
-      RECT 11.565000  0.265000 11.765000 0.755000 ;
-      RECT 12.000000  0.085000 12.330000 0.805000 ;
-      RECT 12.160000  0.995000 12.425000 1.325000 ;
-      RECT 12.160000  1.325000 12.330000 1.915000 ;
-      RECT 12.960000  0.255000 13.275000 0.995000 ;
-      RECT 12.960000  0.995000 13.795000 1.325000 ;
-      RECT 12.960000  1.325000 13.275000 2.415000 ;
-      RECT 13.450000  1.765000 13.745000 2.635000 ;
-      RECT 13.455000  0.085000 13.745000 0.545000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  1.785000  0.775000 1.955000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  0.765000  1.235000 0.935000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  1.105000  3.075000 1.275000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  1.105000  4.455000 1.275000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  1.785000  4.915000 1.955000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  0.765000  5.375000 0.935000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  1.445000  8.135000 1.615000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  1.105000  8.595000 1.275000 ;
-      RECT  8.425000  1.785000  8.595000 1.955000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  1.445000 11.355000 1.615000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-      RECT 12.105000  2.635000 12.275000 2.805000 ;
-      RECT 12.565000 -0.085000 12.735000 0.085000 ;
-      RECT 12.565000  2.635000 12.735000 2.805000 ;
-      RECT 13.025000 -0.085000 13.195000 0.085000 ;
-      RECT 13.025000  2.635000 13.195000 2.805000 ;
-      RECT 13.485000 -0.085000 13.655000 0.085000 ;
-      RECT 13.485000  2.635000 13.655000 2.805000 ;
-      RECT 13.945000 -0.085000 14.115000 0.085000 ;
-      RECT 13.945000  2.635000 14.115000 2.805000 ;
-    LAYER met1 ;
-      RECT  0.545000 1.755000  0.835000 1.800000 ;
-      RECT  0.545000 1.800000  8.655000 1.940000 ;
-      RECT  0.545000 1.940000  0.835000 1.985000 ;
-      RECT  1.005000 0.735000  1.295000 0.780000 ;
-      RECT  1.005000 0.780000  5.435000 0.920000 ;
-      RECT  1.005000 0.920000  1.295000 0.965000 ;
-      RECT  2.845000 1.075000  3.135000 1.120000 ;
-      RECT  2.845000 1.120000  4.515000 1.260000 ;
-      RECT  2.845000 1.260000  3.135000 1.305000 ;
-      RECT  4.225000 1.075000  4.515000 1.120000 ;
-      RECT  4.225000 1.260000  4.515000 1.305000 ;
-      RECT  4.685000 1.755000  4.975000 1.800000 ;
-      RECT  4.685000 1.940000  4.975000 1.985000 ;
-      RECT  5.145000 0.735000  5.435000 0.780000 ;
-      RECT  5.145000 0.920000  5.435000 0.965000 ;
-      RECT  5.220000 0.965000  5.435000 1.120000 ;
-      RECT  5.220000 1.120000  8.655000 1.260000 ;
-      RECT  7.905000 1.415000  8.195000 1.460000 ;
-      RECT  7.905000 1.460000 11.415000 1.600000 ;
-      RECT  7.905000 1.600000  8.195000 1.645000 ;
-      RECT  8.365000 1.075000  8.655000 1.120000 ;
-      RECT  8.365000 1.260000  8.655000 1.305000 ;
-      RECT  8.365000 1.755000  8.655000 1.800000 ;
-      RECT  8.365000 1.940000  8.655000 1.985000 ;
-      RECT 11.125000 1.415000 11.415000 1.460000 ;
-      RECT 11.125000 1.600000 11.415000 1.645000 ;
-  END
-END sky130_fd_sc_hd__sdfbbp_1
-MACRO sky130_fd_sc_hd__a32o_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a32o_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.820000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.280000 1.075000 5.075000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.335000 1.075000 4.030000 1.325000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.210000 1.075000 3.105000 1.295000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.630000 1.075000 6.780000 1.625000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.030000 1.075000 7.710000 1.295000 ;
-        RECT 7.030000 1.295000 7.225000 1.635000 ;
-    END
-  END B2
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.120000 0.635000 1.605000 0.805000 ;
-        RECT 0.120000 0.805000 0.340000 1.495000 ;
-        RECT 0.120000 1.495000 1.605000 1.665000 ;
-        RECT 0.595000 0.255000 0.765000 0.635000 ;
-        RECT 0.595000 1.665000 0.765000 2.465000 ;
-        RECT 1.435000 0.255000 1.605000 0.635000 ;
-        RECT 1.435000 1.665000 1.605000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.820000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.010000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.820000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.820000 0.085000 ;
-      RECT 0.000000  2.635000 7.820000 2.805000 ;
-      RECT 0.095000  0.085000 0.425000 0.465000 ;
-      RECT 0.095000  1.915000 0.425000 2.635000 ;
-      RECT 0.570000  0.995000 1.970000 1.325000 ;
-      RECT 0.935000  0.085000 1.265000 0.465000 ;
-      RECT 0.935000  1.915000 1.265000 2.635000 ;
-      RECT 1.775000  0.085000 2.105000 0.465000 ;
-      RECT 1.775000  1.915000 2.105000 2.635000 ;
-      RECT 1.800000  1.325000 1.970000 1.495000 ;
-      RECT 1.800000  1.495000 5.450000 1.665000 ;
-      RECT 2.275000  0.255000 2.445000 0.655000 ;
-      RECT 2.275000  0.655000 3.885000 0.825000 ;
-      RECT 2.275000  1.915000 5.065000 2.085000 ;
-      RECT 2.275000  2.085000 2.445000 2.465000 ;
-      RECT 2.615000  0.085000 2.945000 0.465000 ;
-      RECT 2.615000  2.255000 2.945000 2.635000 ;
-      RECT 3.135000  0.295000 5.145000 0.465000 ;
-      RECT 3.215000  2.085000 3.385000 2.465000 ;
-      RECT 3.555000  2.255000 3.885000 2.635000 ;
-      RECT 4.055000  2.085000 4.225000 2.465000 ;
-      RECT 4.395000  0.635000 6.425000 0.805000 ;
-      RECT 4.395000  2.255000 4.725000 2.635000 ;
-      RECT 4.895000  2.085000 5.065000 2.255000 ;
-      RECT 4.895000  2.255000 7.725000 2.425000 ;
-      RECT 5.280000  0.805000 5.450000 1.495000 ;
-      RECT 5.280000  1.665000 5.450000 1.905000 ;
-      RECT 5.280000  1.905000 6.200000 1.915000 ;
-      RECT 5.280000  1.915000 7.305000 2.075000 ;
-      RECT 5.670000  0.295000 6.805000 0.465000 ;
-      RECT 6.135000  2.075000 7.305000 2.085000 ;
-      RECT 6.635000  0.255000 6.805000 0.295000 ;
-      RECT 6.635000  0.465000 6.805000 0.645000 ;
-      RECT 6.635000  0.645000 7.645000 0.815000 ;
-      RECT 6.975000  0.085000 7.305000 0.465000 ;
-      RECT 7.475000  0.255000 7.645000 0.645000 ;
-      RECT 7.475000  1.755000 7.725000 2.255000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a32o_4
-MACRO sky130_fd_sc_hd__a32o_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a32o_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.990000 0.665000 2.280000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.530000 0.665000 1.800000 1.325000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.070000 0.995000 1.320000 1.325000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.450000 0.660000 2.870000 1.325000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.180000 0.995000 3.530000 1.325000 ;
-        RECT 3.325000 1.325000 3.530000 1.615000 ;
-    END
-  END B2
-  PIN X
-    ANTENNADIFFAREA  0.544500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.300000 0.425000 0.560000 ;
-        RECT 0.090000 0.560000 0.345000 1.915000 ;
-        RECT 0.090000 1.915000 0.425000 2.425000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.570000  0.995000 0.875000 1.325000 ;
-      RECT 0.595000  0.085000 0.925000 0.485000 ;
-      RECT 0.675000  1.835000 1.005000 2.635000 ;
-      RECT 0.705000  0.655000 1.265000 0.825000 ;
-      RECT 0.705000  0.825000 0.875000 0.995000 ;
-      RECT 0.705000  1.325000 0.875000 1.495000 ;
-      RECT 0.705000  1.495000 3.075000 1.665000 ;
-      RECT 1.095000  0.315000 2.710000 0.485000 ;
-      RECT 1.095000  0.485000 1.265000 0.655000 ;
-      RECT 1.250000  1.875000 2.675000 2.045000 ;
-      RECT 1.250000  2.045000 1.535000 2.465000 ;
-      RECT 1.790000  2.215000 2.120000 2.635000 ;
-      RECT 2.345000  2.045000 2.675000 2.295000 ;
-      RECT 2.345000  2.295000 3.505000 2.465000 ;
-      RECT 2.905000  1.665000 3.075000 2.125000 ;
-      RECT 3.255000  0.085000 3.585000 0.805000 ;
-      RECT 3.335000  1.795000 3.505000 2.295000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a32o_1
-MACRO sky130_fd_sc_hd__a32o_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a32o_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.685000 0.955000 2.985000 1.325000 ;
-        RECT 2.755000 0.415000 3.105000 0.610000 ;
-        RECT 2.755000 0.610000 2.985000 0.955000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.165000 0.995000 3.545000 1.325000 ;
-        RECT 3.305000 0.425000 3.545000 0.995000 ;
-        RECT 3.305000 1.325000 3.545000 1.625000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.815000 0.995000 4.055000 1.630000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.085000 1.075000 2.515000 1.245000 ;
-        RECT 2.345000 1.245000 2.515000 1.445000 ;
-        RECT 2.345000 1.445000 2.550000 1.615000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.115000 0.745000 1.530000 1.275000 ;
-    END
-  END B2
-  PIN X
-    ANTENNADIFFAREA  0.695500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.135000 0.655000 0.845000 0.825000 ;
-        RECT 0.135000 0.825000 0.345000 1.785000 ;
-        RECT 0.135000 1.785000 1.185000 1.955000 ;
-        RECT 0.135000 1.955000 0.345000 2.465000 ;
-        RECT 1.015000 1.955000 1.185000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.090000  0.085000 0.425000 0.465000 ;
-      RECT 0.515000  2.125000 0.845000 2.635000 ;
-      RECT 0.535000  0.995000 0.705000 1.445000 ;
-      RECT 0.535000  1.445000 2.125000 1.615000 ;
-      RECT 0.935000  0.085000 1.640000 0.445000 ;
-      RECT 1.535000  1.785000 1.705000 2.295000 ;
-      RECT 1.535000  2.295000 2.545000 2.465000 ;
-      RECT 1.700000  0.615000 2.585000 0.785000 ;
-      RECT 1.700000  0.785000 1.890000 1.445000 ;
-      RECT 1.875000  1.615000 2.125000 1.945000 ;
-      RECT 1.875000  1.945000 2.205000 2.115000 ;
-      RECT 2.255000  0.275000 2.585000 0.615000 ;
-      RECT 2.375000  1.795000 3.545000 1.965000 ;
-      RECT 2.375000  1.965000 2.545000 2.295000 ;
-      RECT 2.715000  2.140000 3.045000 2.635000 ;
-      RECT 3.375000  1.965000 3.545000 2.465000 ;
-      RECT 3.715000  0.085000 4.050000 0.805000 ;
-      RECT 3.715000  1.915000 4.050000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a32o_2
-MACRO sky130_fd_sc_hd__and2b_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__and2b_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.150000 0.765000 0.450000 1.615000 ;
-    END
-  END A_N
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.505000 1.645000 2.200000 1.955000 ;
-    END
-  END B
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.375000 1.580000 2.680000 2.365000 ;
-        RECT 2.445000 0.255000 2.680000 0.775000 ;
-        RECT 2.505000 0.775000 2.680000 1.580000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.095000  0.085000 0.425000 0.590000 ;
-      RECT 0.175000  1.785000 0.855000 2.015000 ;
-      RECT 0.175000  2.015000 0.345000 2.445000 ;
-      RECT 0.515000  2.185000 0.845000 2.635000 ;
-      RECT 0.595000  0.280000 0.835000 0.655000 ;
-      RECT 0.620000  0.655000 0.835000 0.805000 ;
-      RECT 0.620000  0.805000 1.175000 1.135000 ;
-      RECT 0.620000  1.135000 0.855000 1.785000 ;
-      RECT 1.045000  1.305000 2.335000 1.325000 ;
-      RECT 1.045000  1.325000 1.905000 1.475000 ;
-      RECT 1.045000  1.475000 1.330000 2.420000 ;
-      RECT 1.115000  0.270000 1.285000 0.415000 ;
-      RECT 1.115000  0.415000 1.515000 0.610000 ;
-      RECT 1.345000  0.610000 1.515000 0.945000 ;
-      RECT 1.345000  0.945000 2.335000 1.305000 ;
-      RECT 1.510000  2.165000 2.195000 2.635000 ;
-      RECT 1.875000  0.085000 2.275000 0.580000 ;
-      RECT 2.865000  0.085000 3.135000 0.720000 ;
-      RECT 2.865000  1.680000 3.135000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__and2b_2
-MACRO sky130_fd_sc_hd__and2b_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__and2b_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.145000 0.765000 0.445000 1.615000 ;
-    END
-  END A_N
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.480000 1.645000 2.175000 1.955000 ;
-    END
-  END B
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.350000 1.580000 2.655000 2.365000 ;
-        RECT 2.415000 0.255000 2.655000 0.775000 ;
-        RECT 2.480000 0.775000 2.655000 1.580000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.090000  0.085000 0.425000 0.590000 ;
-      RECT 0.175000  1.785000 0.850000 2.015000 ;
-      RECT 0.175000  2.015000 0.345000 2.445000 ;
-      RECT 0.515000  2.185000 0.845000 2.635000 ;
-      RECT 0.595000  0.280000 0.835000 0.655000 ;
-      RECT 0.615000  0.655000 0.835000 0.805000 ;
-      RECT 0.615000  0.805000 1.150000 1.135000 ;
-      RECT 0.615000  1.135000 0.850000 1.785000 ;
-      RECT 1.020000  1.305000 2.305000 1.325000 ;
-      RECT 1.020000  1.325000 1.880000 1.475000 ;
-      RECT 1.020000  1.475000 1.305000 2.420000 ;
-      RECT 1.115000  0.270000 1.285000 0.415000 ;
-      RECT 1.115000  0.415000 1.490000 0.610000 ;
-      RECT 1.320000  0.610000 1.490000 0.945000 ;
-      RECT 1.320000  0.945000 2.305000 1.305000 ;
-      RECT 1.485000  2.165000 2.170000 2.635000 ;
-      RECT 1.850000  0.085000 2.245000 0.580000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__and2b_1
-MACRO sky130_fd_sc_hd__and2b_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__and2b_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.900000 0.625000 3.155000 0.995000 ;
-        RECT 2.900000 0.995000 3.205000 1.325000 ;
-        RECT 2.900000 1.325000 3.155000 1.745000 ;
-    END
-  END A_N
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.610000 0.995000 0.975000 1.325000 ;
-    END
-  END B
-  PIN X
-    ANTENNADIFFAREA  0.934000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.485000 1.535000 2.730000 1.745000 ;
-        RECT 1.525000 0.495000 1.715000 0.615000 ;
-        RECT 1.525000 0.615000 2.730000 0.825000 ;
-        RECT 2.440000 0.825000 2.730000 1.535000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.090000  0.255000 0.425000 0.615000 ;
-      RECT 0.090000  0.615000 1.355000 0.805000 ;
-      RECT 0.090000  2.255000 0.425000 2.635000 ;
-      RECT 0.165000  0.995000 0.425000 1.325000 ;
-      RECT 0.165000  1.325000 0.335000 1.915000 ;
-      RECT 0.165000  1.915000 3.505000 2.085000 ;
-      RECT 0.515000  1.500000 1.315000 1.745000 ;
-      RECT 0.955000  0.085000 1.285000 0.445000 ;
-      RECT 0.990000  2.275000 1.320000 2.635000 ;
-      RECT 1.110000  1.435000 1.320000 1.485000 ;
-      RECT 1.110000  1.485000 1.315000 1.500000 ;
-      RECT 1.145000  0.805000 1.355000 0.995000 ;
-      RECT 1.145000  0.995000 2.260000 1.355000 ;
-      RECT 1.145000  1.355000 1.320000 1.435000 ;
-      RECT 1.885000  0.085000 2.215000 0.445000 ;
-      RECT 1.905000  2.275000 2.235000 2.635000 ;
-      RECT 2.745000  0.085000 3.075000 0.445000 ;
-      RECT 2.745000  2.275000 3.075000 2.635000 ;
-      RECT 3.330000  0.495000 3.500000 0.675000 ;
-      RECT 3.330000  0.675000 3.545000 0.845000 ;
-      RECT 3.335000  1.530000 3.545000 1.700000 ;
-      RECT 3.335000  1.700000 3.505000 1.915000 ;
-      RECT 3.375000  0.845000 3.545000 1.530000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__and2b_4
-MACRO sky130_fd_sc_hd__bufinv_8
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__bufinv_8 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.440000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.505000 1.275000 ;
-    END
-  END A
-  PIN Y
-    ANTENNADIFFAREA  1.782000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.715000 0.260000 3.045000 0.735000 ;
-        RECT 2.715000 0.735000 6.355000 0.905000 ;
-        RECT 2.715000 1.445000 6.355000 1.615000 ;
-        RECT 2.715000 1.615000 3.045000 2.465000 ;
-        RECT 3.555000 0.260000 3.885000 0.735000 ;
-        RECT 3.555000 1.615000 3.885000 2.465000 ;
-        RECT 4.395000 0.260000 4.725000 0.735000 ;
-        RECT 4.395000 1.615000 4.725000 2.465000 ;
-        RECT 5.235000 0.260000 5.565000 0.735000 ;
-        RECT 5.235000 1.615000 5.565000 2.465000 ;
-        RECT 5.970000 0.905000 6.355000 1.445000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 6.440000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.630000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.440000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.440000 0.085000 ;
-      RECT 0.000000  2.635000 6.440000 2.805000 ;
-      RECT 0.175000  0.085000 0.345000 0.905000 ;
-      RECT 0.175000  1.445000 0.345000 2.635000 ;
-      RECT 0.515000  0.260000 0.845000 0.905000 ;
-      RECT 0.515000  1.545000 0.845000 2.465000 ;
-      RECT 0.675000  0.905000 0.845000 1.075000 ;
-      RECT 0.675000  1.075000 2.205000 1.275000 ;
-      RECT 0.675000  1.275000 0.845000 1.545000 ;
-      RECT 1.035000  0.260000 1.365000 0.735000 ;
-      RECT 1.035000  0.735000 2.545000 0.905000 ;
-      RECT 1.035000  1.445000 2.545000 1.615000 ;
-      RECT 1.035000  1.615000 1.365000 2.465000 ;
-      RECT 1.535000  0.085000 1.705000 0.565000 ;
-      RECT 1.535000  1.785000 1.705000 2.635000 ;
-      RECT 1.875000  0.260000 2.205000 0.735000 ;
-      RECT 1.875000  1.615000 2.205000 2.465000 ;
-      RECT 2.375000  0.085000 2.545000 0.565000 ;
-      RECT 2.375000  0.905000 2.545000 1.075000 ;
-      RECT 2.375000  1.075000 5.760000 1.275000 ;
-      RECT 2.375000  1.275000 2.545000 1.445000 ;
-      RECT 2.375000  1.785000 2.545000 2.635000 ;
-      RECT 3.215000  0.085000 3.385000 0.565000 ;
-      RECT 3.215000  1.835000 3.385000 2.635000 ;
-      RECT 4.055000  0.085000 4.225000 0.565000 ;
-      RECT 4.055000  1.835000 4.225000 2.635000 ;
-      RECT 4.895000  0.085000 5.065000 0.565000 ;
-      RECT 4.895000  1.835000 5.065000 2.635000 ;
-      RECT 5.735000  0.085000 5.905000 0.565000 ;
-      RECT 5.735000  1.835000 5.905000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-  END
-END sky130_fd_sc_hd__bufinv_8
-MACRO sky130_fd_sc_hd__bufinv_16
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__bufinv_16 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  11.04000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.742500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 1.075000 1.265000 1.275000 ;
-    END
-  END A
-  PIN Y
-    ANTENNADIFFAREA  3.564000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT  4.295000 0.255000  4.545000 0.260000 ;
-        RECT  4.295000 0.260000  4.625000 0.735000 ;
-        RECT  4.295000 0.735000 10.955000 0.905000 ;
-        RECT  4.295000 1.445000 10.955000 1.615000 ;
-        RECT  4.295000 1.615000  4.625000 2.465000 ;
-        RECT  5.135000 0.260000  5.465000 0.735000 ;
-        RECT  5.135000 1.615000  5.465000 2.465000 ;
-        RECT  5.215000 0.255000  5.385000 0.260000 ;
-        RECT  5.975000 0.260000  6.305000 0.735000 ;
-        RECT  5.975000 1.615000  6.305000 2.465000 ;
-        RECT  6.055000 0.255000  6.225000 0.260000 ;
-        RECT  6.815000 0.260000  7.145000 0.735000 ;
-        RECT  6.815000 1.615000  7.145000 2.465000 ;
-        RECT  7.655000 0.260000  7.985000 0.735000 ;
-        RECT  7.655000 1.615000  7.985000 2.465000 ;
-        RECT  8.495000 0.260000  8.825000 0.735000 ;
-        RECT  8.495000 1.615000  8.825000 2.465000 ;
-        RECT  9.335000 0.260000  9.665000 0.735000 ;
-        RECT  9.335000 1.615000  9.665000 2.465000 ;
-        RECT 10.175000 0.260000 10.505000 0.735000 ;
-        RECT 10.175000 1.615000 10.505000 2.465000 ;
-        RECT 10.680000 0.905000 10.955000 1.445000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 11.040000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 11.230000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 11.040000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 11.040000 0.085000 ;
-      RECT  0.000000  2.635000 11.040000 2.805000 ;
-      RECT  0.095000  0.260000  0.425000 0.735000 ;
-      RECT  0.095000  0.735000  1.605000 0.905000 ;
-      RECT  0.095000  1.445000  1.605000 1.615000 ;
-      RECT  0.095000  1.615000  0.425000 2.465000 ;
-      RECT  0.595000  0.085000  0.765000 0.565000 ;
-      RECT  0.595000  1.785000  0.765000 2.635000 ;
-      RECT  0.935000  0.260000  1.265000 0.735000 ;
-      RECT  0.935000  1.615000  1.265000 2.465000 ;
-      RECT  1.435000  0.085000  1.605000 0.565000 ;
-      RECT  1.435000  0.905000  1.605000 1.075000 ;
-      RECT  1.435000  1.075000  3.745000 1.275000 ;
-      RECT  1.435000  1.275000  1.605000 1.445000 ;
-      RECT  1.435000  1.785000  1.605000 2.635000 ;
-      RECT  1.775000  0.260000  2.105000 0.735000 ;
-      RECT  1.775000  0.735000  4.125000 0.905000 ;
-      RECT  1.775000  1.445000  4.125000 1.615000 ;
-      RECT  1.775000  1.615000  2.105000 2.465000 ;
-      RECT  2.275000  0.085000  2.445000 0.565000 ;
-      RECT  2.275000  1.835000  2.445000 2.635000 ;
-      RECT  2.615000  0.260000  2.945000 0.735000 ;
-      RECT  2.615000  1.615000  2.945000 2.465000 ;
-      RECT  3.115000  0.085000  3.285000 0.565000 ;
-      RECT  3.115000  1.835000  3.285000 2.635000 ;
-      RECT  3.455000  0.260000  3.785000 0.735000 ;
-      RECT  3.455000  1.615000  3.785000 2.465000 ;
-      RECT  3.950000  0.905000  4.125000 1.075000 ;
-      RECT  3.950000  1.075000 10.510000 1.275000 ;
-      RECT  3.950000  1.275000  4.125000 1.445000 ;
-      RECT  3.955000  0.085000  4.125000 0.565000 ;
-      RECT  3.955000  1.835000  4.125000 2.635000 ;
-      RECT  4.795000  0.085000  4.965000 0.565000 ;
-      RECT  4.795000  1.835000  4.965000 2.635000 ;
-      RECT  5.635000  0.085000  5.805000 0.565000 ;
-      RECT  5.635000  1.835000  5.805000 2.635000 ;
-      RECT  6.475000  0.085000  6.645000 0.565000 ;
-      RECT  6.475000  1.835000  6.645000 2.635000 ;
-      RECT  7.315000  0.085000  7.485000 0.565000 ;
-      RECT  7.315000  1.835000  7.485000 2.635000 ;
-      RECT  8.155000  0.085000  8.325000 0.565000 ;
-      RECT  8.155000  1.835000  8.325000 2.635000 ;
-      RECT  8.995000  0.085000  9.165000 0.565000 ;
-      RECT  8.995000  1.835000  9.165000 2.635000 ;
-      RECT  9.835000  0.085000 10.005000 0.565000 ;
-      RECT  9.835000  1.835000 10.005000 2.635000 ;
-      RECT 10.675000  0.085000 10.845000 0.565000 ;
-      RECT 10.675000  1.835000 10.845000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-  END
-END sky130_fd_sc_hd__bufinv_16
-MACRO sky130_fd_sc_hd__a21o_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a21o_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.240000 0.365000 2.620000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.810000 0.750000 3.125000 1.325000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.465000 0.995000 1.790000 1.410000 ;
-    END
-  END B1
-  PIN X
-    ANTENNADIFFAREA  0.462000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.555000 0.635000 0.955000 0.825000 ;
-        RECT 0.555000 0.825000 0.785000 2.465000 ;
-        RECT 0.765000 0.255000 0.955000 0.635000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.095000  1.665000 0.385000 2.635000 ;
-      RECT 0.265000  0.085000 0.595000 0.465000 ;
-      RECT 0.955000  0.995000 1.295000 1.690000 ;
-      RECT 0.955000  1.690000 1.790000 1.920000 ;
-      RECT 0.955000  2.220000 1.285000 2.635000 ;
-      RECT 1.125000  0.085000 1.455000 0.445000 ;
-      RECT 1.125000  0.655000 1.865000 0.825000 ;
-      RECT 1.125000  0.825000 1.295000 0.995000 ;
-      RECT 1.475000  1.920000 1.790000 2.465000 ;
-      RECT 1.675000  0.255000 1.865000 0.655000 ;
-      RECT 1.960000  1.670000 3.075000 1.935000 ;
-      RECT 1.960000  1.935000 2.185000 2.465000 ;
-      RECT 2.355000  2.125000 2.685000 2.635000 ;
-      RECT 2.805000  0.085000 3.135000 0.565000 ;
-      RECT 2.855000  1.935000 3.075000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a21o_2
-MACRO sky130_fd_sc_hd__a21o_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a21o_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.660000 1.015000 2.185000 1.325000 ;
-        RECT 1.955000 0.375000 2.185000 1.015000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.365000 0.995000 2.665000 1.325000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.015000 1.015000 1.480000 1.325000 ;
-    END
-  END B1
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 0.265000 0.355000 2.455000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.525000  1.905000 0.865000 2.635000 ;
-      RECT 0.545000  0.635000 1.775000 0.835000 ;
-      RECT 0.545000  0.835000 0.835000 1.505000 ;
-      RECT 0.545000  1.505000 1.315000 1.725000 ;
-      RECT 0.615000  0.085000 1.285000 0.455000 ;
-      RECT 1.045000  1.725000 1.315000 2.455000 ;
-      RECT 1.465000  0.265000 1.775000 0.635000 ;
-      RECT 1.495000  1.505000 2.655000 1.745000 ;
-      RECT 1.495000  1.745000 1.725000 2.455000 ;
-      RECT 1.895000  1.925000 2.225000 2.635000 ;
-      RECT 2.365000  0.085000 2.655000 0.815000 ;
-      RECT 2.395000  1.745000 2.655000 2.455000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a21o_1
-MACRO sky130_fd_sc_hd__a21o_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a21o_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.520000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.990000 1.010000 4.515000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.425000 1.010000 3.820000 1.275000 ;
-        RECT 3.645000 1.275000 3.820000 1.510000 ;
-        RECT 3.645000 1.510000 4.935000 1.680000 ;
-        RECT 4.685000 1.055000 5.100000 1.290000 ;
-        RECT 4.685000 1.290000 4.935000 1.510000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.395000 0.995000 2.705000 1.525000 ;
-    END
-  END B1
-  PIN X
-    ANTENNADIFFAREA  0.924000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.145000 0.615000 1.735000 0.785000 ;
-        RECT 0.145000 0.785000 0.630000 1.585000 ;
-        RECT 0.145000 1.585000 1.735000 1.755000 ;
-        RECT 0.625000 1.755000 0.795000 2.185000 ;
-        RECT 1.485000 1.755000 1.735000 2.185000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.520000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.710000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.520000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.520000 0.085000 ;
-      RECT 0.000000  2.635000 5.520000 2.805000 ;
-      RECT 0.105000  0.085000 0.445000 0.445000 ;
-      RECT 0.115000  1.935000 0.445000 2.635000 ;
-      RECT 0.800000  0.995000 2.205000 1.325000 ;
-      RECT 0.975000  0.085000 1.305000 0.445000 ;
-      RECT 0.975000  1.935000 1.305000 2.635000 ;
-      RECT 1.910000  0.085000 2.685000 0.445000 ;
-      RECT 1.915000  1.515000 2.165000 2.635000 ;
-      RECT 2.035000  0.615000 3.045000 0.670000 ;
-      RECT 2.035000  0.670000 4.365000 0.785000 ;
-      RECT 2.035000  0.785000 2.205000 0.995000 ;
-      RECT 2.455000  1.695000 2.625000 2.295000 ;
-      RECT 2.455000  2.295000 3.465000 2.465000 ;
-      RECT 2.875000  0.255000 3.045000 0.615000 ;
-      RECT 2.875000  0.785000 4.365000 0.840000 ;
-      RECT 2.875000  0.840000 3.045000 2.125000 ;
-      RECT 3.255000  0.085000 3.585000 0.445000 ;
-      RECT 3.285000  1.445000 3.465000 1.850000 ;
-      RECT 3.285000  1.850000 5.360000 2.020000 ;
-      RECT 3.285000  2.020000 3.465000 2.295000 ;
-      RECT 3.635000  2.275000 3.965000 2.635000 ;
-      RECT 4.085000  0.405000 4.365000 0.670000 ;
-      RECT 4.135000  2.020000 4.305000 2.465000 ;
-      RECT 4.475000  2.275000 4.805000 2.635000 ;
-      RECT 4.945000  0.085000 5.225000 0.885000 ;
-      RECT 5.030000  2.020000 5.360000 2.395000 ;
-      RECT 5.105000  1.460000 5.360000 1.850000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a21o_4
-MACRO sky130_fd_sc_hd__nand4b_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nand4b_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  8.740000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.110000 1.075000 0.440000 1.275000 ;
-    END
-  END A_N
-  PIN B
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.930000 1.075000 4.590000 1.275000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.790000 1.075000 6.510000 1.275000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.015000 1.075000 8.655000 1.275000 ;
-    END
-  END D
-  PIN Y
-    ANTENNADIFFAREA  2.511000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.455000 0.635000 2.640000 0.905000 ;
-        RECT 1.455000 1.445000 8.185000 1.665000 ;
-        RECT 1.455000 1.665000 1.785000 2.465000 ;
-        RECT 2.295000 1.665000 2.625000 2.465000 ;
-        RECT 2.375000 0.905000 2.640000 1.445000 ;
-        RECT 3.135000 1.665000 3.465000 2.465000 ;
-        RECT 3.975000 1.665000 4.305000 2.465000 ;
-        RECT 5.335000 1.665000 5.665000 2.465000 ;
-        RECT 6.175000 1.665000 6.505000 2.465000 ;
-        RECT 7.015000 1.665000 7.345000 2.465000 ;
-        RECT 7.855000 1.665000 8.185000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 8.740000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.930000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 8.740000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 8.740000 0.085000 ;
-      RECT 0.000000  2.635000 8.740000 2.805000 ;
-      RECT 0.090000  0.255000 0.425000 0.735000 ;
-      RECT 0.090000  0.735000 0.805000 0.905000 ;
-      RECT 0.090000  1.495000 0.805000 1.665000 ;
-      RECT 0.090000  1.665000 0.425000 2.465000 ;
-      RECT 0.595000  0.085000 0.845000 0.545000 ;
-      RECT 0.595000  1.835000 1.285000 2.635000 ;
-      RECT 0.610000  0.905000 0.805000 1.075000 ;
-      RECT 0.610000  1.075000 2.205000 1.275000 ;
-      RECT 0.610000  1.275000 0.805000 1.495000 ;
-      RECT 0.995000  1.495000 1.285000 1.835000 ;
-      RECT 1.035000  0.255000 4.725000 0.465000 ;
-      RECT 1.035000  0.465000 1.285000 0.905000 ;
-      RECT 1.955000  1.835000 2.125000 2.635000 ;
-      RECT 2.795000  1.835000 2.965000 2.635000 ;
-      RECT 3.135000  0.635000 6.505000 0.905000 ;
-      RECT 3.635000  1.835000 3.805000 2.635000 ;
-      RECT 4.475000  1.835000 5.165000 2.635000 ;
-      RECT 4.915000  0.255000 6.925000 0.465000 ;
-      RECT 5.835000  1.835000 6.005000 2.635000 ;
-      RECT 6.675000  0.465000 6.925000 0.735000 ;
-      RECT 6.675000  0.735000 8.610000 0.905000 ;
-      RECT 6.675000  1.835000 6.845000 2.635000 ;
-      RECT 7.095000  0.085000 7.265000 0.545000 ;
-      RECT 7.435000  0.255000 7.765000 0.735000 ;
-      RECT 7.515000  1.835000 7.685000 2.635000 ;
-      RECT 7.935000  0.085000 8.105000 0.545000 ;
-      RECT 8.275000  0.255000 8.610000 0.735000 ;
-      RECT 8.355000  1.445000 8.610000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nand4b_4
-MACRO sky130_fd_sc_hd__nand4b_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nand4b_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.520000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.995000 0.330000 1.615000 ;
-    END
-  END A_N
-  PIN B
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.010000 1.075000 3.100000 1.275000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.360000 1.075000 4.450000 1.275000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.620000 1.075000 5.430000 1.275000 ;
-    END
-  END D
-  PIN Y
-    ANTENNADIFFAREA  1.255500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.455000 0.635000 1.785000 0.825000 ;
-        RECT 1.455000 1.445000 4.865000 1.665000 ;
-        RECT 1.455000 1.665000 1.785000 2.465000 ;
-        RECT 1.550000 0.825000 1.785000 1.445000 ;
-        RECT 2.295000 1.665000 2.625000 2.465000 ;
-        RECT 3.605000 1.665000 3.935000 2.465000 ;
-        RECT 4.535000 1.665000 4.865000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.520000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.710000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.520000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.520000 0.085000 ;
-      RECT 0.000000  2.635000 5.520000 2.805000 ;
-      RECT 0.090000  0.255000 0.345000 0.635000 ;
-      RECT 0.090000  0.635000 0.670000 0.805000 ;
-      RECT 0.090000  1.915000 0.670000 2.085000 ;
-      RECT 0.090000  2.085000 0.345000 2.465000 ;
-      RECT 0.500000  0.805000 0.670000 1.075000 ;
-      RECT 0.500000  1.075000 1.380000 1.245000 ;
-      RECT 0.500000  1.245000 0.670000 1.915000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.255000 1.285000 2.635000 ;
-      RECT 1.035000  0.255000 2.125000 0.465000 ;
-      RECT 1.035000  0.465000 1.285000 0.905000 ;
-      RECT 1.035000  1.445000 1.285000 2.255000 ;
-      RECT 1.955000  0.465000 2.125000 0.635000 ;
-      RECT 1.955000  0.635000 3.045000 0.905000 ;
-      RECT 1.955000  1.835000 2.125000 2.635000 ;
-      RECT 2.295000  0.255000 3.985000 0.465000 ;
-      RECT 2.795000  1.835000 3.435000 2.635000 ;
-      RECT 3.235000  0.635000 4.455000 0.715000 ;
-      RECT 3.235000  0.715000 5.340000 0.905000 ;
-      RECT 4.105000  1.835000 4.365000 2.635000 ;
-      RECT 4.155000  0.255000 4.415000 0.615000 ;
-      RECT 4.155000  0.615000 4.455000 0.635000 ;
-      RECT 4.665000  0.085000 4.835000 0.545000 ;
-      RECT 5.005000  0.255000 5.340000 0.715000 ;
-      RECT 5.035000  1.495000 5.430000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nand4b_2
-MACRO sky130_fd_sc_hd__nand4b_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nand4b_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.425000 0.995000 0.775000 1.325000 ;
-    END
-  END A_N
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.925000 0.765000 2.185000 1.325000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.505000 0.765000 1.755000 1.325000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.965000 0.995000 1.235000 1.325000 ;
-    END
-  END D
-  PIN Y
-    ANTENNADIFFAREA  0.887500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.130000 1.495000 3.135000 1.665000 ;
-        RECT 1.130000 1.665000 1.460000 2.465000 ;
-        RECT 2.085000 1.665000 2.415000 2.465000 ;
-        RECT 2.695000 0.255000 3.135000 0.825000 ;
-        RECT 2.925000 0.825000 3.135000 1.495000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.085000  0.445000 0.475000 0.655000 ;
-      RECT 0.085000  0.655000 1.335000 0.825000 ;
-      RECT 0.085000  0.825000 0.255000 1.595000 ;
-      RECT 0.085000  1.595000 0.510000 1.925000 ;
-      RECT 0.655000  0.085000 0.985000 0.485000 ;
-      RECT 0.710000  1.495000 0.960000 2.635000 ;
-      RECT 1.155000  0.425000 2.525000 0.595000 ;
-      RECT 1.155000  0.595000 1.335000 0.655000 ;
-      RECT 1.630000  1.835000 1.915000 2.635000 ;
-      RECT 2.355000  0.595000 2.525000 0.995000 ;
-      RECT 2.355000  0.995000 2.755000 1.325000 ;
-      RECT 2.705000  1.835000 2.920000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nand4b_1
-MACRO sky130_fd_sc_hd__xor2_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__xor2_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.980000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.545000 1.075000 0.875000 1.275000 ;
-        RECT 0.705000 1.275000 0.875000 1.445000 ;
-        RECT 0.705000 1.445000 1.880000 1.615000 ;
-        RECT 1.710000 1.075000 3.230000 1.275000 ;
-        RECT 1.710000 1.275000 1.880000 1.445000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.045000 1.075000 1.540000 1.275000 ;
-      LAYER mcon ;
-        RECT 1.065000 1.105000 1.235000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 3.420000 1.075000 4.090000 1.275000 ;
-      LAYER mcon ;
-        RECT 3.825000 1.105000 3.995000 1.275000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 1.005000 1.075000 1.295000 1.120000 ;
-        RECT 1.005000 1.120000 4.055000 1.260000 ;
-        RECT 1.005000 1.260000 1.295000 1.305000 ;
-        RECT 3.765000 1.075000 4.055000 1.120000 ;
-        RECT 3.765000 1.260000 4.055000 1.305000 ;
-    END
-  END B
-  PIN X
-    ANTENNADIFFAREA  0.656750 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.625000 0.645000 3.955000 0.725000 ;
-        RECT 3.625000 0.725000 5.895000 0.905000 ;
-        RECT 4.985000 0.645000 5.315000 0.725000 ;
-        RECT 5.025000 1.415000 5.895000 1.625000 ;
-        RECT 5.025000 1.625000 5.275000 2.125000 ;
-        RECT 5.485000 0.905000 5.895000 1.415000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.980000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.170000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.980000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.980000 0.085000 ;
-      RECT 0.000000  2.635000 5.980000 2.805000 ;
-      RECT 0.120000  0.725000 1.700000 0.905000 ;
-      RECT 0.120000  0.905000 0.290000 1.785000 ;
-      RECT 0.120000  1.785000 2.220000 1.955000 ;
-      RECT 0.120000  2.135000 0.400000 2.465000 ;
-      RECT 0.145000  2.125000 0.315000 2.135000 ;
-      RECT 0.190000  0.085000 0.360000 0.555000 ;
-      RECT 0.530000  0.255000 0.860000 0.725000 ;
-      RECT 0.570000  2.135000 0.820000 2.635000 ;
-      RECT 0.990000  2.135000 1.240000 2.295000 ;
-      RECT 0.990000  2.295000 2.080000 2.465000 ;
-      RECT 1.030000  0.085000 1.200000 0.555000 ;
-      RECT 1.065000  2.125000 1.235000 2.135000 ;
-      RECT 1.370000  0.255000 1.700000 0.725000 ;
-      RECT 1.410000  1.955000 1.660000 2.125000 ;
-      RECT 1.830000  2.135000 2.080000 2.295000 ;
-      RECT 1.870000  0.085000 2.040000 0.555000 ;
-      RECT 2.050000  1.445000 4.785000 1.615000 ;
-      RECT 2.050000  1.615000 2.220000 1.785000 ;
-      RECT 2.285000  2.125000 2.600000 2.465000 ;
-      RECT 2.310000  0.255000 2.640000 0.725000 ;
-      RECT 2.310000  0.725000 3.400000 0.905000 ;
-      RECT 2.390000  1.785000 4.855000 1.955000 ;
-      RECT 2.390000  1.955000 2.600000 2.125000 ;
-      RECT 2.770000  2.135000 3.020000 2.635000 ;
-      RECT 2.810000  0.085000 2.980000 0.555000 ;
-      RECT 3.150000  0.255000 4.380000 0.475000 ;
-      RECT 3.150000  0.475000 3.400000 0.725000 ;
-      RECT 3.190000  1.955000 3.440000 2.465000 ;
-      RECT 3.610000  2.135000 3.915000 2.635000 ;
-      RECT 4.085000  1.955000 4.855000 2.295000 ;
-      RECT 4.085000  2.295000 5.695000 2.465000 ;
-      RECT 4.615000  1.075000 5.275000 1.245000 ;
-      RECT 4.615000  1.245000 4.785000 1.445000 ;
-      RECT 4.645000  0.085000 4.815000 0.555000 ;
-      RECT 5.445000  1.795000 5.695000 2.295000 ;
-      RECT 5.485000  0.085000 5.655000 0.555000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.085000 2.095000 0.375000 2.140000 ;
-      RECT 0.085000 2.140000 1.295000 2.280000 ;
-      RECT 0.085000 2.280000 0.375000 2.325000 ;
-      RECT 1.005000 2.095000 1.295000 2.140000 ;
-      RECT 1.005000 2.280000 1.295000 2.325000 ;
-  END
-END sky130_fd_sc_hd__xor2_2
-MACRO sky130_fd_sc_hd__xor2_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__xor2_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  10.12000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  1.980000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.425000 1.075000 2.800000 1.275000 ;
-        RECT 2.630000 1.275000 2.800000 1.445000 ;
-        RECT 2.630000 1.445000 6.165000 1.615000 ;
-        RECT 5.995000 1.075000 7.370000 1.275000 ;
-        RECT 5.995000 1.275000 6.165000 1.445000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  1.980000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.970000 1.075000 5.000000 1.105000 ;
-        RECT 2.970000 1.105000 5.740000 1.275000 ;
-    END
-  END B
-  PIN X
-    ANTENNADIFFAREA  1.524450 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.165000 0.645000 5.580000 0.905000 ;
-        RECT 5.150000 0.905000 5.580000 0.935000 ;
-      LAYER mcon ;
-        RECT 5.205000 0.765000 5.375000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 7.850000 0.725000  8.630000 0.735000 ;
-        RECT 7.850000 0.735000 10.035000 0.905000 ;
-        RECT 7.850000 0.905000  8.305000 0.935000 ;
-        RECT 7.880000 1.445000 10.035000 1.625000 ;
-        RECT 7.880000 1.625000  9.010000 1.665000 ;
-        RECT 7.880000 1.665000  8.170000 2.125000 ;
-        RECT 8.300000 0.255000  8.630000 0.725000 ;
-        RECT 8.760000 1.665000  9.010000 2.125000 ;
-        RECT 9.140000 0.255000  9.470000 0.735000 ;
-        RECT 9.600000 1.625000 10.035000 2.465000 ;
-        RECT 9.735000 0.905000 10.035000 1.445000 ;
-      LAYER mcon ;
-        RECT 7.965000 0.765000 8.135000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 5.145000 0.735000 5.435000 0.780000 ;
-        RECT 5.145000 0.780000 8.195000 0.920000 ;
-        RECT 5.145000 0.920000 5.435000 0.965000 ;
-        RECT 7.905000 0.735000 8.195000 0.780000 ;
-        RECT 7.905000 0.920000 8.195000 0.965000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 10.120000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 10.310000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 10.120000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 10.120000 0.085000 ;
-      RECT 0.000000  2.635000 10.120000 2.805000 ;
-      RECT 0.085000  0.085000  0.360000 0.565000 ;
-      RECT 0.085000  0.735000  3.380000 0.905000 ;
-      RECT 0.085000  0.905000  0.255000 1.445000 ;
-      RECT 0.085000  1.445000  2.420000 1.615000 ;
-      RECT 0.085000  1.785000  2.080000 2.005000 ;
-      RECT 0.085000  2.005000  0.400000 2.465000 ;
-      RECT 0.530000  0.255000  0.860000 0.725000 ;
-      RECT 0.530000  0.725000  3.380000 0.735000 ;
-      RECT 0.570000  2.175000  0.820000 2.635000 ;
-      RECT 0.990000  2.005000  1.240000 2.465000 ;
-      RECT 1.030000  0.085000  1.200000 0.555000 ;
-      RECT 1.370000  0.255000  1.700000 0.725000 ;
-      RECT 1.410000  2.175000  1.660000 2.635000 ;
-      RECT 1.830000  2.005000  2.080000 2.295000 ;
-      RECT 1.830000  2.295000  3.760000 2.465000 ;
-      RECT 1.870000  0.085000  2.040000 0.555000 ;
-      RECT 2.210000  0.255000  2.540000 0.725000 ;
-      RECT 2.250000  1.615000  2.420000 1.785000 ;
-      RECT 2.250000  1.785000  3.340000 1.955000 ;
-      RECT 2.250000  1.955000  2.500000 2.125000 ;
-      RECT 2.670000  2.125000  2.920000 2.295000 ;
-      RECT 2.710000  0.085000  2.880000 0.555000 ;
-      RECT 3.050000  0.255000  3.380000 0.725000 ;
-      RECT 3.090000  1.955000  3.340000 2.125000 ;
-      RECT 3.510000  1.795000  3.760000 2.295000 ;
-      RECT 3.550000  0.085000  3.820000 0.895000 ;
-      RECT 3.990000  0.255000  6.000000 0.475000 ;
-      RECT 4.030000  1.785000  7.640000 2.005000 ;
-      RECT 4.030000  2.005000  4.280000 2.465000 ;
-      RECT 4.450000  2.175000  4.700000 2.635000 ;
-      RECT 4.870000  2.005000  5.120000 2.465000 ;
-      RECT 5.290000  2.175000  5.540000 2.635000 ;
-      RECT 5.710000  2.005000  5.960000 2.465000 ;
-      RECT 5.750000  0.475000  6.000000 0.725000 ;
-      RECT 5.750000  0.725000  7.680000 0.905000 ;
-      RECT 6.130000  2.175000  6.380000 2.635000 ;
-      RECT 6.170000  0.085000  6.340000 0.555000 ;
-      RECT 6.510000  0.255000  6.840000 0.725000 ;
-      RECT 6.550000  1.455000  6.800000 1.785000 ;
-      RECT 6.550000  2.005000  6.800000 2.465000 ;
-      RECT 6.970000  2.175000  7.220000 2.635000 ;
-      RECT 7.010000  0.085000  7.180000 0.555000 ;
-      RECT 7.260000  1.445000  7.710000 1.615000 ;
-      RECT 7.350000  0.255000  7.680000 0.725000 ;
-      RECT 7.390000  2.005000  7.640000 2.295000 ;
-      RECT 7.390000  2.295000  9.430000 2.465000 ;
-      RECT 7.540000  1.105000  9.565000 1.275000 ;
-      RECT 7.540000  1.275000  7.710000 1.445000 ;
-      RECT 7.960000  0.085000  8.130000 0.555000 ;
-      RECT 8.340000  1.835000  8.590000 2.295000 ;
-      RECT 8.540000  1.075000  9.565000 1.105000 ;
-      RECT 8.800000  0.085000  8.970000 0.555000 ;
-      RECT 9.180000  1.795000  9.430000 2.295000 ;
-      RECT 9.640000  0.085000  9.810000 0.555000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  1.445000 2.155000 1.615000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  1.445000 7.675000 1.615000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-      RECT 9.805000 -0.085000 9.975000 0.085000 ;
-      RECT 9.805000  2.635000 9.975000 2.805000 ;
-    LAYER met1 ;
-      RECT 1.925000 1.415000 2.215000 1.460000 ;
-      RECT 1.925000 1.460000 7.735000 1.600000 ;
-      RECT 1.925000 1.600000 2.215000 1.645000 ;
-      RECT 7.445000 1.415000 7.735000 1.460000 ;
-      RECT 7.445000 1.600000 7.735000 1.645000 ;
-  END
-END sky130_fd_sc_hd__xor2_4
-MACRO sky130_fd_sc_hd__xor2_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__xor2_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.840000 1.075000 1.390000 1.275000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.425000 0.995000 0.670000 1.445000 ;
-        RECT 0.425000 1.445000 1.730000 1.615000 ;
-        RECT 1.560000 1.075000 1.935000 1.245000 ;
-        RECT 1.560000 1.245000 1.730000 1.445000 ;
-    END
-  END B
-  PIN X
-    ANTENNADIFFAREA  0.800500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.720000 0.315000 2.675000 0.485000 ;
-        RECT 2.505000 0.485000 2.675000 1.365000 ;
-        RECT 2.505000 1.365000 3.135000 1.535000 ;
-        RECT 2.815000 1.535000 3.135000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.085000  0.655000 2.335000 0.825000 ;
-      RECT 0.085000  0.825000 0.255000 1.785000 ;
-      RECT 0.085000  1.785000 0.465000 2.465000 ;
-      RECT 0.135000  0.085000 0.465000 0.475000 ;
-      RECT 0.635000  0.335000 0.805000 0.655000 ;
-      RECT 0.975000  0.085000 1.305000 0.475000 ;
-      RECT 1.055000  1.785000 1.225000 2.635000 ;
-      RECT 1.395000  1.785000 2.635000 1.955000 ;
-      RECT 1.395000  1.955000 1.725000 2.465000 ;
-      RECT 1.895000  2.125000 2.065000 2.635000 ;
-      RECT 2.105000  0.825000 2.335000 1.325000 ;
-      RECT 2.235000  1.955000 2.635000 2.465000 ;
-      RECT 2.845000  0.085000 3.135000 0.920000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__xor2_1
-MACRO sky130_fd_sc_hd__o221ai_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o221ai_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.520000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.430000 1.075000 3.760000 1.445000 ;
-        RECT 3.430000 1.445000 4.815000 1.615000 ;
-        RECT 4.645000 1.075000 5.435000 1.275000 ;
-        RECT 4.645000 1.275000 4.815000 1.445000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.980000 1.075000 4.475000 1.275000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.020000 1.075000 2.035000 1.445000 ;
-        RECT 1.020000 1.445000 3.260000 1.615000 ;
-        RECT 2.930000 1.075000 3.260000 1.445000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.205000 1.075000 2.760000 1.275000 ;
-    END
-  END B2
-  PIN C1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.435000 1.275000 ;
-    END
-  END C1
-  PIN Y
-    ANTENNADIFFAREA  0.985500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.520000 0.645000 0.850000 0.865000 ;
-        RECT 0.560000 1.445000 0.850000 1.785000 ;
-        RECT 0.560000 1.785000 4.350000 1.955000 ;
-        RECT 0.560000 1.955000 0.810000 2.465000 ;
-        RECT 0.605000 0.865000 0.850000 1.445000 ;
-        RECT 2.340000 1.955000 2.590000 2.125000 ;
-        RECT 4.100000 1.955000 4.350000 2.125000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.520000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.710000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.520000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.520000 0.085000 ;
-      RECT 0.000000  2.635000 5.520000 2.805000 ;
-      RECT 0.100000  0.255000 1.270000 0.475000 ;
-      RECT 0.100000  0.475000 0.350000 0.895000 ;
-      RECT 0.140000  1.455000 0.390000 2.635000 ;
-      RECT 0.980000  2.125000 1.750000 2.635000 ;
-      RECT 1.020000  0.475000 1.270000 0.645000 ;
-      RECT 1.020000  0.645000 3.050000 0.905000 ;
-      RECT 1.460000  0.255000 3.550000 0.475000 ;
-      RECT 1.920000  2.125000 2.170000 2.295000 ;
-      RECT 1.920000  2.295000 3.010000 2.465000 ;
-      RECT 2.760000  2.125000 3.010000 2.295000 ;
-      RECT 3.180000  2.125000 3.510000 2.635000 ;
-      RECT 3.220000  0.475000 3.550000 0.735000 ;
-      RECT 3.220000  0.735000 5.230000 0.905000 ;
-      RECT 3.680000  2.125000 3.930000 2.295000 ;
-      RECT 3.680000  2.295000 4.770000 2.465000 ;
-      RECT 3.720000  0.085000 3.890000 0.555000 ;
-      RECT 4.060000  0.255000 4.390000 0.725000 ;
-      RECT 4.060000  0.725000 5.230000 0.735000 ;
-      RECT 4.520000  1.785000 4.770000 2.295000 ;
-      RECT 4.560000  0.085000 4.730000 0.555000 ;
-      RECT 4.900000  0.255000 5.230000 0.725000 ;
-      RECT 4.985000  1.455000 5.190000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o221ai_2
-MACRO sky130_fd_sc_hd__o221ai_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o221ai_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.675000 1.075000 3.135000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.165000 1.075000 2.505000 1.245000 ;
-        RECT 2.295000 1.245000 2.505000 1.445000 ;
-        RECT 2.295000 1.445000 2.675000 1.615000 ;
-        RECT 2.465000 1.615000 2.675000 2.405000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.010000 0.995000 1.355000 1.325000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.525000 0.995000 1.985000 1.325000 ;
-    END
-  END B2
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.465000 1.325000 ;
-    END
-  END C1
-  PIN Y
-    ANTENNADIFFAREA  0.899000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.365000 0.345000 0.645000 ;
-        RECT 0.085000 0.645000 0.840000 0.825000 ;
-        RECT 0.085000 1.495000 2.125000 1.705000 ;
-        RECT 0.085000 1.705000 0.365000 2.465000 ;
-        RECT 0.635000 0.825000 0.840000 1.495000 ;
-        RECT 1.735000 1.705000 2.125000 1.785000 ;
-        RECT 1.735000 1.785000 2.245000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.515000  0.305000 1.775000 0.475000 ;
-      RECT 0.550000  1.875000 1.340000 2.635000 ;
-      RECT 1.010000  0.645000 2.220000 0.695000 ;
-      RECT 1.010000  0.695000 3.135000 0.825000 ;
-      RECT 1.945000  0.280000 2.220000 0.645000 ;
-      RECT 2.105000  0.825000 3.135000 0.865000 ;
-      RECT 2.455000  0.085000 2.625000 0.525000 ;
-      RECT 2.795000  0.280000 3.135000 0.695000 ;
-      RECT 2.875000  1.455000 3.135000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o221ai_1
-MACRO sky130_fd_sc_hd__o221ai_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o221ai_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.660000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.965000 1.075000 6.295000 1.445000 ;
-        RECT 5.965000 1.445000 8.420000 1.615000 ;
-        RECT 8.155000 1.075000 9.575000 1.275000 ;
-        RECT 8.155000 1.275000 8.420000 1.445000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.475000 1.075000 7.885000 1.275000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.360000 1.075000 4.505000 1.275000 ;
-        RECT 4.335000 1.275000 4.505000 1.495000 ;
-        RECT 4.335000 1.495000 5.795000 1.665000 ;
-        RECT 5.465000 1.075000 5.795000 1.495000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.675000 0.995000 5.285000 1.325000 ;
-    END
-  END B2
-  PIN C1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 1.075000 1.750000 1.275000 ;
-    END
-  END C1
-  PIN Y
-    ANTENNADIFFAREA  1.971000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.535000 0.645000 2.125000 0.865000 ;
-        RECT 0.575000 1.445000 4.165000 1.615000 ;
-        RECT 0.575000 1.615000 0.825000 2.465000 ;
-        RECT 1.415000 1.615000 2.125000 1.955000 ;
-        RECT 1.415000 1.955000 1.665000 2.465000 ;
-        RECT 1.920000 0.865000 2.125000 1.445000 ;
-        RECT 3.995000 1.615000 4.165000 1.835000 ;
-        RECT 3.995000 1.835000 7.725000 1.955000 ;
-        RECT 3.995000 1.955000 6.885000 2.005000 ;
-        RECT 3.995000 2.005000 4.285000 2.125000 ;
-        RECT 4.875000 2.005000 5.085000 2.125000 ;
-        RECT 5.965000 1.785000 7.725000 1.835000 ;
-        RECT 6.675000 2.005000 6.885000 2.125000 ;
-        RECT 7.475000 1.955000 7.725000 2.125000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.660000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.850000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.660000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.660000 0.085000 ;
-      RECT 0.000000  2.635000 9.660000 2.805000 ;
-      RECT 0.115000  0.255000 5.585000 0.475000 ;
-      RECT 0.115000  0.475000 0.365000 0.895000 ;
-      RECT 0.155000  1.485000 0.405000 2.635000 ;
-      RECT 0.995000  1.825000 1.245000 2.635000 ;
-      RECT 1.835000  2.125000 2.605000 2.635000 ;
-      RECT 2.315000  0.645000 6.085000 0.735000 ;
-      RECT 2.315000  0.735000 9.445000 0.820000 ;
-      RECT 2.775000  1.785000 3.825000 1.955000 ;
-      RECT 2.775000  1.955000 3.025000 2.465000 ;
-      RECT 3.195000  2.125000 3.445000 2.635000 ;
-      RECT 3.615000  1.955000 3.825000 2.295000 ;
-      RECT 3.615000  2.295000 5.585000 2.465000 ;
-      RECT 4.455000  2.175000 4.705000 2.295000 ;
-      RECT 5.255000  2.175000 5.585000 2.295000 ;
-      RECT 5.465000  0.820000 9.445000 0.905000 ;
-      RECT 5.755000  0.255000 6.085000 0.645000 ;
-      RECT 5.755000  2.175000 6.005000 2.635000 ;
-      RECT 6.175000  2.175000 6.505000 2.295000 ;
-      RECT 6.175000  2.295000 8.145000 2.465000 ;
-      RECT 6.255000  0.085000 6.425000 0.555000 ;
-      RECT 6.595000  0.255000 6.925000 0.725000 ;
-      RECT 6.595000  0.725000 7.765000 0.735000 ;
-      RECT 7.055000  2.125000 7.305000 2.295000 ;
-      RECT 7.095000  0.085000 7.265000 0.555000 ;
-      RECT 7.435000  0.255000 7.765000 0.725000 ;
-      RECT 7.895000  1.785000 8.985000 1.955000 ;
-      RECT 7.895000  1.955000 8.145000 2.295000 ;
-      RECT 7.935000  0.085000 8.105000 0.555000 ;
-      RECT 8.275000  0.255000 8.605000 0.725000 ;
-      RECT 8.275000  0.725000 9.445000 0.735000 ;
-      RECT 8.315000  2.125000 8.565000 2.635000 ;
-      RECT 8.735000  1.445000 8.985000 1.785000 ;
-      RECT 8.735000  1.955000 8.985000 2.465000 ;
-      RECT 8.775000  0.085000 8.945000 0.555000 ;
-      RECT 9.115000  0.255000 9.445000 0.725000 ;
-      RECT 9.155000  1.445000 9.405000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o221ai_4
-MACRO sky130_fd_sc_hd__o41a_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o41a_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.485000 1.075000 3.995000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.905000 1.075000 3.275000 2.390000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.405000 1.075000 2.735000 2.390000 ;
-    END
-  END A3
-  PIN A4
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.865000 1.075000 2.195000 2.390000 ;
-    END
-  END A4
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.275000 1.075000 1.695000 1.285000 ;
-    END
-  END B1
-  PIN X
-    ANTENNADIFFAREA  0.672000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.255000 0.425000 0.885000 ;
-        RECT 0.085000 0.885000 0.355000 1.455000 ;
-        RECT 0.085000 1.455000 0.610000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.525000  1.075000 1.105000 1.285000 ;
-      RECT 0.715000  0.085000 0.885000 0.545000 ;
-      RECT 0.735000  0.715000 1.485000 0.905000 ;
-      RECT 0.735000  0.905000 1.105000 1.075000 ;
-      RECT 0.845000  1.285000 1.105000 1.455000 ;
-      RECT 0.845000  1.455000 1.595000 1.745000 ;
-      RECT 0.845000  1.915000 1.175000 2.635000 ;
-      RECT 1.155000  0.270000 1.485000 0.715000 ;
-      RECT 1.345000  1.745000 1.595000 2.465000 ;
-      RECT 1.655000  0.415000 1.825000 0.735000 ;
-      RECT 1.655000  0.735000 3.955000 0.905000 ;
-      RECT 2.050000  0.085000 2.380000 0.545000 ;
-      RECT 2.580000  0.255000 2.910000 0.735000 ;
-      RECT 3.125000  0.085000 3.455000 0.545000 ;
-      RECT 3.605000  1.515000 3.935000 2.635000 ;
-      RECT 3.625000  0.255000 3.955000 0.735000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o41a_1
-MACRO sky130_fd_sc_hd__o41a_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o41a_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.600000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.825000 1.075000 4.515000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.325000 1.075000 3.655000 2.335000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.825000 1.075000 3.155000 2.340000 ;
-    END
-  END A3
-  PIN A4
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.325000 1.075000 2.655000 2.340000 ;
-    END
-  END A4
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.775000 1.075000 2.155000 1.325000 ;
-    END
-  END B1
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 0.255000 0.845000 0.880000 ;
-        RECT 0.515000 0.880000 0.790000 1.495000 ;
-        RECT 0.515000 1.495000 0.845000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.600000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.790000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.600000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.600000 0.085000 ;
-      RECT 0.000000  2.635000 4.600000 2.805000 ;
-      RECT 0.085000  0.085000 0.345000 0.885000 ;
-      RECT 0.085000  1.495000 0.345000 2.635000 ;
-      RECT 0.960000  1.075000 1.600000 1.325000 ;
-      RECT 1.015000  0.085000 1.260000 0.885000 ;
-      RECT 1.015000  1.495000 1.185000 1.835000 ;
-      RECT 1.015000  1.835000 1.525000 2.635000 ;
-      RECT 1.355000  1.325000 1.600000 1.495000 ;
-      RECT 1.355000  1.495000 2.145000 1.665000 ;
-      RECT 1.430000  0.255000 1.785000 0.850000 ;
-      RECT 1.430000  0.850000 1.600000 1.075000 ;
-      RECT 1.695000  1.665000 2.145000 2.465000 ;
-      RECT 1.985000  0.255000 2.315000 0.715000 ;
-      RECT 1.985000  0.715000 4.395000 0.905000 ;
-      RECT 2.485000  0.085000 2.750000 0.545000 ;
-      RECT 2.955000  0.255000 3.285000 0.715000 ;
-      RECT 3.505000  0.085000 3.775000 0.545000 ;
-      RECT 4.065000  0.255000 4.395000 0.715000 ;
-      RECT 4.065000  1.495000 4.395000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o41a_2
-MACRO sky130_fd_sc_hd__o41a_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o41a_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.820000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.650000 1.075000 7.735000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.150000 1.075000 6.360000 1.275000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.330000 1.075000 4.960000 1.275000 ;
-    END
-  END A3
-  PIN A4
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.410000 1.075000 4.040000 1.275000 ;
-    END
-  END A4
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.835000 1.075000 3.165000 1.275000 ;
-    END
-  END B1
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.715000 1.685000 0.905000 ;
-        RECT 0.085000 0.905000 0.345000 1.465000 ;
-        RECT 0.085000 1.465000 1.685000 1.665000 ;
-        RECT 0.515000 0.255000 0.845000 0.715000 ;
-        RECT 0.515000 1.665000 0.845000 2.465000 ;
-        RECT 1.355000 0.255000 1.685000 0.715000 ;
-        RECT 1.355000 1.665000 1.685000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.820000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.010000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.820000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.820000 0.085000 ;
-      RECT 0.000000  2.635000 7.820000 2.805000 ;
-      RECT 0.085000  0.085000 0.345000 0.545000 ;
-      RECT 0.085000  1.835000 0.345000 2.635000 ;
-      RECT 0.515000  1.075000 2.665000 1.245000 ;
-      RECT 0.515000  1.245000 2.545000 1.295000 ;
-      RECT 1.015000  0.085000 1.185000 0.545000 ;
-      RECT 1.015000  1.835000 1.185000 2.635000 ;
-      RECT 1.855000  0.085000 2.105000 0.885000 ;
-      RECT 1.855000  1.465000 2.025000 2.635000 ;
-      RECT 2.195000  1.295000 2.545000 1.445000 ;
-      RECT 2.195000  1.445000 3.825000 1.615000 ;
-      RECT 2.195000  1.615000 2.545000 2.465000 ;
-      RECT 2.295000  0.255000 3.485000 0.465000 ;
-      RECT 2.295000  0.635000 3.045000 0.905000 ;
-      RECT 2.295000  0.905000 2.665000 1.075000 ;
-      RECT 2.715000  1.835000 2.965000 2.635000 ;
-      RECT 3.135000  1.835000 3.405000 2.295000 ;
-      RECT 3.135000  2.295000 4.325000 2.465000 ;
-      RECT 3.235000  0.465000 3.485000 0.735000 ;
-      RECT 3.235000  0.735000 7.595000 0.905000 ;
-      RECT 3.575000  1.615000 3.825000 2.125000 ;
-      RECT 3.655000  0.085000 3.875000 0.545000 ;
-      RECT 3.995000  1.445000 5.165000 1.615000 ;
-      RECT 3.995000  1.615000 4.325000 2.295000 ;
-      RECT 4.075000  0.255000 4.245000 0.735000 ;
-      RECT 4.445000  0.085000 4.715000 0.545000 ;
-      RECT 4.495000  1.785000 4.665000 2.295000 ;
-      RECT 4.495000  2.295000 6.145000 2.465000 ;
-      RECT 4.835000  1.615000 5.165000 2.115000 ;
-      RECT 4.915000  0.255000 5.085000 0.735000 ;
-      RECT 5.305000  0.085000 5.915000 0.545000 ;
-      RECT 5.395000  1.445000 7.595000 1.615000 ;
-      RECT 5.395000  1.615000 5.645000 2.115000 ;
-      RECT 5.815000  1.785000 6.145000 2.295000 ;
-      RECT 6.240000  0.255000 6.410000 0.735000 ;
-      RECT 6.315000  1.615000 6.485000 2.455000 ;
-      RECT 6.655000  1.785000 6.985000 2.635000 ;
-      RECT 6.685000  0.085000 6.955000 0.545000 ;
-      RECT 7.265000  0.255000 7.595000 0.735000 ;
-      RECT 7.265000  1.615000 7.595000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o41a_4
-MACRO sky130_fd_sc_hd__and4bb_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__and4bb_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.600000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.425000 1.625000 0.775000 1.955000 ;
-    END
-  END A_N
-  PIN B_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.605000 0.765000 0.815000 0.945000 ;
-        RECT 0.605000 0.945000 1.225000 1.115000 ;
-    END
-  END B_N
-  PIN C
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.895000 0.415000 3.080000 0.995000 ;
-        RECT 2.895000 0.995000 3.125000 1.325000 ;
-        RECT 2.895000 1.325000 3.080000 1.635000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.350000 0.420000 3.545000 0.995000 ;
-        RECT 3.350000 0.995000 3.605000 1.325000 ;
-        RECT 3.350000 1.325000 3.545000 1.635000 ;
-    END
-  END D
-  PIN X
-    ANTENNADIFFAREA  0.425400 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.255000 0.255000 4.515000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.600000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.790000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.600000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.600000 0.085000 ;
-      RECT 0.000000  2.635000 4.600000 2.805000 ;
-      RECT 0.085000  0.255000 0.345000 0.585000 ;
-      RECT 0.085000  0.585000 0.255000 1.285000 ;
-      RECT 0.085000  1.285000 1.215000 1.455000 ;
-      RECT 0.085000  1.455000 0.255000 2.135000 ;
-      RECT 0.085000  2.135000 0.345000 2.465000 ;
-      RECT 0.655000  0.085000 0.985000 0.465000 ;
-      RECT 0.655000  2.255000 0.985000 2.635000 ;
-      RECT 1.045000  1.455000 1.215000 1.575000 ;
-      RECT 1.045000  1.575000 1.625000 1.745000 ;
-      RECT 1.165000  0.255000 2.645000 0.425000 ;
-      RECT 1.165000  0.425000 1.565000 0.755000 ;
-      RECT 1.225000  1.915000 1.965000 2.085000 ;
-      RECT 1.225000  2.085000 1.415000 2.465000 ;
-      RECT 1.395000  0.755000 1.565000 1.235000 ;
-      RECT 1.395000  1.235000 1.965000 1.405000 ;
-      RECT 1.665000  2.255000 1.995000 2.635000 ;
-      RECT 1.755000  0.595000 2.305000 0.925000 ;
-      RECT 1.795000  1.405000 1.965000 1.915000 ;
-      RECT 2.135000  0.925000 2.305000 1.915000 ;
-      RECT 2.135000  1.915000 4.085000 2.085000 ;
-      RECT 2.205000  2.085000 2.375000 2.465000 ;
-      RECT 2.475000  0.425000 2.645000 1.325000 ;
-      RECT 2.570000  2.255000 2.900000 2.635000 ;
-      RECT 3.160000  2.085000 3.330000 2.465000 ;
-      RECT 3.755000  0.085000 4.085000 0.465000 ;
-      RECT 3.755000  2.255000 4.085000 2.635000 ;
-      RECT 3.915000  0.995000 4.085000 1.915000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-  END
-END sky130_fd_sc_hd__and4bb_1
-MACRO sky130_fd_sc_hd__and4bb_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__and4bb_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.980000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.485000 0.995000 5.845000 1.620000 ;
-    END
-  END A_N
-  PIN B_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.430000 0.765000 0.780000 1.635000 ;
-    END
-  END B_N
-  PIN C
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.250000 0.755000 3.545000 1.325000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.680000 0.995000 3.080000 1.325000 ;
-    END
-  END D
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.960000 0.650000 2.080000 0.820000 ;
-        RECT 0.960000 0.820000 1.240000 1.545000 ;
-        RECT 0.960000 1.545000 2.160000 1.715000 ;
-        RECT 1.070000 0.255000 1.240000 0.650000 ;
-        RECT 1.910000 0.255000 2.080000 0.650000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.980000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.170000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.980000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.980000 0.085000 ;
-      RECT 0.000000  2.635000 5.980000 2.805000 ;
-      RECT 0.085000  0.255000 0.345000 0.585000 ;
-      RECT 0.085000  0.585000 0.260000 1.915000 ;
-      RECT 0.085000  1.915000 4.490000 2.085000 ;
-      RECT 0.085000  2.085000 0.345000 2.465000 ;
-      RECT 0.515000  2.255000 0.845000 2.635000 ;
-      RECT 0.570000  0.085000 0.900000 0.470000 ;
-      RECT 1.410000  0.085000 1.740000 0.470000 ;
-      RECT 1.410000  1.075000 2.500000 1.245000 ;
-      RECT 1.410000  2.255000 1.740000 2.635000 ;
-      RECT 2.250000  2.255000 2.580000 2.635000 ;
-      RECT 2.270000  0.085000 2.600000 0.445000 ;
-      RECT 2.330000  0.615000 2.940000 0.785000 ;
-      RECT 2.330000  0.785000 2.500000 1.075000 ;
-      RECT 2.330000  1.245000 2.500000 1.545000 ;
-      RECT 2.330000  1.545000 4.150000 1.715000 ;
-      RECT 2.770000  0.300000 4.610000 0.470000 ;
-      RECT 2.770000  0.470000 2.940000 0.615000 ;
-      RECT 3.330000  2.255000 3.660000 2.635000 ;
-      RECT 3.730000  0.995000 3.900000 1.155000 ;
-      RECT 3.730000  1.155000 4.490000 1.325000 ;
-      RECT 4.255000  0.470000 4.610000 0.810000 ;
-      RECT 4.320000  1.325000 4.490000 1.915000 ;
-      RECT 4.360000  2.255000 5.370000 2.635000 ;
-      RECT 4.950000  0.655000 5.805000 0.825000 ;
-      RECT 4.950000  0.825000 5.120000 1.915000 ;
-      RECT 4.950000  1.915000 5.805000 2.085000 ;
-      RECT 4.975000  0.085000 5.305000 0.465000 ;
-      RECT 5.635000  0.255000 5.805000 0.655000 ;
-      RECT 5.635000  2.085000 5.805000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-  END
-END sky130_fd_sc_hd__and4bb_4
-MACRO sky130_fd_sc_hd__and4bb_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__and4bb_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.600000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.150000 0.995000 0.330000 1.635000 ;
-    END
-  END A_N
-  PIN B_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.825000 0.765000 4.175000 1.305000 ;
-    END
-  END B_N
-  PIN C
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.910000 0.420000 3.175000 1.275000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.350000 0.425000 3.655000 1.405000 ;
-    END
-  END D
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.990000 1.545000 1.320000 1.715000 ;
-        RECT 1.015000 0.255000 1.240000 1.545000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.600000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.790000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.600000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.600000 0.085000 ;
-      RECT 0.000000  2.635000 4.600000 2.805000 ;
-      RECT 0.175000  0.255000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 0.670000 0.805000 ;
-      RECT 0.175000  1.885000 1.925000 2.055000 ;
-      RECT 0.175000  2.055000 0.345000 2.465000 ;
-      RECT 0.500000  0.805000 0.670000 1.885000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.255000 0.845000 2.635000 ;
-      RECT 1.410000  0.085000 1.740000 0.465000 ;
-      RECT 1.415000  0.635000 2.405000 0.805000 ;
-      RECT 1.415000  0.805000 1.585000 1.325000 ;
-      RECT 1.490000  2.255000 2.160000 2.635000 ;
-      RECT 1.755000  0.995000 2.065000 1.325000 ;
-      RECT 1.755000  1.325000 1.925000 1.885000 ;
-      RECT 2.010000  0.255000 2.180000 0.635000 ;
-      RECT 2.235000  0.805000 2.405000 1.915000 ;
-      RECT 2.235000  1.915000 3.415000 2.085000 ;
-      RECT 2.395000  2.085000 2.565000 2.465000 ;
-      RECT 2.575000  1.400000 2.745000 1.575000 ;
-      RECT 2.575000  1.575000 3.755000 1.745000 ;
-      RECT 2.735000  2.255000 3.075000 2.635000 ;
-      RECT 3.245000  2.085000 3.415000 2.465000 ;
-      RECT 3.585000  1.745000 3.755000 1.915000 ;
-      RECT 3.585000  1.915000 4.515000 2.085000 ;
-      RECT 3.755000  2.255000 4.085000 2.635000 ;
-      RECT 3.835000  0.085000 4.085000 0.585000 ;
-      RECT 4.255000  0.255000 4.515000 0.585000 ;
-      RECT 4.255000  2.085000 4.515000 2.465000 ;
-      RECT 4.345000  0.585000 4.515000 1.915000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-  END
-END sky130_fd_sc_hd__and4bb_2
-MACRO sky130_fd_sc_hd__dfrtn_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dfrtn_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.200000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.355000 1.665000 1.680000 2.450000 ;
-        RECT 1.415000 0.615000 1.875000 1.665000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 8.855000 0.265000 9.110000 0.795000 ;
-        RECT 8.855000 1.445000 9.110000 2.325000 ;
-        RECT 8.900000 0.795000 9.110000 1.445000 ;
-    END
-  END Q
-  PIN RESET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.805000 0.765000 4.595000 1.015000 ;
-      LAYER mcon ;
-        RECT 4.165000 0.765000 4.335000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 7.105000 1.035000 7.645000 1.405000 ;
-        RECT 7.405000 0.635000 7.645000 1.035000 ;
-      LAYER mcon ;
-        RECT 7.105000 1.080000 7.275000 1.250000 ;
-        RECT 7.405000 0.765000 7.575000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 3.745000 0.735000 4.395000 0.780000 ;
-        RECT 3.745000 0.780000 7.635000 0.920000 ;
-        RECT 3.745000 0.920000 4.395000 0.965000 ;
-        RECT 7.045000 0.920000 7.635000 0.965000 ;
-        RECT 7.045000 0.965000 7.335000 1.280000 ;
-        RECT 7.345000 0.735000 7.635000 0.780000 ;
-    END
-  END RESET_B
-  PIN CLK_N
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.975000 0.440000 1.625000 ;
-    END
-  END CLK_N
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.200000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.390000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.200000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.200000 0.085000 ;
-      RECT 0.000000  2.635000 9.200000 2.805000 ;
-      RECT 0.090000  0.345000 0.345000 0.635000 ;
-      RECT 0.090000  0.635000 0.840000 0.805000 ;
-      RECT 0.090000  1.795000 0.840000 1.965000 ;
-      RECT 0.090000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.840000 1.795000 ;
-      RECT 1.015000  0.345000 1.185000 2.465000 ;
-      RECT 1.545000  0.085000 1.875000 0.445000 ;
-      RECT 1.850000  2.175000 2.100000 2.635000 ;
-      RECT 2.045000  0.305000 2.540000 0.475000 ;
-      RECT 2.045000  0.475000 2.215000 1.835000 ;
-      RECT 2.045000  1.835000 2.440000 2.005000 ;
-      RECT 2.270000  2.005000 2.440000 2.135000 ;
-      RECT 2.270000  2.135000 2.520000 2.465000 ;
-      RECT 2.385000  0.765000 2.735000 1.385000 ;
-      RECT 2.610000  1.575000 3.075000 1.965000 ;
-      RECT 2.735000  2.135000 3.415000 2.465000 ;
-      RECT 2.745000  0.305000 3.600000 0.475000 ;
-      RECT 2.905000  0.765000 3.260000 0.985000 ;
-      RECT 2.905000  0.985000 3.075000 1.575000 ;
-      RECT 3.245000  1.185000 4.935000 1.355000 ;
-      RECT 3.245000  1.355000 3.415000 2.135000 ;
-      RECT 3.430000  0.475000 3.600000 1.185000 ;
-      RECT 3.585000  1.865000 4.660000 2.035000 ;
-      RECT 3.585000  2.035000 3.755000 2.375000 ;
-      RECT 3.775000  1.525000 5.275000 1.695000 ;
-      RECT 3.990000  2.205000 4.320000 2.635000 ;
-      RECT 4.475000  0.085000 4.805000 0.545000 ;
-      RECT 4.490000  2.035000 4.660000 2.375000 ;
-      RECT 4.765000  1.005000 4.935000 1.185000 ;
-      RECT 4.955000  2.175000 5.325000 2.635000 ;
-      RECT 5.015000  0.275000 5.365000 0.445000 ;
-      RECT 5.015000  0.445000 5.275000 0.835000 ;
-      RECT 5.105000  0.835000 5.275000 1.525000 ;
-      RECT 5.105000  1.695000 5.275000 1.835000 ;
-      RECT 5.105000  1.835000 5.665000 2.005000 ;
-      RECT 5.465000  0.705000 5.675000 1.495000 ;
-      RECT 5.465000  1.495000 6.140000 1.655000 ;
-      RECT 5.465000  1.655000 6.430000 1.665000 ;
-      RECT 5.495000  2.005000 5.665000 2.465000 ;
-      RECT 5.585000  0.255000 6.535000 0.535000 ;
-      RECT 5.845000  0.705000 6.195000 1.325000 ;
-      RECT 5.900000  2.125000 6.770000 2.465000 ;
-      RECT 5.970000  1.665000 6.430000 1.955000 ;
-      RECT 6.365000  0.535000 6.535000 1.315000 ;
-      RECT 6.365000  1.315000 6.770000 1.485000 ;
-      RECT 6.600000  1.485000 6.770000 1.575000 ;
-      RECT 6.600000  1.575000 7.820000 1.745000 ;
-      RECT 6.600000  1.745000 6.770000 2.125000 ;
-      RECT 6.705000  0.085000 6.895000 0.525000 ;
-      RECT 6.705000  0.695000 7.235000 0.865000 ;
-      RECT 6.705000  0.865000 6.925000 1.145000 ;
-      RECT 6.940000  2.175000 7.190000 2.635000 ;
-      RECT 7.065000  0.295000 8.135000 0.465000 ;
-      RECT 7.065000  0.465000 7.235000 0.695000 ;
-      RECT 7.360000  1.915000 8.160000 2.085000 ;
-      RECT 7.360000  2.085000 7.530000 2.375000 ;
-      RECT 7.710000  2.255000 8.040000 2.635000 ;
-      RECT 7.815000  0.465000 8.135000 0.820000 ;
-      RECT 7.815000  0.820000 8.140000 0.995000 ;
-      RECT 7.815000  0.995000 8.730000 1.295000 ;
-      RECT 7.990000  1.295000 8.730000 1.325000 ;
-      RECT 7.990000  1.325000 8.160000 1.915000 ;
-      RECT 8.380000  0.085000 8.685000 0.545000 ;
-      RECT 8.380000  1.495000 8.685000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.655000  1.785000 0.825000 1.955000 ;
-      RECT 1.015000  1.105000 1.185000 1.275000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  1.105000 2.615000 1.275000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  1.785000 3.075000 1.955000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.025000  1.105000 6.195000 1.275000 ;
-      RECT 6.025000  1.785000 6.195000 1.955000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.595000 1.755000 0.885000 1.800000 ;
-      RECT 0.595000 1.800000 6.255000 1.940000 ;
-      RECT 0.595000 1.940000 0.885000 1.985000 ;
-      RECT 0.955000 1.075000 1.245000 1.120000 ;
-      RECT 0.955000 1.120000 6.255000 1.260000 ;
-      RECT 0.955000 1.260000 1.245000 1.305000 ;
-      RECT 2.385000 1.075000 2.675000 1.120000 ;
-      RECT 2.385000 1.260000 2.675000 1.305000 ;
-      RECT 2.845000 1.755000 3.135000 1.800000 ;
-      RECT 2.845000 1.940000 3.135000 1.985000 ;
-      RECT 5.965000 1.075000 6.255000 1.120000 ;
-      RECT 5.965000 1.260000 6.255000 1.305000 ;
-      RECT 5.965000 1.755000 6.255000 1.800000 ;
-      RECT 5.965000 1.940000 6.255000 1.985000 ;
-  END
-END sky130_fd_sc_hd__dfrtn_1
-MACRO sky130_fd_sc_hd__or4b_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__or4b_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.430000 0.995000 2.810000 1.325000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.610000 2.125000 2.660000 2.415000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.520000 0.995000 2.260000 1.615000 ;
-    END
-  END C
-  PIN D_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.755000 0.425000 1.325000 ;
-    END
-  END D_N
-  PIN X
-    ANTENNADIFFAREA  0.453750 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.320000 0.415000 3.595000 0.760000 ;
-        RECT 3.320000 1.495000 3.595000 2.465000 ;
-        RECT 3.425000 0.760000 3.595000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.085000  0.085000 0.425000 0.585000 ;
-      RECT 0.085000  1.560000 0.425000 2.635000 ;
-      RECT 0.595000  0.305000 0.840000 0.995000 ;
-      RECT 0.595000  0.995000 1.250000 1.325000 ;
-      RECT 0.595000  1.325000 0.835000 1.920000 ;
-      RECT 1.030000  1.495000 1.350000 1.785000 ;
-      RECT 1.030000  1.785000 2.660000 1.955000 ;
-      RECT 1.035000  0.085000 1.365000 0.585000 ;
-      RECT 1.565000  0.305000 1.735000 0.655000 ;
-      RECT 1.565000  0.655000 3.150000 0.825000 ;
-      RECT 1.910000  0.085000 2.240000 0.485000 ;
-      RECT 2.410000  0.305000 2.580000 0.655000 ;
-      RECT 2.490000  1.495000 3.150000 1.665000 ;
-      RECT 2.490000  1.665000 2.660000 1.785000 ;
-      RECT 2.750000  0.085000 3.130000 0.485000 ;
-      RECT 2.830000  1.835000 3.110000 2.635000 ;
-      RECT 2.980000  0.825000 3.150000 0.995000 ;
-      RECT 2.980000  0.995000 3.255000 1.325000 ;
-      RECT 2.980000  1.325000 3.150000 1.495000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__or4b_1
-MACRO sky130_fd_sc_hd__or4b_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__or4b_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.755000 1.075000 2.320000 1.275000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.985000 2.125000 2.670000 2.415000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.550000 1.075000 3.550000 1.275000 ;
-    END
-  END C
-  PIN D_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.425000 1.435000 ;
-    END
-  END D_N
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.935000 0.675000 1.250000 0.680000 ;
-        RECT 0.935000 0.680000 1.245000 0.790000 ;
-        RECT 0.935000 0.790000 1.105000 1.495000 ;
-        RECT 0.935000 1.495000 1.250000 1.825000 ;
-        RECT 0.970000 0.260000 1.250000 0.675000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.085000  0.325000 0.350000 0.735000 ;
-      RECT 0.085000  0.735000 0.765000 0.905000 ;
-      RECT 0.085000  1.605000 0.765000 1.890000 ;
-      RECT 0.510000  1.890000 0.765000 1.995000 ;
-      RECT 0.510000  1.995000 1.715000 2.165000 ;
-      RECT 0.515000  2.335000 0.845000 2.635000 ;
-      RECT 0.595000  0.905000 0.765000 1.605000 ;
-      RECT 0.630000  0.085000 0.800000 0.565000 ;
-      RECT 1.290000  0.995000 1.585000 1.325000 ;
-      RECT 1.415000  0.735000 3.055000 0.905000 ;
-      RECT 1.415000  0.905000 1.585000 0.995000 ;
-      RECT 1.415000  1.325000 1.585000 1.355000 ;
-      RECT 1.415000  1.355000 1.600000 1.370000 ;
-      RECT 1.415000  1.370000 1.610000 1.380000 ;
-      RECT 1.415000  1.380000 1.620000 1.390000 ;
-      RECT 1.415000  1.390000 1.625000 1.400000 ;
-      RECT 1.415000  1.400000 1.630000 1.410000 ;
-      RECT 1.415000  1.410000 1.645000 1.420000 ;
-      RECT 1.415000  1.420000 1.655000 1.425000 ;
-      RECT 1.415000  1.425000 1.665000 1.445000 ;
-      RECT 1.415000  1.445000 3.560000 1.450000 ;
-      RECT 1.420000  1.450000 3.560000 1.615000 ;
-      RECT 1.435000  0.085000 1.815000 0.485000 ;
-      RECT 1.440000  1.785000 3.030000 1.955000 ;
-      RECT 1.440000  1.955000 1.715000 1.995000 ;
-      RECT 1.480000  2.335000 1.815000 2.635000 ;
-      RECT 1.985000  0.305000 2.155000 0.735000 ;
-      RECT 2.385000  0.085000 2.715000 0.485000 ;
-      RECT 2.860000  1.955000 3.030000 2.215000 ;
-      RECT 2.860000  2.215000 3.345000 2.385000 ;
-      RECT 2.885000  0.305000 3.055000 0.735000 ;
-      RECT 3.225000  0.085000 3.555000 0.585000 ;
-      RECT 3.225000  1.615000 3.560000 1.815000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__or4b_2
-MACRO sky130_fd_sc_hd__or4b_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__or4b_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.060000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.755000 0.995000 2.925000 1.445000 ;
-        RECT 2.755000 1.445000 3.190000 1.615000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.195000 0.995000 2.525000 1.450000 ;
-        RECT 2.335000 1.450000 2.525000 1.785000 ;
-        RECT 2.335000 1.785000 2.635000 2.375000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.795000 0.995000 1.965000 1.620000 ;
-        RECT 1.795000 1.620000 2.155000 2.375000 ;
-    END
-  END C
-  PIN D_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.105000 0.995000 0.445000 1.955000 ;
-    END
-  END D_N
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.395000 1.455000 4.965000 1.625000 ;
-        RECT 3.395000 1.625000 3.645000 2.465000 ;
-        RECT 3.435000 0.255000 3.685000 0.725000 ;
-        RECT 3.435000 0.725000 4.965000 0.905000 ;
-        RECT 4.195000 0.255000 4.525000 0.725000 ;
-        RECT 4.235000 1.625000 4.485000 2.465000 ;
-        RECT 4.725000 0.905000 4.965000 1.455000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.060000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.250000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.060000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.060000 0.085000 ;
-      RECT 0.000000  2.635000 5.060000 2.805000 ;
-      RECT 0.085000  0.085000 0.345000 0.825000 ;
-      RECT 0.085000  2.135000 0.365000 2.635000 ;
-      RECT 0.595000  0.435000 0.785000 0.905000 ;
-      RECT 0.595000  2.065000 0.785000 2.455000 ;
-      RECT 0.615000  0.905000 0.785000 0.995000 ;
-      RECT 0.615000  0.995000 1.215000 1.325000 ;
-      RECT 0.615000  1.325000 0.785000 2.065000 ;
-      RECT 1.035000  0.085000 1.285000 0.585000 ;
-      RECT 1.035000  1.575000 1.625000 1.745000 ;
-      RECT 1.035000  1.745000 1.365000 2.450000 ;
-      RECT 1.455000  0.655000 3.265000 0.825000 ;
-      RECT 1.455000  0.825000 1.625000 1.575000 ;
-      RECT 1.615000  0.305000 1.785000 0.655000 ;
-      RECT 1.985000  0.085000 2.315000 0.485000 ;
-      RECT 2.485000  0.305000 2.655000 0.655000 ;
-      RECT 2.875000  0.085000 3.255000 0.485000 ;
-      RECT 2.920000  1.795000 3.170000 2.635000 ;
-      RECT 3.095000  0.825000 3.265000 1.075000 ;
-      RECT 3.095000  1.075000 4.555000 1.245000 ;
-      RECT 3.815000  1.795000 4.065000 2.635000 ;
-      RECT 3.855000  0.085000 4.025000 0.555000 ;
-      RECT 4.655000  1.795000 4.905000 2.635000 ;
-      RECT 4.695000  0.085000 4.865000 0.555000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-  END
-END sky130_fd_sc_hd__or4b_4
-MACRO sky130_fd_sc_hd__a221o_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a221o_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.820000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.855000 1.075000 3.190000 1.105000 ;
-        RECT 2.855000 1.105000 4.060000 1.285000 ;
-        RECT 3.710000 1.075000 4.060000 1.105000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.265000 1.075000 2.680000 1.285000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.235000 1.075000 6.035000 1.285000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.270000 1.075000 7.280000 1.285000 ;
-    END
-  END B2
-  PIN C1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.230000 1.075000 4.725000 1.285000 ;
-    END
-  END C1
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 0.735000 1.685000 0.905000 ;
-        RECT 0.095000 0.905000 0.325000 1.455000 ;
-        RECT 0.095000 1.455000 1.645000 1.625000 ;
-        RECT 0.515000 0.255000 0.845000 0.725000 ;
-        RECT 0.515000 0.725000 1.685000 0.735000 ;
-        RECT 0.555000 1.625000 0.805000 2.465000 ;
-        RECT 1.355000 0.255000 1.685000 0.725000 ;
-        RECT 1.395000 1.625000 1.645000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.820000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.155000 -0.085000 0.325000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.010000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.820000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.820000 0.085000 ;
-      RECT 0.000000  2.635000 7.820000 2.805000 ;
-      RECT 0.155000  1.795000 0.385000 2.635000 ;
-      RECT 0.175000  0.085000 0.345000 0.555000 ;
-      RECT 0.495000  1.075000 1.845000 1.115000 ;
-      RECT 0.495000  1.115000 1.985000 1.285000 ;
-      RECT 0.975000  1.795000 1.225000 2.635000 ;
-      RECT 1.015000  0.085000 1.185000 0.555000 ;
-      RECT 1.815000  1.285000 1.985000 1.455000 ;
-      RECT 1.815000  1.455000 5.065000 1.625000 ;
-      RECT 1.815000  1.795000 2.065000 2.635000 ;
-      RECT 1.855000  0.085000 2.025000 0.555000 ;
-      RECT 1.855000  0.735000 2.525000 0.905000 ;
-      RECT 1.945000  0.905000 2.165000 0.935000 ;
-      RECT 2.195000  0.255000 2.525000 0.735000 ;
-      RECT 2.235000  1.795000 4.230000 1.875000 ;
-      RECT 2.235000  1.875000 5.575000 1.965000 ;
-      RECT 2.235000  1.965000 2.485000 2.465000 ;
-      RECT 2.655000  2.135000 2.905000 2.635000 ;
-      RECT 2.695000  0.085000 2.865000 0.895000 ;
-      RECT 3.075000  1.965000 3.330000 2.465000 ;
-      RECT 3.080000  0.305000 4.305000 0.475000 ;
-      RECT 3.190000  0.735000 3.885000 0.905000 ;
-      RECT 3.315000  0.905000 3.610000 0.935000 ;
-      RECT 3.500000  2.135000 3.750000 2.635000 ;
-      RECT 3.550000  0.645000 3.885000 0.735000 ;
-      RECT 3.940000  2.215000 6.385000 2.295000 ;
-      RECT 3.940000  2.295000 7.225000 2.465000 ;
-      RECT 4.055000  0.475000 4.305000 0.725000 ;
-      RECT 4.055000  0.725000 5.065000 0.905000 ;
-      RECT 4.060000  1.965000 5.575000 2.045000 ;
-      RECT 4.405000  1.625000 4.735000 1.705000 ;
-      RECT 4.475000  0.085000 4.645000 0.555000 ;
-      RECT 4.815000  0.255000 5.985000 0.475000 ;
-      RECT 4.815000  0.475000 5.065000 0.725000 ;
-      RECT 4.895000  0.905000 5.065000 1.455000 ;
-      RECT 5.235000  0.645000 6.505000 0.725000 ;
-      RECT 5.235000  0.725000 7.345000 0.905000 ;
-      RECT 5.245000  1.455000 6.805000 1.625000 ;
-      RECT 5.245000  1.625000 5.575000 1.875000 ;
-      RECT 5.745000  1.795000 6.385000 2.215000 ;
-      RECT 6.555000  1.625000 6.805000 2.125000 ;
-      RECT 6.675000  0.085000 6.845000 0.555000 ;
-      RECT 6.975000  1.785000 7.225000 2.295000 ;
-      RECT 7.015000  0.255000 7.345000 0.725000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 1.995000  0.765000 2.165000 0.935000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.400000  0.765000 3.570000 0.935000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-    LAYER met1 ;
-      RECT 1.935000 0.735000 2.225000 0.780000 ;
-      RECT 1.935000 0.780000 3.630000 0.920000 ;
-      RECT 1.935000 0.920000 2.225000 0.965000 ;
-      RECT 3.340000 0.735000 3.630000 0.780000 ;
-      RECT 3.340000 0.920000 3.630000 0.965000 ;
-  END
-END sky130_fd_sc_hd__a221o_4
-MACRO sky130_fd_sc_hd__a221o_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a221o_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.970000 0.675000 2.255000 1.075000 ;
-        RECT 1.970000 1.075000 2.300000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.470000 1.075000 2.835000 1.275000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.225000 1.075000 1.700000 1.275000 ;
-        RECT 1.420000 0.675000 1.700000 1.075000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.610000 1.075000 1.055000 1.275000 ;
-    END
-  END B2
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.440000 1.285000 ;
-    END
-  END C1
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.320000 0.255000 3.575000 0.585000 ;
-        RECT 3.320000 1.795000 3.575000 2.465000 ;
-        RECT 3.390000 0.585000 3.575000 0.665000 ;
-        RECT 3.405000 0.665000 3.575000 1.795000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.175000  0.255000 0.345000 0.735000 ;
-      RECT 0.175000  0.735000 1.240000 0.905000 ;
-      RECT 0.175000  1.455000 3.235000 1.625000 ;
-      RECT 0.175000  1.625000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.565000 ;
-      RECT 0.515000  1.795000 0.845000 2.295000 ;
-      RECT 0.515000  2.295000 1.685000 2.465000 ;
-      RECT 1.015000  1.795000 2.650000 2.035000 ;
-      RECT 1.015000  2.035000 1.245000 2.125000 ;
-      RECT 1.070000  0.255000 2.605000 0.505000 ;
-      RECT 1.070000  0.505000 1.240000 0.735000 ;
-      RECT 1.355000  2.255000 1.685000 2.295000 ;
-      RECT 1.875000  2.215000 2.230000 2.635000 ;
-      RECT 2.400000  2.035000 2.650000 2.465000 ;
-      RECT 2.435000  0.505000 2.605000 0.735000 ;
-      RECT 2.435000  0.735000 3.235000 0.905000 ;
-      RECT 2.775000  0.085000 3.105000 0.565000 ;
-      RECT 2.820000  1.875000 3.150000 2.635000 ;
-      RECT 3.065000  0.905000 3.235000 1.455000 ;
-      RECT 3.745000  0.085000 3.915000 0.980000 ;
-      RECT 3.745000  1.445000 3.915000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a221o_2
-MACRO sky130_fd_sc_hd__a221o_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a221o_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.970000 0.675000 2.255000 1.075000 ;
-        RECT 1.970000 1.075000 2.300000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.470000 1.075000 2.835000 1.275000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.225000 1.075000 1.700000 1.275000 ;
-        RECT 1.420000 0.675000 1.700000 1.075000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.610000 1.075000 1.055000 1.275000 ;
-    END
-  END B2
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 1.075000 0.440000 1.285000 ;
-    END
-  END C1
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.320000 0.255000 3.575000 0.585000 ;
-        RECT 3.320000 1.795000 3.575000 2.465000 ;
-        RECT 3.390000 0.585000 3.575000 0.665000 ;
-        RECT 3.405000 0.665000 3.575000 1.795000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.175000  0.255000 0.345000 0.735000 ;
-      RECT 0.175000  0.735000 1.240000 0.905000 ;
-      RECT 0.175000  1.455000 3.235000 1.625000 ;
-      RECT 0.175000  1.625000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.565000 ;
-      RECT 0.515000  1.795000 0.845000 2.295000 ;
-      RECT 0.515000  2.295000 1.685000 2.465000 ;
-      RECT 1.015000  1.795000 2.650000 2.035000 ;
-      RECT 1.015000  2.035000 1.245000 2.125000 ;
-      RECT 1.070000  0.255000 2.605000 0.505000 ;
-      RECT 1.070000  0.505000 1.240000 0.735000 ;
-      RECT 1.355000  2.255000 1.685000 2.295000 ;
-      RECT 1.875000  2.215000 2.230000 2.635000 ;
-      RECT 2.400000  2.035000 2.650000 2.465000 ;
-      RECT 2.435000  0.505000 2.605000 0.735000 ;
-      RECT 2.435000  0.735000 3.235000 0.905000 ;
-      RECT 2.775000  0.085000 3.105000 0.565000 ;
-      RECT 2.820000  1.875000 3.150000 2.635000 ;
-      RECT 3.065000  0.905000 3.235000 1.455000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a221o_1
-MACRO sky130_fd_sc_hd__xnor2_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__xnor2_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.930000 1.075000 1.625000 1.275000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.425000 0.995000 0.670000 1.445000 ;
-        RECT 0.425000 1.445000 1.965000 1.615000 ;
-        RECT 1.795000 1.075000 2.395000 1.245000 ;
-        RECT 1.795000 1.245000 1.965000 1.445000 ;
-    END
-  END B
-  PIN Y
-    ANTENNADIFFAREA  0.525000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.265000 2.125000 2.645000 2.295000 ;
-        RECT 2.475000 1.755000 3.135000 1.955000 ;
-        RECT 2.475000 1.955000 2.645000 2.125000 ;
-        RECT 2.815000 0.345000 3.135000 0.825000 ;
-        RECT 2.965000 0.825000 3.135000 1.755000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.085000  0.280000 0.550000 0.825000 ;
-      RECT 0.085000  0.825000 0.255000 1.785000 ;
-      RECT 0.085000  1.785000 2.305000 1.955000 ;
-      RECT 0.085000  2.125000 0.385000 2.635000 ;
-      RECT 0.555000  1.955000 0.885000 2.465000 ;
-      RECT 1.055000  0.085000 1.225000 0.905000 ;
-      RECT 1.055000  2.125000 1.685000 2.635000 ;
-      RECT 1.395000  0.255000 1.725000 0.735000 ;
-      RECT 1.395000  0.735000 2.645000 0.825000 ;
-      RECT 1.395000  0.825000 2.305000 0.905000 ;
-      RECT 1.895000  0.085000 2.245000 0.475000 ;
-      RECT 2.135000  0.655000 2.645000 0.735000 ;
-      RECT 2.135000  1.415000 2.795000 1.585000 ;
-      RECT 2.135000  1.585000 2.305000 1.785000 ;
-      RECT 2.415000  0.255000 2.645000 0.655000 ;
-      RECT 2.625000  0.995000 2.795000 1.415000 ;
-      RECT 2.815000  2.125000 3.115000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__xnor2_1
-MACRO sky130_fd_sc_hd__xnor2_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__xnor2_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.980000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.255000 1.075000 2.705000 1.275000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.485000 1.075000 0.960000 1.285000 ;
-        RECT 0.790000 1.285000 0.960000 1.445000 ;
-        RECT 0.790000 1.445000 3.100000 1.615000 ;
-        RECT 2.930000 1.075000 3.955000 1.285000 ;
-        RECT 2.930000 1.285000 3.100000 1.445000 ;
-    END
-  END B
-  PIN Y
-    ANTENNADIFFAREA  0.913000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.725000 1.795000 5.295000 1.965000 ;
-        RECT 3.725000 1.965000 3.935000 2.125000 ;
-        RECT 4.585000 0.305000 5.895000 0.475000 ;
-        RECT 5.045000 1.415000 5.895000 1.625000 ;
-        RECT 5.045000 1.625000 5.295000 1.795000 ;
-        RECT 5.045000 1.965000 5.295000 2.125000 ;
-        RECT 5.505000 0.475000 5.895000 1.415000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.980000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.170000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.980000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.980000 0.085000 ;
-      RECT 0.000000  2.635000 5.980000 2.805000 ;
-      RECT 0.085000  0.645000 0.860000 0.895000 ;
-      RECT 0.085000  0.895000 0.315000 1.785000 ;
-      RECT 0.085000  1.785000 3.480000 1.955000 ;
-      RECT 0.085000  1.955000 2.080000 1.965000 ;
-      RECT 0.085000  1.965000 0.400000 2.465000 ;
-      RECT 0.105000  0.255000 1.280000 0.475000 ;
-      RECT 0.570000  2.135000 0.820000 2.635000 ;
-      RECT 0.990000  1.965000 1.240000 2.465000 ;
-      RECT 1.030000  0.475000 1.280000 0.725000 ;
-      RECT 1.030000  0.725000 2.120000 0.905000 ;
-      RECT 1.410000  2.135000 1.660000 2.635000 ;
-      RECT 1.450000  0.085000 1.620000 0.555000 ;
-      RECT 1.790000  0.255000 2.120000 0.725000 ;
-      RECT 1.830000  1.965000 2.080000 2.465000 ;
-      RECT 2.390000  2.125000 2.640000 2.465000 ;
-      RECT 2.430000  0.085000 2.600000 0.905000 ;
-      RECT 2.770000  0.255000 3.100000 0.725000 ;
-      RECT 2.770000  0.725000 5.335000 0.905000 ;
-      RECT 2.810000  2.135000 3.060000 2.635000 ;
-      RECT 3.230000  2.125000 3.555000 2.295000 ;
-      RECT 3.230000  2.295000 4.355000 2.465000 ;
-      RECT 3.270000  0.085000 3.440000 0.555000 ;
-      RECT 3.310000  1.455000 4.805000 1.625000 ;
-      RECT 3.310000  1.625000 3.480000 1.785000 ;
-      RECT 3.610000  0.255000 3.975000 0.725000 ;
-      RECT 4.105000  2.135000 4.355000 2.295000 ;
-      RECT 4.145000  0.085000 4.315000 0.555000 ;
-      RECT 4.625000  2.135000 4.875000 2.635000 ;
-      RECT 4.635000  1.075000 5.295000 1.245000 ;
-      RECT 4.635000  1.245000 4.805000 1.455000 ;
-      RECT 5.005000  0.645000 5.335000 0.725000 ;
-      RECT 5.465000  1.795000 5.895000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.465000  2.125000 2.635000 2.295000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.385000  2.125000 3.555000 2.295000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-    LAYER met1 ;
-      RECT 2.405000 2.095000 2.695000 2.140000 ;
-      RECT 2.405000 2.140000 3.615000 2.280000 ;
-      RECT 2.405000 2.280000 2.695000 2.325000 ;
-      RECT 3.325000 2.095000 3.615000 2.140000 ;
-      RECT 3.325000 2.280000 3.615000 2.325000 ;
-  END
-END sky130_fd_sc_hd__xnor2_2
-MACRO sky130_fd_sc_hd__xnor2_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__xnor2_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  10.12000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  1.980000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.175000 1.075000 5.390000 1.275000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  1.980000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.490000 1.075000 1.855000 1.275000 ;
-        RECT 1.685000 1.275000 1.855000 1.445000 ;
-        RECT 1.685000 1.445000 5.730000 1.615000 ;
-        RECT 5.560000 1.075000 7.430000 1.275000 ;
-        RECT 5.560000 1.275000 5.730000 1.445000 ;
-    END
-  END B
-  PIN Y
-    ANTENNADIFFAREA  1.721000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.160000 1.785000  8.250000 2.045000 ;
-        RECT 7.960000 1.445000 10.035000 1.665000 ;
-        RECT 7.960000 1.665000  8.250000 1.785000 ;
-        RECT 7.960000 2.045000  8.250000 2.465000 ;
-        RECT 8.380000 0.645000 10.035000 0.905000 ;
-        RECT 8.840000 1.665000  9.090000 2.465000 ;
-        RECT 9.680000 1.665000 10.035000 2.465000 ;
-        RECT 9.815000 0.905000 10.035000 1.445000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 10.120000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 10.310000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 10.120000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 10.120000 0.085000 ;
-      RECT 0.000000  2.635000 10.120000 2.805000 ;
-      RECT 0.085000  0.645000  1.760000 0.905000 ;
-      RECT 0.085000  0.905000  0.320000 1.445000 ;
-      RECT 0.085000  1.445000  1.300000 1.615000 ;
-      RECT 0.085000  1.615000  0.460000 2.465000 ;
-      RECT 0.170000  0.255000  2.180000 0.475000 ;
-      RECT 0.630000  1.835000  0.880000 2.635000 ;
-      RECT 1.050000  1.615000  1.300000 1.785000 ;
-      RECT 1.050000  1.785000  3.820000 2.005000 ;
-      RECT 1.050000  2.005000  1.300000 2.465000 ;
-      RECT 1.470000  2.175000  1.720000 2.635000 ;
-      RECT 1.890000  2.005000  2.140000 2.465000 ;
-      RECT 1.930000  0.475000  2.180000 0.725000 ;
-      RECT 1.930000  0.725000  3.860000 0.905000 ;
-      RECT 2.310000  2.175000  2.560000 2.635000 ;
-      RECT 2.350000  0.085000  2.520000 0.555000 ;
-      RECT 2.690000  0.255000  3.020000 0.725000 ;
-      RECT 2.730000  2.005000  2.980000 2.465000 ;
-      RECT 3.150000  2.175000  3.400000 2.635000 ;
-      RECT 3.190000  0.085000  3.360000 0.555000 ;
-      RECT 3.530000  0.255000  3.860000 0.725000 ;
-      RECT 3.570000  2.005000  3.820000 2.465000 ;
-      RECT 4.035000  0.085000  4.310000 0.905000 ;
-      RECT 4.035000  1.785000  5.990000 2.005000 ;
-      RECT 4.035000  2.005000  4.350000 2.465000 ;
-      RECT 4.480000  0.255000  4.810000 0.725000 ;
-      RECT 4.480000  0.725000  7.430000 0.735000 ;
-      RECT 4.480000  0.735000  8.210000 0.905000 ;
-      RECT 4.520000  2.175000  4.770000 2.635000 ;
-      RECT 4.940000  2.005000  5.190000 2.465000 ;
-      RECT 4.980000  0.085000  5.150000 0.555000 ;
-      RECT 5.320000  0.255000  5.650000 0.725000 ;
-      RECT 5.360000  2.175000  5.610000 2.635000 ;
-      RECT 5.780000  2.005000  5.990000 2.215000 ;
-      RECT 5.780000  2.215000  7.750000 2.465000 ;
-      RECT 5.820000  0.085000  5.990000 0.555000 ;
-      RECT 5.900000  1.445000  7.770000 1.615000 ;
-      RECT 6.160000  0.255000  6.490000 0.725000 ;
-      RECT 6.660000  0.085000  6.830000 0.555000 ;
-      RECT 7.000000  0.255000  7.330000 0.725000 ;
-      RECT 7.500000  0.085000  7.770000 0.555000 ;
-      RECT 7.600000  1.075000  9.645000 1.275000 ;
-      RECT 7.600000  1.275000  7.770000 1.445000 ;
-      RECT 7.960000  0.305000  9.970000 0.475000 ;
-      RECT 7.960000  0.475000  8.210000 0.735000 ;
-      RECT 8.420000  1.835000  8.670000 2.635000 ;
-      RECT 9.260000  1.835000  9.510000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  1.445000 1.235000 1.615000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  1.445000 6.295000 1.615000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-      RECT 9.805000 -0.085000 9.975000 0.085000 ;
-      RECT 9.805000  2.635000 9.975000 2.805000 ;
-    LAYER met1 ;
-      RECT 1.005000 1.415000 1.295000 1.460000 ;
-      RECT 1.005000 1.460000 6.355000 1.600000 ;
-      RECT 1.005000 1.600000 1.295000 1.645000 ;
-      RECT 6.065000 1.415000 6.355000 1.460000 ;
-      RECT 6.065000 1.600000 6.355000 1.645000 ;
-  END
-END sky130_fd_sc_hd__xnor2_4
-MACRO sky130_fd_sc_hd__or3_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__or3_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.605000 0.995000 1.430000 1.325000 ;
-        RECT 0.605000 1.325000 0.830000 1.615000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 2.125000 1.280000 2.415000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.435000 1.325000 ;
-    END
-  END C
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.940000 0.415000 2.215000 0.760000 ;
-        RECT 1.940000 1.495000 2.215000 2.465000 ;
-        RECT 2.045000 0.760000 2.215000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.105000  0.305000 0.360000 0.655000 ;
-      RECT 0.105000  0.655000 1.770000 0.825000 ;
-      RECT 0.105000  1.495000 0.435000 1.785000 ;
-      RECT 0.105000  1.785000 1.270000 1.955000 ;
-      RECT 0.530000  0.085000 0.860000 0.485000 ;
-      RECT 1.030000  0.305000 1.200000 0.655000 ;
-      RECT 1.100000  1.495000 1.770000 1.665000 ;
-      RECT 1.100000  1.665000 1.270000 1.785000 ;
-      RECT 1.370000  0.085000 1.750000 0.485000 ;
-      RECT 1.450000  1.835000 1.730000 2.635000 ;
-      RECT 1.600000  0.825000 1.770000 0.995000 ;
-      RECT 1.600000  0.995000 1.875000 1.325000 ;
-      RECT 1.600000  1.325000 1.770000 1.495000 ;
-      RECT 2.385000  0.085000 2.675000 0.915000 ;
-      RECT 2.385000  1.430000 2.675000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__or3_2
-MACRO sky130_fd_sc_hd__or3_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__or3_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.300000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.600000 0.995000 1.425000 1.325000 ;
-        RECT 0.600000 1.325000 0.795000 1.615000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 2.125000 1.275000 2.415000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.430000 1.325000 ;
-    END
-  END C
-  PIN X
-    ANTENNADIFFAREA  0.462000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.935000 0.415000 2.210000 0.760000 ;
-        RECT 1.935000 1.495000 2.210000 2.465000 ;
-        RECT 2.040000 0.760000 2.210000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.300000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.140000 -0.085000 0.310000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.490000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.300000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.300000 0.085000 ;
-      RECT 0.000000  2.635000 2.300000 2.805000 ;
-      RECT 0.100000  0.305000 0.355000 0.655000 ;
-      RECT 0.100000  0.655000 1.765000 0.825000 ;
-      RECT 0.105000  1.495000 0.430000 1.785000 ;
-      RECT 0.105000  1.785000 1.275000 1.955000 ;
-      RECT 0.525000  0.085000 0.855000 0.485000 ;
-      RECT 1.025000  0.305000 1.195000 0.655000 ;
-      RECT 1.105000  1.495000 1.765000 1.665000 ;
-      RECT 1.105000  1.665000 1.275000 1.785000 ;
-      RECT 1.365000  0.085000 1.745000 0.485000 ;
-      RECT 1.445000  1.835000 1.725000 2.635000 ;
-      RECT 1.595000  0.825000 1.765000 0.995000 ;
-      RECT 1.595000  0.995000 1.870000 1.325000 ;
-      RECT 1.595000  1.325000 1.765000 1.495000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-  END
-END sky130_fd_sc_hd__or3_1
-MACRO sky130_fd_sc_hd__or3_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__or3_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.225000 1.075000 1.700000 1.325000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.595000 1.075000 1.055000 1.325000 ;
-        RECT 0.595000 1.325000 0.830000 2.050000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.425000 1.325000 ;
-    END
-  END C
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.305000 0.265000 2.635000 0.735000 ;
-        RECT 2.305000 0.735000 4.055000 0.905000 ;
-        RECT 2.345000 1.455000 4.055000 1.625000 ;
-        RECT 2.345000 1.625000 2.595000 2.465000 ;
-        RECT 3.145000 0.265000 3.475000 0.735000 ;
-        RECT 3.185000 1.625000 3.435000 2.465000 ;
-        RECT 3.765000 0.905000 4.055000 1.455000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.085000  0.255000 0.425000 0.725000 ;
-      RECT 0.085000  0.725000 2.090000 0.905000 ;
-      RECT 0.085000  1.495000 0.425000 2.295000 ;
-      RECT 0.085000  2.295000 1.265000 2.465000 ;
-      RECT 0.595000  0.085000 0.765000 0.555000 ;
-      RECT 0.935000  0.255000 1.265000 0.725000 ;
-      RECT 1.000000  1.495000 2.090000 1.665000 ;
-      RECT 1.000000  1.665000 1.265000 2.295000 ;
-      RECT 1.435000  0.085000 2.135000 0.555000 ;
-      RECT 1.435000  1.835000 2.135000 2.635000 ;
-      RECT 1.870000  0.905000 2.090000 1.075000 ;
-      RECT 1.870000  1.075000 3.595000 1.245000 ;
-      RECT 1.870000  1.245000 2.090000 1.495000 ;
-      RECT 2.765000  1.795000 3.015000 2.635000 ;
-      RECT 2.805000  0.085000 2.975000 0.555000 ;
-      RECT 3.605000  1.795000 3.855000 2.635000 ;
-      RECT 3.645000  0.085000 3.815000 0.555000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__or3_4
-MACRO sky130_fd_sc_hd__nand3b_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nand3b_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.425000 0.995000 0.775000 1.325000 ;
-    END
-  END A_N
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.425000 0.995000 1.755000 1.325000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.965000 0.995000 1.235000 1.325000 ;
-    END
-  END C
-  PIN Y
-    ANTENNADIFFAREA  0.732000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.130000 1.495000 2.675000 1.665000 ;
-        RECT 1.130000 1.665000 1.460000 2.465000 ;
-        RECT 2.085000 0.255000 2.675000 0.485000 ;
-        RECT 2.085000 1.665000 2.675000 2.465000 ;
-        RECT 2.385000 0.485000 2.675000 1.495000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.085000  0.445000 0.510000 0.655000 ;
-      RECT 0.085000  0.655000 2.215000 0.825000 ;
-      RECT 0.085000  0.825000 0.255000 1.595000 ;
-      RECT 0.085000  1.595000 0.510000 1.925000 ;
-      RECT 0.710000  0.085000 1.040000 0.485000 ;
-      RECT 0.710000  1.495000 0.960000 2.635000 ;
-      RECT 1.630000  1.835000 1.915000 2.635000 ;
-      RECT 2.045000  0.825000 2.215000 1.325000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nand3b_1
-MACRO sky130_fd_sc_hd__nand3b_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nand3b_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.360000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.430000 1.075000 0.780000 1.275000 ;
-    END
-  END A_N
-  PIN B
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.270000 1.075000 4.480000 1.275000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.790000 1.075000 6.500000 1.275000 ;
-    END
-  END C
-  PIN Y
-    ANTENNADIFFAREA  1.971000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.455000 0.635000 2.965000 0.905000 ;
-        RECT 1.455000 1.445000 6.505000 1.665000 ;
-        RECT 1.455000 1.665000 1.785000 2.465000 ;
-        RECT 2.295000 1.665000 3.465000 2.005000 ;
-        RECT 2.295000 2.005000 2.625000 2.465000 ;
-        RECT 2.795000 0.905000 2.965000 1.075000 ;
-        RECT 2.795000 1.075000 3.100000 1.445000 ;
-        RECT 3.135000 2.005000 3.465000 2.465000 ;
-        RECT 3.975000 1.665000 4.305000 2.465000 ;
-        RECT 5.335000 1.665000 5.665000 2.465000 ;
-        RECT 6.175000 1.665000 6.505000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.360000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.550000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.360000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.360000 0.085000 ;
-      RECT 0.000000  2.635000 7.360000 2.805000 ;
-      RECT 0.085000  0.255000 0.425000 0.715000 ;
-      RECT 0.085000  0.715000 1.285000 0.905000 ;
-      RECT 0.085000  0.905000 0.260000 1.445000 ;
-      RECT 0.085000  1.445000 0.425000 2.465000 ;
-      RECT 0.595000  0.085000 0.845000 0.545000 ;
-      RECT 0.595000  1.445000 1.285000 2.635000 ;
-      RECT 1.005000  0.905000 1.285000 1.075000 ;
-      RECT 1.005000  1.075000 2.625000 1.275000 ;
-      RECT 1.035000  0.255000 4.725000 0.465000 ;
-      RECT 1.955000  1.835000 2.125000 2.635000 ;
-      RECT 2.795000  2.175000 2.965000 2.635000 ;
-      RECT 3.135000  0.635000 4.725000 0.715000 ;
-      RECT 3.135000  0.715000 6.505000 0.905000 ;
-      RECT 3.635000  1.835000 3.805000 2.635000 ;
-      RECT 4.475000  1.835000 5.165000 2.635000 ;
-      RECT 4.915000  0.085000 5.165000 0.545000 ;
-      RECT 5.335000  0.255000 5.665000 0.715000 ;
-      RECT 5.835000  0.085000 6.005000 0.545000 ;
-      RECT 5.835000  1.835000 6.005000 2.635000 ;
-      RECT 6.175000  0.255000 6.505000 0.715000 ;
-      RECT 6.675000  0.085000 7.005000 0.905000 ;
-      RECT 6.675000  1.445000 7.005000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nand3b_4
-MACRO sky130_fd_sc_hd__nand3b_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nand3b_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.430000 1.075000 0.780000 1.275000 ;
-    END
-  END A_N
-  PIN B
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.950000 1.075000 3.140000 1.275000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.060000 1.075000 1.740000 1.275000 ;
-    END
-  END C
-  PIN Y
-    ANTENNADIFFAREA  0.985500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.060000 1.785000 4.050000 1.955000 ;
-        RECT 1.060000 1.955000 2.230000 2.005000 ;
-        RECT 1.060000 2.005000 1.390000 2.465000 ;
-        RECT 1.900000 2.005000 2.230000 2.465000 ;
-        RECT 3.260000 0.635000 4.050000 0.905000 ;
-        RECT 3.260000 1.955000 4.050000 2.005000 ;
-        RECT 3.260000 2.005000 3.510000 2.465000 ;
-        RECT 3.850000 0.905000 4.050000 1.785000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.090000  0.255000 0.410000 0.655000 ;
-      RECT 0.090000  0.655000 0.260000 1.445000 ;
-      RECT 0.090000  1.445000 3.650000 1.615000 ;
-      RECT 0.090000  1.615000 0.260000 2.065000 ;
-      RECT 0.090000  2.065000 0.410000 2.465000 ;
-      RECT 0.580000  0.085000 0.890000 0.905000 ;
-      RECT 0.580000  1.835000 0.890000 2.635000 ;
-      RECT 1.060000  0.255000 1.390000 0.715000 ;
-      RECT 1.060000  0.715000 2.750000 0.905000 ;
-      RECT 1.560000  0.085000 1.810000 0.545000 ;
-      RECT 1.560000  2.175000 1.730000 2.635000 ;
-      RECT 2.000000  0.255000 4.050000 0.465000 ;
-      RECT 2.000000  0.635000 2.750000 0.715000 ;
-      RECT 2.400000  2.175000 2.650000 2.635000 ;
-      RECT 2.840000  2.175000 3.090000 2.635000 ;
-      RECT 2.920000  0.465000 3.090000 0.905000 ;
-      RECT 3.320000  1.075000 3.650000 1.445000 ;
-      RECT 3.760000  2.175000 4.050000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nand3b_2
-MACRO sky130_fd_sc_hd__clkdlybuf4s50_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__clkdlybuf4s50_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.213000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.480000 1.285000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.390500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.185000 0.270000 3.625000 0.640000 ;
-        RECT 3.185000 1.530000 3.625000 2.465000 ;
-        RECT 3.345000 0.640000 3.625000 1.530000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.085000  0.270000 0.415000 0.735000 ;
-      RECT 0.085000  0.735000 1.270000 0.905000 ;
-      RECT 0.085000  1.455000 1.270000 1.630000 ;
-      RECT 0.085000  1.630000 0.430000 2.465000 ;
-      RECT 0.585000  0.085000 0.915000 0.565000 ;
-      RECT 0.600000  1.800000 0.930000 2.635000 ;
-      RECT 0.765000  1.075000 1.435000 1.245000 ;
-      RECT 0.850000  0.905000 1.270000 1.075000 ;
-      RECT 0.850000  1.245000 1.270000 1.455000 ;
-      RECT 1.390000  1.785000 1.795000 2.465000 ;
-      RECT 1.440000  0.270000 1.795000 0.900000 ;
-      RECT 1.625000  0.900000 1.795000 1.075000 ;
-      RECT 1.625000  1.075000 2.305000 1.245000 ;
-      RECT 1.625000  1.245000 1.795000 1.785000 ;
-      RECT 1.985000  0.270000 2.235000 0.735000 ;
-      RECT 1.985000  0.735000 2.645000 0.905000 ;
-      RECT 1.985000  1.460000 2.645000 1.630000 ;
-      RECT 1.985000  1.630000 2.235000 2.465000 ;
-      RECT 2.475000  0.905000 2.645000 0.995000 ;
-      RECT 2.475000  0.995000 3.175000 1.325000 ;
-      RECT 2.475000  1.325000 2.645000 1.460000 ;
-      RECT 2.685000  0.085000 3.015000 0.565000 ;
-      RECT 2.685000  1.800000 3.015000 2.635000 ;
-      RECT 3.795000  0.085000 4.055000 0.635000 ;
-      RECT 3.795000  1.800000 4.055000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__clkdlybuf4s50_2
-MACRO sky130_fd_sc_hd__clkdlybuf4s50_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__clkdlybuf4s50_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.213000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.535000 1.290000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.504100 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.190000 0.255000 3.595000 0.640000 ;
-        RECT 3.190000 1.690000 3.595000 2.465000 ;
-        RECT 3.345000 0.640000 3.595000 1.690000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.085000  0.255000 0.415000 0.735000 ;
-      RECT 0.085000  0.735000 1.055000 0.905000 ;
-      RECT 0.085000  1.460000 1.055000 1.630000 ;
-      RECT 0.085000  1.630000 0.430000 2.465000 ;
-      RECT 0.585000  0.085000 0.915000 0.565000 ;
-      RECT 0.600000  1.800000 0.930000 2.635000 ;
-      RECT 0.705000  0.905000 1.055000 1.025000 ;
-      RECT 0.705000  1.025000 1.135000 1.315000 ;
-      RECT 0.705000  1.315000 1.055000 1.460000 ;
-      RECT 1.380000  0.255000 1.730000 1.070000 ;
-      RECT 1.380000  1.070000 2.240000 1.320000 ;
-      RECT 1.380000  1.320000 1.730000 2.465000 ;
-      RECT 1.990000  0.255000 2.240000 0.730000 ;
-      RECT 1.990000  0.730000 2.580000 0.900000 ;
-      RECT 1.990000  1.495000 2.580000 1.665000 ;
-      RECT 1.990000  1.665000 2.240000 2.465000 ;
-      RECT 2.410000  0.900000 2.580000 0.995000 ;
-      RECT 2.410000  0.995000 3.175000 1.325000 ;
-      RECT 2.410000  1.325000 2.580000 1.495000 ;
-      RECT 2.690000  0.085000 3.020000 0.600000 ;
-      RECT 2.690000  1.835000 3.020000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__clkdlybuf4s50_1
-MACRO sky130_fd_sc_hd__fah_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__fah_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  12.42000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.492000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.950000 1.075000 1.440000 1.275000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.691500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.990000 1.075000 2.495000 1.275000 ;
-        RECT 1.990000 1.275000 2.190000 1.410000 ;
-        RECT 2.015000 1.410000 2.190000 1.725000 ;
-      LAYER mcon ;
-        RECT 1.990000 1.105000 2.160000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 5.675000 0.995000 5.925000 1.325000 ;
-      LAYER mcon ;
-        RECT 5.680000 1.105000 5.850000 1.275000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 1.930000 1.075000 2.220000 1.120000 ;
-        RECT 1.930000 1.120000 5.910000 1.260000 ;
-        RECT 1.930000 1.260000 2.220000 1.305000 ;
-        RECT 5.620000 1.075000 5.910000 1.120000 ;
-        RECT 5.620000 1.260000 5.910000 1.305000 ;
-    END
-  END B
-  PIN CI
-    ANTENNAGATEAREA  0.246000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 9.475000 1.075000  9.865000 1.325000 ;
-        RECT 9.690000 0.735000 10.010000 0.935000 ;
-        RECT 9.690000 0.935000  9.865000 1.075000 ;
-    END
-  END CI
-  PIN COUT
-    ANTENNADIFFAREA  0.435500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 10.870000 0.270000 11.310000 0.825000 ;
-        RECT 10.870000 0.825000 11.040000 1.495000 ;
-        RECT 10.870000 1.495000 11.390000 2.465000 ;
-    END
-  END COUT
-  PIN SUM
-    ANTENNADIFFAREA  0.506000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 11.980000 0.255000 12.335000 0.825000 ;
-        RECT 11.985000 1.785000 12.335000 2.465000 ;
-        RECT 12.110000 0.825000 12.335000 1.785000 ;
-    END
-  END SUM
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 12.420000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 12.610000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 12.420000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 12.420000 0.085000 ;
-      RECT  0.000000  2.635000 12.420000 2.805000 ;
-      RECT  0.085000  0.255000  0.425000 0.805000 ;
-      RECT  0.085000  0.805000  0.255000 1.500000 ;
-      RECT  0.085000  1.500000  0.445000 1.895000 ;
-      RECT  0.085000  1.895000  2.805000 2.065000 ;
-      RECT  0.085000  2.065000  0.395000 2.465000 ;
-      RECT  0.425000  0.995000  0.780000 1.325000 ;
-      RECT  0.565000  2.260000  0.930000 2.635000 ;
-      RECT  0.595000  0.085000  0.765000 0.545000 ;
-      RECT  0.595000  0.735000  1.320000 0.905000 ;
-      RECT  0.595000  0.905000  0.780000 0.995000 ;
-      RECT  0.610000  1.325000  0.780000 1.380000 ;
-      RECT  0.610000  1.380000  0.815000 1.445000 ;
-      RECT  0.610000  1.445000  1.315000 1.455000 ;
-      RECT  0.615000  1.455000  1.315000 1.615000 ;
-      RECT  0.985000  1.615000  1.315000 1.715000 ;
-      RECT  0.990000  0.255000  1.320000 0.735000 ;
-      RECT  1.490000  1.445000  1.820000 1.500000 ;
-      RECT  1.490000  1.500000  1.840000 1.725000 ;
-      RECT  1.500000  0.255000  1.840000 0.715000 ;
-      RECT  1.500000  0.715000  2.520000 0.885000 ;
-      RECT  1.500000  0.885000  1.820000 0.905000 ;
-      RECT  1.615000  0.905000  1.820000 1.445000 ;
-      RECT  2.010000  0.085000  2.180000 0.545000 ;
-      RECT  2.065000  2.235000  2.395000 2.635000 ;
-      RECT  2.350000  0.255000  4.840000 0.425000 ;
-      RECT  2.350000  0.425000  2.520000 0.715000 ;
-      RECT  2.360000  1.445000  2.860000 1.715000 ;
-      RECT  2.635000  2.065000  2.805000 2.295000 ;
-      RECT  2.635000  2.295000  4.950000 2.465000 ;
-      RECT  2.690000  0.595000  2.860000 1.445000 ;
-      RECT  3.030000  0.425000  4.840000 0.465000 ;
-      RECT  3.030000  0.465000  3.200000 1.955000 ;
-      RECT  3.030000  1.955000  4.320000 2.125000 ;
-      RECT  3.370000  0.635000  3.900000 0.805000 ;
-      RECT  3.370000  0.805000  3.540000 1.455000 ;
-      RECT  3.370000  1.455000  3.815000 1.785000 ;
-      RECT  3.985000  1.785000  4.320000 1.955000 ;
-      RECT  4.070000  0.645000  4.400000 0.735000 ;
-      RECT  4.070000  0.735000  4.560000 0.755000 ;
-      RECT  4.070000  0.755000  5.170000 0.780000 ;
-      RECT  4.070000  0.780000  5.155000 0.805000 ;
-      RECT  4.070000  0.805000  5.145000 0.905000 ;
-      RECT  4.070000  1.075000  4.400000 1.160000 ;
-      RECT  4.070000  1.160000  4.535000 1.615000 ;
-      RECT  4.480000  0.905000  5.145000 0.925000 ;
-      RECT  4.650000  0.465000  4.840000 0.585000 ;
-      RECT  4.705000  0.925000  4.875000 2.295000 ;
-      RECT  4.925000  0.735000  5.180000 0.740000 ;
-      RECT  4.925000  0.740000  5.170000 0.755000 ;
-      RECT  4.950000  0.715000  5.180000 0.735000 ;
-      RECT  4.980000  0.690000  5.180000 0.715000 ;
-      RECT  5.000000  0.655000  5.180000 0.690000 ;
-      RECT  5.010000  0.255000  6.100000 0.425000 ;
-      RECT  5.010000  0.425000  5.180000 0.655000 ;
-      RECT  5.125000  1.150000  5.505000 1.320000 ;
-      RECT  5.125000  1.320000  5.295000 2.295000 ;
-      RECT  5.125000  2.295000  7.560000 2.465000 ;
-      RECT  5.320000  0.865000  5.520000 0.925000 ;
-      RECT  5.320000  0.925000  5.505000 1.150000 ;
-      RECT  5.335000  0.840000  5.520000 0.865000 ;
-      RECT  5.350000  0.595000  5.520000 0.840000 ;
-      RECT  5.475000  1.700000  5.875000 2.030000 ;
-      RECT  5.750000  0.425000  6.100000 0.565000 ;
-      RECT  6.105000  0.740000  6.435000 1.275000 ;
-      RECT  6.105000  1.445000  6.460000 1.615000 ;
-      RECT  6.270000  0.255000  9.735000 0.425000 ;
-      RECT  6.270000  0.425000  6.600000 0.570000 ;
-      RECT  6.290000  1.615000  6.460000 1.955000 ;
-      RECT  6.290000  1.955000  7.220000 2.125000 ;
-      RECT  6.610000  0.755000  6.940000 0.925000 ;
-      RECT  6.610000  0.925000  6.880000 1.275000 ;
-      RECT  6.710000  1.275000  6.880000 1.785000 ;
-      RECT  6.770000  0.595000  6.940000 0.755000 ;
-      RECT  7.050000  1.060000  7.280000 1.130000 ;
-      RECT  7.050000  1.130000  7.245000 1.175000 ;
-      RECT  7.050000  1.175000  7.220000 1.955000 ;
-      RECT  7.065000  1.045000  7.280000 1.060000 ;
-      RECT  7.090000  1.010000  7.280000 1.045000 ;
-      RECT  7.110000  0.595000  7.445000 0.765000 ;
-      RECT  7.110000  0.765000  7.280000 1.010000 ;
-      RECT  7.390000  1.275000  7.620000 1.375000 ;
-      RECT  7.390000  1.375000  7.595000 1.400000 ;
-      RECT  7.390000  1.400000  7.575000 1.425000 ;
-      RECT  7.390000  1.425000  7.560000 2.295000 ;
-      RECT  7.450000  0.995000  7.620000 1.275000 ;
-      RECT  7.705000  0.425000  7.960000 0.825000 ;
-      RECT  7.730000  1.510000  7.960000 2.295000 ;
-      RECT  7.730000  2.295000  9.655000 2.465000 ;
-      RECT  7.790000  0.825000  7.960000 1.510000 ;
-      RECT  8.145000  1.955000  9.250000 2.125000 ;
-      RECT  8.155000  0.595000  8.405000 0.925000 ;
-      RECT  8.225000  0.925000  8.405000 1.445000 ;
-      RECT  8.225000  1.445000  8.910000 1.785000 ;
-      RECT  8.575000  0.595000  8.745000 1.105000 ;
-      RECT  8.575000  1.105000  9.250000 1.275000 ;
-      RECT  8.920000  0.685000  9.300000 0.935000 ;
-      RECT  9.080000  1.275000  9.250000 1.955000 ;
-      RECT  9.400000  0.425000  9.735000 0.515000 ;
-      RECT  9.420000  1.495000 10.350000 1.705000 ;
-      RECT  9.420000  1.705000  9.655000 2.295000 ;
-      RECT  9.840000  2.275000 10.175000 2.635000 ;
-      RECT  9.905000  0.085000 10.075000 0.565000 ;
-      RECT 10.180000  0.995000 10.350000 1.495000 ;
-      RECT 10.245000  0.285000 10.690000 0.825000 ;
-      RECT 10.345000  1.875000 10.690000 2.465000 ;
-      RECT 10.520000  0.825000 10.690000 1.875000 ;
-      RECT 11.210000  0.995000 11.460000 1.325000 ;
-      RECT 11.480000  0.085000 11.810000 0.825000 ;
-      RECT 11.560000  1.785000 11.815000 2.635000 ;
-      RECT 11.630000  0.995000 11.940000 1.615000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.450000  1.445000  2.620000 1.615000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.370000  0.765000  3.540000 0.935000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.365000  1.445000  4.535000 1.615000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.570000  1.785000  5.740000 1.955000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.150000  0.765000  6.320000 0.935000 ;
-      RECT  6.150000  1.445000  6.320000 1.615000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  6.610000  1.105000  6.780000 1.275000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.460000  1.445000  8.630000 1.615000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  8.920000  0.765000  9.090000 0.935000 ;
-      RECT  9.080000  1.785000  9.250000 1.955000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.520000  1.785000 10.690000 1.955000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.220000  1.105000 11.390000 1.275000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-      RECT 11.680000  1.445000 11.850000 1.615000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-      RECT 12.105000  2.635000 12.275000 2.805000 ;
-    LAYER met1 ;
-      RECT  2.390000 1.415000  2.680000 1.460000 ;
-      RECT  2.390000 1.460000  6.380000 1.600000 ;
-      RECT  2.390000 1.600000  2.680000 1.645000 ;
-      RECT  3.310000 0.735000  3.600000 0.780000 ;
-      RECT  3.310000 0.780000  9.150000 0.920000 ;
-      RECT  3.310000 0.920000  3.600000 0.965000 ;
-      RECT  3.925000 1.755000  4.215000 1.800000 ;
-      RECT  3.925000 1.800000  5.800000 1.940000 ;
-      RECT  3.925000 1.940000  4.215000 1.985000 ;
-      RECT  4.305000 1.415000  4.595000 1.460000 ;
-      RECT  4.305000 1.600000  4.595000 1.645000 ;
-      RECT  5.510000 1.755000  5.800000 1.800000 ;
-      RECT  5.510000 1.940000  5.800000 1.985000 ;
-      RECT  6.090000 0.735000  6.380000 0.780000 ;
-      RECT  6.090000 0.920000  6.380000 0.965000 ;
-      RECT  6.090000 1.415000  6.380000 1.460000 ;
-      RECT  6.090000 1.600000  6.380000 1.645000 ;
-      RECT  6.550000 1.075000  6.840000 1.120000 ;
-      RECT  6.550000 1.120000 11.450000 1.260000 ;
-      RECT  6.550000 1.260000  6.840000 1.305000 ;
-      RECT  8.400000 1.415000  8.690000 1.460000 ;
-      RECT  8.400000 1.460000 11.910000 1.600000 ;
-      RECT  8.400000 1.600000  8.690000 1.645000 ;
-      RECT  8.860000 0.735000  9.150000 0.780000 ;
-      RECT  8.860000 0.920000  9.150000 0.965000 ;
-      RECT  9.020000 1.755000  9.310000 1.800000 ;
-      RECT  9.020000 1.800000 10.750000 1.940000 ;
-      RECT  9.020000 1.940000  9.310000 1.985000 ;
-      RECT 10.460000 1.755000 10.750000 1.800000 ;
-      RECT 10.460000 1.940000 10.750000 1.985000 ;
-      RECT 11.160000 1.075000 11.450000 1.120000 ;
-      RECT 11.160000 1.260000 11.450000 1.305000 ;
-      RECT 11.620000 1.415000 11.910000 1.460000 ;
-      RECT 11.620000 1.600000 11.910000 1.645000 ;
-  END
-END sky130_fd_sc_hd__fah_1
-MACRO sky130_fd_sc_hd__a222oi_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a222oi_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.246000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.615000 1.000000 2.925000 1.330000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.246000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.095000 1.000000 3.435000 1.330000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.246000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.135000 1.000000 2.445000 1.330000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.246000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.655000 1.000000 1.965000 1.330000 ;
-    END
-  END B2
-  PIN C1
-    ANTENNAGATEAREA  0.246000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.000000 0.545000 1.315000 ;
-    END
-  END C1
-  PIN C2
-    ANTENNAGATEAREA  0.246000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.715000 1.000000 1.085000 1.315000 ;
-    END
-  END C2
-  PIN Y
-    ANTENNADIFFAREA  0.897600 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 0.255000 0.425000 0.645000 ;
-        RECT 0.095000 0.645000 2.645000 0.815000 ;
-        RECT 0.095000 1.485000 0.425000 1.500000 ;
-        RECT 0.095000 1.500000 1.425000 1.670000 ;
-        RECT 0.095000 1.670000 0.425000 1.680000 ;
-        RECT 0.095000 1.680000 0.345000 2.255000 ;
-        RECT 0.095000 2.255000 0.425000 2.465000 ;
-        RECT 1.015000 1.670000 1.185000 1.830000 ;
-        RECT 1.255000 0.815000 1.480000 1.330000 ;
-        RECT 1.255000 1.330000 1.425000 1.500000 ;
-        RECT 2.315000 0.295000 2.645000 0.645000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.000000 0.000000 3.680000 0.240000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.515000  1.875000 0.845000 2.075000 ;
-      RECT 0.595000  2.075000 0.765000 2.295000 ;
-      RECT 0.595000  2.295000 2.185000 2.465000 ;
-      RECT 0.875000  0.085000 1.605000 0.465000 ;
-      RECT 1.515000  1.825000 2.015000 1.965000 ;
-      RECT 1.515000  1.965000 1.970000 1.970000 ;
-      RECT 1.515000  1.970000 1.935000 1.980000 ;
-      RECT 1.515000  1.980000 1.915000 1.995000 ;
-      RECT 1.845000  1.655000 3.595000 1.670000 ;
-      RECT 1.845000  1.670000 2.685000 1.735000 ;
-      RECT 1.845000  1.735000 2.605000 1.825000 ;
-      RECT 2.015000  2.135000 2.185000 2.295000 ;
-      RECT 2.355000  1.500000 3.595000 1.655000 ;
-      RECT 2.355000  1.825000 2.605000 2.255000 ;
-      RECT 2.355000  2.255000 2.685000 2.465000 ;
-      RECT 2.775000  1.905000 3.105000 2.075000 ;
-      RECT 2.855000  2.075000 3.025000 2.635000 ;
-      RECT 3.220000  1.670000 3.595000 1.735000 ;
-      RECT 3.255000  0.085000 3.585000 0.815000 ;
-      RECT 3.255000  2.255000 3.595000 2.465000 ;
-      RECT 3.335000  1.735000 3.595000 2.255000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a222oi_1
-MACRO sky130_fd_sc_hd__lpflow_isobufsrc_16
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_isobufsrc_16 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  16.56000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.255000 0.315000 0.995000 ;
-        RECT 0.085000 0.995000 0.665000 1.325000 ;
-    END
-  END A
-  PIN SLEEP
-    ANTENNAGATEAREA  3.960000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 9.450000 1.075000 15.650000 1.285000 ;
-    END
-  END SLEEP
-  PIN X
-    ANTENNADIFFAREA  4.968000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT  2.925000 0.255000  3.255000 0.725000 ;
-        RECT  2.925000 0.725000 16.475000 0.905000 ;
-        RECT  3.765000 0.255000  4.095000 0.725000 ;
-        RECT  4.605000 0.255000  4.935000 0.725000 ;
-        RECT  5.445000 0.255000  5.775000 0.725000 ;
-        RECT  6.285000 0.255000  6.615000 0.725000 ;
-        RECT  7.125000 0.255000  7.455000 0.725000 ;
-        RECT  7.965000 0.255000  8.295000 0.725000 ;
-        RECT  8.805000 0.255000  9.135000 0.725000 ;
-        RECT  9.645000 0.255000  9.975000 0.725000 ;
-        RECT  9.685000 1.455000 16.475000 1.625000 ;
-        RECT  9.685000 1.625000  9.935000 2.125000 ;
-        RECT 10.485000 0.255000 10.815000 0.725000 ;
-        RECT 10.525000 1.625000 10.775000 2.125000 ;
-        RECT 11.325000 0.255000 11.655000 0.725000 ;
-        RECT 11.365000 1.625000 11.615000 2.125000 ;
-        RECT 12.165000 0.255000 12.495000 0.725000 ;
-        RECT 12.205000 1.625000 12.455000 2.125000 ;
-        RECT 13.005000 0.255000 13.335000 0.725000 ;
-        RECT 13.045000 1.625000 13.295000 2.125000 ;
-        RECT 13.845000 0.255000 14.175000 0.725000 ;
-        RECT 13.885000 1.625000 14.135000 2.125000 ;
-        RECT 14.685000 0.255000 15.015000 0.725000 ;
-        RECT 14.725000 1.625000 14.975000 2.125000 ;
-        RECT 15.525000 0.255000 15.855000 0.725000 ;
-        RECT 15.565000 1.625000 15.815000 2.125000 ;
-        RECT 15.820000 0.905000 16.475000 1.455000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 16.560000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 16.750000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 16.560000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 16.560000 0.085000 ;
-      RECT  0.000000  2.635000 16.560000 2.805000 ;
-      RECT  0.300000  1.495000  0.515000 2.635000 ;
-      RECT  0.485000  0.085000  0.815000 0.825000 ;
-      RECT  0.685000  1.495000  1.015000 2.465000 ;
-      RECT  0.835000  1.065000  2.035000 1.075000 ;
-      RECT  0.835000  1.075000  9.280000 1.285000 ;
-      RECT  0.835000  1.285000  1.015000 1.495000 ;
-      RECT  0.985000  0.255000  1.195000 1.065000 ;
-      RECT  1.185000  1.455000  1.355000 2.635000 ;
-      RECT  1.365000  0.085000  1.615000 0.895000 ;
-      RECT  1.525000  1.285000  1.855000 2.465000 ;
-      RECT  1.785000  0.255000  2.035000 1.065000 ;
-      RECT  2.025000  1.455000  2.270000 2.635000 ;
-      RECT  2.205000  0.085000  2.755000 0.905000 ;
-      RECT  2.475000  1.455000  9.515000 1.665000 ;
-      RECT  2.475000  1.665000  2.795000 2.465000 ;
-      RECT  2.965000  1.835000  3.215000 2.635000 ;
-      RECT  3.385000  1.665000  3.635000 2.465000 ;
-      RECT  3.425000  0.085000  3.595000 0.555000 ;
-      RECT  3.805000  1.835000  4.055000 2.635000 ;
-      RECT  4.225000  1.665000  4.475000 2.465000 ;
-      RECT  4.265000  0.085000  4.435000 0.555000 ;
-      RECT  4.645000  1.835000  4.895000 2.635000 ;
-      RECT  5.065000  1.665000  5.315000 2.465000 ;
-      RECT  5.105000  0.085000  5.275000 0.555000 ;
-      RECT  5.485000  1.835000  5.735000 2.635000 ;
-      RECT  5.905000  1.665000  6.155000 2.465000 ;
-      RECT  5.945000  0.085000  6.115000 0.555000 ;
-      RECT  6.325000  1.835000  6.575000 2.635000 ;
-      RECT  6.745000  1.665000  6.995000 2.465000 ;
-      RECT  6.785000  0.085000  6.955000 0.555000 ;
-      RECT  7.165000  1.835000  7.415000 2.635000 ;
-      RECT  7.585000  1.665000  7.835000 2.465000 ;
-      RECT  7.625000  0.085000  7.795000 0.555000 ;
-      RECT  8.005000  1.835000  8.255000 2.635000 ;
-      RECT  8.425000  1.665000  8.675000 2.465000 ;
-      RECT  8.465000  0.085000  8.635000 0.555000 ;
-      RECT  8.845000  1.835000  9.095000 2.635000 ;
-      RECT  9.265000  1.665000  9.515000 2.295000 ;
-      RECT  9.265000  2.295000 16.235000 2.465000 ;
-      RECT  9.305000  0.085000  9.475000 0.555000 ;
-      RECT 10.105000  1.795000 10.355000 2.295000 ;
-      RECT 10.145000  0.085000 10.315000 0.555000 ;
-      RECT 10.945000  1.795000 11.195000 2.295000 ;
-      RECT 10.985000  0.085000 11.155000 0.555000 ;
-      RECT 11.785000  1.795000 12.035000 2.295000 ;
-      RECT 11.825000  0.085000 11.995000 0.555000 ;
-      RECT 12.625000  1.795000 12.875000 2.295000 ;
-      RECT 12.665000  0.085000 12.835000 0.555000 ;
-      RECT 13.465000  1.795000 13.715000 2.295000 ;
-      RECT 13.505000  0.085000 13.675000 0.555000 ;
-      RECT 14.305000  1.795000 14.555000 2.295000 ;
-      RECT 14.345000  0.085000 14.515000 0.555000 ;
-      RECT 15.145000  1.795000 15.395000 2.295000 ;
-      RECT 15.185000  0.085000 15.355000 0.555000 ;
-      RECT 15.985000  1.795000 16.235000 2.295000 ;
-      RECT 16.025000  0.085000 16.295000 0.555000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-      RECT 12.105000  2.635000 12.275000 2.805000 ;
-      RECT 12.565000 -0.085000 12.735000 0.085000 ;
-      RECT 12.565000  2.635000 12.735000 2.805000 ;
-      RECT 13.025000 -0.085000 13.195000 0.085000 ;
-      RECT 13.025000  2.635000 13.195000 2.805000 ;
-      RECT 13.485000 -0.085000 13.655000 0.085000 ;
-      RECT 13.485000  2.635000 13.655000 2.805000 ;
-      RECT 13.945000 -0.085000 14.115000 0.085000 ;
-      RECT 13.945000  2.635000 14.115000 2.805000 ;
-      RECT 14.405000 -0.085000 14.575000 0.085000 ;
-      RECT 14.405000  2.635000 14.575000 2.805000 ;
-      RECT 14.865000 -0.085000 15.035000 0.085000 ;
-      RECT 14.865000  2.635000 15.035000 2.805000 ;
-      RECT 15.325000 -0.085000 15.495000 0.085000 ;
-      RECT 15.325000  2.635000 15.495000 2.805000 ;
-      RECT 15.785000 -0.085000 15.955000 0.085000 ;
-      RECT 15.785000  2.635000 15.955000 2.805000 ;
-      RECT 16.245000 -0.085000 16.415000 0.085000 ;
-      RECT 16.245000  2.635000 16.415000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_isobufsrc_16
-MACRO sky130_fd_sc_hd__lpflow_isobufsrc_8
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_isobufsrc_8 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  8.740000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.255000 0.265000 1.065000 ;
-        RECT 0.085000 1.065000 0.575000 1.285000 ;
-    END
-  END A
-  PIN SLEEP
-    ANTENNAGATEAREA  1.980000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.270000 1.075000 8.010000 1.275000 ;
-    END
-  END SLEEP
-  PIN X
-    ANTENNADIFFAREA  2.484000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.005000 0.255000 2.335000 0.725000 ;
-        RECT 2.005000 0.725000 8.655000 0.905000 ;
-        RECT 2.845000 0.255000 3.175000 0.725000 ;
-        RECT 3.685000 0.255000 4.015000 0.725000 ;
-        RECT 4.525000 0.255000 4.855000 0.725000 ;
-        RECT 5.365000 0.255000 5.695000 0.725000 ;
-        RECT 5.405000 1.445000 8.655000 1.615000 ;
-        RECT 5.405000 1.615000 5.655000 2.125000 ;
-        RECT 6.205000 0.255000 6.535000 0.725000 ;
-        RECT 6.245000 1.615000 6.495000 2.125000 ;
-        RECT 7.045000 0.255000 7.375000 0.725000 ;
-        RECT 7.085000 1.615000 7.335000 2.125000 ;
-        RECT 7.885000 0.255000 8.215000 0.725000 ;
-        RECT 7.925000 1.615000 8.175000 2.125000 ;
-        RECT 8.180000 0.905000 8.655000 1.445000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 8.740000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.930000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 8.740000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 8.740000 0.085000 ;
-      RECT 0.000000  2.635000 8.740000 2.805000 ;
-      RECT 0.195000  1.455000 0.415000 2.635000 ;
-      RECT 0.435000  0.085000 0.655000 0.895000 ;
-      RECT 0.585000  1.455000 0.915000 2.465000 ;
-      RECT 0.745000  1.065000 1.155000 1.075000 ;
-      RECT 0.745000  1.075000 5.000000 1.285000 ;
-      RECT 0.745000  1.285000 0.915000 1.455000 ;
-      RECT 0.825000  0.255000 1.155000 1.065000 ;
-      RECT 1.085000  1.455000 1.330000 2.635000 ;
-      RECT 1.325000  0.085000 1.835000 0.905000 ;
-      RECT 1.555000  1.455000 5.235000 1.665000 ;
-      RECT 1.555000  1.665000 1.875000 2.465000 ;
-      RECT 2.045000  1.835000 2.295000 2.635000 ;
-      RECT 2.465000  1.665000 2.715000 2.465000 ;
-      RECT 2.505000  0.085000 2.675000 0.555000 ;
-      RECT 2.885000  1.835000 3.135000 2.635000 ;
-      RECT 3.305000  1.665000 3.555000 2.465000 ;
-      RECT 3.345000  0.085000 3.515000 0.555000 ;
-      RECT 3.725000  1.835000 3.975000 2.635000 ;
-      RECT 4.145000  1.665000 4.395000 2.465000 ;
-      RECT 4.185000  0.085000 4.355000 0.555000 ;
-      RECT 4.565000  1.835000 4.815000 2.635000 ;
-      RECT 4.985000  1.665000 5.235000 2.295000 ;
-      RECT 4.985000  2.295000 8.595000 2.465000 ;
-      RECT 5.025000  0.085000 5.195000 0.555000 ;
-      RECT 5.825000  1.785000 6.075000 2.295000 ;
-      RECT 5.865000  0.085000 6.035000 0.555000 ;
-      RECT 6.665000  1.785000 6.915000 2.295000 ;
-      RECT 6.705000  0.085000 6.875000 0.555000 ;
-      RECT 7.505000  1.785000 7.755000 2.295000 ;
-      RECT 7.545000  0.085000 7.715000 0.555000 ;
-      RECT 8.345000  1.785000 8.595000 2.295000 ;
-      RECT 8.385000  0.085000 8.655000 0.555000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_isobufsrc_8
-MACRO sky130_fd_sc_hd__lpflow_isobufsrc_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_isobufsrc_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.600000 1.065000 3.125000 1.275000 ;
-        RECT 2.910000 1.275000 3.125000 1.965000 ;
-    END
-  END A
-  PIN SLEEP
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.480000 1.065000 0.920000 1.275000 ;
-    END
-  END SLEEP
-  PIN X
-    ANTENNADIFFAREA  0.621000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.535000 0.255000 0.865000 0.725000 ;
-        RECT 0.535000 0.725000 1.705000 0.895000 ;
-        RECT 1.375000 0.255000 1.705000 0.725000 ;
-        RECT 1.415000 0.895000 1.665000 2.125000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.085000  0.085000 0.365000 0.895000 ;
-      RECT 0.085000  1.445000 1.245000 1.655000 ;
-      RECT 0.085000  1.655000 0.405000 2.465000 ;
-      RECT 0.575000  1.825000 0.825000 2.635000 ;
-      RECT 0.995000  1.655000 1.245000 2.295000 ;
-      RECT 0.995000  2.295000 2.125000 2.465000 ;
-      RECT 1.035000  0.085000 1.205000 0.555000 ;
-      RECT 1.835000  1.445000 2.090000 1.890000 ;
-      RECT 1.835000  1.890000 2.125000 2.295000 ;
-      RECT 1.875000  0.085000 2.045000 0.895000 ;
-      RECT 1.875000  1.075000 2.430000 1.245000 ;
-      RECT 2.215000  0.725000 2.565000 0.895000 ;
-      RECT 2.215000  0.895000 2.430000 1.075000 ;
-      RECT 2.260000  1.245000 2.430000 1.445000 ;
-      RECT 2.260000  1.445000 2.565000 1.615000 ;
-      RECT 2.395000  0.445000 2.565000 0.725000 ;
-      RECT 2.395000  1.615000 2.565000 2.460000 ;
-      RECT 2.775000  0.085000 3.030000 0.845000 ;
-      RECT 2.775000  2.145000 3.025000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_isobufsrc_2
-MACRO sky130_fd_sc_hd__lpflow_isobufsrc_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_isobufsrc_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.300000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.100000 0.725000 0.325000 1.325000 ;
-    END
-  END A
-  PIN SLEEP
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.960000 1.065000 1.325000 1.325000 ;
-    END
-  END SLEEP
-  PIN X
-    ANTENNADIFFAREA  0.435500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.235000 0.255000 1.565000 0.725000 ;
-        RECT 1.235000 0.725000 2.215000 0.895000 ;
-        RECT 1.655000 1.850000 2.215000 2.465000 ;
-        RECT 2.035000 0.895000 2.215000 1.850000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.300000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.490000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.300000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.300000 0.085000 ;
-      RECT 0.000000  2.635000 2.300000 2.805000 ;
-      RECT 0.330000  0.370000 0.675000 0.545000 ;
-      RECT 0.415000  1.510000 1.705000 1.680000 ;
-      RECT 0.415000  1.680000 0.675000 1.905000 ;
-      RECT 0.495000  0.545000 0.675000 1.510000 ;
-      RECT 0.855000  0.085000 1.065000 0.895000 ;
-      RECT 0.875000  1.855000 1.205000 2.635000 ;
-      RECT 1.535000  1.075000 1.865000 1.245000 ;
-      RECT 1.535000  1.245000 1.705000 1.510000 ;
-      RECT 1.735000  0.085000 2.120000 0.555000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_isobufsrc_1
-MACRO sky130_fd_sc_hd__lpflow_isobufsrc_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_isobufsrc_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.060000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.445000 1.075000 4.975000 1.320000 ;
-    END
-  END A
-  PIN SLEEP
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.360000 1.075000 1.800000 1.275000 ;
-    END
-  END SLEEP
-  PIN X
-    ANTENNADIFFAREA  1.242000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.535000 0.255000 0.865000 0.725000 ;
-        RECT 0.535000 0.725000 3.385000 0.905000 ;
-        RECT 1.375000 0.255000 1.705000 0.725000 ;
-        RECT 2.215000 0.255000 2.545000 0.725000 ;
-        RECT 2.295000 0.905000 2.625000 1.445000 ;
-        RECT 2.295000 1.445000 3.305000 1.745000 ;
-        RECT 2.295000 1.745000 2.465000 2.125000 ;
-        RECT 3.055000 0.255000 3.385000 0.725000 ;
-        RECT 3.135000 1.745000 3.305000 2.125000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.060000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.250000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.060000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.060000 0.085000 ;
-      RECT 0.000000  2.635000 5.060000 2.805000 ;
-      RECT 0.085000  0.085000 0.365000 0.905000 ;
-      RECT 0.085000  1.455000 2.125000 1.665000 ;
-      RECT 0.085000  1.665000 0.365000 2.465000 ;
-      RECT 0.535000  1.835000 0.865000 2.635000 ;
-      RECT 1.035000  0.085000 1.205000 0.555000 ;
-      RECT 1.035000  1.665000 1.205000 2.465000 ;
-      RECT 1.375000  1.835000 1.625000 2.635000 ;
-      RECT 1.795000  1.665000 2.125000 2.295000 ;
-      RECT 1.795000  2.295000 3.855000 2.465000 ;
-      RECT 1.875000  0.085000 2.045000 0.555000 ;
-      RECT 2.635000  1.935000 2.965000 2.295000 ;
-      RECT 2.715000  0.085000 2.885000 0.555000 ;
-      RECT 2.795000  1.075000 4.275000 1.275000 ;
-      RECT 3.475000  1.575000 3.855000 2.295000 ;
-      RECT 3.555000  0.085000 3.845000 0.905000 ;
-      RECT 4.025000  0.255000 4.355000 0.815000 ;
-      RECT 4.025000  0.815000 4.275000 1.075000 ;
-      RECT 4.025000  1.275000 4.275000 1.575000 ;
-      RECT 4.025000  1.575000 4.355000 2.465000 ;
-      RECT 4.525000  0.085000 4.815000 0.905000 ;
-      RECT 4.525000  1.495000 4.930000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_isobufsrc_4
-MACRO sky130_fd_sc_hd__dfbbp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dfbbp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  11.96000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.750000 1.005000 2.160000 1.625000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 11.615000 0.255000 11.875000 0.825000 ;
-        RECT 11.615000 1.445000 11.875000 2.465000 ;
-        RECT 11.660000 0.825000 11.875000 1.445000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 10.200000 0.255000 10.485000 0.715000 ;
-        RECT 10.200000 1.630000 10.485000 2.465000 ;
-        RECT 10.280000 0.715000 10.485000 1.630000 ;
-    END
-  END Q_N
-  PIN RESET_B
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 9.315000 1.095000 9.690000 1.325000 ;
-    END
-  END RESET_B
-  PIN SET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.590000 0.735000 4.000000 0.965000 ;
-        RECT 3.590000 0.965000 3.920000 1.065000 ;
-      LAYER mcon ;
-        RECT 3.830000 0.765000 4.000000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 7.460000 0.735000 7.835000 1.065000 ;
-      LAYER mcon ;
-        RECT 7.510000 0.765000 7.680000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 3.770000 0.735000 4.060000 0.780000 ;
-        RECT 3.770000 0.780000 7.740000 0.920000 ;
-        RECT 3.770000 0.920000 4.060000 0.965000 ;
-        RECT 7.450000 0.735000 7.740000 0.780000 ;
-        RECT 7.450000 0.920000 7.740000 0.965000 ;
-    END
-  END SET_B
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.975000 0.440000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 11.960000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 12.150000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 11.960000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 11.960000 0.085000 ;
-      RECT  0.000000  2.635000 11.960000 2.805000 ;
-      RECT  0.085000  0.345000  0.345000 0.635000 ;
-      RECT  0.085000  0.635000  0.840000 0.805000 ;
-      RECT  0.085000  1.795000  0.840000 1.965000 ;
-      RECT  0.085000  1.965000  0.345000 2.465000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.515000  2.135000  0.845000 2.635000 ;
-      RECT  0.610000  0.805000  0.840000 1.795000 ;
-      RECT  1.015000  0.345000  1.240000 2.465000 ;
-      RECT  1.410000  0.635000  2.125000 0.825000 ;
-      RECT  1.410000  0.825000  1.580000 1.795000 ;
-      RECT  1.410000  1.795000  2.125000 1.965000 ;
-      RECT  1.435000  0.085000  1.785000 0.465000 ;
-      RECT  1.435000  2.135000  1.785000 2.635000 ;
-      RECT  1.955000  0.305000  2.125000 0.635000 ;
-      RECT  1.955000  1.965000  2.125000 2.465000 ;
-      RECT  2.330000  0.705000  2.550000 1.575000 ;
-      RECT  2.330000  1.575000  2.830000 1.955000 ;
-      RECT  2.340000  2.250000  3.170000 2.420000 ;
-      RECT  2.405000  0.265000  3.400000 0.465000 ;
-      RECT  2.730000  0.645000  3.060000 1.015000 ;
-      RECT  3.000000  1.195000  3.400000 1.235000 ;
-      RECT  3.000000  1.235000  4.350000 1.405000 ;
-      RECT  3.000000  1.405000  3.170000 2.250000 ;
-      RECT  3.230000  0.465000  3.400000 1.195000 ;
-      RECT  3.340000  1.575000  3.590000 1.785000 ;
-      RECT  3.340000  1.785000  4.690000 2.035000 ;
-      RECT  3.410000  2.205000  3.790000 2.635000 ;
-      RECT  3.570000  0.085000  3.740000 0.525000 ;
-      RECT  3.910000  0.255000  5.080000 0.425000 ;
-      RECT  3.910000  0.425000  4.240000 0.545000 ;
-      RECT  4.090000  2.035000  4.260000 2.375000 ;
-      RECT  4.100000  1.405000  4.350000 1.485000 ;
-      RECT  4.130000  1.155000  4.350000 1.235000 ;
-      RECT  4.410000  0.595000  4.740000 0.765000 ;
-      RECT  4.520000  0.765000  4.740000 0.895000 ;
-      RECT  4.520000  0.895000  5.830000 1.065000 ;
-      RECT  4.520000  1.065000  4.690000 1.785000 ;
-      RECT  4.860000  1.235000  5.190000 1.415000 ;
-      RECT  4.860000  1.415000  5.865000 1.655000 ;
-      RECT  4.880000  1.915000  5.210000 2.635000 ;
-      RECT  4.910000  0.425000  5.080000 0.715000 ;
-      RECT  5.350000  0.085000  5.680000 0.465000 ;
-      RECT  5.500000  1.065000  5.830000 1.235000 ;
-      RECT  6.065000  1.575000  6.300000 1.985000 ;
-      RECT  6.125000  0.705000  6.410000 1.125000 ;
-      RECT  6.125000  1.125000  6.745000 1.305000 ;
-      RECT  6.255000  2.250000  7.085000 2.420000 ;
-      RECT  6.320000  0.265000  7.085000 0.465000 ;
-      RECT  6.540000  1.305000  6.745000 1.905000 ;
-      RECT  6.915000  0.465000  7.085000 1.235000 ;
-      RECT  6.915000  1.235000  8.265000 1.405000 ;
-      RECT  6.915000  1.405000  7.085000 2.250000 ;
-      RECT  7.255000  1.575000  7.505000 1.915000 ;
-      RECT  7.255000  1.915000 10.030000 2.085000 ;
-      RECT  7.265000  0.085000  7.525000 0.525000 ;
-      RECT  7.325000  2.255000  7.705000 2.635000 ;
-      RECT  7.785000  0.255000  8.955000 0.425000 ;
-      RECT  7.785000  0.425000  8.115000 0.545000 ;
-      RECT  7.945000  2.085000  8.115000 2.375000 ;
-      RECT  8.045000  1.075000  8.265000 1.235000 ;
-      RECT  8.285000  0.595000  8.615000 0.780000 ;
-      RECT  8.435000  0.780000  8.615000 1.915000 ;
-      RECT  8.645000  2.255000 10.030000 2.635000 ;
-      RECT  8.785000  0.425000  8.955000 0.585000 ;
-      RECT  8.785000  0.755000  9.475000 0.925000 ;
-      RECT  8.785000  0.925000  9.060000 1.575000 ;
-      RECT  8.785000  1.575000  9.545000 1.745000 ;
-      RECT  9.240000  0.265000  9.475000 0.755000 ;
-      RECT  9.700000  0.085000 10.030000 0.805000 ;
-      RECT  9.860000  0.995000 10.110000 1.325000 ;
-      RECT  9.860000  1.325000 10.030000 1.915000 ;
-      RECT 10.655000  0.255000 10.970000 0.995000 ;
-      RECT 10.655000  0.995000 11.490000 1.325000 ;
-      RECT 10.655000  1.325000 10.970000 2.415000 ;
-      RECT 11.150000  0.085000 11.445000 0.545000 ;
-      RECT 11.150000  1.765000 11.445000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.610000  1.785000  0.780000 1.955000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.070000  0.765000  1.240000 0.935000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.450000  1.785000  2.620000 1.955000 ;
-      RECT  2.890000  0.765000  3.060000 0.935000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  5.670000  1.445000  5.840000 1.615000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.130000  1.105000  6.300000 1.275000 ;
-      RECT  6.130000  1.785000  6.300000 1.955000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  8.890000  1.445000  9.060000 1.615000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.755000 0.840000 1.800000 ;
-      RECT 0.550000 1.800000 6.360000 1.940000 ;
-      RECT 0.550000 1.940000 0.840000 1.985000 ;
-      RECT 1.010000 0.735000 1.300000 0.780000 ;
-      RECT 1.010000 0.780000 3.120000 0.920000 ;
-      RECT 1.010000 0.920000 1.300000 0.965000 ;
-      RECT 2.390000 1.755000 2.680000 1.800000 ;
-      RECT 2.390000 1.940000 2.680000 1.985000 ;
-      RECT 2.830000 0.735000 3.120000 0.780000 ;
-      RECT 2.830000 0.920000 3.120000 0.965000 ;
-      RECT 2.925000 0.965000 3.120000 1.120000 ;
-      RECT 2.925000 1.120000 6.360000 1.260000 ;
-      RECT 5.610000 1.415000 5.900000 1.460000 ;
-      RECT 5.610000 1.460000 9.120000 1.600000 ;
-      RECT 5.610000 1.600000 5.900000 1.645000 ;
-      RECT 6.070000 1.075000 6.360000 1.120000 ;
-      RECT 6.070000 1.260000 6.360000 1.305000 ;
-      RECT 6.070000 1.755000 6.360000 1.800000 ;
-      RECT 6.070000 1.940000 6.360000 1.985000 ;
-      RECT 8.830000 1.415000 9.120000 1.460000 ;
-      RECT 8.830000 1.600000 9.120000 1.645000 ;
-  END
-END sky130_fd_sc_hd__dfbbp_1
-MACRO sky130_fd_sc_hd__a211o_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a211o_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.980000 1.045000 2.450000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.480000 1.045000 1.810000 1.275000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.620000 1.045000 3.070000 1.275000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.260000 1.045000 3.595000 1.275000 ;
-    END
-  END C1
-  PIN X
-    ANTENNADIFFAREA  0.452000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.555000 0.255000 0.775000 0.635000 ;
-        RECT 0.555000 0.635000 0.785000 2.335000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.090000  0.085000 0.385000 0.905000 ;
-      RECT 0.090000  1.490000 0.385000 2.635000 ;
-      RECT 0.945000  0.085000 1.795000 0.445000 ;
-      RECT 1.000000  0.695000 3.585000 0.875000 ;
-      RECT 1.000000  0.875000 1.310000 1.490000 ;
-      RECT 1.000000  1.490000 3.585000 1.660000 ;
-      RECT 1.000000  1.830000 1.255000 2.635000 ;
-      RECT 1.455000  1.840000 2.795000 2.020000 ;
-      RECT 1.455000  2.020000 1.785000 2.465000 ;
-      RECT 1.955000  2.190000 2.230000 2.635000 ;
-      RECT 2.275000  0.275000 2.605000 0.695000 ;
-      RECT 2.465000  2.020000 2.795000 2.465000 ;
-      RECT 2.810000  0.085000 3.085000 0.525000 ;
-      RECT 3.255000  0.275000 3.585000 0.695000 ;
-      RECT 3.255000  1.660000 3.585000 2.325000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a211o_2
-MACRO sky130_fd_sc_hd__a211o_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a211o_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.485000 0.995000 2.060000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.025000 0.995000 1.305000 1.325000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.240000 0.995000 2.675000 1.325000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.855000 0.995000 3.125000 1.325000 ;
-    END
-  END C1
-  PIN X
-    ANTENNADIFFAREA  0.437250 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.265000 0.425000 1.685000 ;
-        RECT 0.090000 1.685000 0.355000 2.455000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.135000 -0.085000 0.305000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.525000  1.915000 0.855000 2.635000 ;
-      RECT 0.600000  0.625000 3.085000 0.815000 ;
-      RECT 0.600000  0.815000 0.825000 1.505000 ;
-      RECT 0.600000  1.505000 3.095000 1.685000 ;
-      RECT 0.605000  0.085000 1.350000 0.455000 ;
-      RECT 1.045000  1.865000 2.235000 2.095000 ;
-      RECT 1.045000  2.095000 1.305000 2.455000 ;
-      RECT 1.475000  2.265000 1.805000 2.635000 ;
-      RECT 1.915000  0.265000 2.170000 0.625000 ;
-      RECT 1.975000  2.095000 2.235000 2.455000 ;
-      RECT 2.350000  0.085000 2.680000 0.455000 ;
-      RECT 2.805000  1.685000 3.095000 2.455000 ;
-      RECT 2.860000  0.265000 3.085000 0.625000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a211o_1
-MACRO sky130_fd_sc_hd__a211o_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a211o_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.440000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.035000 1.020000 5.380000 1.330000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.495000 1.020000 4.825000 1.510000 ;
-        RECT 4.495000 1.510000 5.845000 1.700000 ;
-        RECT 5.635000 1.020000 6.225000 1.320000 ;
-        RECT 5.635000 1.320000 5.845000 1.510000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.540000 0.985000 2.805000 1.325000 ;
-        RECT 2.625000 1.325000 2.805000 1.445000 ;
-        RECT 2.625000 1.445000 4.175000 1.700000 ;
-        RECT 3.845000 0.985000 4.175000 1.445000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.975000 0.985000 3.645000 1.275000 ;
-    END
-  END C1
-  PIN X
-    ANTENNADIFFAREA  0.933750 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.635000 2.025000 0.875000 ;
-        RECT 0.085000 0.875000 0.340000 1.495000 ;
-        RECT 0.085000 1.495000 1.640000 1.705000 ;
-        RECT 0.595000 1.705000 0.780000 2.465000 ;
-        RECT 0.985000 0.255000 1.175000 0.615000 ;
-        RECT 0.985000 0.615000 2.025000 0.635000 ;
-        RECT 1.450000 1.705000 1.640000 2.465000 ;
-        RECT 1.845000 0.255000 2.025000 0.615000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 6.440000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.630000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.440000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.440000 0.085000 ;
-      RECT 0.000000  2.635000 6.440000 2.805000 ;
-      RECT 0.090000  1.875000 0.425000 2.635000 ;
-      RECT 0.485000  0.085000 0.815000 0.465000 ;
-      RECT 0.525000  1.045000 2.370000 1.325000 ;
-      RECT 0.950000  1.875000 1.280000 2.635000 ;
-      RECT 1.345000  0.085000 1.675000 0.445000 ;
-      RECT 1.810000  1.835000 2.060000 2.635000 ;
-      RECT 2.185000  1.325000 2.370000 1.505000 ;
-      RECT 2.185000  1.505000 2.455000 1.675000 ;
-      RECT 2.195000  0.615000 5.490000 0.805000 ;
-      RECT 2.195000  0.805000 2.370000 1.045000 ;
-      RECT 2.220000  0.085000 2.555000 0.445000 ;
-      RECT 2.280000  1.675000 2.455000 1.870000 ;
-      RECT 2.280000  1.870000 3.510000 2.040000 ;
-      RECT 2.320000  2.210000 4.450000 2.465000 ;
-      RECT 2.725000  0.255000 2.970000 0.615000 ;
-      RECT 3.140000  0.085000 3.470000 0.445000 ;
-      RECT 3.640000  0.255000 4.020000 0.615000 ;
-      RECT 4.120000  1.880000 6.345000 2.105000 ;
-      RECT 4.120000  2.105000 4.450000 2.210000 ;
-      RECT 4.190000  0.085000 4.560000 0.445000 ;
-      RECT 4.620000  2.275000 4.950000 2.635000 ;
-      RECT 5.160000  0.275000 5.490000 0.615000 ;
-      RECT 5.160000  2.105000 5.420000 2.465000 ;
-      RECT 5.590000  2.275000 5.920000 2.635000 ;
-      RECT 6.015000  0.085000 6.345000 0.805000 ;
-      RECT 6.015000  1.535000 6.345000 1.880000 ;
-      RECT 6.090000  2.105000 6.345000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a211o_4
-MACRO sky130_fd_sc_hd__o2111a_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o2111a_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.360000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.890000 1.075000 4.485000 1.245000 ;
-        RECT 4.130000 1.245000 4.485000 1.320000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.135000 1.075000 3.600000 1.245000 ;
-        RECT 3.145000 1.245000 3.600000 1.320000 ;
-        RECT 3.305000 1.320000 3.600000 1.490000 ;
-        RECT 3.305000 1.490000 4.825000 1.660000 ;
-        RECT 4.655000 1.075000 4.985000 1.320000 ;
-        RECT 4.655000 1.320000 4.825000 1.490000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.775000 1.075000 2.215000 1.320000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.150000 0.995000 1.395000 1.490000 ;
-        RECT 1.150000 1.490000 2.660000 1.660000 ;
-        RECT 2.445000 1.080000 2.820000 1.320000 ;
-        RECT 2.445000 1.320000 2.660000 1.490000 ;
-        RECT 2.490000 1.075000 2.820000 1.080000 ;
-    END
-  END C1
-  PIN D1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.120000 0.995000 0.340000 1.655000 ;
-    END
-  END D1
-  PIN X
-    ANTENNADIFFAREA  0.962500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.650000 0.255000 5.875000 0.695000 ;
-        RECT 5.650000 0.695000 7.275000 0.865000 ;
-        RECT 5.755000 1.495000 7.275000 1.665000 ;
-        RECT 5.755000 1.665000 5.925000 2.465000 ;
-        RECT 6.545000 0.255000 6.745000 0.695000 ;
-        RECT 6.585000 1.665000 6.775000 2.465000 ;
-        RECT 7.005000 0.865000 7.275000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.360000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.550000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.360000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.360000 0.085000 ;
-      RECT 0.000000  2.635000 7.360000 2.805000 ;
-      RECT 0.090000  1.835000 5.550000 2.000000 ;
-      RECT 0.090000  2.000000 5.065000 2.005000 ;
-      RECT 0.090000  2.005000 0.345000 2.465000 ;
-      RECT 0.100000  0.255000 2.940000 0.485000 ;
-      RECT 0.100000  0.485000 0.345000 0.825000 ;
-      RECT 0.515000  0.655000 0.860000 1.830000 ;
-      RECT 0.515000  1.830000 5.550000 1.835000 ;
-      RECT 0.515000  2.175000 0.845000 2.635000 ;
-      RECT 1.015000  2.005000 1.230000 2.465000 ;
-      RECT 1.400000  2.175000 1.625000 2.635000 ;
-      RECT 1.720000  0.655000 4.795000 0.885000 ;
-      RECT 1.795000  2.005000 2.025000 2.465000 ;
-      RECT 2.195000  2.175000 2.525000 2.635000 ;
-      RECT 2.695000  2.005000 3.285000 2.465000 ;
-      RECT 3.110000  0.085000 3.440000 0.485000 ;
-      RECT 3.610000  0.255000 3.825000 0.655000 ;
-      RECT 3.805000  2.180000 4.135000 2.635000 ;
-      RECT 3.995000  0.085000 4.365000 0.485000 ;
-      RECT 4.535000  0.255000 4.795000 0.655000 ;
-      RECT 4.775000  2.005000 5.065000 2.465000 ;
-      RECT 5.035000  0.085000 5.300000 0.545000 ;
-      RECT 5.245000  2.170000 5.585000 2.635000 ;
-      RECT 5.380000  1.075000 6.760000 1.320000 ;
-      RECT 5.380000  1.320000 5.550000 1.830000 ;
-      RECT 6.075000  0.085000 6.375000 0.525000 ;
-      RECT 6.095000  1.835000 6.415000 2.635000 ;
-      RECT 6.915000  0.085000 7.275000 0.525000 ;
-      RECT 6.945000  1.835000 7.270000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o2111a_4
-MACRO sky130_fd_sc_hd__o2111a_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o2111a_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.705000 1.075000 4.035000 1.660000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.050000 1.075000 3.535000 1.325000 ;
-        RECT 3.350000 1.325000 3.535000 2.415000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.445000 0.390000 2.690000 0.995000 ;
-        RECT 2.445000 0.995000 2.705000 1.325000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.925000 0.390000 2.195000 1.325000 ;
-    END
-  END C1
-  PIN D1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.265000 1.075000 1.745000 1.325000 ;
-        RECT 1.535000 0.390000 1.745000 1.075000 ;
-    END
-  END D1
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 0.255000 0.355000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.525000  0.995000 0.865000 1.325000 ;
-      RECT 0.525000  1.835000 1.335000 2.635000 ;
-      RECT 0.535000  0.085000 0.845000 0.565000 ;
-      RECT 0.695000  0.735000 1.365000 0.905000 ;
-      RECT 0.695000  0.905000 0.865000 0.995000 ;
-      RECT 0.695000  1.325000 0.865000 1.495000 ;
-      RECT 0.695000  1.495000 3.180000 1.665000 ;
-      RECT 1.025000  0.255000 1.365000 0.735000 ;
-      RECT 1.505000  1.665000 1.835000 2.465000 ;
-      RECT 2.020000  1.835000 2.760000 2.635000 ;
-      RECT 2.870000  0.255000 3.160000 0.705000 ;
-      RECT 2.870000  0.705000 4.055000 0.875000 ;
-      RECT 2.930000  1.665000 3.180000 2.465000 ;
-      RECT 3.330000  0.085000 3.620000 0.535000 ;
-      RECT 3.730000  1.835000 4.055000 2.635000 ;
-      RECT 3.790000  0.255000 4.055000 0.705000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o2111a_1
-MACRO sky130_fd_sc_hd__o2111a_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o2111a_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.600000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.830000 1.005000 4.515000 1.315000 ;
-        RECT 4.310000 1.315000 4.515000 2.355000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.300000 0.995000 3.660000 1.325000 ;
-        RECT 3.370000 1.325000 3.660000 2.370000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.680000 1.075000 3.100000 1.615000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.005000 0.255000 2.390000 1.615000 ;
-    END
-  END C1
-  PIN D1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.505000 1.075000 1.835000 1.615000 ;
-    END
-  END D1
-  PIN X
-    ANTENNADIFFAREA  0.462000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 0.255000 0.855000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.600000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.790000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.600000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.600000 0.085000 ;
-      RECT 0.000000  2.635000 4.600000 2.805000 ;
-      RECT 0.135000  0.085000 0.345000 0.885000 ;
-      RECT 0.135000  1.495000 0.345000 2.635000 ;
-      RECT 1.030000  0.715000 1.805000 0.885000 ;
-      RECT 1.030000  0.885000 1.305000 1.785000 ;
-      RECT 1.030000  1.785000 3.195000 2.025000 ;
-      RECT 1.035000  0.085000 1.285000 0.545000 ;
-      RECT 1.035000  2.195000 1.655000 2.635000 ;
-      RECT 1.475000  0.255000 1.805000 0.715000 ;
-      RECT 1.860000  2.025000 2.140000 2.465000 ;
-      RECT 2.325000  2.255000 2.655000 2.635000 ;
-      RECT 2.865000  0.255000 3.195000 0.625000 ;
-      RECT 2.865000  0.625000 4.215000 0.825000 ;
-      RECT 2.865000  2.025000 3.195000 2.465000 ;
-      RECT 3.385000  0.085000 3.715000 0.455000 ;
-      RECT 3.885000  0.255000 4.215000 0.625000 ;
-      RECT 3.885000  1.495000 4.140000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o2111a_2
-MACRO sky130_fd_sc_hd__dlrbp_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlrbp_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  8.280000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.460000 0.955000 1.790000 1.325000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.478500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.680000 0.330000 5.850000 0.665000 ;
-        RECT 5.680000 0.665000 6.150000 0.835000 ;
-        RECT 5.680000 1.495000 6.065000 1.660000 ;
-        RECT 5.680000 1.660000 5.930000 2.465000 ;
-        RECT 5.790000 0.835000 6.150000 0.885000 ;
-        RECT 5.790000 0.885000 6.360000 1.325000 ;
-        RECT 5.790000 1.325000 6.065000 1.495000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.515000 0.255000 7.765000 0.825000 ;
-        RECT 7.515000 1.605000 7.765000 2.465000 ;
-        RECT 7.595000 0.825000 7.765000 1.055000 ;
-        RECT 7.595000 1.055000 8.195000 1.325000 ;
-        RECT 7.595000 1.325000 7.765000 1.605000 ;
-    END
-  END Q_N
-  PIN RESET_B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.400000 0.995000 5.150000 1.325000 ;
-    END
-  END RESET_B
-  PIN GATE
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.985000 0.330000 1.625000 ;
-    END
-  END GATE
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 8.280000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.470000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 8.280000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 8.280000 0.085000 ;
-      RECT 0.000000  2.635000 8.280000 2.805000 ;
-      RECT 0.085000  0.345000 0.345000 0.635000 ;
-      RECT 0.085000  0.635000 0.780000 0.805000 ;
-      RECT 0.085000  1.795000 0.780000 1.965000 ;
-      RECT 0.085000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.780000 1.070000 ;
-      RECT 0.610000  1.070000 0.840000 1.400000 ;
-      RECT 0.610000  1.400000 0.780000 1.795000 ;
-      RECT 1.015000  0.345000 1.185000 1.685000 ;
-      RECT 1.015000  1.685000 1.240000 2.465000 ;
-      RECT 1.455000  1.495000 2.140000 1.665000 ;
-      RECT 1.455000  1.665000 1.785000 2.415000 ;
-      RECT 1.535000  0.345000 1.705000 0.615000 ;
-      RECT 1.535000  0.615000 2.140000 0.765000 ;
-      RECT 1.535000  0.765000 2.340000 0.785000 ;
-      RECT 1.875000  0.085000 2.205000 0.445000 ;
-      RECT 1.955000  1.835000 2.270000 2.635000 ;
-      RECT 1.970000  0.785000 2.340000 1.095000 ;
-      RECT 1.970000  1.095000 2.140000 1.495000 ;
-      RECT 2.470000  1.355000 2.755000 1.685000 ;
-      RECT 2.715000  0.705000 3.095000 1.035000 ;
-      RECT 2.745000  2.255000 3.585000 2.425000 ;
-      RECT 2.770000  0.365000 3.500000 0.535000 ;
-      RECT 2.925000  1.035000 3.095000 1.575000 ;
-      RECT 2.925000  1.575000 3.265000 1.905000 ;
-      RECT 2.925000  1.905000 3.125000 1.995000 ;
-      RECT 3.270000  2.125000 3.585000 2.255000 ;
-      RECT 3.305000  2.075000 3.585000 2.125000 ;
-      RECT 3.330000  0.535000 3.500000 0.995000 ;
-      RECT 3.330000  0.995000 4.200000 1.165000 ;
-      RECT 3.395000  2.015000 3.605000 2.045000 ;
-      RECT 3.395000  2.045000 3.585000 2.075000 ;
-      RECT 3.415000  1.990000 3.605000 2.015000 ;
-      RECT 3.420000  1.975000 3.605000 1.990000 ;
-      RECT 3.430000  1.960000 3.605000 1.975000 ;
-      RECT 3.435000  1.165000 4.200000 1.325000 ;
-      RECT 3.435000  1.325000 3.605000 1.960000 ;
-      RECT 3.740000  0.085000 4.070000 0.530000 ;
-      RECT 3.755000  2.135000 4.600000 2.635000 ;
-      RECT 3.840000  1.535000 5.510000 1.705000 ;
-      RECT 3.840000  1.705000 4.940000 1.865000 ;
-      RECT 4.270000  0.415000 4.570000 0.655000 ;
-      RECT 4.270000  0.655000 5.510000 0.825000 ;
-      RECT 4.770000  1.865000 4.940000 2.435000 ;
-      RECT 5.110000  0.085000 5.490000 0.485000 ;
-      RECT 5.110000  1.875000 5.490000 2.635000 ;
-      RECT 5.320000  0.825000 5.510000 0.995000 ;
-      RECT 5.320000  0.995000 5.620000 1.325000 ;
-      RECT 5.320000  1.325000 5.510000 1.535000 ;
-      RECT 6.020000  0.085000 6.360000 0.465000 ;
-      RECT 6.100000  1.830000 6.360000 2.635000 ;
-      RECT 6.535000  0.255000 6.865000 0.995000 ;
-      RECT 6.535000  0.995000 7.425000 1.325000 ;
-      RECT 6.535000  1.325000 6.870000 2.465000 ;
-      RECT 7.035000  0.085000 7.340000 0.545000 ;
-      RECT 7.045000  1.835000 7.340000 2.635000 ;
-      RECT 7.935000  0.085000 8.195000 0.885000 ;
-      RECT 7.935000  1.495000 8.195000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.610000  1.445000 0.780000 1.615000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.070000  1.785000 1.240000 1.955000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.470000  1.445000 2.640000 1.615000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 2.930000  1.785000 3.100000 1.955000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.415000 0.840000 1.460000 ;
-      RECT 0.550000 1.460000 2.700000 1.600000 ;
-      RECT 0.550000 1.600000 0.840000 1.645000 ;
-      RECT 1.010000 1.755000 1.300000 1.800000 ;
-      RECT 1.010000 1.800000 3.160000 1.940000 ;
-      RECT 1.010000 1.940000 1.300000 1.985000 ;
-      RECT 2.410000 1.415000 2.700000 1.460000 ;
-      RECT 2.410000 1.600000 2.700000 1.645000 ;
-      RECT 2.870000 1.755000 3.160000 1.800000 ;
-      RECT 2.870000 1.940000 3.160000 1.985000 ;
-  END
-END sky130_fd_sc_hd__dlrbp_2
-MACRO sky130_fd_sc_hd__dlrbp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlrbp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.820000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.460000 0.955000 1.790000 1.325000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.060000 0.255000 6.410000 2.465000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.475000 0.255000 7.735000 0.595000 ;
-        RECT 7.475000 1.785000 7.735000 2.465000 ;
-        RECT 7.565000 0.595000 7.735000 1.785000 ;
-    END
-  END Q_N
-  PIN RESET_B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.450000 0.995000 5.435000 1.325000 ;
-    END
-  END RESET_B
-  PIN GATE
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.985000 0.325000 1.625000 ;
-    END
-  END GATE
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.820000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.010000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.820000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.820000 0.085000 ;
-      RECT 0.000000  2.635000 7.820000 2.805000 ;
-      RECT 0.085000  0.345000 0.345000 0.635000 ;
-      RECT 0.085000  0.635000 0.780000 0.805000 ;
-      RECT 0.085000  1.795000 0.780000 1.965000 ;
-      RECT 0.085000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.780000 1.070000 ;
-      RECT 0.610000  1.070000 0.840000 1.400000 ;
-      RECT 0.610000  1.400000 0.780000 1.795000 ;
-      RECT 1.015000  0.345000 1.185000 1.685000 ;
-      RECT 1.015000  1.685000 1.240000 2.465000 ;
-      RECT 1.455000  1.495000 2.140000 1.665000 ;
-      RECT 1.455000  1.665000 1.785000 2.415000 ;
-      RECT 1.535000  0.345000 1.705000 0.615000 ;
-      RECT 1.535000  0.615000 2.140000 0.765000 ;
-      RECT 1.535000  0.765000 2.340000 0.785000 ;
-      RECT 1.875000  0.085000 2.205000 0.445000 ;
-      RECT 1.955000  1.835000 2.270000 2.635000 ;
-      RECT 1.970000  0.785000 2.340000 1.095000 ;
-      RECT 1.970000  1.095000 2.140000 1.495000 ;
-      RECT 2.470000  1.355000 2.755000 1.685000 ;
-      RECT 2.600000  0.765000 3.095000 1.035000 ;
-      RECT 2.745000  2.255000 3.585000 2.425000 ;
-      RECT 2.770000  0.365000 3.500000 0.535000 ;
-      RECT 2.925000  1.035000 3.095000 1.575000 ;
-      RECT 2.925000  1.575000 3.265000 1.905000 ;
-      RECT 2.925000  1.905000 3.130000 1.995000 ;
-      RECT 3.270000  2.125000 3.585000 2.255000 ;
-      RECT 3.305000  2.075000 3.585000 2.125000 ;
-      RECT 3.330000  0.535000 3.500000 0.995000 ;
-      RECT 3.330000  0.995000 4.200000 1.165000 ;
-      RECT 3.395000  2.015000 3.605000 2.045000 ;
-      RECT 3.395000  2.045000 3.585000 2.075000 ;
-      RECT 3.415000  1.990000 3.605000 2.015000 ;
-      RECT 3.420000  1.975000 3.605000 1.990000 ;
-      RECT 3.430000  1.960000 3.605000 1.975000 ;
-      RECT 3.435000  1.165000 4.200000 1.325000 ;
-      RECT 3.435000  1.325000 3.605000 1.960000 ;
-      RECT 3.735000  0.085000 4.070000 0.530000 ;
-      RECT 3.755000  2.135000 4.590000 2.635000 ;
-      RECT 3.840000  1.535000 5.890000 1.765000 ;
-      RECT 3.840000  1.765000 4.950000 1.865000 ;
-      RECT 4.240000  0.255000 4.540000 0.655000 ;
-      RECT 4.240000  0.655000 5.890000 0.825000 ;
-      RECT 4.780000  1.865000 4.950000 2.435000 ;
-      RECT 5.120000  0.085000 5.890000 0.485000 ;
-      RECT 5.120000  1.935000 5.890000 2.635000 ;
-      RECT 5.655000  0.825000 5.890000 1.535000 ;
-      RECT 6.580000  0.255000 6.805000 0.995000 ;
-      RECT 6.580000  0.995000 7.395000 1.325000 ;
-      RECT 6.580000  1.325000 6.830000 2.465000 ;
-      RECT 6.975000  0.085000 7.305000 0.465000 ;
-      RECT 7.010000  1.835000 7.305000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.610000  1.445000 0.780000 1.615000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.070000  1.785000 1.240000 1.955000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.470000  1.445000 2.640000 1.615000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 2.925000  1.785000 3.095000 1.955000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.415000 0.840000 1.460000 ;
-      RECT 0.550000 1.460000 2.700000 1.600000 ;
-      RECT 0.550000 1.600000 0.840000 1.645000 ;
-      RECT 1.010000 1.755000 1.300000 1.800000 ;
-      RECT 1.010000 1.800000 3.155000 1.940000 ;
-      RECT 1.010000 1.940000 1.300000 1.985000 ;
-      RECT 2.410000 1.415000 2.700000 1.460000 ;
-      RECT 2.410000 1.600000 2.700000 1.645000 ;
-      RECT 2.865000 1.755000 3.155000 1.800000 ;
-      RECT 2.865000 1.940000 3.155000 1.985000 ;
-  END
-END sky130_fd_sc_hd__dlrbp_1
-MACRO sky130_fd_sc_hd__o211ai_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o211ai_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.820000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.400000 1.075000 1.410000 1.330000 ;
-        RECT 0.965000 1.330000 1.410000 1.515000 ;
-        RECT 0.965000 1.515000 3.630000 1.685000 ;
-        RECT 3.350000 0.995000 3.630000 1.515000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.705000 1.075000 3.180000 1.345000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.800000 0.995000 4.975000 1.410000 ;
-        RECT 4.260000 1.410000 4.975000 1.515000 ;
-        RECT 4.260000 1.515000 7.000000 1.685000 ;
-        RECT 6.830000 0.995000 7.000000 1.515000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.370000 1.075000 6.440000 1.345000 ;
-    END
-  END C1
-  PIN Y
-    ANTENNADIFFAREA  2.001000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.805000 1.855000 7.680000 2.025000 ;
-        RECT 1.805000 2.025000 3.470000 2.105000 ;
-        RECT 4.045000 2.025000 7.680000 2.105000 ;
-        RECT 5.280000 0.270000 6.735000 0.450000 ;
-        RECT 6.565000 0.450000 6.735000 0.655000 ;
-        RECT 6.565000 0.655000 7.350000 0.825000 ;
-        RECT 7.170000 0.825000 7.350000 1.340000 ;
-        RECT 7.170000 1.340000 7.680000 1.855000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.820000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.010000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.820000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.820000 0.085000 ;
-      RECT 0.000000  2.635000 7.820000 2.805000 ;
-      RECT 0.090000  1.665000 0.385000 2.635000 ;
-      RECT 0.155000  0.535000 0.355000 0.625000 ;
-      RECT 0.155000  0.625000 1.240000 0.695000 ;
-      RECT 0.155000  0.695000 3.835000 0.795000 ;
-      RECT 0.155000  0.795000 3.130000 0.865000 ;
-      RECT 0.155000  0.865000 1.795000 0.905000 ;
-      RECT 0.525000  0.085000 0.855000 0.445000 ;
-      RECT 0.555000  1.860000 0.775000 1.935000 ;
-      RECT 0.555000  1.935000 1.635000 2.105000 ;
-      RECT 0.555000  2.105000 0.775000 2.190000 ;
-      RECT 0.955000  2.275000 1.285000 2.635000 ;
-      RECT 1.025000  0.425000 1.240000 0.625000 ;
-      RECT 1.455000  2.105000 1.635000 2.275000 ;
-      RECT 1.455000  2.275000 3.435000 2.465000 ;
-      RECT 1.465000  0.085000 1.635000 0.525000 ;
-      RECT 1.775000  0.625000 3.835000 0.695000 ;
-      RECT 2.245000  0.085000 2.575000 0.445000 ;
-      RECT 3.105000  0.085000 3.435000 0.445000 ;
-      RECT 3.605000  0.255000 4.920000 0.455000 ;
-      RECT 3.605000  0.455000 3.835000 0.625000 ;
-      RECT 3.615000  2.195000 3.885000 2.635000 ;
-      RECT 4.005000  0.635000 6.170000 0.815000 ;
-      RECT 4.435000  2.275000 4.765000 2.635000 ;
-      RECT 5.280000  2.275000 5.610000 2.635000 ;
-      RECT 6.120000  2.275000 6.455000 2.635000 ;
-      RECT 6.980000  0.310000 7.680000 0.480000 ;
-      RECT 7.355000  2.275000 7.685000 2.635000 ;
-      RECT 7.510000  0.480000 7.680000 0.595000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.070000  0.425000 1.240000 0.595000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.510000  0.425000 7.680000 0.595000 ;
-    LAYER met1 ;
-      RECT 1.010000 0.395000 1.300000 0.440000 ;
-      RECT 1.010000 0.440000 7.740000 0.580000 ;
-      RECT 1.010000 0.580000 1.300000 0.625000 ;
-      RECT 7.450000 0.395000 7.740000 0.440000 ;
-      RECT 7.450000 0.580000 7.740000 0.625000 ;
-  END
-END sky130_fd_sc_hd__o211ai_4
-MACRO sky130_fd_sc_hd__o211ai_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o211ai_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.600000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.505000 1.075000 4.455000 1.245000 ;
-        RECT 3.560000 1.245000 4.455000 1.295000 ;
-        RECT 4.115000 0.765000 4.455000 1.075000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.365000 1.075000 3.335000 1.355000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.045000 1.075000 1.905000 1.365000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.375000 1.970000 ;
-    END
-  END C1
-  PIN Y
-    ANTENNADIFFAREA  1.022000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.545000 0.670000 0.875000 1.540000 ;
-        RECT 0.545000 1.540000 3.155000 1.710000 ;
-        RECT 0.545000 1.710000 0.805000 2.465000 ;
-        RECT 1.475000 1.710000 1.665000 2.465000 ;
-        RECT 2.825000 1.710000 3.155000 2.125000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.600000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.790000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.600000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.600000 0.085000 ;
-      RECT 0.000000  2.635000 4.600000 2.805000 ;
-      RECT 0.095000  0.255000 2.165000 0.445000 ;
-      RECT 0.115000  2.175000 0.375000 2.635000 ;
-      RECT 0.975000  1.915000 1.305000 2.635000 ;
-      RECT 1.045000  0.445000 2.165000 0.465000 ;
-      RECT 1.045000  0.465000 1.235000 0.890000 ;
-      RECT 1.405000  0.635000 3.945000 0.845000 ;
-      RECT 1.835000  1.915000 2.165000 2.635000 ;
-      RECT 2.395000  0.085000 2.725000 0.445000 ;
-      RECT 2.395000  2.100000 2.655000 2.295000 ;
-      RECT 2.395000  2.295000 3.515000 2.465000 ;
-      RECT 3.255000  0.085000 3.585000 0.445000 ;
-      RECT 3.325000  1.525000 4.445000 1.695000 ;
-      RECT 3.325000  1.695000 3.515000 2.295000 ;
-      RECT 3.685000  1.865000 4.015000 2.635000 ;
-      RECT 3.755000  0.515000 3.945000 0.635000 ;
-      RECT 4.115000  0.085000 4.445000 0.445000 ;
-      RECT 4.185000  1.695000 4.445000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o211ai_2
-MACRO sky130_fd_sc_hd__o211ai_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o211ai_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.395000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.605000 0.995000 0.980000 1.325000 ;
-        RECT 0.605000 1.325000 0.775000 2.250000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.300000 0.995000 1.795000 1.325000 ;
-        RECT 1.470000 1.325000 1.795000 1.615000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.970000 1.075000 2.300000 1.615000 ;
-    END
-  END C1
-  PIN Y
-    ANTENNADIFFAREA  1.418250 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.945000 1.595000 1.275000 1.815000 ;
-        RECT 0.945000 1.815000 2.675000 2.045000 ;
-        RECT 0.945000 2.045000 1.275000 2.445000 ;
-        RECT 1.965000 0.255000 2.675000 0.845000 ;
-        RECT 1.975000 2.045000 2.675000 2.465000 ;
-        RECT 2.470000 0.845000 2.675000 1.815000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.095000  0.255000 0.425000 0.615000 ;
-      RECT 0.095000  0.615000 1.455000 0.825000 ;
-      RECT 0.095000  1.575000 0.425000 2.635000 ;
-      RECT 0.595000  0.085000 0.925000 0.445000 ;
-      RECT 1.125000  0.255000 1.455000 0.615000 ;
-      RECT 1.445000  2.275000 1.775000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o211ai_1
-MACRO sky130_fd_sc_hd__and3_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__and3_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.765000 0.470000 1.245000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.895000 2.125000 1.370000 2.465000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.065000 0.305000 1.295000 0.750000 ;
-        RECT 1.065000 0.750000 1.475000 1.245000 ;
-    END
-  END C
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.970000 1.795000 2.245000 2.465000 ;
-        RECT 1.980000 0.255000 2.230000 0.715000 ;
-        RECT 2.060000 0.715000 2.230000 0.925000 ;
-        RECT 2.060000 0.925000 2.675000 1.445000 ;
-        RECT 2.075000 1.445000 2.245000 1.795000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.085000  2.130000 0.715000 2.635000 ;
-      RECT 0.100000  1.425000 1.890000 1.595000 ;
-      RECT 0.100000  1.595000 0.355000 1.960000 ;
-      RECT 0.105000  0.305000 0.895000 0.570000 ;
-      RECT 0.525000  1.765000 0.855000 1.955000 ;
-      RECT 0.525000  1.955000 0.715000 2.130000 ;
-      RECT 0.640000  0.570000 0.895000 1.425000 ;
-      RECT 1.080000  1.595000 1.330000 1.890000 ;
-      RECT 1.475000  0.085000 1.805000 0.580000 ;
-      RECT 1.555000  1.790000 1.770000 2.635000 ;
-      RECT 1.660000  0.995000 1.890000 1.425000 ;
-      RECT 2.400000  0.085000 2.675000 0.745000 ;
-      RECT 2.415000  1.625000 2.675000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__and3_2
-MACRO sky130_fd_sc_hd__and3_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__and3_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.300000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.635000 0.635000 1.020000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.865000 2.125000 1.345000 2.465000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.145000 0.305000 1.365000 0.790000 ;
-        RECT 1.145000 0.790000 1.475000 1.215000 ;
-    END
-  END C
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.940000 1.765000 2.215000 2.465000 ;
-        RECT 1.955000 0.255000 2.215000 0.735000 ;
-        RECT 2.045000 0.735000 2.215000 1.765000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.300000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.490000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.300000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.300000 0.085000 ;
-      RECT 0.000000  2.635000 2.300000 2.805000 ;
-      RECT 0.085000  0.295000 0.975000 0.465000 ;
-      RECT 0.085000  1.190000 0.975000 1.260000 ;
-      RECT 0.085000  1.260000 0.980000 1.285000 ;
-      RECT 0.085000  1.285000 0.990000 1.300000 ;
-      RECT 0.085000  1.300000 0.995000 1.315000 ;
-      RECT 0.085000  1.315000 1.005000 1.320000 ;
-      RECT 0.085000  1.320000 1.010000 1.330000 ;
-      RECT 0.085000  1.330000 1.015000 1.340000 ;
-      RECT 0.085000  1.340000 1.025000 1.345000 ;
-      RECT 0.085000  1.345000 1.035000 1.355000 ;
-      RECT 0.085000  1.355000 1.045000 1.360000 ;
-      RECT 0.085000  1.360000 0.345000 1.810000 ;
-      RECT 0.085000  1.980000 0.700000 2.080000 ;
-      RECT 0.085000  2.080000 0.690000 2.635000 ;
-      RECT 0.515000  1.710000 0.845000 1.955000 ;
-      RECT 0.515000  1.955000 0.700000 1.980000 ;
-      RECT 0.710000  1.360000 1.045000 1.365000 ;
-      RECT 0.710000  1.365000 1.060000 1.370000 ;
-      RECT 0.710000  1.370000 1.075000 1.380000 ;
-      RECT 0.710000  1.380000 1.100000 1.385000 ;
-      RECT 0.710000  1.385000 1.875000 1.390000 ;
-      RECT 0.740000  1.390000 1.875000 1.425000 ;
-      RECT 0.775000  1.425000 1.875000 1.450000 ;
-      RECT 0.805000  0.465000 0.975000 1.190000 ;
-      RECT 0.805000  1.450000 1.875000 1.480000 ;
-      RECT 0.825000  1.480000 1.875000 1.510000 ;
-      RECT 0.845000  1.510000 1.875000 1.540000 ;
-      RECT 0.915000  1.540000 1.875000 1.550000 ;
-      RECT 0.940000  1.550000 1.875000 1.560000 ;
-      RECT 0.960000  1.560000 1.875000 1.575000 ;
-      RECT 0.980000  1.575000 1.875000 1.590000 ;
-      RECT 0.985000  1.590000 1.770000 1.600000 ;
-      RECT 1.000000  1.600000 1.770000 1.635000 ;
-      RECT 1.015000  1.635000 1.770000 1.885000 ;
-      RECT 1.515000  2.090000 1.770000 2.635000 ;
-      RECT 1.535000  0.085000 1.785000 0.625000 ;
-      RECT 1.645000  0.990000 1.875000 1.385000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-  END
-END sky130_fd_sc_hd__and3_1
-MACRO sky130_fd_sc_hd__and3_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__and3_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.115000 0.995000 0.875000 1.340000 ;
-        RECT 0.115000 1.340000 0.365000 2.335000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.065000 0.745000 1.355000 1.340000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.525000 0.995000 1.900000 1.325000 ;
-    END
-  END C
-  PIN X
-    ANTENNADIFFAREA  0.924000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.450000 0.515000 2.640000 0.615000 ;
-        RECT 2.450000 0.615000 4.055000 0.845000 ;
-        RECT 2.450000 1.535000 4.055000 1.760000 ;
-        RECT 2.450000 1.760000 2.640000 2.465000 ;
-        RECT 3.310000 0.255000 3.500000 0.615000 ;
-        RECT 3.310000 1.760000 4.055000 1.765000 ;
-        RECT 3.310000 1.765000 3.500000 2.465000 ;
-        RECT 3.775000 0.845000 4.055000 1.535000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.465000  0.255000 0.800000 0.375000 ;
-      RECT 0.465000  0.375000 1.725000 0.565000 ;
-      RECT 0.465000  0.565000 0.800000 0.805000 ;
-      RECT 0.545000  1.580000 2.280000 1.750000 ;
-      RECT 0.545000  1.750000 0.725000 2.465000 ;
-      RECT 0.895000  1.935000 1.345000 2.635000 ;
-      RECT 1.520000  1.750000 1.700000 2.465000 ;
-      RECT 1.535000  0.565000 1.725000 0.615000 ;
-      RECT 1.535000  0.615000 2.280000 0.805000 ;
-      RECT 1.905000  0.085000 2.235000 0.445000 ;
-      RECT 1.910000  1.935000 2.240000 2.635000 ;
-      RECT 2.070000  0.805000 2.280000 1.020000 ;
-      RECT 2.070000  1.020000 3.605000 1.355000 ;
-      RECT 2.070000  1.355000 2.280000 1.580000 ;
-      RECT 2.810000  0.085000 3.140000 0.445000 ;
-      RECT 2.810000  1.935000 3.140000 2.635000 ;
-      RECT 3.670000  0.085000 4.000000 0.445000 ;
-      RECT 3.670000  1.935000 4.000000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__and3_4
-MACRO sky130_fd_sc_hd__lpflow_clkbufkapwr_8
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_clkbufkapwr_8 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.060000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.426000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.715000 0.400000 1.325000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  1.590400 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.420000 0.280000 1.680000 0.735000 ;
-        RECT 1.420000 0.735000 4.730000 0.905000 ;
-        RECT 1.420000 1.495000 4.730000 1.735000 ;
-        RECT 1.420000 1.735000 1.680000 2.460000 ;
-        RECT 2.280000 0.280000 2.540000 0.735000 ;
-        RECT 2.280000 1.735000 2.540000 2.460000 ;
-        RECT 3.140000 0.280000 3.400000 0.735000 ;
-        RECT 3.140000 1.735000 3.400000 2.460000 ;
-        RECT 3.760000 0.905000 4.730000 1.495000 ;
-        RECT 4.000000 0.280000 4.260000 0.735000 ;
-        RECT 4.000000 1.735000 4.260000 2.460000 ;
-    END
-  END X
-  PIN KAPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 1.525000 0.390000 2.465000 ;
-      LAYER mcon ;
-        RECT 0.175000 2.125000 0.345000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 0.990000 1.525000 1.250000 2.465000 ;
-      LAYER mcon ;
-        RECT 1.035000 2.125000 1.205000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 1.850000 1.905000 2.110000 2.465000 ;
-      LAYER mcon ;
-        RECT 1.890000 2.125000 2.060000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 2.710000 1.905000 2.970000 2.465000 ;
-      LAYER mcon ;
-        RECT 2.740000 2.125000 2.910000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 3.570000 1.905000 3.830000 2.465000 ;
-      LAYER mcon ;
-        RECT 3.620000 2.125000 3.790000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 4.430000 1.905000 4.725000 2.465000 ;
-      LAYER mcon ;
-        RECT 4.480000 2.125000 4.650000 2.295000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 2.140000 4.990000 2.340000 ;
-        RECT 0.115000 2.080000 0.405000 2.140000 ;
-        RECT 0.975000 2.080000 1.265000 2.140000 ;
-        RECT 1.830000 2.080000 2.120000 2.140000 ;
-        RECT 2.680000 2.080000 2.970000 2.140000 ;
-        RECT 3.560000 2.080000 3.850000 2.140000 ;
-        RECT 4.420000 2.080000 4.710000 2.140000 ;
-    END
-  END KAPWR
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.060000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.250000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.060000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.060000 0.085000 ;
-      RECT 0.000000  2.635000 5.060000 2.805000 ;
-      RECT 0.145000  0.085000 0.390000 0.545000 ;
-      RECT 0.570000  0.265000 0.820000 1.075000 ;
-      RECT 0.570000  1.075000 3.590000 1.325000 ;
-      RECT 0.570000  1.325000 0.820000 2.460000 ;
-      RECT 0.990000  0.085000 1.250000 0.610000 ;
-      RECT 1.850000  0.085000 2.110000 0.565000 ;
-      RECT 2.710000  0.085000 2.970000 0.565000 ;
-      RECT 3.570000  0.085000 3.830000 0.565000 ;
-      RECT 4.430000  0.085000 4.730000 0.565000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_clkbufkapwr_8
-MACRO sky130_fd_sc_hd__lpflow_clkbufkapwr_16
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_clkbufkapwr_16 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.200000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.852000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.765000 0.400000 1.325000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  3.180800 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.280000 0.280000 2.540000 0.735000 ;
-        RECT 2.280000 0.735000 9.025000 0.905000 ;
-        RECT 2.315000 1.495000 9.025000 1.720000 ;
-        RECT 2.315000 1.720000 7.685000 1.735000 ;
-        RECT 2.315000 1.735000 2.540000 2.460000 ;
-        RECT 3.140000 0.280000 3.400000 0.735000 ;
-        RECT 3.140000 1.735000 3.400000 2.460000 ;
-        RECT 4.000000 0.280000 4.260000 0.735000 ;
-        RECT 4.000000 1.735000 4.260000 2.460000 ;
-        RECT 4.845000 0.280000 5.120000 0.735000 ;
-        RECT 4.860000 1.735000 5.120000 2.460000 ;
-        RECT 5.705000 0.280000 5.965000 0.735000 ;
-        RECT 5.705000 1.735000 5.965000 2.460000 ;
-        RECT 6.565000 0.280000 6.825000 0.735000 ;
-        RECT 6.565000 1.735000 6.825000 2.460000 ;
-        RECT 7.425000 0.280000 7.685000 0.735000 ;
-        RECT 7.425000 1.735000 7.685000 2.460000 ;
-        RECT 7.860000 0.905000 9.025000 1.495000 ;
-        RECT 8.295000 0.280000 8.555000 0.735000 ;
-        RECT 8.295000 1.720000 8.585000 2.460000 ;
-    END
-  END X
-  PIN KAPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 1.495000 0.425000 2.465000 ;
-      LAYER mcon ;
-        RECT 0.175000 2.125000 0.345000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 0.955000 1.495000 1.285000 2.465000 ;
-      LAYER mcon ;
-        RECT 1.035000 2.125000 1.205000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 1.815000 1.495000 2.145000 2.465000 ;
-      LAYER mcon ;
-        RECT 1.890000 2.125000 2.060000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 2.710000 1.905000 2.970000 2.465000 ;
-      LAYER mcon ;
-        RECT 2.740000 2.125000 2.910000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 3.570000 1.905000 3.830000 2.465000 ;
-      LAYER mcon ;
-        RECT 3.620000 2.125000 3.790000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 4.430000 1.905000 4.690000 2.465000 ;
-      LAYER mcon ;
-        RECT 4.480000 2.125000 4.650000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 5.290000 1.905000 5.535000 2.465000 ;
-      LAYER mcon ;
-        RECT 5.335000 2.125000 5.505000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 6.150000 1.905000 6.395000 2.465000 ;
-      LAYER mcon ;
-        RECT 6.195000 2.125000 6.365000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 7.010000 1.905000 7.255000 2.465000 ;
-      LAYER mcon ;
-        RECT 7.050000 2.125000 7.220000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 7.870000 1.905000 8.125000 2.465000 ;
-      LAYER mcon ;
-        RECT 7.900000 2.125000 8.070000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 8.755000 1.890000 9.025000 2.465000 ;
-      LAYER mcon ;
-        RECT 8.780000 2.125000 8.950000 2.295000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 2.140000 9.130000 2.340000 ;
-        RECT 0.115000 2.080000 0.405000 2.140000 ;
-        RECT 0.975000 2.080000 1.265000 2.140000 ;
-        RECT 1.830000 2.080000 2.120000 2.140000 ;
-        RECT 2.680000 2.080000 2.970000 2.140000 ;
-        RECT 3.560000 2.080000 3.850000 2.140000 ;
-        RECT 4.420000 2.080000 4.710000 2.140000 ;
-        RECT 5.275000 2.080000 5.565000 2.140000 ;
-        RECT 6.135000 2.080000 6.425000 2.140000 ;
-        RECT 6.990000 2.080000 7.280000 2.140000 ;
-        RECT 7.840000 2.080000 8.130000 2.140000 ;
-        RECT 8.720000 2.080000 9.010000 2.140000 ;
-    END
-  END KAPWR
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.200000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.390000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.200000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.200000 0.085000 ;
-      RECT 0.000000  2.635000 9.200000 2.805000 ;
-      RECT 0.085000  0.085000 0.390000 0.595000 ;
-      RECT 0.595000  0.265000 0.820000 1.075000 ;
-      RECT 0.595000  1.075000 7.690000 1.325000 ;
-      RECT 0.595000  1.325000 0.785000 2.465000 ;
-      RECT 0.990000  0.085000 1.250000 0.610000 ;
-      RECT 1.430000  0.265000 1.680000 1.075000 ;
-      RECT 1.455000  1.325000 1.645000 2.460000 ;
-      RECT 1.850000  0.085000 2.110000 0.645000 ;
-      RECT 2.710000  0.085000 2.970000 0.565000 ;
-      RECT 3.570000  0.085000 3.830000 0.565000 ;
-      RECT 4.430000  0.085000 4.675000 0.565000 ;
-      RECT 5.290000  0.085000 5.535000 0.565000 ;
-      RECT 6.145000  0.085000 6.395000 0.565000 ;
-      RECT 7.005000  0.085000 7.255000 0.565000 ;
-      RECT 7.865000  0.085000 8.125000 0.565000 ;
-      RECT 8.725000  0.085000 9.025000 0.565000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_clkbufkapwr_16
-MACRO sky130_fd_sc_hd__lpflow_clkbufkapwr_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_clkbufkapwr_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.380000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.196500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.945000 0.985000 1.275000 1.355000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.340600 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.255000 0.345000 0.760000 ;
-        RECT 0.085000 0.760000 0.255000 1.560000 ;
-        RECT 0.085000 1.560000 0.355000 2.465000 ;
-    END
-  END X
-  PIN KAPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 0.525000 1.875000 0.855000 2.465000 ;
-      LAYER mcon ;
-        RECT 0.610000 2.125000 0.780000 2.295000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 2.140000 1.310000 2.340000 ;
-        RECT 0.550000 2.080000 0.840000 2.140000 ;
-    END
-  END KAPWR
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.380000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 1.065000 -0.085000 1.235000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 1.570000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.380000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.380000 0.085000 ;
-      RECT 0.000000  2.635000 1.380000 2.805000 ;
-      RECT 0.425000  1.060000 0.710000 1.390000 ;
-      RECT 0.525000  0.085000 0.855000 0.465000 ;
-      RECT 0.540000  0.635000 1.205000 0.805000 ;
-      RECT 0.540000  0.805000 0.710000 1.060000 ;
-      RECT 0.540000  1.390000 0.710000 1.535000 ;
-      RECT 0.540000  1.535000 1.205000 1.705000 ;
-      RECT 1.035000  0.255000 1.205000 0.635000 ;
-      RECT 1.035000  1.705000 1.205000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_clkbufkapwr_1
-MACRO sky130_fd_sc_hd__lpflow_clkbufkapwr_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_clkbufkapwr_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.213000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.425000 0.755000 0.775000 1.325000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.795200 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.010000 0.345000 1.305000 0.735000 ;
-        RECT 1.010000 0.735000 2.660000 0.905000 ;
-        RECT 1.025000 1.835000 2.165000 1.965000 ;
-        RECT 1.025000 1.965000 1.390000 1.970000 ;
-        RECT 1.025000 1.970000 1.385000 1.975000 ;
-        RECT 1.025000 1.975000 1.370000 1.980000 ;
-        RECT 1.025000 1.980000 1.330000 2.000000 ;
-        RECT 1.025000 2.000000 1.325000 2.005000 ;
-        RECT 1.025000 2.005000 1.265000 2.465000 ;
-        RECT 1.185000 1.825000 2.165000 1.835000 ;
-        RECT 1.195000 1.820000 2.165000 1.825000 ;
-        RECT 1.205000 1.815000 2.165000 1.820000 ;
-        RECT 1.215000 1.805000 2.165000 1.815000 ;
-        RECT 1.245000 1.785000 2.165000 1.805000 ;
-        RECT 1.270000 1.750000 2.165000 1.785000 ;
-        RECT 1.905000 0.345000 2.165000 0.735000 ;
-        RECT 1.905000 1.415000 2.660000 1.585000 ;
-        RECT 1.905000 1.585000 2.165000 1.750000 ;
-        RECT 1.935000 1.965000 2.165000 2.465000 ;
-        RECT 2.255000 0.905000 2.660000 1.415000 ;
-    END
-  END X
-  PIN KAPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 0.525000 1.835000 0.855000 2.465000 ;
-      LAYER mcon ;
-        RECT 0.610000 2.125000 0.780000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 1.435000 2.140000 1.765000 2.465000 ;
-        RECT 2.335000 1.765000 2.620000 2.465000 ;
-      LAYER mcon ;
-        RECT 1.495000 2.140000 1.665000 2.310000 ;
-        RECT 2.375000 2.125000 2.545000 2.295000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 2.140000 2.690000 2.340000 ;
-        RECT 0.550000 2.080000 0.840000 2.140000 ;
-        RECT 1.435000 2.080000 1.725000 2.140000 ;
-        RECT 2.315000 2.080000 2.605000 2.140000 ;
-    END
-  END KAPWR
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.085000  0.255000 0.385000 0.585000 ;
-      RECT 0.085000  0.585000 0.255000 1.495000 ;
-      RECT 0.085000  1.495000 1.115000 1.665000 ;
-      RECT 0.085000  1.665000 0.355000 2.465000 ;
-      RECT 0.555000  0.085000 0.830000 0.565000 ;
-      RECT 0.945000  1.075000 2.085000 1.245000 ;
-      RECT 0.945000  1.245000 1.115000 1.495000 ;
-      RECT 1.475000  0.085000 1.730000 0.565000 ;
-      RECT 2.335000  0.085000 2.615000 0.565000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_clkbufkapwr_4
-MACRO sky130_fd_sc_hd__lpflow_clkbufkapwr_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_clkbufkapwr_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.840000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.213000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.425000 0.745000 0.785000 1.240000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.383400 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.040000 0.255000 1.245000 0.655000 ;
-        RECT 1.040000 0.655000 1.725000 0.825000 ;
-        RECT 1.060000 1.750000 1.725000 1.970000 ;
-        RECT 1.060000 1.970000 1.245000 2.435000 ;
-        RECT 1.385000 0.825000 1.725000 1.750000 ;
-    END
-  END X
-  PIN KAPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 0.525000 1.855000 0.855000 2.465000 ;
-      LAYER mcon ;
-        RECT 0.610000 2.125000 0.780000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 1.415000 2.140000 1.750000 2.465000 ;
-      LAYER mcon ;
-        RECT 1.495000 2.140000 1.665000 2.310000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 2.140000 1.770000 2.340000 ;
-        RECT 0.550000 2.080000 0.840000 2.140000 ;
-        RECT 1.435000 2.080000 1.725000 2.140000 ;
-    END
-  END KAPWR
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.840000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.030000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.840000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.840000 0.085000 ;
-      RECT 0.000000  2.635000 1.840000 2.805000 ;
-      RECT 0.085000  0.255000 0.345000 0.585000 ;
-      RECT 0.085000  0.585000 0.255000 1.410000 ;
-      RECT 0.085000  1.410000 1.215000 1.580000 ;
-      RECT 0.085000  1.580000 0.355000 2.435000 ;
-      RECT 0.555000  0.085000 0.830000 0.565000 ;
-      RECT 0.965000  0.995000 1.215000 1.410000 ;
-      RECT 1.415000  0.085000 1.750000 0.485000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_clkbufkapwr_2
-MACRO sky130_fd_sc_hd__o31a_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o31a_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.905000 0.995000 1.295000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.480000 0.995000 1.725000 1.325000 ;
-        RECT 1.525000 1.325000 1.725000 2.125000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.925000 0.995000 2.175000 2.125000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.390000 0.995000 2.795000 1.325000 ;
-    END
-  END B1
-  PIN X
-    ANTENNADIFFAREA  0.594000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.265000 0.525000 0.825000 ;
-        RECT 0.085000 0.825000 0.395000 1.835000 ;
-        RECT 0.085000 1.835000 0.525000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.565000  0.995000 0.735000 1.445000 ;
-      RECT 0.565000  1.445000 1.355000 1.615000 ;
-      RECT 0.695000  0.085000 1.145000 0.825000 ;
-      RECT 0.700000  1.785000 1.015000 2.635000 ;
-      RECT 1.185000  1.615000 1.355000 2.295000 ;
-      RECT 1.185000  2.295000 2.615000 2.465000 ;
-      RECT 1.315000  0.255000 1.485000 0.655000 ;
-      RECT 1.315000  0.655000 2.475000 0.825000 ;
-      RECT 1.655000  0.085000 2.075000 0.485000 ;
-      RECT 2.245000  0.255000 2.475000 0.655000 ;
-      RECT 2.365000  1.495000 3.135000 1.665000 ;
-      RECT 2.365000  1.665000 2.615000 2.295000 ;
-      RECT 2.645000  0.255000 3.135000 0.825000 ;
-      RECT 2.795000  1.835000 3.125000 2.635000 ;
-      RECT 2.965000  0.825000 3.135000 1.495000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o31a_1
-MACRO sky130_fd_sc_hd__o31a_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o31a_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.440000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.140000 1.055000 5.470000 1.360000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.265000 1.055000 4.970000 1.360000 ;
-        RECT 4.680000 1.360000 4.970000 1.530000 ;
-        RECT 4.680000 1.530000 6.355000 1.700000 ;
-        RECT 5.640000 1.055000 6.355000 1.530000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.765000 1.055000 4.095000 1.360000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.780000 1.055000 3.575000 1.355000 ;
-        RECT 2.780000 1.355000 3.150000 1.695000 ;
-    END
-  END B1
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.715000 1.765000 0.885000 ;
-        RECT 0.085000 0.885000 0.735000 1.460000 ;
-        RECT 0.085000 1.460000 1.750000 1.665000 ;
-        RECT 0.680000 0.255000 0.895000 0.655000 ;
-        RECT 0.680000 0.655000 1.765000 0.715000 ;
-        RECT 0.680000 1.665000 0.895000 2.465000 ;
-        RECT 1.565000 0.255000 1.765000 0.655000 ;
-        RECT 1.565000 1.665000 1.750000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 6.440000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.125000 -0.085000 0.295000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.630000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.440000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.440000 0.085000 ;
-      RECT 0.000000  2.635000 6.440000 2.805000 ;
-      RECT 0.085000  0.085000 0.510000 0.545000 ;
-      RECT 0.085000  1.835000 0.510000 2.635000 ;
-      RECT 0.905000  1.055000 2.610000 1.290000 ;
-      RECT 1.065000  0.085000 1.395000 0.485000 ;
-      RECT 1.065000  1.835000 1.395000 2.635000 ;
-      RECT 1.920000  1.460000 2.250000 2.635000 ;
-      RECT 1.935000  0.085000 2.250000 0.885000 ;
-      RECT 2.440000  0.255000 3.570000 0.465000 ;
-      RECT 2.440000  0.635000 3.210000 0.885000 ;
-      RECT 2.440000  0.885000 2.610000 1.055000 ;
-      RECT 2.440000  1.290000 2.610000 1.870000 ;
-      RECT 2.440000  1.870000 4.090000 2.070000 ;
-      RECT 2.440000  2.070000 2.610000 2.465000 ;
-      RECT 2.780000  2.240000 3.110000 2.635000 ;
-      RECT 3.320000  1.530000 4.510000 1.700000 ;
-      RECT 3.380000  0.465000 3.570000 0.635000 ;
-      RECT 3.380000  0.635000 6.355000 0.885000 ;
-      RECT 3.760000  0.085000 4.090000 0.445000 ;
-      RECT 3.760000  2.070000 4.090000 2.465000 ;
-      RECT 4.260000  0.255000 4.430000 0.635000 ;
-      RECT 4.260000  1.700000 4.510000 2.465000 ;
-      RECT 4.600000  0.085000 4.930000 0.445000 ;
-      RECT 4.680000  1.870000 5.720000 2.070000 ;
-      RECT 4.680000  2.070000 4.850000 2.465000 ;
-      RECT 5.020000  2.240000 5.350000 2.635000 ;
-      RECT 5.100000  0.255000 5.270000 0.635000 ;
-      RECT 5.440000  0.085000 5.770000 0.445000 ;
-      RECT 5.520000  2.070000 5.720000 2.465000 ;
-      RECT 5.890000  1.870000 6.355000 2.465000 ;
-      RECT 5.940000  0.255000 6.355000 0.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.125000 4.455000 2.295000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.125000 6.295000 2.295000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-    LAYER met1 ;
-      RECT 4.225000 2.095000 4.515000 2.140000 ;
-      RECT 4.225000 2.140000 6.355000 2.280000 ;
-      RECT 4.225000 2.280000 4.515000 2.325000 ;
-      RECT 6.065000 2.095000 6.355000 2.140000 ;
-      RECT 6.065000 2.280000 6.355000 2.325000 ;
-  END
-END sky130_fd_sc_hd__o31a_4
-MACRO sky130_fd_sc_hd__o31a_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o31a_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.370000 0.995000 1.760000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.945000 0.995000 2.190000 1.325000 ;
-        RECT 1.990000 1.325000 2.190000 2.125000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.390000 0.995000 2.640000 2.125000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.855000 0.995000 3.255000 1.325000 ;
-    END
-  END B1
-  PIN X
-    ANTENNADIFFAREA  0.577500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.860000 1.295000 ;
-        RECT 0.550000 0.265000 0.990000 0.825000 ;
-        RECT 0.550000 0.825000 0.860000 1.075000 ;
-        RECT 0.550000 1.295000 0.860000 1.835000 ;
-        RECT 0.550000 1.835000 0.990000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.085000  0.085000 0.380000 0.905000 ;
-      RECT 0.085000  1.465000 0.380000 2.635000 ;
-      RECT 1.030000  0.995000 1.200000 1.445000 ;
-      RECT 1.030000  1.445000 1.820000 1.615000 ;
-      RECT 1.160000  0.085000 1.610000 0.825000 ;
-      RECT 1.165000  1.785000 1.480000 2.635000 ;
-      RECT 1.650000  1.615000 1.820000 2.295000 ;
-      RECT 1.650000  2.295000 3.080000 2.465000 ;
-      RECT 1.780000  0.255000 1.950000 0.655000 ;
-      RECT 1.780000  0.655000 2.940000 0.825000 ;
-      RECT 2.120000  0.085000 2.540000 0.485000 ;
-      RECT 2.710000  0.255000 2.940000 0.655000 ;
-      RECT 2.830000  1.495000 3.595000 1.665000 ;
-      RECT 2.830000  1.665000 3.080000 2.295000 ;
-      RECT 3.110000  0.255000 3.595000 0.825000 ;
-      RECT 3.255000  1.835000 3.590000 2.635000 ;
-      RECT 3.425000  0.825000 3.595000 1.495000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o31a_2
-MACRO sky130_fd_sc_hd__o2bb2a_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o2bb2a_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.360000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1_N
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.315000 1.075000 3.645000 1.445000 ;
-        RECT 3.315000 1.445000 4.965000 1.615000 ;
-        RECT 4.605000 1.075000 4.965000 1.445000 ;
-    END
-  END A1_N
-  PIN A2_N
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.815000 1.075000 4.435000 1.275000 ;
-    END
-  END A2_N
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.575000 1.445000 ;
-        RECT 0.085000 1.445000 1.895000 1.615000 ;
-        RECT 1.565000 1.075000 1.895000 1.445000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.805000 1.075000 1.345000 1.275000 ;
-    END
-  END B2
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.235000 0.275000 5.565000 0.725000 ;
-        RECT 5.235000 0.725000 6.910000 0.905000 ;
-        RECT 5.275000 1.785000 6.365000 1.955000 ;
-        RECT 5.275000 1.955000 5.525000 2.465000 ;
-        RECT 6.075000 0.275000 6.405000 0.725000 ;
-        RECT 6.115000 1.415000 6.910000 1.655000 ;
-        RECT 6.115000 1.655000 6.365000 1.785000 ;
-        RECT 6.115000 1.955000 6.365000 2.465000 ;
-        RECT 6.605000 0.905000 6.910000 1.415000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.360000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.550000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.360000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.360000 0.085000 ;
-      RECT 0.000000  2.635000 7.360000 2.805000 ;
-      RECT 0.095000  0.255000 0.425000 0.725000 ;
-      RECT 0.095000  0.725000 1.265000 0.735000 ;
-      RECT 0.095000  0.735000 2.025000 0.905000 ;
-      RECT 0.140000  1.795000 0.345000 2.635000 ;
-      RECT 0.555000  1.785000 0.805000 2.295000 ;
-      RECT 0.555000  2.295000 1.645000 2.465000 ;
-      RECT 0.595000  0.085000 0.765000 0.555000 ;
-      RECT 0.935000  0.255000 1.265000 0.725000 ;
-      RECT 0.975000  1.785000 2.615000 1.955000 ;
-      RECT 0.975000  1.955000 1.225000 2.125000 ;
-      RECT 1.395000  2.125000 1.645000 2.295000 ;
-      RECT 1.435000  0.085000 1.605000 0.555000 ;
-      RECT 1.775000  0.255000 2.945000 0.475000 ;
-      RECT 1.775000  0.475000 2.025000 0.735000 ;
-      RECT 1.815000  2.125000 2.065000 2.635000 ;
-      RECT 2.065000  1.075000 2.445000 1.415000 ;
-      RECT 2.065000  1.415000 2.615000 1.785000 ;
-      RECT 2.195000  0.645000 2.525000 0.815000 ;
-      RECT 2.195000  0.815000 2.445000 1.075000 ;
-      RECT 2.235000  1.955000 2.615000 1.965000 ;
-      RECT 2.235000  1.965000 2.525000 2.465000 ;
-      RECT 2.615000  1.075000 3.145000 1.245000 ;
-      RECT 2.695000  2.135000 3.425000 2.635000 ;
-      RECT 2.955000  0.725000 4.305000 0.905000 ;
-      RECT 2.955000  0.905000 3.145000 1.075000 ;
-      RECT 2.955000  1.245000 3.145000 1.785000 ;
-      RECT 2.955000  1.785000 4.685000 1.965000 ;
-      RECT 3.215000  0.085000 3.385000 0.555000 ;
-      RECT 3.555000  0.305000 4.725000 0.475000 ;
-      RECT 3.595000  1.965000 3.845000 2.125000 ;
-      RECT 3.975000  0.645000 4.305000 0.725000 ;
-      RECT 4.015000  2.135000 4.265000 2.635000 ;
-      RECT 4.435000  1.965000 4.685000 2.465000 ;
-      RECT 4.475000  0.475000 4.725000 0.895000 ;
-      RECT 4.855000  1.795000 5.105000 2.635000 ;
-      RECT 4.895000  0.085000 5.065000 0.895000 ;
-      RECT 5.165000  1.075000 6.435000 1.245000 ;
-      RECT 5.165000  1.245000 5.455000 1.615000 ;
-      RECT 5.695000  2.165000 5.945000 2.635000 ;
-      RECT 5.735000  0.085000 5.905000 0.555000 ;
-      RECT 6.535000  1.825000 6.785000 2.635000 ;
-      RECT 6.575000  0.085000 6.745000 0.555000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  1.445000 2.615000 1.615000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.225000  1.445000 5.395000 1.615000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-    LAYER met1 ;
-      RECT 2.385000 1.415000 2.675000 1.460000 ;
-      RECT 2.385000 1.460000 5.455000 1.600000 ;
-      RECT 2.385000 1.600000 2.675000 1.645000 ;
-      RECT 5.165000 1.415000 5.455000 1.460000 ;
-      RECT 5.165000 1.600000 5.455000 1.645000 ;
-  END
-END sky130_fd_sc_hd__o2bb2a_4
-MACRO sky130_fd_sc_hd__o2bb2a_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o2bb2a_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.770000 1.075000 1.220000 1.275000 ;
-    END
-  END A1_N
-  PIN A2_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.070000 0.380000 1.290000 0.735000 ;
-        RECT 1.070000 0.735000 1.565000 0.905000 ;
-        RECT 1.390000 0.905000 1.565000 1.100000 ;
-    END
-  END A2_N
-  PIN B1
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.250000 1.075000 3.595000 1.645000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.520000 1.075000 3.080000 1.325000 ;
-        RECT 2.905000 1.325000 3.080000 2.425000 ;
-    END
-  END B2
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.255000 0.425000 0.825000 ;
-        RECT 0.085000 0.825000 0.260000 1.795000 ;
-        RECT 0.085000 1.795000 0.345000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.430000  0.995000 0.600000 1.445000 ;
-      RECT 0.430000  1.445000 0.825000 1.615000 ;
-      RECT 0.515000  2.235000 0.845000 2.635000 ;
-      RECT 0.620000  0.085000 0.790000 0.750000 ;
-      RECT 0.655000  1.615000 0.825000 1.885000 ;
-      RECT 0.655000  1.885000 2.735000 2.055000 ;
-      RECT 0.995000  1.495000 2.010000 1.715000 ;
-      RECT 1.460000  0.395000 1.905000 0.565000 ;
-      RECT 1.715000  2.235000 2.115000 2.635000 ;
-      RECT 1.735000  0.565000 1.905000 1.355000 ;
-      RECT 1.735000  1.355000 2.010000 1.495000 ;
-      RECT 2.075000  0.320000 2.325000 0.690000 ;
-      RECT 2.155000  0.690000 2.325000 1.075000 ;
-      RECT 2.155000  1.075000 2.350000 1.245000 ;
-      RECT 2.180000  1.245000 2.350000 1.495000 ;
-      RECT 2.180000  1.495000 2.735000 1.885000 ;
-      RECT 2.405000  2.055000 2.735000 2.290000 ;
-      RECT 2.495000  0.320000 2.745000 0.725000 ;
-      RECT 2.495000  0.725000 3.595000 0.905000 ;
-      RECT 2.915000  0.085000 3.085000 0.555000 ;
-      RECT 3.250000  1.815000 3.595000 2.635000 ;
-      RECT 3.255000  0.320000 3.595000 0.725000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o2bb2a_1
-MACRO sky130_fd_sc_hd__o2bb2a_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o2bb2a_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1_N
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.215000 1.075000 1.685000 1.275000 ;
-    END
-  END A1_N
-  PIN A2_N
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.515000 0.380000 1.735000 0.735000 ;
-        RECT 1.515000 0.735000 2.020000 0.770000 ;
-        RECT 1.515000 0.770000 2.025000 0.905000 ;
-        RECT 1.855000 0.905000 2.025000 1.100000 ;
-    END
-  END A2_N
-  PIN B1
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.700000 1.075000 4.045000 1.645000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.970000 1.075000 3.525000 1.325000 ;
-        RECT 3.355000 1.325000 3.525000 2.425000 ;
-    END
-  END B2
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.535000 0.255000 0.870000 0.825000 ;
-        RECT 0.535000 0.825000 0.705000 1.795000 ;
-        RECT 0.535000 1.795000 0.790000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.135000 -0.085000 0.305000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.110000  0.085000 0.365000 0.910000 ;
-      RECT 0.110000  1.410000 0.365000 2.635000 ;
-      RECT 0.875000  0.995000 1.045000 1.445000 ;
-      RECT 0.875000  1.445000 1.270000 1.615000 ;
-      RECT 0.960000  2.235000 1.290000 2.635000 ;
-      RECT 1.065000  0.085000 1.235000 0.750000 ;
-      RECT 1.100000  1.615000 1.270000 1.885000 ;
-      RECT 1.100000  1.885000 3.185000 2.055000 ;
-      RECT 1.440000  1.495000 2.460000 1.715000 ;
-      RECT 1.905000  0.395000 2.365000 0.565000 ;
-      RECT 2.160000  2.235000 2.565000 2.635000 ;
-      RECT 2.195000  0.565000 2.365000 1.355000 ;
-      RECT 2.195000  1.355000 2.460000 1.495000 ;
-      RECT 2.535000  0.320000 2.780000 0.690000 ;
-      RECT 2.610000  0.690000 2.780000 1.075000 ;
-      RECT 2.610000  1.075000 2.800000 1.245000 ;
-      RECT 2.630000  1.245000 2.800000 1.495000 ;
-      RECT 2.630000  1.495000 3.185000 1.885000 ;
-      RECT 2.835000  2.055000 3.185000 2.425000 ;
-      RECT 2.955000  0.320000 3.185000 0.725000 ;
-      RECT 2.955000  0.725000 4.045000 0.905000 ;
-      RECT 3.375000  0.085000 3.545000 0.555000 ;
-      RECT 3.715000  0.320000 4.045000 0.725000 ;
-      RECT 3.730000  1.815000 4.045000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o2bb2a_2
-MACRO sky130_fd_sc_hd__lpflow_bleeder_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_bleeder_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN SHORT
-    ANTENNAGATEAREA  0.270000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.275000 1.040000 1.975000 1.730000 ;
-    END
-  END SHORT
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.285000  0.085000 0.615000 0.870000 ;
-      RECT 2.145000  0.540000 2.475000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_bleeder_1
-MACRO sky130_fd_sc_hd__o21bai_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o21bai_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.195000 1.075000 2.675000 1.285000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.525000 1.075000 2.025000 1.285000 ;
-    END
-  END A2
-  PIN B1_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.535000 1.345000 ;
-        RECT 0.085000 1.345000 0.355000 2.445000 ;
-    END
-  END B1_N
-  PIN Y
-    ANTENNADIFFAREA  0.474000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.115000 0.255000 1.285000 0.645000 ;
-        RECT 1.115000 0.645000 1.355000 0.825000 ;
-        RECT 1.185000 0.825000 1.355000 1.455000 ;
-        RECT 1.185000 1.455000 1.795000 1.625000 ;
-        RECT 1.470000 1.625000 1.795000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.085000  0.085000 0.360000 0.825000 ;
-      RECT 0.525000  1.535000 1.015000 1.705000 ;
-      RECT 0.525000  1.705000 0.800000 2.210000 ;
-      RECT 0.580000  0.495000 0.770000 0.655000 ;
-      RECT 0.580000  0.655000 0.890000 0.825000 ;
-      RECT 0.720000  0.825000 0.890000 0.995000 ;
-      RECT 0.720000  0.995000 1.015000 1.535000 ;
-      RECT 0.970000  1.875000 1.300000 2.635000 ;
-      RECT 1.490000  0.255000 1.820000 0.485000 ;
-      RECT 1.570000  0.485000 1.740000 0.735000 ;
-      RECT 1.570000  0.735000 2.665000 0.905000 ;
-      RECT 1.995000  0.085000 2.165000 0.555000 ;
-      RECT 2.270000  1.535000 2.645000 2.635000 ;
-      RECT 2.335000  0.270000 2.665000 0.735000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o21bai_1
-MACRO sky130_fd_sc_hd__o21bai_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o21bai_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.260000 1.075000 4.055000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.950000 1.075000 3.090000 1.275000 ;
-    END
-  END A2
-  PIN B1_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.525000 1.325000 ;
-    END
-  END B1_N
-  PIN Y
-    ANTENNADIFFAREA  0.715500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.085000 1.445000 2.650000 1.615000 ;
-        RECT 1.085000 1.615000 1.255000 2.465000 ;
-        RECT 1.525000 0.645000 1.855000 0.905000 ;
-        RECT 1.525000 0.905000 1.780000 1.445000 ;
-        RECT 2.405000 1.615000 2.650000 2.125000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.180000  0.085000 0.350000 0.825000 ;
-      RECT 0.180000  1.495000 0.865000 1.665000 ;
-      RECT 0.180000  1.665000 0.350000 1.915000 ;
-      RECT 0.585000  1.875000 0.915000 2.635000 ;
-      RECT 0.600000  0.445000 0.865000 0.825000 ;
-      RECT 0.695000  0.825000 0.865000 1.075000 ;
-      RECT 0.695000  1.075000 1.335000 1.245000 ;
-      RECT 0.695000  1.245000 0.865000 1.495000 ;
-      RECT 1.075000  0.255000 2.275000 0.475000 ;
-      RECT 1.075000  0.475000 1.355000 0.905000 ;
-      RECT 1.470000  1.795000 1.720000 2.635000 ;
-      RECT 1.955000  1.795000 2.235000 2.295000 ;
-      RECT 1.955000  2.295000 3.035000 2.465000 ;
-      RECT 2.025000  0.475000 2.275000 0.725000 ;
-      RECT 2.025000  0.725000 3.980000 0.905000 ;
-      RECT 2.445000  0.085000 2.615000 0.555000 ;
-      RECT 2.785000  0.255000 3.115000 0.725000 ;
-      RECT 2.865000  1.455000 3.980000 1.665000 ;
-      RECT 2.865000  1.665000 3.035000 2.295000 ;
-      RECT 3.205000  1.835000 3.535000 2.635000 ;
-      RECT 3.285000  0.085000 3.455000 0.555000 ;
-      RECT 3.625000  0.265000 3.980000 0.725000 ;
-      RECT 3.705000  1.665000 3.980000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o21bai_2
-MACRO sky130_fd_sc_hd__o21bai_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o21bai_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.900000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.645000 1.075000 6.810000 1.285000 ;
-        RECT 6.585000 1.285000 6.810000 2.455000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.065000 1.075000 4.475000 1.275000 ;
-    END
-  END A2
-  PIN B1_N
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.555000 1.285000 ;
-    END
-  END B1_N
-  PIN Y
-    ANTENNADIFFAREA  1.431000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.065000 1.455000 4.315000 1.625000 ;
-        RECT 1.065000 1.625000 1.275000 2.465000 ;
-        RECT 1.420000 0.645000 2.675000 0.815000 ;
-        RECT 1.865000 1.625000 2.115000 2.465000 ;
-        RECT 2.445000 0.815000 2.675000 1.075000 ;
-        RECT 2.445000 1.075000 2.895000 1.445000 ;
-        RECT 2.445000 1.445000 4.315000 1.455000 ;
-        RECT 3.225000 1.625000 3.475000 2.125000 ;
-        RECT 4.065000 1.625000 4.315000 2.125000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 6.900000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.090000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.900000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.900000 0.085000 ;
-      RECT 0.000000  2.635000 6.900000 2.805000 ;
-      RECT 0.145000  1.455000 0.895000 1.625000 ;
-      RECT 0.145000  1.625000 0.475000 2.435000 ;
-      RECT 0.225000  0.085000 0.395000 0.895000 ;
-      RECT 0.565000  0.290000 0.895000 0.895000 ;
-      RECT 0.645000  1.795000 0.855000 2.635000 ;
-      RECT 0.725000  0.895000 0.895000 1.075000 ;
-      RECT 0.725000  1.075000 2.275000 1.285000 ;
-      RECT 0.725000  1.285000 0.895000 1.455000 ;
-      RECT 1.080000  0.305000 3.095000 0.475000 ;
-      RECT 1.445000  1.795000 1.695000 2.635000 ;
-      RECT 2.285000  1.795000 2.535000 2.635000 ;
-      RECT 2.775000  1.795000 3.055000 2.295000 ;
-      RECT 2.775000  2.295000 4.735000 2.465000 ;
-      RECT 2.845000  0.475000 3.095000 0.725000 ;
-      RECT 2.845000  0.725000 6.455000 0.905000 ;
-      RECT 3.265000  0.085000 3.435000 0.555000 ;
-      RECT 3.605000  0.255000 3.935000 0.725000 ;
-      RECT 3.645000  1.795000 3.895000 2.295000 ;
-      RECT 4.105000  0.085000 4.275000 0.555000 ;
-      RECT 4.445000  0.255000 4.775000 0.725000 ;
-      RECT 4.485000  1.455000 6.415000 1.625000 ;
-      RECT 4.485000  1.625000 4.735000 2.295000 ;
-      RECT 4.905000  1.795000 5.155000 2.635000 ;
-      RECT 4.945000  0.085000 5.115000 0.555000 ;
-      RECT 5.285000  0.255000 5.615000 0.725000 ;
-      RECT 5.325000  1.625000 5.575000 2.465000 ;
-      RECT 5.745000  1.795000 5.995000 2.635000 ;
-      RECT 5.785000  0.085000 5.955000 0.555000 ;
-      RECT 6.125000  0.255000 6.455000 0.725000 ;
-      RECT 6.165000  1.625000 6.415000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o21bai_4
-MACRO sky130_fd_sc_hd__nor2b_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nor2b_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.300000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.960000 1.065000 1.325000 1.325000 ;
-    END
-  END A
-  PIN B_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.100000 0.725000 0.325000 1.325000 ;
-    END
-  END B_N
-  PIN Y
-    ANTENNADIFFAREA  0.435500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.235000 0.255000 1.565000 0.725000 ;
-        RECT 1.235000 0.725000 2.215000 0.895000 ;
-        RECT 1.655000 1.850000 2.215000 2.465000 ;
-        RECT 2.035000 0.895000 2.215000 1.850000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.300000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.490000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.300000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.300000 0.085000 ;
-      RECT 0.000000  2.635000 2.300000 2.805000 ;
-      RECT 0.330000  0.370000 0.675000 0.545000 ;
-      RECT 0.415000  1.510000 1.705000 1.680000 ;
-      RECT 0.415000  1.680000 0.675000 1.905000 ;
-      RECT 0.495000  0.545000 0.675000 1.510000 ;
-      RECT 0.855000  0.085000 1.065000 0.895000 ;
-      RECT 0.875000  1.855000 1.205000 2.635000 ;
-      RECT 1.535000  1.075000 1.865000 1.245000 ;
-      RECT 1.535000  1.245000 1.705000 1.510000 ;
-      RECT 1.735000  0.085000 2.120000 0.555000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nor2b_1
-MACRO sky130_fd_sc_hd__nor2b_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nor2b_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.480000 1.065000 0.920000 1.275000 ;
-    END
-  END A
-  PIN B_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.600000 1.065000 3.125000 1.275000 ;
-        RECT 2.910000 1.275000 3.125000 1.965000 ;
-    END
-  END B_N
-  PIN Y
-    ANTENNADIFFAREA  0.621000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.535000 0.255000 0.865000 0.725000 ;
-        RECT 0.535000 0.725000 1.705000 0.895000 ;
-        RECT 1.375000 0.255000 1.705000 0.725000 ;
-        RECT 1.415000 0.895000 1.665000 2.125000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.085000  0.085000 0.365000 0.895000 ;
-      RECT 0.085000  1.445000 1.245000 1.655000 ;
-      RECT 0.085000  1.655000 0.405000 2.465000 ;
-      RECT 0.575000  1.825000 0.825000 2.635000 ;
-      RECT 0.995000  1.655000 1.245000 2.295000 ;
-      RECT 0.995000  2.295000 2.125000 2.465000 ;
-      RECT 1.035000  0.085000 1.205000 0.555000 ;
-      RECT 1.835000  1.445000 2.090000 1.890000 ;
-      RECT 1.835000  1.890000 2.125000 2.295000 ;
-      RECT 1.875000  0.085000 2.045000 0.895000 ;
-      RECT 1.875000  1.075000 2.430000 1.245000 ;
-      RECT 2.215000  0.725000 2.565000 0.895000 ;
-      RECT 2.215000  0.895000 2.430000 1.075000 ;
-      RECT 2.260000  1.245000 2.430000 1.445000 ;
-      RECT 2.260000  1.445000 2.565000 1.615000 ;
-      RECT 2.395000  0.445000 2.565000 0.725000 ;
-      RECT 2.395000  1.615000 2.565000 2.460000 ;
-      RECT 2.775000  0.085000 3.030000 0.845000 ;
-      RECT 2.775000  2.145000 3.025000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nor2b_2
-MACRO sky130_fd_sc_hd__nor2b_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nor2b_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.060000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.360000 1.075000 1.800000 1.275000 ;
-    END
-  END A
-  PIN B_N
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.445000 1.075000 4.975000 1.320000 ;
-    END
-  END B_N
-  PIN Y
-    ANTENNADIFFAREA  1.242000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.535000 0.255000 0.865000 0.725000 ;
-        RECT 0.535000 0.725000 3.385000 0.905000 ;
-        RECT 1.375000 0.255000 1.705000 0.725000 ;
-        RECT 2.215000 0.255000 2.545000 0.725000 ;
-        RECT 2.295000 0.905000 2.625000 1.445000 ;
-        RECT 2.295000 1.445000 3.305000 1.745000 ;
-        RECT 2.295000 1.745000 2.465000 2.125000 ;
-        RECT 3.055000 0.255000 3.385000 0.725000 ;
-        RECT 3.135000 1.745000 3.305000 2.125000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.060000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.250000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.060000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.060000 0.085000 ;
-      RECT 0.000000  2.635000 5.060000 2.805000 ;
-      RECT 0.085000  0.085000 0.365000 0.905000 ;
-      RECT 0.085000  1.455000 2.125000 1.665000 ;
-      RECT 0.085000  1.665000 0.365000 2.465000 ;
-      RECT 0.535000  1.835000 0.865000 2.635000 ;
-      RECT 1.035000  0.085000 1.205000 0.555000 ;
-      RECT 1.035000  1.665000 1.205000 2.465000 ;
-      RECT 1.375000  1.835000 1.625000 2.635000 ;
-      RECT 1.795000  1.665000 2.125000 2.295000 ;
-      RECT 1.795000  2.295000 3.855000 2.465000 ;
-      RECT 1.875000  0.085000 2.045000 0.555000 ;
-      RECT 2.635000  1.935000 2.965000 2.295000 ;
-      RECT 2.715000  0.085000 2.885000 0.555000 ;
-      RECT 2.795000  1.075000 4.275000 1.275000 ;
-      RECT 3.475000  1.575000 3.855000 2.295000 ;
-      RECT 3.555000  0.085000 3.845000 0.905000 ;
-      RECT 4.025000  0.255000 4.355000 0.815000 ;
-      RECT 4.025000  0.815000 4.275000 1.075000 ;
-      RECT 4.025000  1.275000 4.275000 1.575000 ;
-      RECT 4.025000  1.575000 4.355000 2.465000 ;
-      RECT 4.525000  0.085000 4.815000 0.905000 ;
-      RECT 4.525000  1.495000 4.930000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nor2b_4
-MACRO sky130_fd_sc_hd__o32ai_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o32ai_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  10.12000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 8.290000 1.075000 10.035000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.090000 1.075000 7.260000 1.275000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.770000 1.075000 5.380000 1.275000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.205000 1.075000 3.540000 1.275000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.110000 1.075000 1.685000 1.275000 ;
-    END
-  END B2
-  PIN Y
-    ANTENNADIFFAREA  1.782000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 0.655000 3.380000 0.905000 ;
-        RECT 0.515000 1.495000 5.580000 1.665000 ;
-        RECT 0.515000 1.665000 0.845000 2.085000 ;
-        RECT 1.355000 1.665000 1.700000 2.085000 ;
-        RECT 1.855000 0.905000 2.035000 1.495000 ;
-        RECT 4.410000 1.665000 4.740000 2.085000 ;
-        RECT 5.250000 1.665000 5.580000 2.085000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 10.120000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 10.310000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 10.120000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 10.120000 0.085000 ;
-      RECT 0.000000  2.635000 10.120000 2.805000 ;
-      RECT 0.090000  0.255000  3.800000 0.465000 ;
-      RECT 0.090000  0.465000  0.345000 0.905000 ;
-      RECT 0.090000  1.495000  0.345000 2.255000 ;
-      RECT 0.090000  2.255000  2.040000 2.465000 ;
-      RECT 1.015000  1.835000  1.185000 2.255000 ;
-      RECT 1.870000  1.835000  3.800000 2.005000 ;
-      RECT 1.870000  2.005000  2.040000 2.255000 ;
-      RECT 2.210000  2.175000  2.540000 2.635000 ;
-      RECT 2.710000  2.005000  2.880000 2.425000 ;
-      RECT 3.050000  2.175000  3.380000 2.635000 ;
-      RECT 3.550000  0.465000  3.800000 0.735000 ;
-      RECT 3.550000  0.735000 10.035000 0.905000 ;
-      RECT 3.550000  2.005000  3.800000 2.465000 ;
-      RECT 3.970000  0.085000  4.140000 0.545000 ;
-      RECT 3.990000  1.835000  4.240000 2.255000 ;
-      RECT 3.990000  2.255000  7.680000 2.465000 ;
-      RECT 4.310000  0.255000  4.640000 0.735000 ;
-      RECT 4.810000  0.085000  5.140000 0.545000 ;
-      RECT 4.910000  1.835000  5.080000 2.255000 ;
-      RECT 5.310000  0.255000  5.980000 0.735000 ;
-      RECT 5.750000  1.835000  5.920000 2.255000 ;
-      RECT 6.090000  1.495000  9.460000 1.665000 ;
-      RECT 6.090000  1.665000  6.420000 2.085000 ;
-      RECT 6.170000  0.085000  6.340000 0.545000 ;
-      RECT 6.510000  0.255000  6.840000 0.735000 ;
-      RECT 6.590000  1.835000  6.760000 2.255000 ;
-      RECT 6.930000  1.665000  7.260000 2.085000 ;
-      RECT 7.010000  0.085000  7.180000 0.545000 ;
-      RECT 7.350000  0.255000  8.040000 0.735000 ;
-      RECT 7.430000  1.835000  7.680000 2.255000 ;
-      RECT 7.870000  1.835000  8.120000 2.635000 ;
-      RECT 8.290000  1.665000  8.620000 2.465000 ;
-      RECT 8.370000  0.085000  8.540000 0.545000 ;
-      RECT 8.710000  0.255000  9.040000 0.735000 ;
-      RECT 8.790000  1.835000  8.960000 2.635000 ;
-      RECT 9.130000  1.665000  9.460000 2.465000 ;
-      RECT 9.210000  0.085000  9.470000 0.545000 ;
-      RECT 9.630000  1.495000 10.035000 2.635000 ;
-      RECT 9.645000  0.255000 10.035000 0.735000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-      RECT 9.805000 -0.085000 9.975000 0.085000 ;
-      RECT 9.805000  2.635000 9.975000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o32ai_4
-MACRO sky130_fd_sc_hd__o32ai_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o32ai_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.575000 0.995000 3.135000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.930000 0.995000 2.225000 2.465000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.410000 0.995000 1.700000 1.615000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.685000 0.345000 0.995000 ;
-        RECT 0.090000 0.995000 0.360000 1.325000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.870000 0.995000 1.240000 1.615000 ;
-    END
-  END B2
-  PIN Y
-    ANTENNADIFFAREA  0.821250 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 0.655000 0.845000 0.825000 ;
-        RECT 0.530000 0.825000 0.700000 1.785000 ;
-        RECT 0.530000 1.785000 1.545000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.090000  0.255000 1.345000 0.485000 ;
-      RECT 0.090000  1.495000 0.360000 2.635000 ;
-      RECT 1.015000  0.485000 1.345000 0.655000 ;
-      RECT 1.015000  0.655000 2.525000 0.825000 ;
-      RECT 1.515000  0.085000 2.185000 0.485000 ;
-      RECT 2.355000  0.375000 2.525000 0.655000 ;
-      RECT 2.695000  0.085000 3.135000 0.825000 ;
-      RECT 2.695000  1.495000 3.135000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o32ai_1
-MACRO sky130_fd_sc_hd__o32ai_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o32ai_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.980000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.750000 1.075000 5.865000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.370000 1.075000 4.480000 1.325000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.405000 1.075000 3.065000 1.325000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.015000 1.075000 1.705000 1.325000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 1.075000 0.845000 1.325000 ;
-    END
-  END B2
-  PIN Y
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 0.655000 2.045000 0.905000 ;
-        RECT 0.515000 1.495000 3.105000 1.665000 ;
-        RECT 0.515000 1.665000 0.845000 2.095000 ;
-        RECT 1.875000 0.905000 2.045000 1.105000 ;
-        RECT 1.875000 1.105000 2.170000 1.495000 ;
-        RECT 2.775000 1.665000 3.105000 2.085000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.980000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.170000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.980000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.980000 0.085000 ;
-      RECT 0.000000  2.635000 5.980000 2.805000 ;
-      RECT 0.090000  0.255000 2.405000 0.485000 ;
-      RECT 0.090000  0.485000 0.345000 0.905000 ;
-      RECT 0.090000  1.495000 0.345000 2.295000 ;
-      RECT 0.090000  2.295000 1.265000 2.465000 ;
-      RECT 1.015000  1.835000 2.105000 2.005000 ;
-      RECT 1.015000  2.005000 1.265000 2.295000 ;
-      RECT 1.435000  2.175000 1.605000 2.635000 ;
-      RECT 1.775000  2.005000 2.105000 2.455000 ;
-      RECT 2.235000  0.485000 2.405000 0.715000 ;
-      RECT 2.235000  0.715000 5.755000 0.905000 ;
-      RECT 2.335000  1.835000 2.585000 2.255000 ;
-      RECT 2.335000  2.255000 4.385000 2.445000 ;
-      RECT 2.620000  0.085000 2.950000 0.545000 ;
-      RECT 3.135000  0.255000 3.465000 0.715000 ;
-      RECT 3.275000  1.495000 3.445000 2.255000 ;
-      RECT 3.615000  1.495000 5.325000 1.665000 ;
-      RECT 3.615000  1.665000 3.945000 2.085000 ;
-      RECT 3.635000  0.085000 3.805000 0.545000 ;
-      RECT 4.055000  0.255000 4.725000 0.715000 ;
-      RECT 4.135000  1.835000 4.385000 2.255000 ;
-      RECT 4.620000  1.835000 4.825000 2.635000 ;
-      RECT 4.905000  0.085000 5.235000 0.545000 ;
-      RECT 4.995000  1.665000 5.325000 2.460000 ;
-      RECT 5.425000  0.255000 5.755000 0.715000 ;
-      RECT 5.495000  1.495000 5.715000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o32ai_2
-MACRO sky130_fd_sc_hd__a2111oi_0
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a2111oi_0 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.035000 1.070000 2.625000 1.400000 ;
-        RECT 2.355000 0.660000 2.625000 1.070000 ;
-        RECT 2.355000 1.400000 2.625000 1.735000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.795000 0.650000 3.135000 1.735000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.495000 1.055000 1.845000 1.735000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.955000 1.055000 1.325000 2.360000 ;
-    END
-  END C1
-  PIN D1
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.730000 0.435000 1.655000 ;
-    END
-  END D1
-  PIN Y
-    ANTENNADIFFAREA  0.424000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.360000 1.825000 0.785000 2.465000 ;
-        RECT 0.605000 0.635000 2.040000 0.885000 ;
-        RECT 0.605000 0.885000 0.785000 1.825000 ;
-        RECT 0.785000 0.255000 1.040000 0.615000 ;
-        RECT 0.785000 0.615000 2.040000 0.635000 ;
-        RECT 1.710000 0.280000 2.040000 0.615000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.285000  0.085000 0.615000 0.465000 ;
-      RECT 1.210000  0.085000 1.540000 0.445000 ;
-      RECT 1.540000  1.905000 2.870000 2.085000 ;
-      RECT 1.540000  2.085000 1.870000 2.465000 ;
-      RECT 2.040000  2.255000 2.370000 2.635000 ;
-      RECT 2.470000  0.085000 2.800000 0.480000 ;
-      RECT 2.540000  2.085000 2.870000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a2111oi_0
-MACRO sky130_fd_sc_hd__a2111oi_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a2111oi_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.520000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.465000 0.985000 3.715000 1.445000 ;
-        RECT 3.465000 1.445000 5.290000 1.675000 ;
-        RECT 4.895000 0.995000 5.290000 1.445000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.970000 1.015000 4.725000 1.275000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.185000 1.030000 2.855000 1.275000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.125000 1.045000 0.455000 1.445000 ;
-        RECT 0.125000 1.445000 1.800000 1.680000 ;
-        RECT 1.615000 1.030000 1.975000 1.275000 ;
-        RECT 1.615000 1.275000 1.800000 1.445000 ;
-    END
-  END C1
-  PIN D1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.755000 1.075000 1.425000 1.275000 ;
-    END
-  END D1
-  PIN Y
-    ANTENNADIFFAREA  1.212750 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.120000 0.255000 0.380000 0.615000 ;
-        RECT 0.120000 0.615000 5.355000 0.805000 ;
-        RECT 0.120000 0.805000 3.255000 0.845000 ;
-        RECT 0.900000 1.850000 2.140000 2.105000 ;
-        RECT 1.050000 0.255000 1.295000 0.615000 ;
-        RECT 1.965000 0.255000 2.295000 0.615000 ;
-        RECT 1.970000 1.445000 3.255000 1.625000 ;
-        RECT 1.970000 1.625000 2.140000 1.850000 ;
-        RECT 2.965000 0.275000 3.295000 0.615000 ;
-        RECT 3.025000 0.845000 3.255000 1.445000 ;
-        RECT 5.020000 0.295000 5.355000 0.615000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.520000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.710000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.520000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.520000 0.085000 ;
-      RECT 0.000000  2.635000 5.520000 2.805000 ;
-      RECT 0.100000  1.870000 0.460000 2.275000 ;
-      RECT 0.100000  2.275000 2.185000 2.295000 ;
-      RECT 0.100000  2.295000 2.985000 2.465000 ;
-      RECT 0.550000  0.085000 0.880000 0.445000 ;
-      RECT 1.465000  0.085000 1.795000 0.445000 ;
-      RECT 2.310000  1.795000 3.335000 1.845000 ;
-      RECT 2.310000  1.845000 5.400000 1.965000 ;
-      RECT 2.310000  1.965000 2.640000 2.060000 ;
-      RECT 2.465000  0.085000 2.795000 0.445000 ;
-      RECT 2.815000  2.135000 2.985000 2.295000 ;
-      RECT 3.155000  1.965000 5.400000 2.095000 ;
-      RECT 3.155000  2.095000 3.520000 2.465000 ;
-      RECT 3.690000  2.275000 4.020000 2.635000 ;
-      RECT 4.125000  0.085000 4.455000 0.445000 ;
-      RECT 4.190000  2.095000 5.400000 2.105000 ;
-      RECT 4.190000  2.105000 4.400000 2.465000 ;
-      RECT 4.570000  2.275000 4.900000 2.635000 ;
-      RECT 5.070000  2.105000 5.400000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a2111oi_2
-MACRO sky130_fd_sc_hd__a2111oi_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a2111oi_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  10.12000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.095000 1.020000 7.745000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.960000 1.020000 9.990000 1.275000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.955000 1.020000 5.650000 1.275000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.055000 1.020000 3.745000 1.275000 ;
-    END
-  END C1
-  PIN D1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.495000 1.020000 1.845000 1.275000 ;
-    END
-  END D1
-  PIN Y
-    ANTENNADIFFAREA  2.009500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.145000 0.615000 7.620000 0.785000 ;
-        RECT 0.145000 0.785000 0.320000 1.475000 ;
-        RECT 0.145000 1.475000 1.720000 1.655000 ;
-        RECT 0.530000 1.655000 1.720000 1.685000 ;
-        RECT 0.530000 1.685000 0.860000 2.085000 ;
-        RECT 0.615000 0.455000 0.790000 0.615000 ;
-        RECT 1.390000 1.685000 1.720000 2.085000 ;
-        RECT 1.460000 0.455000 1.650000 0.615000 ;
-        RECT 2.400000 0.455000 2.590000 0.615000 ;
-        RECT 3.260000 0.455000 3.510000 0.615000 ;
-        RECT 4.180000 0.455000 4.420000 0.615000 ;
-        RECT 5.090000 0.455000 5.275000 0.615000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 10.120000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 10.310000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 10.120000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 10.120000 0.085000 ;
-      RECT 0.000000  2.635000 10.120000 2.805000 ;
-      RECT 0.100000  1.835000  0.360000 2.255000 ;
-      RECT 0.100000  2.255000  3.870000 2.445000 ;
-      RECT 0.115000  0.085000  0.445000 0.445000 ;
-      RECT 0.960000  0.085000  1.290000 0.445000 ;
-      RECT 1.030000  1.855000  1.220000 2.255000 ;
-      RECT 1.820000  0.085000  2.230000 0.445000 ;
-      RECT 1.890000  1.855000  2.080000 2.255000 ;
-      RECT 2.250000  1.475000  5.680000 1.655000 ;
-      RECT 2.250000  1.655000  3.440000 1.685000 ;
-      RECT 2.250000  1.685000  2.580000 2.085000 ;
-      RECT 2.750000  1.855000  2.940000 2.255000 ;
-      RECT 2.760000  0.085000  3.090000 0.445000 ;
-      RECT 3.110000  1.685000  3.440000 2.085000 ;
-      RECT 3.610000  1.835000  3.870000 2.255000 ;
-      RECT 3.680000  0.085000  4.010000 0.445000 ;
-      RECT 4.060000  1.835000  4.320000 2.255000 ;
-      RECT 4.060000  2.255000  5.180000 2.275000 ;
-      RECT 4.060000  2.275000  6.050000 2.445000 ;
-      RECT 4.490000  1.655000  5.680000 1.685000 ;
-      RECT 4.490000  1.685000  4.820000 2.085000 ;
-      RECT 4.590000  0.085000  4.920000 0.445000 ;
-      RECT 4.990000  1.855000  5.180000 2.255000 ;
-      RECT 5.350000  1.685000  5.680000 2.085000 ;
-      RECT 5.445000  0.085000  5.780000 0.445000 ;
-      RECT 5.860000  1.445000  9.770000 1.615000 ;
-      RECT 5.860000  1.615000  6.050000 2.275000 ;
-      RECT 5.980000  0.275000  8.075000 0.445000 ;
-      RECT 6.220000  1.785000  6.550000 2.635000 ;
-      RECT 6.720000  1.615000  6.910000 2.315000 ;
-      RECT 7.080000  1.805000  7.410000 2.635000 ;
-      RECT 7.580000  1.615000  9.770000 1.665000 ;
-      RECT 7.580000  1.665000  7.910000 2.315000 ;
-      RECT 7.885000  0.445000  8.075000 0.615000 ;
-      RECT 7.885000  0.615000  9.865000 0.785000 ;
-      RECT 8.080000  1.895000  8.410000 2.635000 ;
-      RECT 8.245000  0.085000  8.575000 0.445000 ;
-      RECT 8.580000  1.665000  9.770000 1.670000 ;
-      RECT 8.580000  1.670000  8.840000 2.290000 ;
-      RECT 8.745000  0.300000  8.935000 0.615000 ;
-      RECT 9.030000  1.915000  9.360000 2.635000 ;
-      RECT 9.105000  0.085000  9.435000 0.445000 ;
-      RECT 9.530000  1.670000  9.770000 2.260000 ;
-      RECT 9.605000  0.290000  9.865000 0.615000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-      RECT 9.805000 -0.085000 9.975000 0.085000 ;
-      RECT 9.805000  2.635000 9.975000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a2111oi_4
-MACRO sky130_fd_sc_hd__a2111oi_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a2111oi_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.440000 0.995000 2.725000 1.400000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.905000 0.350000 3.090000 1.020000 ;
-        RECT 2.905000 1.020000 3.540000 1.290000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.940000 1.050000 2.270000 1.400000 ;
-        RECT 1.940000 1.400000 2.215000 2.455000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.435000 1.050000 1.770000 2.455000 ;
-    END
-  END C1
-  PIN D1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.785000 1.050000 1.235000 2.455000 ;
-    END
-  END D1
-  PIN Y
-    ANTENNADIFFAREA  1.388750 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.145000 0.700000 1.375000 0.705000 ;
-        RECT 0.145000 0.705000 2.420000 0.815000 ;
-        RECT 0.145000 0.815000 2.300000 0.880000 ;
-        RECT 0.145000 0.880000 0.530000 2.460000 ;
-        RECT 1.045000 0.260000 1.375000 0.700000 ;
-        RECT 2.090000 0.305000 2.420000 0.705000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-    PORT
-      LAYER pwell ;
-        RECT 1.975000 -0.065000 2.145000 0.105000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.315000  0.085000 0.630000 0.525000 ;
-      RECT 1.550000  0.085000 1.880000 0.535000 ;
-      RECT 2.395000  1.580000 3.505000 1.750000 ;
-      RECT 2.395000  1.750000 2.625000 2.460000 ;
-      RECT 2.800000  1.920000 3.130000 2.635000 ;
-      RECT 3.270000  0.085000 3.510000 0.760000 ;
-      RECT 3.310000  1.750000 3.505000 2.460000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a2111oi_1
-MACRO sky130_fd_sc_hd__lpflow_clkinvkapwr_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_clkinvkapwr_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  1.152000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.445000 1.065000 2.660000 1.290000 ;
-    END
-  END A
-  PIN Y
-    ANTENNADIFFAREA  1.075200 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.105000 0.725000 3.135000 0.895000 ;
-        RECT 0.105000 0.895000 0.275000 1.460000 ;
-        RECT 0.105000 1.460000 3.135000 1.630000 ;
-        RECT 0.645000 1.630000 0.815000 2.435000 ;
-        RECT 1.030000 0.280000 1.290000 0.725000 ;
-        RECT 1.505000 1.630000 1.675000 2.435000 ;
-        RECT 1.890000 0.280000 2.145000 0.725000 ;
-        RECT 2.365000 1.630000 2.535000 2.435000 ;
-        RECT 2.835000 0.895000 3.135000 1.460000 ;
-    END
-  END Y
-  PIN KAPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 0.135000 1.800000 0.465000 2.465000 ;
-      LAYER mcon ;
-        RECT 0.195000 2.125000 0.365000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 0.995000 1.800000 1.325000 2.465000 ;
-      LAYER mcon ;
-        RECT 1.055000 2.125000 1.225000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 1.855000 1.800000 2.185000 2.465000 ;
-      LAYER mcon ;
-        RECT 1.955000 2.125000 2.125000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 2.715000 1.800000 3.045000 2.465000 ;
-      LAYER mcon ;
-        RECT 2.835000 2.125000 3.005000 2.295000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 2.140000 3.150000 2.340000 ;
-        RECT 0.135000 2.080000 0.425000 2.140000 ;
-        RECT 0.995000 2.080000 1.285000 2.140000 ;
-        RECT 1.895000 2.080000 2.185000 2.140000 ;
-        RECT 2.775000 2.080000 3.065000 2.140000 ;
-    END
-  END KAPWR
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.565000  0.085000 0.860000 0.555000 ;
-      RECT 1.460000  0.085000 1.720000 0.555000 ;
-      RECT 2.315000  0.085000 2.615000 0.555000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_clkinvkapwr_4
-MACRO sky130_fd_sc_hd__lpflow_clkinvkapwr_16
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_clkinvkapwr_16 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  11.04000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  4.608000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.345000 0.895000  2.155000 1.275000 ;
-        RECT 8.930000 0.895000 10.710000 1.275000 ;
-      LAYER mcon ;
-        RECT 1.525000 1.105000 1.695000 1.275000 ;
-        RECT 1.985000 1.105000 2.155000 1.275000 ;
-        RECT 9.345000 1.105000 9.515000 1.275000 ;
-        RECT 9.805000 1.105000 9.975000 1.275000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 1.465000 1.075000  2.215000 1.120000 ;
-        RECT 1.465000 1.120000 10.035000 1.260000 ;
-        RECT 1.465000 1.260000  2.215000 1.305000 ;
-        RECT 9.285000 1.075000 10.035000 1.120000 ;
-        RECT 9.285000 1.260000 10.035000 1.305000 ;
-    END
-  END A
-  PIN Y
-    ANTENNADIFFAREA  4.520900 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT  0.615000 1.455000 10.480000 1.665000 ;
-        RECT  0.615000 1.665000  0.785000 2.465000 ;
-        RECT  1.475000 1.665000  1.645000 2.465000 ;
-        RECT  2.325000 0.280000  2.550000 1.415000 ;
-        RECT  2.325000 1.415000  8.755000 1.455000 ;
-        RECT  2.335000 1.665000  2.505000 2.465000 ;
-        RECT  3.155000 0.280000  3.410000 1.415000 ;
-        RECT  3.195000 1.665000  3.365000 2.465000 ;
-        RECT  4.015000 0.280000  4.255000 1.415000 ;
-        RECT  4.055000 1.665000  4.225000 2.465000 ;
-        RECT  4.905000 0.280000  5.255000 1.415000 ;
-        RECT  5.080000 1.665000  5.250000 2.465000 ;
-        RECT  5.925000 0.280000  6.175000 1.415000 ;
-        RECT  5.965000 1.665000  6.135000 2.465000 ;
-        RECT  6.785000 0.280000  7.035000 1.415000 ;
-        RECT  6.825000 1.665000  6.995000 2.465000 ;
-        RECT  7.645000 0.280000  7.895000 1.415000 ;
-        RECT  7.685000 1.665000  7.855000 2.465000 ;
-        RECT  8.505000 0.280000  8.755000 1.415000 ;
-        RECT  8.545000 1.665000  8.715000 2.465000 ;
-        RECT  9.405000 1.665000  9.575000 2.465000 ;
-        RECT 10.265000 1.665000 10.435000 2.465000 ;
-    END
-  END Y
-  PIN KAPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT  0.110000 1.495000  0.440000 2.465000 ;
-        RECT 10.610000 1.835000 10.940000 2.465000 ;
-      LAYER mcon ;
-        RECT  0.130000 2.125000  0.300000 2.295000 ;
-        RECT 10.720000 2.125000 10.890000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 0.965000 1.835000 1.295000 2.465000 ;
-      LAYER mcon ;
-        RECT 0.990000 2.125000 1.160000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 1.825000 1.835000 2.155000 2.465000 ;
-      LAYER mcon ;
-        RECT 1.890000 2.125000 2.060000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 2.685000 1.835000 3.015000 2.465000 ;
-      LAYER mcon ;
-        RECT 2.770000 2.125000 2.940000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 3.545000 1.835000 3.875000 2.465000 ;
-      LAYER mcon ;
-        RECT 3.690000 2.125000 3.860000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 4.425000 1.835000 4.755000 2.465000 ;
-      LAYER mcon ;
-        RECT 4.550000 2.125000 4.720000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 5.450000 1.835000 5.780000 2.465000 ;
-      LAYER mcon ;
-        RECT 5.450000 2.125000 5.620000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 6.315000 1.835000 6.645000 2.465000 ;
-      LAYER mcon ;
-        RECT 6.370000 2.125000 6.540000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 7.175000 1.835000 7.505000 2.465000 ;
-      LAYER mcon ;
-        RECT 7.230000 2.125000 7.400000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 8.035000 1.835000 8.365000 2.465000 ;
-      LAYER mcon ;
-        RECT 8.130000 2.125000 8.300000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 8.895000 1.835000 9.225000 2.465000 ;
-      LAYER mcon ;
-        RECT 8.960000 2.125000 9.130000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 9.755000 1.835000 10.085000 2.465000 ;
-      LAYER mcon ;
-        RECT 9.820000 2.125000 9.990000 2.295000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT  0.070000 2.080000  0.360000 2.140000 ;
-        RECT  0.070000 2.140000 10.970000 2.340000 ;
-        RECT  0.930000 2.080000  1.220000 2.140000 ;
-        RECT  1.830000 2.080000  2.120000 2.140000 ;
-        RECT  2.710000 2.080000  3.000000 2.140000 ;
-        RECT  3.630000 2.080000  3.920000 2.140000 ;
-        RECT  4.490000 2.080000  4.780000 2.140000 ;
-        RECT  5.390000 2.080000  5.680000 2.140000 ;
-        RECT  6.310000 2.080000  6.600000 2.140000 ;
-        RECT  7.170000 2.080000  7.460000 2.140000 ;
-        RECT  8.070000 2.080000  8.360000 2.140000 ;
-        RECT  8.900000 2.080000  9.190000 2.140000 ;
-        RECT  9.760000 2.080000 10.050000 2.140000 ;
-        RECT 10.660000 2.080000 10.950000 2.140000 ;
-    END
-  END KAPWR
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 11.040000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 11.230000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 11.040000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 11.040000 0.085000 ;
-      RECT 0.000000  2.635000 11.040000 2.805000 ;
-      RECT 1.855000  0.085000  2.125000 0.610000 ;
-      RECT 2.720000  0.085000  2.985000 0.610000 ;
-      RECT 3.580000  0.085000  3.845000 0.610000 ;
-      RECT 4.465000  0.085000  4.730000 0.610000 ;
-      RECT 5.490000  0.085000  5.755000 0.610000 ;
-      RECT 6.350000  0.085000  6.575000 0.610000 ;
-      RECT 7.210000  0.085000  7.475000 0.610000 ;
-      RECT 8.070000  0.085000  8.335000 0.610000 ;
-      RECT 8.930000  0.085000  9.195000 0.610000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_clkinvkapwr_16
-MACRO sky130_fd_sc_hd__lpflow_clkinvkapwr_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_clkinvkapwr_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.380000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.315000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.375000 0.325000 1.325000 ;
-    END
-  END A
-  PIN Y
-    ANTENNADIFFAREA  0.336000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.590000 0.255000 0.840000 0.760000 ;
-        RECT 0.590000 0.760000 1.295000 0.945000 ;
-        RECT 0.595000 0.945000 1.295000 1.290000 ;
-        RECT 0.595000 1.290000 0.765000 2.465000 ;
-    END
-  END Y
-  PIN KAPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.665000 0.425000 2.465000 ;
-      LAYER mcon ;
-        RECT 0.155000 2.125000 0.325000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 0.935000 1.665000 1.295000 2.465000 ;
-      LAYER mcon ;
-        RECT 1.055000 2.125000 1.225000 2.295000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 2.140000 1.310000 2.340000 ;
-        RECT 0.095000 2.080000 0.385000 2.140000 ;
-        RECT 0.995000 2.080000 1.285000 2.140000 ;
-    END
-  END KAPWR
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.380000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 1.570000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.380000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.380000 0.085000 ;
-      RECT 0.000000  2.635000 1.380000 2.805000 ;
-      RECT 1.010000  0.085000 1.295000 0.590000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_clkinvkapwr_1
-MACRO sky130_fd_sc_hd__lpflow_clkinvkapwr_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_clkinvkapwr_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.840000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.576000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.065000 1.305000 1.290000 ;
-    END
-  END A
-  PIN Y
-    ANTENNADIFFAREA  0.662600 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.155000 1.460000 1.755000 1.630000 ;
-        RECT 0.155000 1.630000 0.375000 2.435000 ;
-        RECT 1.025000 0.280000 1.250000 0.725000 ;
-        RECT 1.025000 0.725000 1.755000 0.895000 ;
-        RECT 1.045000 1.630000 1.235000 2.435000 ;
-        RECT 1.475000 0.895000 1.755000 1.460000 ;
-    END
-  END Y
-  PIN KAPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 0.545000 1.800000 0.875000 2.465000 ;
-      LAYER mcon ;
-        RECT 0.600000 2.125000 0.770000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 1.405000 1.800000 1.735000 2.465000 ;
-      LAYER mcon ;
-        RECT 1.500000 2.125000 1.670000 2.295000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 2.140000 1.770000 2.340000 ;
-        RECT 0.540000 2.080000 0.830000 2.140000 ;
-        RECT 1.440000 2.080000 1.730000 2.140000 ;
-    END
-  END KAPWR
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.840000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.030000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.840000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.840000 0.085000 ;
-      RECT 0.000000  2.635000 1.840000 2.805000 ;
-      RECT 0.560000  0.085000 0.855000 0.610000 ;
-      RECT 1.420000  0.085000 1.750000 0.555000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_clkinvkapwr_2
-MACRO sky130_fd_sc_hd__lpflow_clkinvkapwr_8
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_clkinvkapwr_8 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.980000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  2.304000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.455000 1.035000 4.865000 1.290000 ;
-    END
-  END A
-  PIN Y
-    ANTENNADIFFAREA  2.090400 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.115000 0.695000 5.440000 0.865000 ;
-        RECT 0.115000 0.865000 0.285000 1.460000 ;
-        RECT 0.115000 1.460000 5.440000 1.630000 ;
-        RECT 0.595000 1.630000 0.765000 2.435000 ;
-        RECT 1.440000 1.630000 1.610000 2.435000 ;
-        RECT 1.535000 0.280000 1.725000 0.695000 ;
-        RECT 2.280000 1.630000 2.450000 2.435000 ;
-        RECT 2.395000 0.280000 2.585000 0.695000 ;
-        RECT 3.120000 1.630000 3.290000 2.435000 ;
-        RECT 3.255000 0.280000 3.445000 0.695000 ;
-        RECT 3.960000 1.630000 4.130000 2.435000 ;
-        RECT 4.115000 0.280000 4.305000 0.695000 ;
-        RECT 4.800000 1.630000 4.970000 2.435000 ;
-        RECT 5.170000 0.865000 5.440000 1.460000 ;
-    END
-  END Y
-  PIN KAPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 1.800000 0.425000 2.465000 ;
-        RECT 5.140000 1.800000 5.470000 2.465000 ;
-      LAYER mcon ;
-        RECT 0.130000 2.125000 0.300000 2.295000 ;
-        RECT 5.255000 2.125000 5.425000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 0.940000 1.800000 1.270000 2.465000 ;
-      LAYER mcon ;
-        RECT 0.990000 2.125000 1.160000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 1.780000 1.800000 2.110000 2.465000 ;
-      LAYER mcon ;
-        RECT 1.890000 2.125000 2.060000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 2.620000 1.800000 2.950000 2.465000 ;
-      LAYER mcon ;
-        RECT 2.770000 2.125000 2.940000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 3.460000 1.800000 3.790000 2.465000 ;
-      LAYER mcon ;
-        RECT 3.495000 2.125000 3.665000 2.295000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 4.300000 1.800000 4.630000 2.465000 ;
-      LAYER mcon ;
-        RECT 4.355000 2.125000 4.525000 2.295000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 2.080000 0.360000 2.140000 ;
-        RECT 0.070000 2.140000 5.910000 2.340000 ;
-        RECT 0.930000 2.080000 1.220000 2.140000 ;
-        RECT 1.830000 2.080000 2.120000 2.140000 ;
-        RECT 2.710000 2.080000 3.000000 2.140000 ;
-        RECT 3.435000 2.080000 3.725000 2.140000 ;
-        RECT 4.295000 2.080000 4.585000 2.140000 ;
-        RECT 5.195000 2.080000 5.485000 2.140000 ;
-    END
-  END KAPWR
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.980000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.170000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.980000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.980000 0.085000 ;
-      RECT 0.000000  2.635000 5.980000 2.805000 ;
-      RECT 1.035000  0.085000 1.365000 0.525000 ;
-      RECT 1.895000  0.085000 2.225000 0.525000 ;
-      RECT 2.755000  0.085000 3.085000 0.525000 ;
-      RECT 3.615000  0.085000 3.945000 0.525000 ;
-      RECT 4.475000  0.085000 4.805000 0.525000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_clkinvkapwr_8
-MACRO sky130_fd_sc_hd__dlxbp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlxbp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.900000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.355000 0.955000 1.685000 1.325000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.140000 0.255000 5.490000 0.820000 ;
-        RECT 5.140000 1.670000 5.490000 2.455000 ;
-        RECT 5.320000 0.820000 5.490000 1.670000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.555000 0.255000 6.815000 0.825000 ;
-        RECT 6.555000 1.445000 6.815000 2.465000 ;
-        RECT 6.600000 0.825000 6.815000 1.445000 ;
-    END
-  END Q_N
-  PIN GATE
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.985000 0.330000 1.625000 ;
-    END
-  END GATE
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 6.900000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.090000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.900000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.900000 0.085000 ;
-      RECT 0.000000  2.635000 6.900000 2.805000 ;
-      RECT 0.175000  0.345000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 0.780000 0.805000 ;
-      RECT 0.175000  1.795000 0.780000 1.965000 ;
-      RECT 0.175000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.780000 1.070000 ;
-      RECT 0.610000  1.070000 0.840000 1.400000 ;
-      RECT 0.610000  1.400000 0.780000 1.795000 ;
-      RECT 1.015000  0.345000 1.185000 1.685000 ;
-      RECT 1.015000  1.685000 1.240000 2.465000 ;
-      RECT 1.430000  1.495000 2.115000 1.665000 ;
-      RECT 1.430000  1.665000 1.795000 2.415000 ;
-      RECT 1.510000  0.345000 1.705000 0.615000 ;
-      RECT 1.510000  0.615000 2.135000 0.785000 ;
-      RECT 1.855000  0.785000 2.135000 0.875000 ;
-      RECT 1.855000  0.875000 2.335000 1.235000 ;
-      RECT 1.855000  1.235000 2.115000 1.495000 ;
-      RECT 1.875000  0.085000 2.205000 0.445000 ;
-      RECT 1.965000  1.835000 2.245000 2.635000 ;
-      RECT 2.465000  1.355000 2.795000 1.685000 ;
-      RECT 2.580000  0.705000 3.135000 1.065000 ;
-      RECT 2.750000  2.255000 3.610000 2.425000 ;
-      RECT 2.800000  0.365000 3.475000 0.535000 ;
-      RECT 2.965000  1.065000 3.135000 1.575000 ;
-      RECT 2.965000  1.575000 3.290000 1.910000 ;
-      RECT 2.965000  1.910000 3.195000 1.995000 ;
-      RECT 3.305000  0.535000 3.475000 0.995000 ;
-      RECT 3.305000  0.995000 4.175000 1.165000 ;
-      RECT 3.425000  2.035000 3.650000 2.065000 ;
-      RECT 3.425000  2.065000 3.630000 2.090000 ;
-      RECT 3.425000  2.090000 3.610000 2.255000 ;
-      RECT 3.430000  2.020000 3.650000 2.035000 ;
-      RECT 3.435000  2.010000 3.650000 2.020000 ;
-      RECT 3.440000  1.995000 3.650000 2.010000 ;
-      RECT 3.460000  1.165000 4.175000 1.325000 ;
-      RECT 3.460000  1.325000 3.650000 1.995000 ;
-      RECT 3.700000  0.085000 4.045000 0.530000 ;
-      RECT 3.780000  2.175000 3.980000 2.635000 ;
-      RECT 3.820000  1.535000 4.515000 1.865000 ;
-      RECT 4.285000  0.415000 4.550000 0.745000 ;
-      RECT 4.285000  1.865000 4.515000 2.435000 ;
-      RECT 4.345000  0.745000 4.550000 0.995000 ;
-      RECT 4.345000  0.995000 5.150000 1.325000 ;
-      RECT 4.345000  1.325000 4.515000 1.535000 ;
-      RECT 4.685000  1.570000 4.970000 2.635000 ;
-      RECT 4.720000  0.085000 4.970000 0.715000 ;
-      RECT 5.660000  0.255000 5.910000 0.995000 ;
-      RECT 5.660000  0.995000 6.430000 1.325000 ;
-      RECT 5.660000  1.325000 5.910000 2.465000 ;
-      RECT 6.090000  0.085000 6.385000 0.545000 ;
-      RECT 6.090000  1.835000 6.385000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.610000  1.445000 0.780000 1.615000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.070000  1.785000 1.240000 1.955000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.555000  1.445000 2.725000 1.615000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 2.965000  1.785000 3.135000 1.955000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.415000 0.840000 1.460000 ;
-      RECT 0.550000 1.460000 2.785000 1.600000 ;
-      RECT 0.550000 1.600000 0.840000 1.645000 ;
-      RECT 1.010000 1.755000 1.300000 1.800000 ;
-      RECT 1.010000 1.800000 3.195000 1.940000 ;
-      RECT 1.010000 1.940000 1.300000 1.985000 ;
-      RECT 2.495000 1.415000 2.785000 1.460000 ;
-      RECT 2.495000 1.600000 2.785000 1.645000 ;
-      RECT 2.905000 1.755000 3.195000 1.800000 ;
-      RECT 2.905000 1.940000 3.195000 1.985000 ;
-  END
-END sky130_fd_sc_hd__dlxbp_1
-MACRO sky130_fd_sc_hd__dlxtn_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlxtn_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.520000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.435000 0.955000 1.765000 1.325000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.175000 0.415000 5.435000 0.745000 ;
-        RECT 5.175000 1.670000 5.435000 2.455000 ;
-        RECT 5.265000 0.745000 5.435000 1.670000 ;
-    END
-  END Q
-  PIN GATE_N
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.985000 0.330000 1.625000 ;
-    END
-  END GATE_N
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.520000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.710000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.520000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.520000 0.085000 ;
-      RECT 0.000000  2.635000 5.520000 2.805000 ;
-      RECT 0.175000  0.345000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 0.780000 0.805000 ;
-      RECT 0.175000  1.795000 0.780000 1.965000 ;
-      RECT 0.175000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.780000 1.070000 ;
-      RECT 0.610000  1.070000 0.840000 1.400000 ;
-      RECT 0.610000  1.400000 0.780000 1.795000 ;
-      RECT 1.015000  0.345000 1.185000 1.685000 ;
-      RECT 1.015000  1.685000 1.240000 2.465000 ;
-      RECT 1.430000  1.495000 2.115000 1.665000 ;
-      RECT 1.430000  1.665000 1.785000 2.415000 ;
-      RECT 1.510000  0.345000 1.705000 0.615000 ;
-      RECT 1.510000  0.615000 2.115000 0.765000 ;
-      RECT 1.510000  0.765000 2.320000 0.785000 ;
-      RECT 1.875000  0.085000 2.205000 0.445000 ;
-      RECT 1.945000  0.785000 2.320000 1.235000 ;
-      RECT 1.945000  1.235000 2.115000 1.495000 ;
-      RECT 1.955000  1.835000 2.245000 2.635000 ;
-      RECT 2.445000  1.355000 2.780000 2.005000 ;
-      RECT 2.560000  0.735000 3.265000 1.040000 ;
-      RECT 2.745000  2.255000 3.605000 2.425000 ;
-      RECT 2.765000  0.365000 3.605000 0.535000 ;
-      RECT 2.950000  1.040000 3.265000 1.560000 ;
-      RECT 2.950000  1.560000 3.285000 1.910000 ;
-      RECT 3.295000  2.090000 3.620000 2.105000 ;
-      RECT 3.295000  2.105000 3.605000 2.255000 ;
-      RECT 3.390000  2.045000 3.645000 2.065000 ;
-      RECT 3.390000  2.065000 3.630000 2.085000 ;
-      RECT 3.390000  2.085000 3.620000 2.090000 ;
-      RECT 3.405000  2.035000 3.645000 2.045000 ;
-      RECT 3.430000  2.010000 3.645000 2.035000 ;
-      RECT 3.435000  0.535000 3.605000 0.995000 ;
-      RECT 3.435000  0.995000 4.200000 1.325000 ;
-      RECT 3.435000  1.325000 3.645000 1.450000 ;
-      RECT 3.455000  1.450000 3.645000 2.010000 ;
-      RECT 3.775000  0.085000 4.045000 0.545000 ;
-      RECT 3.775000  2.175000 4.095000 2.635000 ;
-      RECT 3.815000  1.535000 4.540000 1.865000 ;
-      RECT 4.295000  0.260000 4.540000 0.720000 ;
-      RECT 4.295000  1.865000 4.540000 2.435000 ;
-      RECT 4.370000  0.720000 4.540000 0.995000 ;
-      RECT 4.370000  0.995000 5.095000 1.325000 ;
-      RECT 4.370000  1.325000 4.540000 1.535000 ;
-      RECT 4.720000  1.570000 5.005000 2.635000 ;
-      RECT 4.755000  0.085000 4.980000 0.715000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.610000  1.445000 0.780000 1.615000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.070000  1.785000 1.240000 1.955000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  1.785000 2.615000 1.955000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 2.950000  1.445000 3.120000 1.615000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.415000 0.840000 1.460000 ;
-      RECT 0.550000 1.460000 3.180000 1.600000 ;
-      RECT 0.550000 1.600000 0.840000 1.645000 ;
-      RECT 1.010000 1.755000 1.300000 1.800000 ;
-      RECT 1.010000 1.800000 2.675000 1.940000 ;
-      RECT 1.010000 1.940000 1.300000 1.985000 ;
-      RECT 2.385000 1.755000 2.675000 1.800000 ;
-      RECT 2.385000 1.940000 2.675000 1.985000 ;
-      RECT 2.890000 1.415000 3.180000 1.460000 ;
-      RECT 2.890000 1.600000 3.180000 1.645000 ;
-  END
-END sky130_fd_sc_hd__dlxtn_1
-MACRO sky130_fd_sc_hd__dlxtn_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlxtn_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.900000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.460000 0.955000 1.790000 1.325000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.924000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.240000 0.415000 5.525000 0.745000 ;
-        RECT 5.240000 1.495000 5.525000 2.455000 ;
-        RECT 5.355000 0.745000 5.525000 0.995000 ;
-        RECT 5.355000 0.995000 6.815000 1.325000 ;
-        RECT 5.355000 1.325000 5.525000 1.495000 ;
-        RECT 6.115000 0.385000 6.385000 0.995000 ;
-        RECT 6.115000 1.325000 6.385000 2.455000 ;
-    END
-  END Q
-  PIN GATE_N
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.985000 0.330000 1.625000 ;
-    END
-  END GATE_N
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 6.900000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.090000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.900000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.900000 0.085000 ;
-      RECT 0.000000  2.635000 6.900000 2.805000 ;
-      RECT 0.175000  0.345000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 0.780000 0.805000 ;
-      RECT 0.175000  1.795000 0.780000 1.965000 ;
-      RECT 0.175000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.780000 1.070000 ;
-      RECT 0.610000  1.070000 0.840000 1.400000 ;
-      RECT 0.610000  1.400000 0.780000 1.795000 ;
-      RECT 1.015000  0.345000 1.185000 1.685000 ;
-      RECT 1.015000  1.685000 1.240000 2.465000 ;
-      RECT 1.455000  1.495000 2.140000 1.665000 ;
-      RECT 1.455000  1.665000 1.785000 2.415000 ;
-      RECT 1.535000  0.345000 1.705000 0.615000 ;
-      RECT 1.535000  0.615000 2.140000 0.765000 ;
-      RECT 1.535000  0.765000 2.340000 0.785000 ;
-      RECT 1.875000  0.085000 2.205000 0.445000 ;
-      RECT 1.955000  1.835000 2.270000 2.635000 ;
-      RECT 1.970000  0.785000 2.340000 1.095000 ;
-      RECT 1.970000  1.095000 2.140000 1.495000 ;
-      RECT 2.470000  1.355000 2.755000 2.005000 ;
-      RECT 2.715000  0.705000 3.095000 1.035000 ;
-      RECT 2.840000  0.365000 3.500000 0.535000 ;
-      RECT 2.900000  2.255000 3.650000 2.425000 ;
-      RECT 2.925000  1.035000 3.095000 1.415000 ;
-      RECT 2.925000  1.415000 3.265000 1.995000 ;
-      RECT 3.330000  0.535000 3.500000 0.995000 ;
-      RECT 3.330000  0.995000 4.200000 1.165000 ;
-      RECT 3.480000  1.165000 4.200000 1.325000 ;
-      RECT 3.480000  1.325000 3.650000 2.255000 ;
-      RECT 3.740000  0.085000 4.070000 0.530000 ;
-      RECT 3.820000  2.135000 4.120000 2.635000 ;
-      RECT 3.840000  1.535000 4.605000 1.865000 ;
-      RECT 4.385000  0.415000 4.605000 0.745000 ;
-      RECT 4.385000  1.865000 4.605000 2.435000 ;
-      RECT 4.435000  0.745000 4.605000 0.995000 ;
-      RECT 4.435000  0.995000 5.185000 1.325000 ;
-      RECT 4.435000  1.325000 4.605000 1.535000 ;
-      RECT 4.785000  0.085000 5.070000 0.715000 ;
-      RECT 4.785000  1.495000 5.070000 2.635000 ;
-      RECT 5.695000  0.085000 5.945000 0.825000 ;
-      RECT 5.695000  1.495000 5.945000 2.635000 ;
-      RECT 6.555000  0.085000 6.815000 0.715000 ;
-      RECT 6.555000  1.495000 6.815000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.610000  1.445000 0.780000 1.615000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.070000  1.785000 1.240000 1.955000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.470000  1.785000 2.640000 1.955000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 2.930000  1.445000 3.100000 1.615000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.415000 0.840000 1.460000 ;
-      RECT 0.550000 1.460000 3.160000 1.600000 ;
-      RECT 0.550000 1.600000 0.840000 1.645000 ;
-      RECT 1.010000 1.755000 1.300000 1.800000 ;
-      RECT 1.010000 1.800000 2.700000 1.940000 ;
-      RECT 1.010000 1.940000 1.300000 1.985000 ;
-      RECT 2.410000 1.755000 2.700000 1.800000 ;
-      RECT 2.410000 1.940000 2.700000 1.985000 ;
-      RECT 2.870000 1.415000 3.160000 1.460000 ;
-      RECT 2.870000 1.600000 3.160000 1.645000 ;
-  END
-END sky130_fd_sc_hd__dlxtn_4
-MACRO sky130_fd_sc_hd__dlxtn_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlxtn_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.980000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.480000 0.955000 1.810000 1.325000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.215000 0.415000 5.465000 0.685000 ;
-        RECT 5.215000 0.685000 5.500000 0.825000 ;
-        RECT 5.215000 1.495000 5.500000 1.640000 ;
-        RECT 5.215000 1.640000 5.465000 2.455000 ;
-        RECT 5.330000 0.825000 5.500000 0.995000 ;
-        RECT 5.330000 0.995000 5.895000 1.325000 ;
-        RECT 5.330000 1.325000 5.500000 1.495000 ;
-    END
-  END Q
-  PIN GATE_N
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.985000 0.330000 1.625000 ;
-    END
-  END GATE_N
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.980000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.170000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.980000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.980000 0.085000 ;
-      RECT 0.000000  2.635000 5.980000 2.805000 ;
-      RECT 0.175000  0.345000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 0.780000 0.805000 ;
-      RECT 0.175000  1.795000 0.780000 1.965000 ;
-      RECT 0.175000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.780000 1.070000 ;
-      RECT 0.610000  1.070000 0.840000 1.400000 ;
-      RECT 0.610000  1.400000 0.780000 1.795000 ;
-      RECT 1.015000  0.345000 1.185000 1.685000 ;
-      RECT 1.015000  1.685000 1.240000 2.465000 ;
-      RECT 1.475000  1.495000 2.160000 1.665000 ;
-      RECT 1.475000  1.665000 1.805000 2.415000 ;
-      RECT 1.555000  0.345000 1.725000 0.615000 ;
-      RECT 1.555000  0.615000 2.160000 0.765000 ;
-      RECT 1.555000  0.765000 2.360000 0.785000 ;
-      RECT 1.895000  0.085000 2.225000 0.445000 ;
-      RECT 1.975000  1.835000 2.290000 2.635000 ;
-      RECT 1.990000  0.785000 2.360000 1.095000 ;
-      RECT 1.990000  1.095000 2.160000 1.495000 ;
-      RECT 2.490000  1.355000 2.775000 2.005000 ;
-      RECT 2.735000  0.705000 3.115000 1.035000 ;
-      RECT 2.860000  0.365000 3.520000 0.535000 ;
-      RECT 2.920000  2.255000 3.670000 2.425000 ;
-      RECT 2.945000  1.035000 3.115000 1.415000 ;
-      RECT 2.945000  1.415000 3.285000 1.995000 ;
-      RECT 3.350000  0.535000 3.520000 0.995000 ;
-      RECT 3.350000  0.995000 4.220000 1.165000 ;
-      RECT 3.500000  1.165000 4.220000 1.325000 ;
-      RECT 3.500000  1.325000 3.670000 2.255000 ;
-      RECT 3.760000  0.085000 4.090000 0.825000 ;
-      RECT 3.840000  2.135000 4.140000 2.635000 ;
-      RECT 3.860000  1.535000 4.580000 1.865000 ;
-      RECT 4.360000  0.415000 4.580000 0.825000 ;
-      RECT 4.360000  1.865000 4.580000 2.435000 ;
-      RECT 4.410000  0.825000 4.580000 0.995000 ;
-      RECT 4.410000  0.995000 5.160000 1.325000 ;
-      RECT 4.410000  1.325000 4.580000 1.535000 ;
-      RECT 4.760000  0.085000 5.045000 0.825000 ;
-      RECT 4.760000  1.495000 5.045000 2.635000 ;
-      RECT 5.635000  0.085000 5.895000 0.550000 ;
-      RECT 5.635000  1.755000 5.895000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.610000  1.445000 0.780000 1.615000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.070000  1.785000 1.240000 1.955000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.490000  1.785000 2.660000 1.955000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 2.950000  1.445000 3.120000 1.615000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.415000 0.840000 1.460000 ;
-      RECT 0.550000 1.460000 3.180000 1.600000 ;
-      RECT 0.550000 1.600000 0.840000 1.645000 ;
-      RECT 1.010000 1.755000 1.300000 1.800000 ;
-      RECT 1.010000 1.800000 2.720000 1.940000 ;
-      RECT 1.010000 1.940000 1.300000 1.985000 ;
-      RECT 2.430000 1.755000 2.720000 1.800000 ;
-      RECT 2.430000 1.940000 2.720000 1.985000 ;
-      RECT 2.890000 1.415000 3.180000 1.460000 ;
-      RECT 2.890000 1.600000 3.180000 1.645000 ;
-  END
-END sky130_fd_sc_hd__dlxtn_2
-MACRO sky130_fd_sc_hd__a311o_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a311o_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.965000 0.765000 2.155000 0.995000 ;
-        RECT 1.965000 0.995000 2.310000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.510000 0.750000 1.705000 1.325000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.905000 0.995000 1.240000 1.325000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.620000 0.995000 3.095000 1.325000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.350000 0.995000 3.535000 1.325000 ;
-    END
-  END C1
-  PIN X
-    ANTENNADIFFAREA  0.454000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.255000 0.395000 0.670000 ;
-        RECT 0.085000 0.670000 0.255000 1.785000 ;
-        RECT 0.085000 1.785000 0.425000 2.425000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.425000  0.995000 0.735000 1.325000 ;
-      RECT 0.565000  0.655000 1.260000 0.825000 ;
-      RECT 0.565000  0.825000 0.735000 0.995000 ;
-      RECT 0.565000  1.325000 0.735000 1.495000 ;
-      RECT 0.565000  1.495000 3.505000 1.665000 ;
-      RECT 0.590000  0.085000 0.920000 0.465000 ;
-      RECT 0.595000  2.175000 0.840000 2.635000 ;
-      RECT 1.015000  1.835000 2.575000 2.005000 ;
-      RECT 1.015000  2.005000 1.265000 2.465000 ;
-      RECT 1.090000  0.255000 2.495000 0.425000 ;
-      RECT 1.090000  0.425000 1.260000 0.655000 ;
-      RECT 1.455000  2.255000 2.125000 2.635000 ;
-      RECT 2.325000  0.425000 2.495000 0.655000 ;
-      RECT 2.325000  0.655000 3.505000 0.825000 ;
-      RECT 2.325000  2.005000 2.575000 2.465000 ;
-      RECT 2.765000  0.085000 3.095000 0.485000 ;
-      RECT 3.335000  0.255000 3.505000 0.655000 ;
-      RECT 3.335000  1.665000 3.505000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a311o_1
-MACRO sky130_fd_sc_hd__a311o_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a311o_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.440000 0.605000 2.620000 0.995000 ;
-        RECT 2.440000 0.995000 2.675000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.895000 0.605000 2.165000 1.325000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.495000 0.995000 1.710000 1.325000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.895000 0.995000 3.235000 1.325000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.695000 0.995000 4.005000 1.325000 ;
-    END
-  END C1
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 0.295000 0.845000 2.425000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.090000  0.085000 0.345000 0.885000 ;
-      RECT 0.090000  1.495000 0.345000 2.635000 ;
-      RECT 1.015000  0.085000 1.345000 0.465000 ;
-      RECT 1.015000  0.655000 1.695000 0.825000 ;
-      RECT 1.015000  0.825000 1.185000 1.495000 ;
-      RECT 1.015000  1.495000 3.965000 1.665000 ;
-      RECT 1.160000  1.835000 1.380000 2.635000 ;
-      RECT 1.525000  0.255000 2.960000 0.425000 ;
-      RECT 1.525000  0.425000 1.695000 0.655000 ;
-      RECT 1.590000  1.835000 3.025000 2.005000 ;
-      RECT 1.590000  2.005000 1.840000 2.465000 ;
-      RECT 2.125000  2.255000 2.455000 2.635000 ;
-      RECT 2.715000  2.005000 3.025000 2.465000 ;
-      RECT 2.790000  0.425000 2.960000 0.655000 ;
-      RECT 2.790000  0.655000 3.965000 0.825000 ;
-      RECT 3.220000  0.085000 3.550000 0.485000 ;
-      RECT 3.795000  0.255000 3.965000 0.655000 ;
-      RECT 3.795000  1.665000 3.965000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a311o_2
-MACRO sky130_fd_sc_hd__a311o_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a311o_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.360000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.945000 1.075000 7.275000 1.615000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.255000 1.075000 6.040000 1.285000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.515000 1.075000 4.945000 1.285000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.060000 1.075000 1.505000 1.285000 ;
-        RECT 1.060000 1.285000 1.255000 1.625000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.135000 0.745000 0.350000 1.625000 ;
-    END
-  END C1
-  PIN X
-    ANTENNADIFFAREA  0.904000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.195000 0.295000 2.545000 0.465000 ;
-        RECT 2.295000 0.465000 2.465000 0.715000 ;
-        RECT 2.295000 0.715000 3.305000 0.885000 ;
-        RECT 2.715000 1.545000 3.885000 1.715000 ;
-        RECT 2.910000 0.885000 3.105000 1.545000 ;
-        RECT 3.055000 0.295000 3.385000 0.465000 ;
-        RECT 3.135000 0.465000 3.305000 0.715000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.360000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.550000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.360000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.360000 0.085000 ;
-      RECT 0.000000  2.635000 7.360000 2.805000 ;
-      RECT 0.095000  0.085000 0.345000 0.565000 ;
-      RECT 0.175000  1.795000 0.345000 2.295000 ;
-      RECT 0.175000  2.295000 2.025000 2.465000 ;
-      RECT 0.515000  0.295000 0.845000 0.465000 ;
-      RECT 0.515000  1.955000 0.845000 2.125000 ;
-      RECT 0.595000  0.465000 0.765000 0.715000 ;
-      RECT 0.595000  0.715000 2.025000 0.885000 ;
-      RECT 0.595000  0.885000 0.765000 1.955000 ;
-      RECT 1.015000  0.085000 1.185000 0.545000 ;
-      RECT 1.015000  1.795000 1.185000 2.295000 ;
-      RECT 1.355000  0.295000 1.685000 0.465000 ;
-      RECT 1.435000  0.465000 1.605000 0.715000 ;
-      RECT 1.435000  1.455000 2.385000 1.625000 ;
-      RECT 1.435000  1.625000 1.605000 2.125000 ;
-      RECT 1.855000  0.085000 2.025000 0.545000 ;
-      RECT 1.855000  0.885000 2.025000 1.075000 ;
-      RECT 1.855000  1.075000 2.705000 1.245000 ;
-      RECT 1.855000  1.795000 2.025000 2.295000 ;
-      RECT 2.195000  1.625000 2.385000 1.915000 ;
-      RECT 2.195000  1.915000 6.765000 2.085000 ;
-      RECT 2.295000  2.255000 2.625000 2.635000 ;
-      RECT 2.715000  0.085000 2.885000 0.545000 ;
-      RECT 3.135000  2.255000 3.465000 2.635000 ;
-      RECT 3.275000  1.075000 4.320000 1.245000 ;
-      RECT 3.555000  0.085000 4.065000 0.545000 ;
-      RECT 3.975000  2.255000 4.305000 2.635000 ;
-      RECT 4.150000  1.245000 4.320000 1.455000 ;
-      RECT 4.150000  1.455000 6.685000 1.625000 ;
-      RECT 4.275000  0.295000 4.605000 0.465000 ;
-      RECT 4.355000  0.465000 4.525000 0.715000 ;
-      RECT 4.355000  0.715000 6.005000 0.885000 ;
-      RECT 4.475000  1.795000 4.645000 1.915000 ;
-      RECT 4.475000  2.085000 4.645000 2.465000 ;
-      RECT 4.775000  0.085000 4.945000 0.545000 ;
-      RECT 4.815000  2.255000 5.175000 2.635000 ;
-      RECT 5.255000  0.255000 7.270000 0.425000 ;
-      RECT 5.255000  0.425000 6.345000 0.465000 ;
-      RECT 5.375000  1.795000 5.545000 1.915000 ;
-      RECT 5.375000  2.085000 5.545000 2.465000 ;
-      RECT 5.675000  0.645000 6.005000 0.715000 ;
-      RECT 5.715000  2.255000 6.045000 2.635000 ;
-      RECT 6.175000  0.465000 6.345000 0.885000 ;
-      RECT 6.515000  0.645000 6.845000 0.825000 ;
-      RECT 6.515000  0.825000 6.685000 1.455000 ;
-      RECT 6.595000  1.795000 6.765000 1.915000 ;
-      RECT 6.595000  2.085000 6.765000 2.465000 ;
-      RECT 6.935000  0.425000 7.270000 0.500000 ;
-      RECT 6.935000  1.795000 7.270000 2.635000 ;
-      RECT 7.015000  0.500000 7.270000 0.905000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a311o_4
-MACRO sky130_fd_sc_hd__nand3_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nand3_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.440000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.850000 1.075000 5.565000 1.275000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.990000 1.075000 3.540000 1.275000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.110000 1.075000 1.700000 1.275000 ;
-    END
-  END C
-  PIN Y
-    ANTENNADIFFAREA  1.971000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 1.445000 6.355000 1.665000 ;
-        RECT 0.515000 1.665000 0.845000 2.465000 ;
-        RECT 1.355000 1.665000 1.685000 2.465000 ;
-        RECT 2.195000 1.665000 2.525000 2.465000 ;
-        RECT 3.035000 1.665000 3.365000 2.465000 ;
-        RECT 4.395000 0.655000 6.355000 0.905000 ;
-        RECT 4.395000 1.665000 4.725000 2.465000 ;
-        RECT 5.235000 1.665000 5.565000 2.465000 ;
-        RECT 6.125000 0.905000 6.355000 1.445000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 6.440000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.630000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.440000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.440000 0.085000 ;
-      RECT 0.000000  2.635000 6.440000 2.805000 ;
-      RECT 0.090000  0.255000 0.425000 0.735000 ;
-      RECT 0.090000  0.735000 3.785000 0.905000 ;
-      RECT 0.090000  1.445000 0.345000 2.635000 ;
-      RECT 0.595000  0.085000 0.765000 0.565000 ;
-      RECT 0.935000  0.255000 1.265000 0.735000 ;
-      RECT 1.015000  1.835000 1.185000 2.635000 ;
-      RECT 1.435000  0.085000 1.605000 0.565000 ;
-      RECT 1.775000  0.655000 2.105000 0.735000 ;
-      RECT 1.855000  1.835000 2.025000 2.635000 ;
-      RECT 2.195000  0.255000 6.000000 0.485000 ;
-      RECT 2.615000  0.655000 2.945000 0.735000 ;
-      RECT 2.695000  1.835000 2.865000 2.635000 ;
-      RECT 3.455000  0.655000 3.785000 0.735000 ;
-      RECT 3.535000  1.835000 4.225000 2.635000 ;
-      RECT 4.895000  1.835000 5.065000 2.635000 ;
-      RECT 5.735000  1.835000 6.000000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nand3_4
-MACRO sky130_fd_sc_hd__nand3_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nand3_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.840000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.445000 0.995000 1.755000 1.325000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.865000 0.765000 1.240000 1.325000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.110000 0.745000 0.330000 1.325000 ;
-    END
-  END C
-  PIN Y
-    ANTENNADIFFAREA  0.699000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 0.255000 1.745000 0.595000 ;
-        RECT 0.515000 0.595000 0.695000 1.495000 ;
-        RECT 0.515000 1.495000 1.745000 1.665000 ;
-        RECT 0.515000 1.665000 0.845000 2.465000 ;
-        RECT 1.415000 0.595000 1.745000 0.825000 ;
-        RECT 1.415000 1.665000 1.745000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.840000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.030000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.840000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.840000 0.085000 ;
-      RECT 0.000000  2.635000 1.840000 2.805000 ;
-      RECT 0.090000  0.085000 0.345000 0.575000 ;
-      RECT 0.090000  1.495000 0.345000 2.635000 ;
-      RECT 1.015000  1.835000 1.245000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nand3_1
-MACRO sky130_fd_sc_hd__nand3_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nand3_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.995000 0.330000 1.325000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.070000 1.075000 2.160000 1.275000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.470000 1.075000 3.595000 1.275000 ;
-    END
-  END C
-  PIN Y
-    ANTENNADIFFAREA  0.985500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 0.635000 0.845000 1.445000 ;
-        RECT 0.515000 1.445000 3.045000 1.665000 ;
-        RECT 0.515000 1.665000 0.845000 2.465000 ;
-        RECT 1.355000 1.665000 1.685000 2.465000 ;
-        RECT 2.715000 1.665000 3.045000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.090000  0.295000 2.105000 0.465000 ;
-      RECT 0.090000  0.465000 0.345000 0.785000 ;
-      RECT 0.090000  1.495000 0.345000 2.635000 ;
-      RECT 1.015000  1.835000 1.185000 2.635000 ;
-      RECT 1.355000  0.635000 3.045000 0.905000 ;
-      RECT 1.855000  1.835000 2.545000 2.635000 ;
-      RECT 2.295000  0.085000 2.625000 0.465000 ;
-      RECT 3.215000  0.085000 3.595000 0.885000 ;
-      RECT 3.215000  1.445000 3.595000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nand3_2
-MACRO sky130_fd_sc_hd__or2b_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__or2b_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.540000 2.085000 1.730000 2.415000 ;
-    END
-  END A
-  PIN B_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.425000 1.325000 ;
-    END
-  END B_N
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.400000 0.415000 2.630000 0.760000 ;
-        RECT 2.400000 1.495000 2.630000 2.465000 ;
-        RECT 2.460000 0.760000 2.630000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.085000  1.495000 0.345000 2.635000 ;
-      RECT 0.105000  0.265000 0.420000 0.735000 ;
-      RECT 0.105000  0.735000 0.840000 0.905000 ;
-      RECT 0.590000  0.085000 1.320000 0.565000 ;
-      RECT 0.595000  0.905000 0.840000 0.995000 ;
-      RECT 0.595000  0.995000 1.330000 1.325000 ;
-      RECT 0.595000  1.325000 0.765000 1.885000 ;
-      RECT 0.985000  1.495000 2.230000 1.665000 ;
-      RECT 0.985000  1.665000 1.405000 1.915000 ;
-      RECT 1.490000  0.305000 1.660000 0.655000 ;
-      RECT 1.490000  0.655000 2.230000 0.825000 ;
-      RECT 1.830000  0.085000 2.210000 0.485000 ;
-      RECT 1.910000  1.835000 2.190000 2.635000 ;
-      RECT 2.060000  0.825000 2.230000 0.995000 ;
-      RECT 2.060000  0.995000 2.290000 1.325000 ;
-      RECT 2.060000  1.325000 2.230000 1.495000 ;
-      RECT 2.800000  0.085000 3.055000 0.925000 ;
-      RECT 2.800000  1.460000 3.055000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__or2b_2
-MACRO sky130_fd_sc_hd__or2b_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__or2b_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.540000 2.085000 1.735000 2.415000 ;
-    END
-  END A
-  PIN B_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 1.075000 0.425000 1.325000 ;
-    END
-  END B_N
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.405000 0.415000 2.675000 0.760000 ;
-        RECT 2.405000 1.495000 2.675000 2.465000 ;
-        RECT 2.505000 0.760000 2.675000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.090000  1.495000 0.345000 2.635000 ;
-      RECT 0.110000  0.265000 0.420000 0.735000 ;
-      RECT 0.110000  0.735000 0.845000 0.905000 ;
-      RECT 0.590000  0.085000 1.325000 0.565000 ;
-      RECT 0.595000  0.905000 0.845000 0.995000 ;
-      RECT 0.595000  0.995000 1.335000 1.325000 ;
-      RECT 0.595000  1.325000 0.765000 1.885000 ;
-      RECT 0.990000  1.495000 2.235000 1.665000 ;
-      RECT 0.990000  1.665000 1.410000 1.915000 ;
-      RECT 1.495000  0.305000 1.665000 0.655000 ;
-      RECT 1.495000  0.655000 2.235000 0.825000 ;
-      RECT 1.835000  0.085000 2.215000 0.485000 ;
-      RECT 1.915000  1.835000 2.195000 2.635000 ;
-      RECT 2.065000  0.825000 2.235000 0.995000 ;
-      RECT 2.065000  0.995000 2.295000 1.325000 ;
-      RECT 2.065000  1.325000 2.235000 1.495000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__or2b_1
-MACRO sky130_fd_sc_hd__or2b_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__or2b_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.630000 1.075000 2.320000 1.275000 ;
-    END
-  END A
-  PIN B_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 1.075000 0.425000 1.955000 ;
-    END
-  END B_N
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.325000 0.290000 2.655000 0.735000 ;
-        RECT 2.325000 0.735000 4.055000 0.905000 ;
-        RECT 2.365000 1.785000 3.455000 1.955000 ;
-        RECT 2.365000 1.955000 2.615000 2.465000 ;
-        RECT 2.830000 1.445000 4.055000 1.615000 ;
-        RECT 2.830000 1.615000 3.455000 1.785000 ;
-        RECT 3.165000 0.290000 3.495000 0.735000 ;
-        RECT 3.205000 1.955000 3.455000 2.465000 ;
-        RECT 3.670000 0.905000 4.055000 1.445000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.090000  2.125000 0.345000 2.635000 ;
-      RECT 0.110000  0.265000 0.420000 0.735000 ;
-      RECT 0.110000  0.735000 0.845000 0.905000 ;
-      RECT 0.590000  0.085000 1.245000 0.565000 ;
-      RECT 0.595000  0.905000 0.845000 0.995000 ;
-      RECT 0.595000  0.995000 1.120000 1.325000 ;
-      RECT 0.595000  1.325000 0.765000 2.465000 ;
-      RECT 0.990000  1.495000 2.660000 1.615000 ;
-      RECT 0.990000  1.615000 1.460000 2.465000 ;
-      RECT 1.290000  0.735000 1.745000 0.905000 ;
-      RECT 1.290000  0.905000 1.460000 1.445000 ;
-      RECT 1.290000  1.445000 2.660000 1.495000 ;
-      RECT 1.415000  0.305000 1.745000 0.735000 ;
-      RECT 1.915000  1.835000 2.195000 2.635000 ;
-      RECT 1.980000  0.085000 2.155000 0.905000 ;
-      RECT 2.490000  1.075000 3.500000 1.245000 ;
-      RECT 2.490000  1.245000 2.660000 1.445000 ;
-      RECT 2.785000  2.135000 3.035000 2.635000 ;
-      RECT 2.825000  0.085000 2.995000 0.550000 ;
-      RECT 3.625000  1.795000 3.875000 2.635000 ;
-      RECT 3.665000  0.085000 3.835000 0.550000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__or2b_4
-MACRO sky130_fd_sc_hd__nand2b_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nand2b_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.455000 0.995000 0.800000 1.325000 ;
-    END
-  END A_N
-  PIN B
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.990000 1.075000 3.135000 1.275000 ;
-        RECT 1.990000 1.275000 2.180000 1.655000 ;
-    END
-  END B
-  PIN Y
-    ANTENNADIFFAREA  0.775500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.035000 1.835000 2.635000 2.005000 ;
-        RECT 1.035000 2.005000 1.365000 2.465000 ;
-        RECT 1.525000 0.635000 1.855000 0.805000 ;
-        RECT 1.530000 0.805000 1.855000 0.905000 ;
-        RECT 1.530000 0.905000 1.810000 1.835000 ;
-        RECT 2.280000 2.005000 2.635000 2.465000 ;
-        RECT 2.360000 1.495000 2.635000 1.835000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.110000  0.510000 0.345000 0.840000 ;
-      RECT 0.110000  0.840000 0.280000 1.495000 ;
-      RECT 0.110000  1.495000 1.360000 1.665000 ;
-      RECT 0.110000  1.665000 0.410000 1.860000 ;
-      RECT 0.515000  0.085000 0.845000 0.825000 ;
-      RECT 0.580000  1.835000 0.835000 2.635000 ;
-      RECT 1.030000  1.075000 1.360000 1.495000 ;
-      RECT 1.080000  0.255000 2.275000 0.465000 ;
-      RECT 1.080000  0.465000 1.355000 0.905000 ;
-      RECT 1.535000  2.175000 2.110000 2.635000 ;
-      RECT 2.025000  0.465000 2.275000 0.695000 ;
-      RECT 2.025000  0.695000 3.135000 0.905000 ;
-      RECT 2.445000  0.085000 2.615000 0.525000 ;
-      RECT 2.785000  0.255000 3.135000 0.695000 ;
-      RECT 2.805000  1.495000 3.135000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nand2b_2
-MACRO sky130_fd_sc_hd__nand2b_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nand2b_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.300000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 1.075000 0.440000 1.315000 ;
-    END
-  END A_N
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.610000 1.075000 1.085000 1.315000 ;
-    END
-  END B
-  PIN Y
-    ANTENNADIFFAREA  0.439000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.000000 1.835000 2.170000 2.005000 ;
-        RECT 1.000000 2.005000 1.330000 2.465000 ;
-        RECT 1.420000 0.255000 2.170000 0.545000 ;
-        RECT 1.800000 0.545000 2.170000 1.835000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.300000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.490000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.300000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.300000 0.085000 ;
-      RECT 0.000000  2.635000 2.300000 2.805000 ;
-      RECT 0.090000  0.525000 0.360000 0.735000 ;
-      RECT 0.090000  0.735000 1.425000 0.905000 ;
-      RECT 0.090000  1.495000 1.425000 1.665000 ;
-      RECT 0.090000  1.665000 0.370000 1.825000 ;
-      RECT 0.580000  0.085000 0.910000 0.545000 ;
-      RECT 0.580000  1.835000 0.830000 2.635000 ;
-      RECT 1.255000  0.905000 1.425000 1.075000 ;
-      RECT 1.255000  1.075000 1.630000 1.325000 ;
-      RECT 1.255000  1.325000 1.425000 1.495000 ;
-      RECT 1.500000  2.175000 1.715000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nand2b_1
-MACRO sky130_fd_sc_hd__nand2b_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nand2b_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.060000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.110000 1.075000 0.440000 1.275000 ;
-    END
-  END A_N
-  PIN B
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.155000 1.075000 4.940000 1.275000 ;
-    END
-  END B
-  PIN Y
-    ANTENNADIFFAREA  1.431000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.455000 0.635000 2.640000 0.905000 ;
-        RECT 1.455000 1.445000 4.320000 1.665000 ;
-        RECT 1.455000 1.665000 1.785000 2.465000 ;
-        RECT 2.295000 1.665000 2.640000 2.465000 ;
-        RECT 2.375000 0.905000 2.640000 1.445000 ;
-        RECT 3.150000 1.665000 3.480000 2.465000 ;
-        RECT 3.990000 1.665000 4.320000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.060000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.250000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.060000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.060000 0.085000 ;
-      RECT 0.000000  2.635000 5.060000 2.805000 ;
-      RECT 0.090000  0.255000 0.425000 0.715000 ;
-      RECT 0.090000  0.715000 0.780000 0.905000 ;
-      RECT 0.090000  1.445000 0.780000 1.665000 ;
-      RECT 0.090000  1.665000 0.425000 2.465000 ;
-      RECT 0.595000  0.085000 0.790000 0.545000 ;
-      RECT 0.595000  1.835000 1.285000 2.635000 ;
-      RECT 0.610000  0.905000 0.780000 1.075000 ;
-      RECT 0.610000  1.075000 2.205000 1.275000 ;
-      RECT 0.610000  1.275000 0.780000 1.445000 ;
-      RECT 0.970000  1.445000 1.285000 1.835000 ;
-      RECT 1.035000  0.255000 3.060000 0.465000 ;
-      RECT 1.035000  0.465000 1.285000 0.905000 ;
-      RECT 1.955000  1.835000 2.125000 2.635000 ;
-      RECT 2.810000  0.465000 3.060000 0.715000 ;
-      RECT 2.810000  0.715000 4.850000 0.905000 ;
-      RECT 2.810000  1.835000 2.980000 2.635000 ;
-      RECT 3.230000  0.085000 3.400000 0.545000 ;
-      RECT 3.570000  0.255000 3.900000 0.715000 ;
-      RECT 3.650000  1.835000 3.820000 2.635000 ;
-      RECT 4.070000  0.085000 4.310000 0.545000 ;
-      RECT 4.520000  0.255000 4.850000 0.715000 ;
-      RECT 4.520000  1.495000 4.850000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nand2b_4
-MACRO sky130_fd_sc_hd__a221oi_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a221oi_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.945000 0.675000 2.200000 1.075000 ;
-        RECT 1.945000 1.075000 2.275000 1.285000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.470000 0.995000 2.755000 1.325000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.225000 1.075000 1.695000 1.285000 ;
-        RECT 1.415000 0.675000 1.695000 1.075000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.615000 1.075000 1.055000 1.285000 ;
-    END
-  END B2
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.435000 1.285000 ;
-    END
-  END C1
-  PIN Y
-    ANTENNADIFFAREA  0.767000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.170000 0.255000 0.345000 0.735000 ;
-        RECT 0.170000 0.735000 1.235000 0.905000 ;
-        RECT 0.175000 1.455000 2.300000 1.495000 ;
-        RECT 0.175000 1.495000 3.135000 1.625000 ;
-        RECT 0.175000 1.625000 0.345000 2.465000 ;
-        RECT 1.065000 0.255000 2.580000 0.505000 ;
-        RECT 1.065000 0.505000 1.235000 0.735000 ;
-        RECT 2.150000 1.625000 3.135000 1.665000 ;
-        RECT 2.380000 0.505000 2.580000 0.655000 ;
-        RECT 2.380000 0.655000 3.135000 0.825000 ;
-        RECT 2.925000 0.825000 3.135000 1.495000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.515000  0.085000 0.845000 0.565000 ;
-      RECT 0.515000  1.795000 0.765000 2.295000 ;
-      RECT 0.515000  2.295000 1.685000 2.465000 ;
-      RECT 1.015000  1.795000 2.025000 1.835000 ;
-      RECT 1.015000  1.835000 2.625000 2.045000 ;
-      RECT 1.015000  2.045000 1.240000 2.125000 ;
-      RECT 1.355000  2.255000 1.685000 2.295000 ;
-      RECT 1.875000  2.215000 2.205000 2.635000 ;
-      RECT 2.375000  2.045000 2.625000 2.465000 ;
-      RECT 2.750000  0.085000 3.080000 0.485000 ;
-      RECT 2.795000  1.875000 3.125000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a221oi_1
-MACRO sky130_fd_sc_hd__a221oi_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a221oi_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.660000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.475000 1.075000 7.885000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.965000 1.075000 6.295000 1.445000 ;
-        RECT 5.965000 1.445000 8.265000 1.615000 ;
-        RECT 8.095000 1.075000 9.575000 1.275000 ;
-        RECT 8.095000 1.275000 8.265000 1.445000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.935000 0.995000 5.285000 1.275000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.415000 0.995000 3.765000 1.325000 ;
-        RECT 3.595000 1.325000 3.765000 1.445000 ;
-        RECT 3.595000 1.445000 5.795000 1.615000 ;
-        RECT 5.465000 1.075000 5.795000 1.445000 ;
-    END
-  END B2
-  PIN C1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 1.075000 1.335000 1.275000 ;
-    END
-  END C1
-  PIN Y
-    ANTENNADIFFAREA  1.593000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.535000 0.255000 0.865000 0.725000 ;
-        RECT 0.535000 0.725000 1.705000 0.905000 ;
-        RECT 0.575000 1.445000 1.705000 1.615000 ;
-        RECT 0.575000 1.615000 0.825000 2.125000 ;
-        RECT 1.375000 0.255000 1.705000 0.725000 ;
-        RECT 1.415000 1.615000 1.665000 2.125000 ;
-        RECT 1.505000 0.905000 1.705000 1.095000 ;
-        RECT 1.505000 1.095000 3.245000 1.275000 ;
-        RECT 1.505000 1.275000 1.705000 1.445000 ;
-        RECT 3.075000 0.645000 5.680000 0.735000 ;
-        RECT 3.075000 0.735000 7.765000 0.820000 ;
-        RECT 3.075000 0.820000 3.245000 1.095000 ;
-        RECT 5.510000 0.820000 6.460000 0.905000 ;
-        RECT 6.290000 0.645000 7.765000 0.735000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.660000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.850000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.660000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.660000 0.085000 ;
-      RECT 0.000000  2.635000 9.660000 2.805000 ;
-      RECT 0.090000  1.445000 0.405000 2.295000 ;
-      RECT 0.090000  2.295000 2.125000 2.465000 ;
-      RECT 0.115000  0.085000 0.365000 0.895000 ;
-      RECT 0.995000  1.785000 1.245000 2.295000 ;
-      RECT 1.035000  0.085000 1.205000 0.555000 ;
-      RECT 1.875000  0.085000 2.045000 0.645000 ;
-      RECT 1.875000  0.645000 2.905000 0.925000 ;
-      RECT 1.875000  1.445000 3.030000 1.615000 ;
-      RECT 1.875000  1.615000 2.125000 2.295000 ;
-      RECT 2.235000  0.255000 5.585000 0.425000 ;
-      RECT 2.235000  0.425000 2.610000 0.475000 ;
-      RECT 2.315000  1.795000 2.565000 2.215000 ;
-      RECT 2.315000  2.215000 6.005000 2.465000 ;
-      RECT 2.735000  0.595000 2.905000 0.645000 ;
-      RECT 2.735000  1.615000 3.030000 1.835000 ;
-      RECT 2.735000  1.835000 5.585000 2.045000 ;
-      RECT 3.035000  0.425000 5.585000 0.475000 ;
-      RECT 5.755000  1.785000 8.605000 2.045000 ;
-      RECT 5.755000  2.045000 6.005000 2.215000 ;
-      RECT 5.835000  0.085000 6.005000 0.555000 ;
-      RECT 6.175000  0.255000 8.185000 0.475000 ;
-      RECT 6.175000  2.215000 8.185000 2.635000 ;
-      RECT 7.935000  0.475000 8.185000 0.725000 ;
-      RECT 7.935000  0.725000 9.025000 0.905000 ;
-      RECT 8.355000  0.085000 8.525000 0.555000 ;
-      RECT 8.355000  2.045000 8.525000 2.465000 ;
-      RECT 8.435000  1.445000 9.405000 1.615000 ;
-      RECT 8.435000  1.615000 8.605000 1.785000 ;
-      RECT 8.695000  0.255000 9.025000 0.725000 ;
-      RECT 8.775000  1.795000 8.945000 2.635000 ;
-      RECT 9.155000  1.615000 9.405000 2.465000 ;
-      RECT 9.195000  0.085000 9.365000 0.905000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a221oi_4
-MACRO sky130_fd_sc_hd__a221oi_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a221oi_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.520000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.985000 1.075000 4.480000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.435000 1.075000 3.765000 1.445000 ;
-        RECT 3.435000 1.445000 4.820000 1.615000 ;
-        RECT 4.650000 1.075000 5.435000 1.275000 ;
-        RECT 4.650000 1.275000 4.820000 1.445000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.210000 1.075000 2.765000 1.275000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.505000 1.075000 2.040000 1.445000 ;
-        RECT 1.505000 1.445000 3.265000 1.615000 ;
-        RECT 2.935000 1.075000 3.265000 1.445000 ;
-    END
-  END B2
-  PIN C1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 1.075000 0.420000 1.615000 ;
-    END
-  END C1
-  PIN Y
-    ANTENNADIFFAREA  0.796500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.525000 0.305000 0.855000 0.725000 ;
-        RECT 0.525000 0.725000 4.395000 0.865000 ;
-        RECT 0.605000 0.865000 4.395000 0.905000 ;
-        RECT 0.605000 0.905000 0.855000 2.125000 ;
-        RECT 2.285000 0.645000 2.635000 0.725000 ;
-        RECT 4.065000 0.645000 4.395000 0.725000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.520000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.710000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.520000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.520000 0.085000 ;
-      RECT 0.000000  2.635000 5.520000 2.805000 ;
-      RECT 0.090000  1.795000 0.435000 2.295000 ;
-      RECT 0.090000  2.295000 1.275000 2.465000 ;
-      RECT 0.105000  0.085000 0.355000 0.895000 ;
-      RECT 1.025000  0.085000 1.715000 0.555000 ;
-      RECT 1.025000  1.495000 1.275000 1.785000 ;
-      RECT 1.025000  1.785000 3.015000 1.955000 ;
-      RECT 1.025000  1.955000 1.275000 2.295000 ;
-      RECT 1.505000  2.125000 1.755000 2.295000 ;
-      RECT 1.505000  2.295000 3.475000 2.465000 ;
-      RECT 1.885000  0.255000 3.055000 0.475000 ;
-      RECT 1.925000  1.955000 2.175000 2.125000 ;
-      RECT 2.345000  2.125000 2.595000 2.295000 ;
-      RECT 2.765000  1.955000 3.015000 2.125000 ;
-      RECT 3.225000  1.785000 5.195000 1.955000 ;
-      RECT 3.225000  1.955000 3.475000 2.295000 ;
-      RECT 3.270000  0.085000 3.440000 0.555000 ;
-      RECT 3.645000  0.255000 4.815000 0.475000 ;
-      RECT 3.685000  2.125000 3.935000 2.635000 ;
-      RECT 4.105000  1.955000 4.355000 2.465000 ;
-      RECT 4.525000  2.125000 4.775000 2.635000 ;
-      RECT 4.565000  0.475000 4.815000 0.905000 ;
-      RECT 4.985000  0.085000 5.155000 0.905000 ;
-      RECT 4.990000  1.455000 5.195000 1.785000 ;
-      RECT 4.990000  1.955000 5.195000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a221oi_2
-MACRO sky130_fd_sc_hd__dfrbp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dfrbp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  10.58000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.355000 1.665000 1.680000 2.450000 ;
-        RECT 1.415000 0.615000 1.875000 1.665000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.449000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 8.600000 1.455000 9.005000 2.465000 ;
-        RECT 8.675000 0.275000 9.005000 1.455000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 10.180000 0.265000 10.435000 0.795000 ;
-        RECT 10.180000 1.445000 10.435000 2.325000 ;
-        RECT 10.225000 0.795000 10.435000 1.445000 ;
-    END
-  END Q_N
-  PIN RESET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.805000 0.765000 4.595000 1.015000 ;
-      LAYER mcon ;
-        RECT 4.165000 0.765000 4.335000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 7.105000 1.035000 7.645000 1.405000 ;
-        RECT 7.405000 0.635000 7.645000 1.035000 ;
-      LAYER mcon ;
-        RECT 7.105000 1.080000 7.275000 1.250000 ;
-        RECT 7.405000 0.765000 7.575000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 3.745000 0.735000 4.395000 0.780000 ;
-        RECT 3.745000 0.780000 7.635000 0.920000 ;
-        RECT 3.745000 0.920000 4.395000 0.965000 ;
-        RECT 7.045000 0.920000 7.635000 0.965000 ;
-        RECT 7.045000 0.965000 7.335000 1.280000 ;
-        RECT 7.345000 0.735000 7.635000 0.780000 ;
-    END
-  END RESET_B
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.975000 0.440000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 10.580000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 10.770000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 10.580000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 10.580000 0.085000 ;
-      RECT 0.000000  2.635000 10.580000 2.805000 ;
-      RECT 0.090000  0.345000  0.345000 0.635000 ;
-      RECT 0.090000  0.635000  0.840000 0.805000 ;
-      RECT 0.090000  1.795000  0.840000 1.965000 ;
-      RECT 0.090000  1.965000  0.345000 2.465000 ;
-      RECT 0.515000  0.085000  0.845000 0.465000 ;
-      RECT 0.515000  2.135000  0.845000 2.635000 ;
-      RECT 0.610000  0.805000  0.840000 1.795000 ;
-      RECT 1.015000  0.345000  1.185000 2.465000 ;
-      RECT 1.545000  0.085000  1.875000 0.445000 ;
-      RECT 1.850000  2.175000  2.100000 2.635000 ;
-      RECT 2.045000  0.305000  2.540000 0.475000 ;
-      RECT 2.045000  0.475000  2.215000 1.835000 ;
-      RECT 2.045000  1.835000  2.440000 2.005000 ;
-      RECT 2.270000  2.005000  2.440000 2.135000 ;
-      RECT 2.270000  2.135000  2.520000 2.465000 ;
-      RECT 2.385000  0.765000  2.735000 1.385000 ;
-      RECT 2.610000  1.575000  3.075000 1.965000 ;
-      RECT 2.735000  2.135000  3.415000 2.465000 ;
-      RECT 2.745000  0.305000  3.600000 0.475000 ;
-      RECT 2.905000  0.765000  3.260000 0.985000 ;
-      RECT 2.905000  0.985000  3.075000 1.575000 ;
-      RECT 3.245000  1.185000  4.935000 1.355000 ;
-      RECT 3.245000  1.355000  3.415000 2.135000 ;
-      RECT 3.430000  0.475000  3.600000 1.185000 ;
-      RECT 3.585000  1.865000  4.660000 2.035000 ;
-      RECT 3.585000  2.035000  3.755000 2.375000 ;
-      RECT 3.775000  1.525000  5.275000 1.695000 ;
-      RECT 3.990000  2.205000  4.320000 2.635000 ;
-      RECT 4.475000  0.085000  4.805000 0.545000 ;
-      RECT 4.490000  2.035000  4.660000 2.375000 ;
-      RECT 4.765000  1.005000  4.935000 1.185000 ;
-      RECT 4.955000  2.175000  5.325000 2.635000 ;
-      RECT 5.015000  0.275000  5.365000 0.445000 ;
-      RECT 5.015000  0.445000  5.275000 0.835000 ;
-      RECT 5.105000  0.835000  5.275000 1.525000 ;
-      RECT 5.105000  1.695000  5.275000 1.835000 ;
-      RECT 5.105000  1.835000  5.665000 2.005000 ;
-      RECT 5.465000  0.705000  5.675000 1.495000 ;
-      RECT 5.465000  1.495000  6.140000 1.655000 ;
-      RECT 5.465000  1.655000  6.430000 1.665000 ;
-      RECT 5.495000  2.005000  5.665000 2.465000 ;
-      RECT 5.585000  0.255000  6.535000 0.535000 ;
-      RECT 5.845000  0.705000  6.195000 1.325000 ;
-      RECT 5.900000  2.125000  6.770000 2.465000 ;
-      RECT 5.970000  1.665000  6.430000 1.955000 ;
-      RECT 6.365000  0.535000  6.535000 1.315000 ;
-      RECT 6.365000  1.315000  6.770000 1.485000 ;
-      RECT 6.600000  1.485000  6.770000 1.575000 ;
-      RECT 6.600000  1.575000  7.820000 1.745000 ;
-      RECT 6.600000  1.745000  6.770000 2.125000 ;
-      RECT 6.705000  0.085000  6.895000 0.525000 ;
-      RECT 6.705000  0.695000  7.235000 0.865000 ;
-      RECT 6.705000  0.865000  6.925000 1.145000 ;
-      RECT 6.940000  2.175000  7.190000 2.635000 ;
-      RECT 7.065000  0.295000  8.135000 0.465000 ;
-      RECT 7.065000  0.465000  7.235000 0.695000 ;
-      RECT 7.360000  1.915000  8.160000 2.085000 ;
-      RECT 7.360000  2.085000  7.530000 2.375000 ;
-      RECT 7.710000  2.255000  8.430000 2.635000 ;
-      RECT 7.815000  0.465000  8.135000 0.820000 ;
-      RECT 7.815000  0.820000  8.140000 0.995000 ;
-      RECT 7.815000  0.995000  8.435000 1.295000 ;
-      RECT 7.990000  1.295000  8.435000 1.325000 ;
-      RECT 7.990000  1.325000  8.160000 1.915000 ;
-      RECT 8.335000  0.085000  8.505000 0.770000 ;
-      RECT 9.195000  0.345000  9.445000 0.995000 ;
-      RECT 9.195000  0.995000 10.055000 1.325000 ;
-      RECT 9.195000  1.325000  9.525000 2.425000 ;
-      RECT 9.760000  0.085000  9.930000 0.680000 ;
-      RECT 9.760000  1.495000  9.930000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.610000  1.105000  0.780000 1.275000 ;
-      RECT  1.015000  1.785000  1.185000 1.955000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  1.105000  2.615000 1.275000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  1.785000  3.075000 1.955000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.025000  1.105000  6.195000 1.275000 ;
-      RECT  6.025000  1.785000  6.195000 1.955000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.075000 0.840000 1.120000 ;
-      RECT 0.550000 1.120000 6.255000 1.260000 ;
-      RECT 0.550000 1.260000 0.840000 1.305000 ;
-      RECT 0.955000 1.755000 1.245000 1.800000 ;
-      RECT 0.955000 1.800000 6.255000 1.940000 ;
-      RECT 0.955000 1.940000 1.245000 1.985000 ;
-      RECT 2.385000 1.075000 2.675000 1.120000 ;
-      RECT 2.385000 1.260000 2.675000 1.305000 ;
-      RECT 2.845000 1.755000 3.135000 1.800000 ;
-      RECT 2.845000 1.940000 3.135000 1.985000 ;
-      RECT 5.965000 1.075000 6.255000 1.120000 ;
-      RECT 5.965000 1.260000 6.255000 1.305000 ;
-      RECT 5.965000 1.755000 6.255000 1.800000 ;
-      RECT 5.965000 1.940000 6.255000 1.985000 ;
-  END
-END sky130_fd_sc_hd__dfrbp_1
-MACRO sky130_fd_sc_hd__dfrbp_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dfrbp_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  11.04000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.355000 1.665000 1.680000 2.450000 ;
-        RECT 1.415000 0.615000 1.875000 1.665000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.511500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 9.160000 0.265000 9.495000 1.695000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 10.030000 1.535000 10.420000 2.080000 ;
-        RECT 10.040000 0.310000 10.420000 0.825000 ;
-        RECT 10.120000 2.080000 10.420000 2.465000 ;
-        RECT 10.250000 0.825000 10.420000 1.535000 ;
-    END
-  END Q_N
-  PIN RESET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.805000 0.765000 4.595000 1.015000 ;
-      LAYER mcon ;
-        RECT 4.165000 0.765000 4.335000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 7.105000 1.035000 7.645000 1.405000 ;
-        RECT 7.405000 0.635000 7.645000 1.035000 ;
-      LAYER mcon ;
-        RECT 7.105000 1.080000 7.275000 1.250000 ;
-        RECT 7.405000 0.765000 7.575000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 3.745000 0.735000 4.395000 0.780000 ;
-        RECT 3.745000 0.780000 7.635000 0.920000 ;
-        RECT 3.745000 0.920000 4.395000 0.965000 ;
-        RECT 7.045000 0.920000 7.635000 0.965000 ;
-        RECT 7.045000 0.965000 7.335000 1.280000 ;
-        RECT 7.345000 0.735000 7.635000 0.780000 ;
-    END
-  END RESET_B
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.975000 0.440000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 11.040000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 11.230000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 11.040000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 11.040000 0.085000 ;
-      RECT  0.000000  2.635000 11.040000 2.805000 ;
-      RECT  0.090000  0.345000  0.345000 0.635000 ;
-      RECT  0.090000  0.635000  0.840000 0.805000 ;
-      RECT  0.090000  1.795000  0.840000 1.965000 ;
-      RECT  0.090000  1.965000  0.345000 2.465000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.515000  2.135000  0.845000 2.635000 ;
-      RECT  0.610000  0.805000  0.840000 1.795000 ;
-      RECT  1.015000  0.345000  1.185000 2.465000 ;
-      RECT  1.545000  0.085000  1.875000 0.445000 ;
-      RECT  1.850000  2.175000  2.100000 2.635000 ;
-      RECT  2.045000  0.305000  2.540000 0.475000 ;
-      RECT  2.045000  0.475000  2.215000 1.835000 ;
-      RECT  2.045000  1.835000  2.440000 2.005000 ;
-      RECT  2.270000  2.005000  2.440000 2.135000 ;
-      RECT  2.270000  2.135000  2.520000 2.465000 ;
-      RECT  2.385000  0.765000  2.735000 1.385000 ;
-      RECT  2.610000  1.575000  3.075000 1.965000 ;
-      RECT  2.735000  2.135000  3.415000 2.465000 ;
-      RECT  2.745000  0.305000  3.600000 0.475000 ;
-      RECT  2.905000  0.765000  3.260000 0.985000 ;
-      RECT  2.905000  0.985000  3.075000 1.575000 ;
-      RECT  3.245000  1.185000  4.935000 1.355000 ;
-      RECT  3.245000  1.355000  3.415000 2.135000 ;
-      RECT  3.430000  0.475000  3.600000 1.185000 ;
-      RECT  3.585000  1.865000  4.660000 2.035000 ;
-      RECT  3.585000  2.035000  3.755000 2.375000 ;
-      RECT  3.775000  1.525000  5.275000 1.695000 ;
-      RECT  3.990000  2.205000  4.320000 2.635000 ;
-      RECT  4.475000  0.085000  4.805000 0.545000 ;
-      RECT  4.490000  2.035000  4.660000 2.375000 ;
-      RECT  4.765000  1.005000  4.935000 1.185000 ;
-      RECT  4.955000  2.175000  5.325000 2.635000 ;
-      RECT  5.015000  0.275000  5.365000 0.445000 ;
-      RECT  5.015000  0.445000  5.275000 0.835000 ;
-      RECT  5.105000  0.835000  5.275000 1.525000 ;
-      RECT  5.105000  1.695000  5.275000 1.835000 ;
-      RECT  5.105000  1.835000  5.665000 2.005000 ;
-      RECT  5.465000  0.705000  5.675000 1.495000 ;
-      RECT  5.465000  1.495000  6.140000 1.655000 ;
-      RECT  5.465000  1.655000  6.430000 1.665000 ;
-      RECT  5.495000  2.005000  5.665000 2.465000 ;
-      RECT  5.585000  0.255000  6.535000 0.535000 ;
-      RECT  5.845000  0.705000  6.195000 1.325000 ;
-      RECT  5.900000  2.125000  6.770000 2.465000 ;
-      RECT  5.970000  1.665000  6.430000 1.955000 ;
-      RECT  6.365000  0.535000  6.535000 1.315000 ;
-      RECT  6.365000  1.315000  6.770000 1.485000 ;
-      RECT  6.600000  1.485000  6.770000 1.575000 ;
-      RECT  6.600000  1.575000  7.820000 1.745000 ;
-      RECT  6.600000  1.745000  6.770000 2.125000 ;
-      RECT  6.705000  0.085000  6.895000 0.525000 ;
-      RECT  6.705000  0.695000  7.235000 0.865000 ;
-      RECT  6.705000  0.865000  6.925000 1.145000 ;
-      RECT  6.940000  2.175000  7.190000 2.635000 ;
-      RECT  7.065000  0.295000  7.985000 0.465000 ;
-      RECT  7.065000  0.465000  7.235000 0.695000 ;
-      RECT  7.360000  1.915000  8.160000 2.085000 ;
-      RECT  7.360000  2.085000  7.530000 2.375000 ;
-      RECT  7.710000  2.255000  8.055000 2.635000 ;
-      RECT  7.815000  0.465000  7.985000 0.995000 ;
-      RECT  7.815000  0.995000  8.160000 1.075000 ;
-      RECT  7.815000  1.075000  8.650000 1.295000 ;
-      RECT  7.990000  1.295000  8.650000 1.325000 ;
-      RECT  7.990000  1.325000  8.160000 1.915000 ;
-      RECT  8.335000  0.345000  8.585000 0.715000 ;
-      RECT  8.335000  0.715000  8.990000 0.885000 ;
-      RECT  8.335000  1.795000  8.990000 1.865000 ;
-      RECT  8.335000  1.865000  9.835000 2.035000 ;
-      RECT  8.335000  2.035000  8.560000 2.465000 ;
-      RECT  8.730000  2.205000  9.070000 2.635000 ;
-      RECT  8.755000  0.085000  8.990000 0.545000 ;
-      RECT  8.820000  0.885000  8.990000 1.795000 ;
-      RECT  9.620000  2.255000  9.950000 2.635000 ;
-      RECT  9.665000  0.995000 10.080000 1.325000 ;
-      RECT  9.665000  1.325000  9.835000 1.865000 ;
-      RECT  9.700000  0.085000  9.870000 0.825000 ;
-      RECT 10.590000  0.085000 10.760000 0.930000 ;
-      RECT 10.590000  1.445000 10.760000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.610000  1.105000  0.780000 1.275000 ;
-      RECT  1.015000  1.785000  1.185000 1.955000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  1.105000  2.615000 1.275000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  1.785000  3.075000 1.955000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.025000  1.105000  6.195000 1.275000 ;
-      RECT  6.025000  1.785000  6.195000 1.955000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.075000 0.840000 1.120000 ;
-      RECT 0.550000 1.120000 6.255000 1.260000 ;
-      RECT 0.550000 1.260000 0.840000 1.305000 ;
-      RECT 0.955000 1.755000 1.245000 1.800000 ;
-      RECT 0.955000 1.800000 6.255000 1.940000 ;
-      RECT 0.955000 1.940000 1.245000 1.985000 ;
-      RECT 2.385000 1.075000 2.675000 1.120000 ;
-      RECT 2.385000 1.260000 2.675000 1.305000 ;
-      RECT 2.845000 1.755000 3.135000 1.800000 ;
-      RECT 2.845000 1.940000 3.135000 1.985000 ;
-      RECT 5.965000 1.075000 6.255000 1.120000 ;
-      RECT 5.965000 1.260000 6.255000 1.305000 ;
-      RECT 5.965000 1.755000 6.255000 1.800000 ;
-      RECT 5.965000 1.940000 6.255000 1.985000 ;
-  END
-END sky130_fd_sc_hd__dfrbp_2
-MACRO sky130_fd_sc_hd__fill_8
-  CLASS CORE SPACER ;
-  FOREIGN sky130_fd_sc_hd__fill_8 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.130000 -0.120000 0.350000 0.050000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__fill_8
-MACRO sky130_fd_sc_hd__fill_1
-  CLASS CORE SPACER ;
-  FOREIGN sky130_fd_sc_hd__fill_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  0.460000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 0.460000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.140000 -0.055000 0.260000 0.055000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 0.650000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 0.460000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 0.460000 0.085000 ;
-      RECT 0.000000  2.635000 0.460000 2.805000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-  END
-END sky130_fd_sc_hd__fill_1
-MACRO sky130_fd_sc_hd__fill_4
-  CLASS CORE SPACER ;
-  FOREIGN sky130_fd_sc_hd__fill_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.840000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.840000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.175000 -0.060000 0.285000 0.060000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.030000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.840000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.840000 0.085000 ;
-      RECT 0.000000  2.635000 1.840000 2.805000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-  END
-END sky130_fd_sc_hd__fill_4
-MACRO sky130_fd_sc_hd__fill_2
-  CLASS CORE SPACER ;
-  FOREIGN sky130_fd_sc_hd__fill_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  0.920000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 0.920000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.155000 -0.050000 0.315000 0.060000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 1.110000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 0.920000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 0.920000 0.085000 ;
-      RECT 0.000000  2.635000 0.920000 2.805000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-  END
-END sky130_fd_sc_hd__fill_2
-MACRO sky130_fd_sc_hd__dlygate4sd3_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlygate4sd3_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.055000 0.775000 1.615000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.210000 0.255000 3.595000 0.825000 ;
-        RECT 3.210000 1.495000 3.595000 2.465000 ;
-        RECT 3.315000 0.825000 3.595000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.200000  0.255000 0.485000 0.715000 ;
-      RECT 0.200000  0.715000 1.155000 0.885000 ;
-      RECT 0.200000  1.785000 1.155000 2.005000 ;
-      RECT 0.200000  2.005000 0.485000 2.465000 ;
-      RECT 0.655000  0.085000 0.925000 0.545000 ;
-      RECT 0.655000  2.175000 0.925000 2.635000 ;
-      RECT 0.945000  0.885000 1.155000 1.785000 ;
-      RECT 1.325000  0.255000 1.725000 1.055000 ;
-      RECT 1.325000  1.055000 2.420000 1.615000 ;
-      RECT 1.325000  1.615000 1.725000 2.465000 ;
-      RECT 1.915000  0.255000 2.195000 0.715000 ;
-      RECT 1.915000  0.715000 3.040000 0.885000 ;
-      RECT 1.915000  1.785000 3.040000 2.005000 ;
-      RECT 1.915000  2.005000 2.195000 2.465000 ;
-      RECT 2.590000  0.885000 3.040000 0.995000 ;
-      RECT 2.590000  0.995000 3.145000 1.325000 ;
-      RECT 2.590000  1.325000 3.040000 1.785000 ;
-      RECT 2.715000  0.085000 3.040000 0.545000 ;
-      RECT 2.715000  2.175000 3.040000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__dlygate4sd3_1
-MACRO sky130_fd_sc_hd__o211a_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o211a_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.300000 1.075000 1.720000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.890000 1.075000 2.220000 1.275000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.390000 1.075000 2.720000 1.275000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.245000 1.075000 3.595000 1.325000 ;
-    END
-  END C1
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.255000 0.425000 0.885000 ;
-        RECT 0.085000 0.885000 0.260000 1.495000 ;
-        RECT 0.085000 1.495000 0.425000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.430000  1.075000 1.125000 1.245000 ;
-      RECT 0.595000  0.085000 0.845000 0.885000 ;
-      RECT 0.595000  1.495000 0.765000 2.635000 ;
-      RECT 0.955000  1.245000 1.125000 1.495000 ;
-      RECT 0.955000  1.495000 3.390000 1.665000 ;
-      RECT 1.035000  0.255000 1.365000 0.735000 ;
-      RECT 1.035000  0.735000 2.260000 0.905000 ;
-      RECT 1.035000  1.835000 1.285000 2.635000 ;
-      RECT 1.535000  0.085000 1.760000 0.545000 ;
-      RECT 1.930000  0.255000 2.260000 0.735000 ;
-      RECT 1.930000  1.665000 2.260000 2.465000 ;
-      RECT 2.560000  1.835000 2.890000 2.635000 ;
-      RECT 2.890000  0.255000 3.390000 0.865000 ;
-      RECT 2.890000  0.865000 3.060000 1.495000 ;
-      RECT 3.060000  1.665000 3.390000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o211a_1
-MACRO sky130_fd_sc_hd__o211a_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o211a_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.990000 0.995000 2.325000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.530000 0.995000 1.820000 1.325000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.880000 0.995000 1.240000 1.325000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.360000 1.325000 ;
-    END
-  END C1
-  PIN X
-    ANTENNADIFFAREA  0.462000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.720000 0.255000 3.050000 0.615000 ;
-        RECT 2.720000 0.615000 3.540000 0.785000 ;
-        RECT 2.810000 1.905000 3.540000 2.075000 ;
-        RECT 2.810000 2.075000 3.000000 2.465000 ;
-        RECT 3.345000 0.785000 3.540000 1.905000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.090000  1.510000 2.665000 1.765000 ;
-      RECT 0.090000  1.765000 0.355000 2.465000 ;
-      RECT 0.095000  0.255000 0.430000 0.425000 ;
-      RECT 0.095000  0.425000 0.710000 0.825000 ;
-      RECT 0.525000  1.935000 0.855000 2.635000 ;
-      RECT 0.530000  0.825000 0.710000 1.510000 ;
-      RECT 0.880000  0.635000 2.150000 0.825000 ;
-      RECT 1.025000  1.765000 1.695000 2.465000 ;
-      RECT 1.390000  0.085000 1.725000 0.465000 ;
-      RECT 2.200000  1.935000 2.630000 2.635000 ;
-      RECT 2.315000  0.085000 2.550000 0.525000 ;
-      RECT 2.495000  0.995000 3.175000 1.325000 ;
-      RECT 2.495000  1.325000 2.665000 1.510000 ;
-      RECT 3.170000  2.255000 3.500000 2.635000 ;
-      RECT 3.220000  0.085000 3.550000 0.445000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o211a_2
-MACRO sky130_fd_sc_hd__o211a_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o211a_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.440000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.490000 1.035000 4.845000 1.495000 ;
-        RECT 4.490000 1.495000 6.290000 1.685000 ;
-        RECT 5.890000 1.035000 6.290000 1.495000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.030000 1.035000 5.705000 1.325000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.540000 0.995000 2.830000 1.445000 ;
-        RECT 2.540000 1.445000 4.280000 1.685000 ;
-        RECT 3.950000 1.035000 4.280000 1.445000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.055000 1.035000 3.740000 1.275000 ;
-    END
-  END C1
-  PIN X
-    ANTENNADIFFAREA  0.911000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.635000 1.605000 0.805000 ;
-        RECT 0.085000 0.805000 0.365000 1.435000 ;
-        RECT 0.085000 1.435000 2.030000 1.700000 ;
-        RECT 0.595000 0.255000 0.765000 0.615000 ;
-        RECT 0.595000 0.615000 1.605000 0.635000 ;
-        RECT 0.980000 1.700000 1.160000 2.465000 ;
-        RECT 1.435000 0.255000 1.605000 0.615000 ;
-        RECT 1.840000 1.700000 2.030000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 6.440000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.630000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.440000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.440000 0.085000 ;
-      RECT 0.000000  2.635000 6.440000 2.805000 ;
-      RECT 0.095000  0.085000 0.425000 0.465000 ;
-      RECT 0.480000  1.870000 0.810000 2.635000 ;
-      RECT 0.535000  1.065000 2.370000 1.265000 ;
-      RECT 0.935000  0.085000 1.265000 0.445000 ;
-      RECT 1.340000  1.870000 1.670000 2.635000 ;
-      RECT 1.775000  0.085000 2.140000 0.465000 ;
-      RECT 2.200000  0.635000 3.520000 0.815000 ;
-      RECT 2.200000  0.815000 2.370000 1.065000 ;
-      RECT 2.200000  1.265000 2.370000 1.855000 ;
-      RECT 2.200000  1.855000 5.485000 2.025000 ;
-      RECT 2.200000  2.200000 2.530000 2.635000 ;
-      RECT 2.330000  0.255000 4.500000 0.465000 ;
-      RECT 2.700000  2.025000 3.060000 2.465000 ;
-      RECT 3.285000  2.195000 3.615000 2.635000 ;
-      RECT 3.785000  2.025000 4.120000 2.465000 ;
-      RECT 4.170000  0.465000 4.500000 0.695000 ;
-      RECT 4.170000  0.695000 6.345000 0.865000 ;
-      RECT 4.290000  2.195000 4.555000 2.635000 ;
-      RECT 4.670000  0.085000 4.985000 0.525000 ;
-      RECT 5.155000  0.255000 5.485000 0.695000 ;
-      RECT 5.155000  2.025000 5.485000 2.465000 ;
-      RECT 5.655000  0.085000 5.845000 0.525000 ;
-      RECT 6.015000  0.255000 6.345000 0.695000 ;
-      RECT 6.015000  1.915000 6.345000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o211a_4
-MACRO sky130_fd_sc_hd__dlygate4sd2_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlygate4sd2_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.055000 0.625000 1.615000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.570000 0.255000 3.135000 0.825000 ;
-        RECT 2.570000 1.495000 3.135000 2.465000 ;
-        RECT 2.675000 0.825000 3.135000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.085000  0.255000 0.485000 0.715000 ;
-      RECT 0.085000  0.715000 1.030000 0.885000 ;
-      RECT 0.085000  1.785000 1.030000 2.005000 ;
-      RECT 0.085000  2.005000 0.485000 2.465000 ;
-      RECT 0.655000  0.085000 0.925000 0.545000 ;
-      RECT 0.655000  2.175000 0.925000 2.635000 ;
-      RECT 0.795000  0.885000 1.030000 0.995000 ;
-      RECT 0.795000  0.995000 1.085000 1.325000 ;
-      RECT 0.795000  1.325000 1.030000 1.785000 ;
-      RECT 1.155000  0.255000 1.425000 0.585000 ;
-      RECT 1.155000  2.135000 1.425000 2.465000 ;
-      RECT 1.255000  0.585000 1.425000 1.055000 ;
-      RECT 1.255000  1.055000 2.030000 1.615000 ;
-      RECT 1.255000  1.615000 1.425000 2.135000 ;
-      RECT 1.615000  0.255000 1.875000 0.715000 ;
-      RECT 1.615000  0.715000 2.400000 0.885000 ;
-      RECT 1.615000  1.785000 2.400000 2.005000 ;
-      RECT 1.615000  2.005000 1.875000 2.465000 ;
-      RECT 2.075000  0.085000 2.400000 0.545000 ;
-      RECT 2.075000  2.175000 2.400000 2.635000 ;
-      RECT 2.200000  0.885000 2.400000 0.995000 ;
-      RECT 2.200000  0.995000 2.505000 1.325000 ;
-      RECT 2.200000  1.325000 2.400000 1.785000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__dlygate4sd2_1
-MACRO sky130_fd_sc_hd__sdfxtp_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sdfxtp_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  10.12000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.460000 1.355000 2.790000 1.685000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 9.260000 0.305000 9.605000 0.820000 ;
-        RECT 9.260000 1.505000 9.605000 2.395000 ;
-        RECT 9.435000 0.820000 9.605000 1.505000 ;
-    END
-  END Q
-  PIN SCD
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.530000 1.035000 4.020000 1.655000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.780000 0.615000 3.250000 0.785000 ;
-        RECT 1.780000 0.785000 1.950000 1.685000 ;
-        RECT 2.475000 0.305000 2.650000 0.615000 ;
-        RECT 3.080000 0.785000 3.250000 1.115000 ;
-    END
-  END SCE
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 0.975000 0.445000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 10.120000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 10.310000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 10.120000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 10.120000 0.085000 ;
-      RECT 0.000000  2.635000 10.120000 2.805000 ;
-      RECT 0.175000  0.345000  0.345000 0.635000 ;
-      RECT 0.175000  0.635000  0.810000 0.805000 ;
-      RECT 0.180000  1.795000  0.845000 1.965000 ;
-      RECT 0.180000  1.965000  0.350000 2.465000 ;
-      RECT 0.515000  0.085000  0.845000 0.465000 ;
-      RECT 0.520000  2.135000  0.850000 2.635000 ;
-      RECT 0.615000  0.805000  0.810000 0.970000 ;
-      RECT 0.615000  0.970000  0.845000 1.795000 ;
-      RECT 1.015000  0.345000  1.245000 0.715000 ;
-      RECT 1.020000  0.715000  1.245000 2.465000 ;
-      RECT 1.435000  0.275000  1.805000 0.445000 ;
-      RECT 1.435000  0.445000  1.605000 1.860000 ;
-      RECT 1.435000  1.860000  3.245000 2.075000 ;
-      RECT 1.435000  2.075000  1.710000 2.445000 ;
-      RECT 1.880000  2.245000  2.210000 2.635000 ;
-      RECT 1.975000  0.085000  2.305000 0.445000 ;
-      RECT 2.120000  0.955000  2.460000 1.125000 ;
-      RECT 2.120000  1.125000  2.290000 1.860000 ;
-      RECT 2.690000  2.245000  3.585000 2.415000 ;
-      RECT 2.820000  0.275000  3.590000 0.445000 ;
-      RECT 3.075000  1.355000  3.270000 1.685000 ;
-      RECT 3.075000  1.685000  3.245000 1.860000 ;
-      RECT 3.415000  1.825000  4.380000 1.995000 ;
-      RECT 3.415000  1.995000  3.585000 2.245000 ;
-      RECT 3.420000  0.445000  3.590000 0.695000 ;
-      RECT 3.420000  0.695000  4.380000 0.865000 ;
-      RECT 3.755000  2.165000  3.925000 2.635000 ;
-      RECT 3.760000  0.085000  3.960000 0.525000 ;
-      RECT 4.210000  0.365000  4.560000 0.535000 ;
-      RECT 4.210000  0.535000  4.380000 0.695000 ;
-      RECT 4.210000  0.865000  4.380000 1.825000 ;
-      RECT 4.210000  1.995000  4.380000 2.065000 ;
-      RECT 4.210000  2.065000  4.445000 2.440000 ;
-      RECT 4.550000  0.705000  5.130000 1.035000 ;
-      RECT 4.550000  1.035000  4.790000 1.905000 ;
-      RECT 4.690000  2.190000  5.760000 2.360000 ;
-      RECT 4.730000  0.365000  5.470000 0.535000 ;
-      RECT 4.980000  1.655000  5.420000 2.010000 ;
-      RECT 5.300000  0.535000  5.470000 1.315000 ;
-      RECT 5.300000  1.315000  6.100000 1.485000 ;
-      RECT 5.590000  1.485000  6.100000 1.575000 ;
-      RECT 5.590000  1.575000  5.760000 2.190000 ;
-      RECT 5.640000  0.765000  6.440000 1.065000 ;
-      RECT 5.640000  1.065000  5.810000 1.095000 ;
-      RECT 5.720000  0.085000  6.090000 0.585000 ;
-      RECT 5.930000  1.245000  6.100000 1.315000 ;
-      RECT 5.930000  1.835000  6.100000 2.635000 ;
-      RECT 6.270000  0.365000  6.730000 0.535000 ;
-      RECT 6.270000  0.535000  6.440000 0.765000 ;
-      RECT 6.270000  1.065000  6.440000 2.135000 ;
-      RECT 6.270000  2.135000  6.520000 2.465000 ;
-      RECT 6.610000  0.705000  7.160000 1.035000 ;
-      RECT 6.610000  1.245000  6.800000 1.965000 ;
-      RECT 6.745000  2.165000  7.630000 2.335000 ;
-      RECT 6.960000  0.365000  7.500000 0.535000 ;
-      RECT 6.970000  1.035000  7.160000 1.575000 ;
-      RECT 6.970000  1.575000  7.290000 1.905000 ;
-      RECT 7.330000  0.535000  7.500000 0.995000 ;
-      RECT 7.330000  0.995000  8.395000 1.325000 ;
-      RECT 7.330000  1.325000  7.630000 1.405000 ;
-      RECT 7.460000  1.405000  7.630000 2.165000 ;
-      RECT 7.745000  0.085000  8.115000 0.615000 ;
-      RECT 7.800000  1.575000  8.735000 1.905000 ;
-      RECT 7.810000  2.135000  8.115000 2.635000 ;
-      RECT 8.385000  0.300000  8.735000 0.825000 ;
-      RECT 8.465000  1.905000  8.735000 2.455000 ;
-      RECT 8.565000  0.825000  8.735000 0.995000 ;
-      RECT 8.565000  0.995000  9.265000 1.325000 ;
-      RECT 8.565000  1.325000  8.735000 1.575000 ;
-      RECT 8.905000  0.085000  9.075000 0.695000 ;
-      RECT 8.905000  1.625000  9.080000 2.635000 ;
-      RECT 9.775000  0.085000  9.945000 0.930000 ;
-      RECT 9.775000  1.405000  9.945000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.640000  1.785000 0.810000 1.955000 ;
-      RECT 1.050000  0.765000 1.220000 0.935000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  0.765000 4.915000 0.935000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  1.785000 5.375000 1.955000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 6.620000  1.785000 6.790000 1.955000 ;
-      RECT 6.630000  0.765000 6.800000 0.935000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-      RECT 9.805000 -0.085000 9.975000 0.085000 ;
-      RECT 9.805000  2.635000 9.975000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.580000 1.755000 0.870000 1.800000 ;
-      RECT 0.580000 1.800000 6.850000 1.940000 ;
-      RECT 0.580000 1.940000 0.870000 1.985000 ;
-      RECT 0.990000 0.735000 1.280000 0.780000 ;
-      RECT 0.990000 0.780000 6.860000 0.920000 ;
-      RECT 0.990000 0.920000 1.280000 0.965000 ;
-      RECT 4.685000 0.735000 4.975000 0.780000 ;
-      RECT 4.685000 0.920000 4.975000 0.965000 ;
-      RECT 5.145000 1.755000 5.435000 1.800000 ;
-      RECT 5.145000 1.940000 5.435000 1.985000 ;
-      RECT 6.560000 1.755000 6.850000 1.800000 ;
-      RECT 6.560000 1.940000 6.850000 1.985000 ;
-      RECT 6.570000 0.735000 6.860000 0.780000 ;
-      RECT 6.570000 0.920000 6.860000 0.965000 ;
-  END
-END sky130_fd_sc_hd__sdfxtp_2
-MACRO sky130_fd_sc_hd__sdfxtp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sdfxtp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.660000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.460000 1.355000 2.790000 1.685000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 9.230000 0.305000 9.575000 0.820000 ;
-        RECT 9.230000 1.505000 9.575000 2.395000 ;
-        RECT 9.405000 0.820000 9.575000 1.505000 ;
-    END
-  END Q
-  PIN SCD
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.530000 1.055000 3.990000 1.655000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.760000 0.635000 3.250000 0.785000 ;
-        RECT 1.760000 0.785000 1.990000 0.835000 ;
-        RECT 1.760000 0.835000 1.930000 1.685000 ;
-        RECT 1.870000 0.615000 3.250000 0.635000 ;
-        RECT 2.475000 0.305000 2.650000 0.615000 ;
-        RECT 3.065000 0.785000 3.250000 1.095000 ;
-    END
-  END SCE
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 0.975000 0.445000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.660000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.850000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.660000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.660000 0.085000 ;
-      RECT 0.000000  2.635000 9.660000 2.805000 ;
-      RECT 0.175000  0.345000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 0.810000 0.805000 ;
-      RECT 0.180000  1.795000 0.845000 1.965000 ;
-      RECT 0.180000  1.965000 0.350000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.520000  2.135000 0.850000 2.635000 ;
-      RECT 0.615000  0.805000 0.810000 0.970000 ;
-      RECT 0.615000  0.970000 0.845000 1.795000 ;
-      RECT 1.015000  0.345000 1.230000 0.715000 ;
-      RECT 1.020000  0.715000 1.230000 2.465000 ;
-      RECT 1.420000  0.260000 1.790000 0.465000 ;
-      RECT 1.420000  0.465000 1.590000 1.860000 ;
-      RECT 1.420000  1.860000 3.220000 2.075000 ;
-      RECT 1.420000  2.075000 1.710000 2.445000 ;
-      RECT 1.880000  2.245000 2.210000 2.635000 ;
-      RECT 1.960000  0.085000 2.305000 0.445000 ;
-      RECT 2.115000  0.960000 2.460000 1.130000 ;
-      RECT 2.115000  1.130000 2.290000 1.860000 ;
-      RECT 2.690000  2.245000 3.560000 2.415000 ;
-      RECT 2.820000  0.275000 3.590000 0.445000 ;
-      RECT 3.050000  1.305000 3.270000 1.635000 ;
-      RECT 3.050000  1.635000 3.220000 1.860000 ;
-      RECT 3.390000  1.825000 4.350000 1.995000 ;
-      RECT 3.390000  1.995000 3.560000 2.245000 ;
-      RECT 3.420000  0.445000 3.590000 0.715000 ;
-      RECT 3.420000  0.715000 4.350000 0.885000 ;
-      RECT 3.730000  2.165000 3.925000 2.635000 ;
-      RECT 3.760000  0.085000 3.960000 0.545000 ;
-      RECT 4.180000  0.285000 4.460000 0.615000 ;
-      RECT 4.180000  0.615000 4.350000 0.715000 ;
-      RECT 4.180000  0.885000 4.350000 1.825000 ;
-      RECT 4.180000  1.995000 4.350000 2.065000 ;
-      RECT 4.180000  2.065000 4.420000 2.440000 ;
-      RECT 4.520000  0.780000 5.100000 1.035000 ;
-      RECT 4.520000  1.035000 4.760000 1.905000 ;
-      RECT 4.630000  0.705000 5.100000 0.780000 ;
-      RECT 4.660000  2.190000 5.730000 2.360000 ;
-      RECT 4.700000  0.365000 5.440000 0.535000 ;
-      RECT 4.950000  1.655000 5.390000 2.010000 ;
-      RECT 5.270000  0.535000 5.440000 1.315000 ;
-      RECT 5.270000  1.315000 6.070000 1.485000 ;
-      RECT 5.560000  1.485000 6.070000 1.575000 ;
-      RECT 5.560000  1.575000 5.730000 2.190000 ;
-      RECT 5.610000  0.765000 6.410000 1.065000 ;
-      RECT 5.610000  1.065000 5.780000 1.095000 ;
-      RECT 5.690000  0.085000 6.060000 0.585000 ;
-      RECT 5.900000  1.245000 6.070000 1.315000 ;
-      RECT 5.900000  1.835000 6.070000 2.635000 ;
-      RECT 6.240000  0.365000 6.700000 0.535000 ;
-      RECT 6.240000  0.535000 6.410000 0.765000 ;
-      RECT 6.240000  1.065000 6.410000 2.135000 ;
-      RECT 6.240000  2.135000 6.490000 2.465000 ;
-      RECT 6.580000  0.705000 7.130000 1.035000 ;
-      RECT 6.580000  1.245000 6.770000 1.965000 ;
-      RECT 6.715000  2.165000 7.600000 2.335000 ;
-      RECT 6.930000  0.365000 7.470000 0.535000 ;
-      RECT 6.940000  1.035000 7.130000 1.575000 ;
-      RECT 6.940000  1.575000 7.260000 1.905000 ;
-      RECT 7.300000  0.535000 7.470000 0.995000 ;
-      RECT 7.300000  0.995000 8.365000 1.325000 ;
-      RECT 7.300000  1.325000 7.600000 1.405000 ;
-      RECT 7.430000  1.405000 7.600000 2.165000 ;
-      RECT 7.715000  0.085000 8.085000 0.615000 ;
-      RECT 7.770000  1.575000 8.705000 1.905000 ;
-      RECT 7.790000  2.135000 8.095000 2.635000 ;
-      RECT 8.355000  0.300000 8.705000 0.825000 ;
-      RECT 8.435000  1.905000 8.705000 2.455000 ;
-      RECT 8.535000  0.825000 8.705000 0.995000 ;
-      RECT 8.535000  0.995000 9.235000 1.325000 ;
-      RECT 8.535000  1.325000 8.705000 1.575000 ;
-      RECT 8.875000  0.085000 9.045000 0.695000 ;
-      RECT 8.875000  1.625000 9.045000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.640000  1.785000 0.810000 1.955000 ;
-      RECT 1.040000  0.765000 1.210000 0.935000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  0.765000 4.915000 0.935000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  1.785000 5.375000 1.955000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 6.590000  1.785000 6.760000 1.955000 ;
-      RECT 6.630000  0.765000 6.800000 0.935000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.580000 1.755000 0.870000 1.800000 ;
-      RECT 0.580000 1.800000 6.820000 1.940000 ;
-      RECT 0.580000 1.940000 0.870000 1.985000 ;
-      RECT 0.980000 0.735000 1.270000 0.780000 ;
-      RECT 0.980000 0.780000 6.860000 0.920000 ;
-      RECT 0.980000 0.920000 1.270000 0.965000 ;
-      RECT 4.685000 0.735000 4.975000 0.780000 ;
-      RECT 4.685000 0.920000 4.975000 0.965000 ;
-      RECT 5.145000 1.755000 5.435000 1.800000 ;
-      RECT 5.145000 1.940000 5.435000 1.985000 ;
-      RECT 6.530000 1.755000 6.820000 1.800000 ;
-      RECT 6.530000 1.940000 6.820000 1.985000 ;
-      RECT 6.570000 0.735000 6.860000 0.780000 ;
-      RECT 6.570000 0.920000 6.860000 0.965000 ;
-  END
-END sky130_fd_sc_hd__sdfxtp_1
-MACRO sky130_fd_sc_hd__sdfxtp_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sdfxtp_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  11.04000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.460000 1.355000 2.795000 1.685000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT  9.285000 0.305000  9.615000 0.735000 ;
-        RECT  9.285000 0.735000 10.955000 0.905000 ;
-        RECT  9.285000 1.505000 10.955000 1.675000 ;
-        RECT  9.285000 1.675000  9.615000 2.395000 ;
-        RECT 10.135000 0.305000 10.465000 0.735000 ;
-        RECT 10.135000 1.675000 10.465000 2.395000 ;
-        RECT 10.655000 0.905000 10.955000 1.505000 ;
-    END
-  END Q
-  PIN SCD
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.535000 1.035000 4.025000 1.655000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.780000 0.615000 3.255000 0.785000 ;
-        RECT 1.780000 0.785000 1.950000 1.685000 ;
-        RECT 2.475000 0.305000 2.650000 0.615000 ;
-        RECT 3.085000 0.785000 3.255000 1.115000 ;
-    END
-  END SCE
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 0.975000 0.445000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 11.040000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 11.230000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 11.040000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 11.040000 0.085000 ;
-      RECT  0.000000  2.635000 11.040000 2.805000 ;
-      RECT  0.175000  0.345000  0.345000 0.635000 ;
-      RECT  0.175000  0.635000  0.810000 0.805000 ;
-      RECT  0.180000  1.795000  0.845000 1.965000 ;
-      RECT  0.180000  1.965000  0.350000 2.465000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.520000  2.135000  0.850000 2.635000 ;
-      RECT  0.615000  0.805000  0.810000 0.970000 ;
-      RECT  0.615000  0.970000  0.845000 1.795000 ;
-      RECT  1.015000  0.345000  1.245000 0.715000 ;
-      RECT  1.020000  0.715000  1.245000 2.465000 ;
-      RECT  1.435000  0.275000  1.805000 0.445000 ;
-      RECT  1.435000  0.445000  1.605000 1.860000 ;
-      RECT  1.435000  1.860000  3.250000 2.075000 ;
-      RECT  1.435000  2.075000  1.710000 2.445000 ;
-      RECT  1.880000  2.245000  2.210000 2.635000 ;
-      RECT  1.975000  0.085000  2.305000 0.445000 ;
-      RECT  2.120000  0.955000  2.465000 1.125000 ;
-      RECT  2.120000  1.125000  2.290000 1.860000 ;
-      RECT  2.695000  2.245000  3.590000 2.415000 ;
-      RECT  2.820000  0.275000  3.595000 0.445000 ;
-      RECT  3.080000  1.355000  3.275000 1.685000 ;
-      RECT  3.080000  1.685000  3.250000 1.860000 ;
-      RECT  3.420000  1.825000  4.385000 1.995000 ;
-      RECT  3.420000  1.995000  3.590000 2.245000 ;
-      RECT  3.425000  0.445000  3.595000 0.695000 ;
-      RECT  3.425000  0.695000  4.385000 0.865000 ;
-      RECT  3.760000  2.165000  3.930000 2.635000 ;
-      RECT  3.765000  0.085000  3.965000 0.525000 ;
-      RECT  4.215000  0.365000  4.565000 0.535000 ;
-      RECT  4.215000  0.535000  4.385000 0.695000 ;
-      RECT  4.215000  0.865000  4.385000 1.825000 ;
-      RECT  4.215000  1.995000  4.385000 2.065000 ;
-      RECT  4.215000  2.065000  4.450000 2.440000 ;
-      RECT  4.555000  0.705000  5.135000 1.035000 ;
-      RECT  4.555000  1.035000  4.795000 1.905000 ;
-      RECT  4.695000  2.190000  5.765000 2.360000 ;
-      RECT  4.735000  0.365000  5.475000 0.535000 ;
-      RECT  4.985000  1.655000  5.425000 2.010000 ;
-      RECT  5.305000  0.535000  5.475000 1.315000 ;
-      RECT  5.305000  1.315000  6.105000 1.485000 ;
-      RECT  5.595000  1.485000  6.105000 1.575000 ;
-      RECT  5.595000  1.575000  5.765000 2.190000 ;
-      RECT  5.645000  0.765000  6.445000 1.065000 ;
-      RECT  5.645000  1.065000  5.815000 1.095000 ;
-      RECT  5.725000  0.085000  6.095000 0.585000 ;
-      RECT  5.935000  1.245000  6.105000 1.315000 ;
-      RECT  5.935000  1.835000  6.105000 2.635000 ;
-      RECT  6.275000  0.365000  6.735000 0.535000 ;
-      RECT  6.275000  0.535000  6.445000 0.765000 ;
-      RECT  6.275000  1.065000  6.445000 2.135000 ;
-      RECT  6.275000  2.135000  6.525000 2.465000 ;
-      RECT  6.615000  0.705000  7.165000 1.035000 ;
-      RECT  6.615000  1.245000  6.805000 1.965000 ;
-      RECT  6.750000  2.165000  7.635000 2.335000 ;
-      RECT  6.965000  0.365000  7.505000 0.535000 ;
-      RECT  6.975000  1.035000  7.165000 1.575000 ;
-      RECT  6.975000  1.575000  7.295000 1.905000 ;
-      RECT  7.335000  0.535000  7.505000 0.995000 ;
-      RECT  7.335000  0.995000  8.400000 1.325000 ;
-      RECT  7.335000  1.325000  7.635000 1.405000 ;
-      RECT  7.465000  1.405000  7.635000 2.165000 ;
-      RECT  7.750000  0.085000  8.120000 0.615000 ;
-      RECT  7.805000  1.575000  8.755000 1.905000 ;
-      RECT  7.815000  2.135000  8.120000 2.635000 ;
-      RECT  8.390000  0.300000  8.750000 0.825000 ;
-      RECT  8.470000  1.905000  8.755000 2.455000 ;
-      RECT  8.570000  0.825000  8.750000 1.075000 ;
-      RECT  8.570000  1.075000 10.485000 1.325000 ;
-      RECT  8.570000  1.325000  8.755000 1.575000 ;
-      RECT  8.925000  0.085000  9.095000 0.695000 ;
-      RECT  8.925000  1.625000  9.105000 2.635000 ;
-      RECT  9.795000  0.085000  9.965000 0.565000 ;
-      RECT  9.795000  1.845000  9.965000 2.635000 ;
-      RECT 10.635000  0.085000 10.805000 0.565000 ;
-      RECT 10.635000  1.845000 10.805000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.615000  1.785000  0.785000 1.955000 ;
-      RECT  1.055000  0.765000  1.225000 0.935000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  4.755000  0.765000  4.925000 0.935000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.215000  1.785000  5.385000 1.955000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  6.625000  0.765000  6.795000 0.935000 ;
-      RECT  6.625000  1.785000  6.795000 1.955000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.555000 1.755000 0.845000 1.800000 ;
-      RECT 0.555000 1.800000 6.855000 1.940000 ;
-      RECT 0.555000 1.940000 0.845000 1.985000 ;
-      RECT 0.995000 0.735000 1.285000 0.780000 ;
-      RECT 0.995000 0.780000 6.855000 0.920000 ;
-      RECT 0.995000 0.920000 1.285000 0.965000 ;
-      RECT 4.695000 0.735000 4.985000 0.780000 ;
-      RECT 4.695000 0.920000 4.985000 0.965000 ;
-      RECT 5.155000 1.755000 5.445000 1.800000 ;
-      RECT 5.155000 1.940000 5.445000 1.985000 ;
-      RECT 6.565000 0.735000 6.855000 0.780000 ;
-      RECT 6.565000 0.920000 6.855000 0.965000 ;
-      RECT 6.565000 1.755000 6.855000 1.800000 ;
-      RECT 6.565000 1.940000 6.855000 1.985000 ;
-  END
-END sky130_fd_sc_hd__sdfxtp_4
-MACRO sky130_fd_sc_hd__maj3_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__maj3_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.005000 0.995000 1.695000 1.325000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.865000 0.995000 2.155000 1.325000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.425000 0.995000 0.775000 1.495000 ;
-        RECT 0.425000 1.495000 3.070000 1.665000 ;
-        RECT 2.415000 1.415000 3.070000 1.495000 ;
-    END
-  END C
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.285000 0.255000 3.615000 0.905000 ;
-        RECT 3.285000 1.495000 3.615000 2.465000 ;
-        RECT 3.445000 0.905000 3.615000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.085000  0.280000 0.525000 0.655000 ;
-      RECT 0.085000  0.655000 3.105000 0.825000 ;
-      RECT 0.085000  0.825000 0.255000 1.835000 ;
-      RECT 0.085000  1.835000 2.085000 2.005000 ;
-      RECT 0.085000  2.005000 0.615000 2.465000 ;
-      RECT 0.975000  0.085000 1.305000 0.485000 ;
-      RECT 0.975000  2.175000 1.305000 2.635000 ;
-      RECT 1.755000  0.255000 2.085000 0.655000 ;
-      RECT 1.755000  2.005000 2.085000 2.465000 ;
-      RECT 2.535000  1.835000 2.860000 2.635000 ;
-      RECT 2.635000  0.085000 2.965000 0.485000 ;
-      RECT 2.925000  0.825000 3.105000 1.075000 ;
-      RECT 2.925000  1.075000 3.275000 1.245000 ;
-      RECT 3.785000  0.085000 4.055000 0.905000 ;
-      RECT 3.785000  1.495000 4.055000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__maj3_2
-MACRO sky130_fd_sc_hd__maj3_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__maj3_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.610000 0.995000 1.125000 1.325000 ;
-        RECT 0.610000 1.325000 0.780000 2.460000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.500000 0.995000 1.905000 1.615000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.415000 0.765000 2.755000 1.325000 ;
-    END
-  END C
-  PIN X
-    ANTENNADIFFAREA  0.602250 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.255000 0.255000 3.595000 0.825000 ;
-        RECT 3.255000 2.160000 3.595000 2.465000 ;
-        RECT 3.265000 1.495000 3.595000 2.160000 ;
-        RECT 3.370000 0.825000 3.595000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.135000  0.255000 0.395000 0.655000 ;
-      RECT 0.135000  0.655000 2.245000 0.825000 ;
-      RECT 0.135000  0.825000 0.395000 2.125000 ;
-      RECT 0.875000  0.085000 1.205000 0.485000 ;
-      RECT 0.955000  1.715000 1.205000 2.635000 ;
-      RECT 1.655000  0.255000 1.985000 0.640000 ;
-      RECT 1.655000  0.640000 2.245000 0.655000 ;
-      RECT 1.655000  1.815000 2.245000 2.080000 ;
-      RECT 2.075000  0.825000 2.245000 1.495000 ;
-      RECT 2.075000  1.495000 3.095000 1.665000 ;
-      RECT 2.075000  1.665000 2.245000 1.815000 ;
-      RECT 2.545000  0.085000 2.880000 0.470000 ;
-      RECT 2.555000  1.845000 2.885000 2.635000 ;
-      RECT 2.925000  0.995000 3.200000 1.325000 ;
-      RECT 2.925000  1.325000 3.095000 1.495000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__maj3_1
-MACRO sky130_fd_sc_hd__maj3_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__maj3_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.060000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.060000 1.075000 1.450000 1.635000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.960000 1.075000 2.290000 1.325000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.425000 1.075000 0.890000 1.285000 ;
-        RECT 0.720000 1.285000 0.890000 1.915000 ;
-        RECT 0.720000 1.915000 1.790000 2.085000 ;
-        RECT 1.620000 2.085000 1.790000 2.225000 ;
-        RECT 1.620000 2.225000 2.630000 2.395000 ;
-        RECT 2.460000 1.075000 2.945000 1.245000 ;
-        RECT 2.460000 1.245000 2.630000 2.225000 ;
-    END
-  END C
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.375000 0.255000 3.705000 0.490000 ;
-        RECT 3.375000 1.455000 4.975000 1.625000 ;
-        RECT 3.375000 1.625000 3.705000 2.465000 ;
-        RECT 3.455000 0.490000 3.705000 0.715000 ;
-        RECT 3.455000 0.715000 4.975000 0.905000 ;
-        RECT 4.215000 0.255000 4.545000 0.715000 ;
-        RECT 4.215000 1.625000 4.545000 2.465000 ;
-        RECT 4.715000 0.905000 4.975000 1.455000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.060000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.140000 -0.085000 0.310000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.250000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.060000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.060000 0.085000 ;
-      RECT 0.000000  2.635000 5.060000 2.805000 ;
-      RECT 0.085000  0.255000 0.635000 0.660000 ;
-      RECT 0.085000  0.660000 2.290000 0.715000 ;
-      RECT 0.085000  0.715000 3.285000 0.885000 ;
-      RECT 0.085000  0.885000 0.255000 1.455000 ;
-      RECT 0.085000  1.455000 0.465000 2.465000 ;
-      RECT 1.120000  0.085000 1.450000 0.490000 ;
-      RECT 1.120000  2.255000 1.450000 2.635000 ;
-      RECT 1.620000  0.885000 1.790000 1.545000 ;
-      RECT 1.620000  1.545000 2.290000 1.745000 ;
-      RECT 1.960000  0.255000 2.290000 0.660000 ;
-      RECT 1.960000  1.745000 2.290000 2.055000 ;
-      RECT 2.845000  1.455000 3.175000 2.635000 ;
-      RECT 2.860000  0.085000 3.205000 0.545000 ;
-      RECT 3.115000  0.885000 3.285000 1.075000 ;
-      RECT 3.115000  1.075000 4.545000 1.285000 ;
-      RECT 3.875000  0.085000 4.045000 0.545000 ;
-      RECT 3.875000  1.795000 4.045000 2.635000 ;
-      RECT 4.715000  0.085000 4.885000 0.545000 ;
-      RECT 4.715000  1.795000 4.925000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-  END
-END sky130_fd_sc_hd__maj3_4
-MACRO sky130_fd_sc_hd__and4b_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__and4b_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.135000 0.740000 0.335000 1.630000 ;
-    END
-  END A_N
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.525000 0.420000 1.745000 1.745000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.960000 0.420000 2.275000 1.695000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.445000 0.645000 2.775000 1.615000 ;
-    END
-  END D
-  PIN X
-    ANTENNADIFFAREA  0.503250 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.260000 0.255000 3.545000 0.640000 ;
-        RECT 3.260000 0.640000 4.055000 0.825000 ;
-        RECT 3.340000 1.535000 4.055000 1.745000 ;
-        RECT 3.340000 1.745000 3.545000 2.465000 ;
-        RECT 3.425000 0.825000 4.055000 1.535000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.095000  0.085000 0.425000 0.465000 ;
-      RECT 0.175000  1.830000 0.805000 2.000000 ;
-      RECT 0.175000  2.000000 0.345000 2.465000 ;
-      RECT 0.515000  2.195000 0.845000 2.635000 ;
-      RECT 0.595000  0.255000 0.805000 0.585000 ;
-      RECT 0.635000  0.585000 0.805000 0.995000 ;
-      RECT 0.635000  0.995000 0.975000 1.325000 ;
-      RECT 0.635000  1.325000 0.805000 1.830000 ;
-      RECT 1.015000  1.660000 1.315000 1.915000 ;
-      RECT 1.015000  1.915000 3.165000 1.965000 ;
-      RECT 1.015000  1.965000 2.610000 2.085000 ;
-      RECT 1.015000  2.085000 1.185000 2.465000 ;
-      RECT 1.095000  0.255000 1.315000 0.585000 ;
-      RECT 1.145000  0.585000 1.315000 1.660000 ;
-      RECT 1.555000  2.255000 2.225000 2.635000 ;
-      RECT 2.440000  1.795000 3.165000 1.915000 ;
-      RECT 2.440000  2.085000 2.610000 2.465000 ;
-      RECT 2.760000  0.085000 3.090000 0.465000 ;
-      RECT 2.840000  2.195000 3.170000 2.635000 ;
-      RECT 2.995000  0.995000 3.255000 1.325000 ;
-      RECT 2.995000  1.325000 3.165000 1.795000 ;
-      RECT 3.715000  0.085000 4.050000 0.465000 ;
-      RECT 3.715000  1.915000 4.050000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__and4b_2
-MACRO sky130_fd_sc_hd__and4b_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__and4b_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.060000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.440000 0.765000 0.790000 1.635000 ;
-    END
-  END A_N
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.815000 0.735000 4.145000 1.325000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.345000 0.755000 3.555000 1.325000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.865000 0.995000 3.085000 1.325000 ;
-    END
-  END D
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.980000 0.650000 2.080000 0.820000 ;
-        RECT 0.980000 0.820000 1.260000 1.545000 ;
-        RECT 0.980000 1.545000 2.160000 1.715000 ;
-        RECT 1.070000 0.255000 1.240000 0.650000 ;
-        RECT 1.910000 0.255000 2.080000 0.650000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.060000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.250000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.060000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.060000 0.085000 ;
-      RECT 0.000000  2.635000 5.060000 2.805000 ;
-      RECT 0.085000  0.255000 0.345000 0.585000 ;
-      RECT 0.085000  0.585000 0.260000 1.915000 ;
-      RECT 0.085000  1.915000 4.900000 2.085000 ;
-      RECT 0.085000  2.085000 0.345000 2.465000 ;
-      RECT 0.515000  2.255000 0.845000 2.635000 ;
-      RECT 0.570000  0.085000 0.900000 0.470000 ;
-      RECT 1.410000  0.085000 1.740000 0.470000 ;
-      RECT 1.410000  2.255000 1.740000 2.635000 ;
-      RECT 1.440000  1.075000 2.550000 1.245000 ;
-      RECT 2.250000  2.255000 2.580000 2.635000 ;
-      RECT 2.285000  0.085000 2.615000 0.445000 ;
-      RECT 2.380000  0.615000 2.965000 0.785000 ;
-      RECT 2.380000  0.785000 2.550000 1.075000 ;
-      RECT 2.380000  1.245000 2.550000 1.545000 ;
-      RECT 2.380000  1.545000 4.545000 1.715000 ;
-      RECT 2.795000  0.300000 4.965000 0.470000 ;
-      RECT 2.795000  0.470000 2.965000 0.615000 ;
-      RECT 3.475000  2.255000 3.805000 2.635000 ;
-      RECT 4.390000  0.470000 4.965000 0.810000 ;
-      RECT 4.635000  2.255000 4.965000 2.635000 ;
-      RECT 4.730000  0.995000 4.900000 1.915000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-  END
-END sky130_fd_sc_hd__and4b_4
-MACRO sky130_fd_sc_hd__and4b_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__and4b_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.450000 1.675000 ;
-    END
-  END A_N
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.520000 0.420000 1.800000 1.695000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.025000 0.420000 2.295000 1.695000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.485000 0.665000 2.825000 1.695000 ;
-    END
-  END D
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.255000 0.295000 3.590000 0.340000 ;
-        RECT 3.255000 0.340000 3.595000 0.805000 ;
-        RECT 3.335000 1.495000 3.595000 2.465000 ;
-        RECT 3.425000 0.805000 3.595000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.170000  0.255000 0.345000 0.655000 ;
-      RECT 0.170000  0.655000 0.800000 0.825000 ;
-      RECT 0.170000  1.845000 0.800000 2.015000 ;
-      RECT 0.170000  2.015000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.195000 0.845000 2.635000 ;
-      RECT 0.630000  0.825000 0.800000 0.995000 ;
-      RECT 0.630000  0.995000 0.980000 1.325000 ;
-      RECT 0.630000  1.325000 0.800000 1.845000 ;
-      RECT 1.090000  0.255000 1.320000 0.585000 ;
-      RECT 1.150000  0.585000 1.320000 1.875000 ;
-      RECT 1.150000  1.875000 3.165000 2.045000 ;
-      RECT 1.150000  2.045000 1.320000 2.465000 ;
-      RECT 1.555000  2.225000 2.225000 2.635000 ;
-      RECT 2.440000  2.045000 2.610000 2.465000 ;
-      RECT 2.755000  0.085000 3.085000 0.465000 ;
-      RECT 2.810000  2.225000 3.140000 2.635000 ;
-      RECT 2.995000  0.995000 3.255000 1.325000 ;
-      RECT 2.995000  1.325000 3.165000 1.875000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__and4b_1
-MACRO sky130_fd_sc_hd__a31o_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a31o_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.895000 0.995000 2.160000 1.655000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.415000 0.995000 1.700000 1.655000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.935000 0.995000 1.240000 1.325000 ;
-        RECT 1.025000 1.325000 1.240000 1.655000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.375000 0.995000 2.620000 1.655000 ;
-    END
-  END B1
-  PIN X
-    ANTENNADIFFAREA  0.437250 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 0.300000 0.425000 0.810000 ;
-        RECT 0.095000 0.810000 0.285000 1.575000 ;
-        RECT 0.095000 1.575000 0.425000 2.425000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.455000  0.995000 0.765000 1.325000 ;
-      RECT 0.595000  0.085000 0.925000 0.485000 ;
-      RECT 0.595000  0.655000 2.960000 0.825000 ;
-      RECT 0.595000  0.825000 0.765000 0.995000 ;
-      RECT 0.595000  1.495000 0.845000 2.635000 ;
-      RECT 1.035000  1.825000 2.325000 1.995000 ;
-      RECT 1.035000  1.995000 1.285000 2.415000 ;
-      RECT 1.515000  2.165000 1.845000 2.635000 ;
-      RECT 1.975000  0.315000 2.305000 0.655000 ;
-      RECT 2.075000  1.995000 2.325000 2.415000 ;
-      RECT 2.475000  0.085000 2.805000 0.485000 ;
-      RECT 2.505000  1.825000 2.960000 1.995000 ;
-      RECT 2.505000  1.995000 2.835000 2.425000 ;
-      RECT 2.790000  0.825000 2.960000 1.825000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a31o_1
-MACRO sky130_fd_sc_hd__a31o_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a31o_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.965000 0.415000 2.175000 0.700000 ;
-        RECT 1.965000 0.700000 2.355000 0.870000 ;
-        RECT 2.185000 0.870000 2.355000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.530000 0.400000 1.700000 0.695000 ;
-        RECT 1.530000 0.695000 1.795000 0.865000 ;
-        RECT 1.625000 0.865000 1.795000 1.075000 ;
-        RECT 1.625000 1.075000 1.955000 1.245000 ;
-        RECT 1.625000 1.245000 1.795000 1.260000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.065000 0.760000 1.270000 0.995000 ;
-        RECT 1.065000 0.995000 1.395000 1.325000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.895000 0.755000 3.090000 1.325000 ;
-    END
-  END B1
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.715000 0.765000 0.885000 ;
-        RECT 0.090000 0.885000 0.345000 1.835000 ;
-        RECT 0.090000 1.835000 0.765000 2.005000 ;
-        RECT 0.595000 0.255000 0.765000 0.715000 ;
-        RECT 0.595000 2.005000 0.765000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.090000  0.085000 0.345000 0.545000 ;
-      RECT 0.135000  2.175000 0.385000 2.635000 ;
-      RECT 0.555000  1.075000 0.885000 1.245000 ;
-      RECT 0.555000  1.245000 0.725000 1.495000 ;
-      RECT 0.555000  1.495000 3.045000 1.665000 ;
-      RECT 0.935000  1.835000 1.185000 2.635000 ;
-      RECT 0.955000  0.085000 1.285000 0.465000 ;
-      RECT 1.015000  0.465000 1.185000 0.545000 ;
-      RECT 1.355000  1.835000 2.645000 2.005000 ;
-      RECT 1.355000  2.005000 1.605000 2.425000 ;
-      RECT 1.815000  2.175000 2.145000 2.635000 ;
-      RECT 2.335000  2.005000 2.585000 2.425000 ;
-      RECT 2.375000  0.335000 2.705000 0.505000 ;
-      RECT 2.460000  0.255000 2.705000 0.335000 ;
-      RECT 2.535000  0.505000 2.705000 1.495000 ;
-      RECT 2.875000  0.085000 3.135000 0.565000 ;
-      RECT 2.875000  1.665000 3.045000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a31o_2
-MACRO sky130_fd_sc_hd__a31o_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a31o_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.440000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.355000 1.075000 1.705000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.725000 1.075000 1.055000 1.245000 ;
-        RECT 0.805000 0.735000 2.170000 0.905000 ;
-        RECT 0.805000 0.905000 0.975000 1.075000 ;
-        RECT 1.985000 0.905000 2.170000 1.075000 ;
-        RECT 1.985000 1.075000 2.315000 1.275000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.150000 1.075000 0.525000 1.445000 ;
-        RECT 0.150000 1.445000 2.855000 1.615000 ;
-        RECT 2.525000 1.075000 2.855000 1.445000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.575000 1.075000 4.030000 1.285000 ;
-        RECT 3.815000 0.745000 4.030000 1.075000 ;
-    END
-  END B1
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.505000 0.655000 6.295000 0.825000 ;
-        RECT 4.535000 1.785000 6.295000 1.955000 ;
-        RECT 4.595000 1.955000 4.765000 2.465000 ;
-        RECT 5.435000 1.955000 5.605000 2.465000 ;
-        RECT 6.125000 0.825000 6.295000 1.785000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 6.440000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.630000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.440000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.440000 0.085000 ;
-      RECT 0.000000  2.635000 6.440000 2.805000 ;
-      RECT 0.175000  0.085000 0.345000 0.905000 ;
-      RECT 0.175000  1.785000 2.985000 1.955000 ;
-      RECT 0.175000  1.955000 0.345000 2.465000 ;
-      RECT 0.515000  2.125000 0.845000 2.635000 ;
-      RECT 1.015000  1.955000 1.185000 2.465000 ;
-      RECT 1.355000  0.395000 2.520000 0.565000 ;
-      RECT 1.355000  2.125000 1.685000 2.635000 ;
-      RECT 1.855000  1.955000 2.025000 2.465000 ;
-      RECT 2.195000  2.125000 2.525000 2.635000 ;
-      RECT 2.350000  0.565000 2.520000 0.700000 ;
-      RECT 2.350000  0.700000 3.485000 0.805000 ;
-      RECT 2.350000  0.805000 3.345000 0.870000 ;
-      RECT 2.700000  0.085000 2.985000 0.530000 ;
-      RECT 2.815000  1.955000 2.985000 2.295000 ;
-      RECT 2.815000  2.295000 3.825000 2.465000 ;
-      RECT 3.155000  0.295000 3.485000 0.700000 ;
-      RECT 3.155000  0.870000 3.345000 1.455000 ;
-      RECT 3.155000  1.455000 4.395000 1.625000 ;
-      RECT 3.155000  1.625000 3.485000 2.115000 ;
-      RECT 3.655000  1.795000 3.825000 2.295000 ;
-      RECT 3.735000  0.085000 4.265000 0.565000 ;
-      RECT 4.095000  2.125000 4.425000 2.635000 ;
-      RECT 4.225000  0.995000 5.935000 1.325000 ;
-      RECT 4.225000  1.325000 4.395000 1.455000 ;
-      RECT 4.935000  0.085000 5.265000 0.485000 ;
-      RECT 4.935000  2.125000 5.265000 2.635000 ;
-      RECT 5.775000  0.085000 6.105000 0.485000 ;
-      RECT 5.775000  2.125000 6.105000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a31o_4
-MACRO sky130_fd_sc_hd__nand4bb_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nand4bb_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.390000 0.725000 3.640000 1.615000 ;
-    END
-  END A_N
-  PIN B_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.430000 1.075000 0.780000 1.655000 ;
-    END
-  END B_N
-  PIN C
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.500000 0.735000 1.720000 1.325000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.970000 1.075000 1.320000 1.325000 ;
-    END
-  END D
-  PIN Y
-    ANTENNADIFFAREA  0.909000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.120000 1.495000 2.670000 1.665000 ;
-        RECT 1.120000 1.665000 1.450000 2.465000 ;
-        RECT 2.140000 1.665000 2.470000 2.465000 ;
-        RECT 2.420000 0.255000 2.930000 0.825000 ;
-        RECT 2.420000 0.825000 2.670000 1.495000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.085000  0.485000 0.425000 0.715000 ;
-      RECT 0.085000  0.715000 1.270000 0.905000 ;
-      RECT 0.085000  0.905000 0.260000 2.065000 ;
-      RECT 0.085000  2.065000 0.425000 2.465000 ;
-      RECT 0.595000  0.085000 0.900000 0.545000 ;
-      RECT 0.595000  1.835000 0.925000 2.635000 ;
-      RECT 1.080000  0.365000 2.250000 0.555000 ;
-      RECT 1.080000  0.555000 1.270000 0.715000 ;
-      RECT 1.640000  1.835000 1.970000 2.635000 ;
-      RECT 1.970000  0.555000 2.250000 1.325000 ;
-      RECT 2.680000  2.175000 3.450000 2.635000 ;
-      RECT 2.840000  0.995000 3.090000 1.835000 ;
-      RECT 2.840000  1.835000 4.055000 2.005000 ;
-      RECT 3.100000  0.085000 3.450000 0.545000 ;
-      RECT 3.620000  0.255000 4.055000 0.545000 ;
-      RECT 3.635000  2.005000 4.055000 2.465000 ;
-      RECT 3.810000  0.545000 4.055000 1.835000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nand4bb_1
-MACRO sky130_fd_sc_hd__nand4bb_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nand4bb_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.980000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.560000 1.170000 0.890000 1.340000 ;
-        RECT 0.610000 1.070000 0.890000 1.170000 ;
-        RECT 0.610000 1.340000 0.890000 1.615000 ;
-    END
-  END A_N
-  PIN B_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.070000 0.330000 1.615000 ;
-    END
-  END B_N
-  PIN C
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.720000 1.075000 4.615000 1.275000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.945000 1.075000 5.875000 1.275000 ;
-    END
-  END D
-  PIN Y
-    ANTENNADIFFAREA  1.255500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.085000 0.655000 2.415000 1.445000 ;
-        RECT 2.085000 1.445000 5.455000 1.665000 ;
-        RECT 2.085000 1.665000 2.335000 2.465000 ;
-        RECT 2.925000 1.665000 3.255000 2.465000 ;
-        RECT 3.245000 1.075000 3.550000 1.445000 ;
-        RECT 4.285000 1.665000 4.615000 2.465000 ;
-        RECT 5.125000 1.665000 5.455000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.980000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.170000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.980000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.980000 0.085000 ;
-      RECT 0.000000  2.635000 5.980000 2.805000 ;
-      RECT 0.085000  0.255000 0.345000 0.730000 ;
-      RECT 0.085000  0.730000 1.230000 0.900000 ;
-      RECT 0.085000  1.785000 1.230000 1.980000 ;
-      RECT 0.085000  1.980000 0.370000 2.440000 ;
-      RECT 0.515000  0.085000 0.765000 0.545000 ;
-      RECT 0.540000  2.195000 0.765000 2.635000 ;
-      RECT 0.935000  0.255000 1.575000 0.560000 ;
-      RECT 0.935000  2.150000 1.575000 2.465000 ;
-      RECT 1.060000  0.900000 1.230000 1.785000 ;
-      RECT 1.400000  0.560000 1.575000 0.715000 ;
-      RECT 1.400000  0.715000 1.580000 1.410000 ;
-      RECT 1.400000  1.410000 1.575000 2.150000 ;
-      RECT 1.745000  0.255000 3.675000 0.485000 ;
-      RECT 1.745000  0.485000 1.915000 0.585000 ;
-      RECT 1.745000  1.495000 1.915000 2.635000 ;
-      RECT 2.505000  1.835000 2.755000 2.635000 ;
-      RECT 2.745000  1.075000 3.075000 1.275000 ;
-      RECT 2.925000  0.655000 4.615000 0.905000 ;
-      RECT 3.425000  1.835000 4.115000 2.635000 ;
-      RECT 3.865000  0.255000 5.035000 0.485000 ;
-      RECT 4.785000  0.485000 5.035000 0.735000 ;
-      RECT 4.785000  0.735000 5.895000 0.905000 ;
-      RECT 4.785000  1.835000 4.955000 2.635000 ;
-      RECT 5.205000  0.085000 5.375000 0.565000 ;
-      RECT 5.545000  0.255000 5.895000 0.735000 ;
-      RECT 5.625000  1.445000 5.895000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.060000  1.105000 1.230000 1.275000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  1.105000 3.075000 1.275000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-    LAYER met1 ;
-      RECT 1.000000 1.075000 3.135000 1.305000 ;
-  END
-END sky130_fd_sc_hd__nand4bb_2
-MACRO sky130_fd_sc_hd__nand4bb_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nand4bb_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  10.12000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A_N
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.100000 0.995000 0.330000 1.615000 ;
-    END
-  END A_N
-  PIN B_N
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.590000 0.995000 0.975000 1.615000 ;
-    END
-  END B_N
-  PIN C
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.120000 1.075000 7.910000 1.275000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 8.420000 1.075000 10.015000 1.275000 ;
-    END
-  END D
-  PIN Y
-    ANTENNADIFFAREA  2.511000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.540000 0.655000 3.990000 0.905000 ;
-        RECT 2.540000 1.445000 9.590000 1.665000 ;
-        RECT 2.540000 1.665000 2.790000 2.465000 ;
-        RECT 3.380000 1.665000 3.710000 2.465000 ;
-        RECT 3.700000 0.905000 3.990000 1.445000 ;
-        RECT 4.220000 1.665000 4.550000 2.465000 ;
-        RECT 5.060000 1.665000 5.390000 2.465000 ;
-        RECT 6.740000 1.665000 7.070000 2.465000 ;
-        RECT 7.580000 1.665000 7.910000 2.465000 ;
-        RECT 8.420000 1.665000 8.750000 2.465000 ;
-        RECT 9.260000 1.665000 9.590000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 10.120000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 10.310000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 10.120000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 10.120000 0.085000 ;
-      RECT 0.000000  2.635000 10.120000 2.805000 ;
-      RECT 0.085000  0.255000  0.345000 0.635000 ;
-      RECT 0.085000  0.635000  1.455000 0.805000 ;
-      RECT 0.085000  1.785000  1.455000 1.980000 ;
-      RECT 0.085000  1.980000  0.370000 2.440000 ;
-      RECT 0.515000  0.085000  0.845000 0.465000 ;
-      RECT 0.540000  2.195000  0.765000 2.635000 ;
-      RECT 0.935000  2.150000  1.795000 2.465000 ;
-      RECT 1.015000  0.255000  1.795000 0.465000 ;
-      RECT 1.145000  0.805000  1.455000 1.785000 ;
-      RECT 1.625000  0.465000  1.795000 1.075000 ;
-      RECT 1.625000  1.075000  2.210000 1.305000 ;
-      RECT 1.625000  1.305000  1.795000 2.150000 ;
-      RECT 2.200000  0.255000  5.810000 0.485000 ;
-      RECT 2.200000  0.485000  2.370000 0.905000 ;
-      RECT 2.200000  1.495000  2.370000 2.635000 ;
-      RECT 2.540000  1.075000  3.285000 1.245000 ;
-      RECT 2.960000  1.835000  3.210000 2.635000 ;
-      RECT 3.880000  1.835000  4.050000 2.635000 ;
-      RECT 4.160000  1.075000  5.390000 1.275000 ;
-      RECT 4.220000  0.655000  5.390000 0.735000 ;
-      RECT 4.220000  0.735000  6.150000 0.905000 ;
-      RECT 4.720000  1.835000  4.890000 2.635000 ;
-      RECT 5.610000  1.835000  6.540000 2.635000 ;
-      RECT 5.980000  0.255000  7.910000 0.485000 ;
-      RECT 5.980000  0.485000  6.150000 0.735000 ;
-      RECT 6.320000  0.655000 10.035000 0.905000 ;
-      RECT 7.240000  1.835000  7.410000 2.635000 ;
-      RECT 8.080000  1.835000  8.250000 2.635000 ;
-      RECT 8.420000  0.085000  8.750000 0.485000 ;
-      RECT 8.920000  1.835000  9.090000 2.635000 ;
-      RECT 9.260000  0.085000  9.590000 0.485000 ;
-      RECT 9.760000  1.445000 10.035000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.980000  1.105000 2.150000 1.275000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.280000  1.105000 4.450000 1.275000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-      RECT 9.805000 -0.085000 9.975000 0.085000 ;
-      RECT 9.805000  2.635000 9.975000 2.805000 ;
-    LAYER met1 ;
-      RECT 1.920000 1.075000 2.210000 1.120000 ;
-      RECT 1.920000 1.120000 4.510000 1.260000 ;
-      RECT 1.920000 1.260000 2.210000 1.305000 ;
-      RECT 4.220000 1.075000 4.510000 1.120000 ;
-      RECT 4.220000 1.260000 4.510000 1.305000 ;
-  END
-END sky130_fd_sc_hd__nand4bb_4
-MACRO sky130_fd_sc_hd__a22o_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a22o_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.485000 0.675000 1.695000 1.075000 ;
-        RECT 1.485000 1.075000 1.815000 1.285000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.985000 1.040000 2.395000 1.345000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.765000 1.075000 1.240000 1.285000 ;
-        RECT 1.020000 0.675000 1.240000 1.075000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.575000 1.275000 ;
-    END
-  END B2
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.875000 0.255000 3.135000 0.585000 ;
-        RECT 2.875000 1.785000 3.135000 2.465000 ;
-        RECT 2.965000 0.585000 3.135000 1.785000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.090000  0.085000 0.545000 0.850000 ;
-      RECT 0.090000  1.455000 1.265000 1.515000 ;
-      RECT 0.090000  1.515000 2.795000 1.625000 ;
-      RECT 0.090000  1.625000 0.345000 2.245000 ;
-      RECT 0.090000  2.245000 0.425000 2.465000 ;
-      RECT 0.595000  1.795000 0.780000 1.885000 ;
-      RECT 0.595000  1.885000 2.205000 2.085000 ;
-      RECT 0.595000  2.085000 0.825000 2.125000 ;
-      RECT 0.820000  0.255000 2.120000 0.465000 ;
-      RECT 0.935000  1.625000 2.735000 1.685000 ;
-      RECT 0.935000  1.685000 1.265000 1.715000 ;
-      RECT 1.370000  1.875000 2.205000 1.885000 ;
-      RECT 1.430000  2.255000 1.785000 2.635000 ;
-      RECT 1.950000  0.465000 2.120000 0.615000 ;
-      RECT 1.950000  0.615000 2.705000 0.740000 ;
-      RECT 1.950000  0.740000 2.795000 0.785000 ;
-      RECT 1.955000  2.085000 2.205000 2.465000 ;
-      RECT 2.375000  0.085000 2.705000 0.445000 ;
-      RECT 2.455000  1.855000 2.705000 2.635000 ;
-      RECT 2.525000  0.785000 2.795000 0.905000 ;
-      RECT 2.595000  1.480000 2.795000 1.515000 ;
-      RECT 2.625000  0.905000 2.795000 1.480000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a22o_1
-MACRO sky130_fd_sc_hd__a22o_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a22o_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.510000 0.675000 1.720000 1.075000 ;
-        RECT 1.510000 1.075000 1.840000 1.285000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.010000 1.075000 2.415000 1.275000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.765000 1.075000 1.240000 1.285000 ;
-        RECT 1.020000 0.675000 1.240000 1.075000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 1.075000 0.575000 1.275000 ;
-    END
-  END B2
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.900000 0.255000 3.160000 0.585000 ;
-        RECT 2.900000 1.785000 3.160000 2.465000 ;
-        RECT 2.990000 0.585000 3.160000 1.785000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.095000  0.085000 0.545000 0.850000 ;
-      RECT 0.095000  1.455000 2.815000 1.625000 ;
-      RECT 0.095000  1.625000 0.425000 2.295000 ;
-      RECT 0.095000  2.295000 1.265000 2.465000 ;
-      RECT 0.595000  1.795000 2.230000 2.035000 ;
-      RECT 0.595000  2.035000 0.825000 2.125000 ;
-      RECT 0.820000  0.255000 2.145000 0.505000 ;
-      RECT 0.935000  2.255000 1.265000 2.295000 ;
-      RECT 1.455000  2.215000 1.810000 2.635000 ;
-      RECT 1.975000  0.505000 2.145000 0.735000 ;
-      RECT 1.975000  0.735000 2.815000 0.905000 ;
-      RECT 1.980000  2.035000 2.230000 2.465000 ;
-      RECT 2.355000  0.085000 2.685000 0.565000 ;
-      RECT 2.400000  1.875000 2.730000 2.635000 ;
-      RECT 2.645000  0.905000 2.815000 1.455000 ;
-      RECT 3.330000  0.085000 3.500000 0.985000 ;
-      RECT 3.330000  1.445000 3.500000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a22o_2
-MACRO sky130_fd_sc_hd__a22o_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a22o_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.440000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.900000 1.075000 5.395000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.350000 1.075000 4.680000 1.445000 ;
-        RECT 4.350000 1.445000 5.735000 1.615000 ;
-        RECT 5.565000 1.075000 6.355000 1.275000 ;
-        RECT 5.565000 1.275000 5.735000 1.445000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.125000 1.075000 3.680000 1.275000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.420000 1.075000 2.955000 1.445000 ;
-        RECT 2.420000 1.445000 4.180000 1.615000 ;
-        RECT 3.850000 1.075000 4.180000 1.445000 ;
-    END
-  END B2
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.725000 1.770000 0.905000 ;
-        RECT 0.085000 0.905000 0.370000 1.445000 ;
-        RECT 0.085000 1.445000 1.730000 1.615000 ;
-        RECT 0.600000 0.265000 0.930000 0.725000 ;
-        RECT 0.640000 1.615000 0.890000 2.465000 ;
-        RECT 1.440000 0.255000 1.770000 0.725000 ;
-        RECT 1.480000 1.615000 1.730000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 6.440000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.630000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.440000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.440000 0.085000 ;
-      RECT 0.000000  2.635000 6.440000 2.805000 ;
-      RECT 0.220000  1.825000 0.470000 2.635000 ;
-      RECT 0.260000  0.085000 0.430000 0.555000 ;
-      RECT 0.540000  1.075000 2.230000 1.275000 ;
-      RECT 1.060000  1.795000 1.310000 2.635000 ;
-      RECT 1.100000  0.085000 1.270000 0.555000 ;
-      RECT 1.900000  1.275000 2.230000 1.785000 ;
-      RECT 1.900000  1.785000 3.930000 1.955000 ;
-      RECT 1.900000  2.125000 2.150000 2.635000 ;
-      RECT 1.940000  0.085000 2.630000 0.555000 ;
-      RECT 1.940000  0.735000 5.310000 0.905000 ;
-      RECT 1.940000  0.905000 2.230000 1.075000 ;
-      RECT 2.420000  2.125000 2.670000 2.295000 ;
-      RECT 2.420000  2.295000 4.430000 2.465000 ;
-      RECT 2.800000  0.255000 3.970000 0.475000 ;
-      RECT 2.840000  1.955000 3.090000 2.125000 ;
-      RECT 3.170000  0.645000 3.605000 0.735000 ;
-      RECT 3.260000  2.125000 3.510000 2.295000 ;
-      RECT 3.680000  1.955000 3.930000 2.125000 ;
-      RECT 4.100000  1.785000 6.110000 1.955000 ;
-      RECT 4.100000  1.955000 4.430000 2.295000 ;
-      RECT 4.185000  0.085000 4.355000 0.555000 ;
-      RECT 4.560000  0.255000 5.730000 0.475000 ;
-      RECT 4.600000  2.125000 4.850000 2.635000 ;
-      RECT 4.935000  0.645000 5.310000 0.735000 ;
-      RECT 5.020000  1.955000 5.270000 2.465000 ;
-      RECT 5.440000  2.125000 5.690000 2.635000 ;
-      RECT 5.480000  0.475000 5.730000 0.895000 ;
-      RECT 5.900000  0.085000 6.070000 0.895000 ;
-      RECT 5.905000  1.455000 6.110000 1.785000 ;
-      RECT 5.905000  1.955000 6.110000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a22o_4
-MACRO sky130_fd_sc_hd__dlrtn_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlrtn_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.440000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.460000 0.955000 1.790000 1.325000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.095000 0.415000 6.355000 2.455000 ;
-    END
-  END Q
-  PIN RESET_B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.500000 0.995000 5.435000 1.325000 ;
-    END
-  END RESET_B
-  PIN GATE_N
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.985000 0.330000 1.625000 ;
-    END
-  END GATE_N
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 6.440000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.630000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.440000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.440000 0.085000 ;
-      RECT 0.000000  2.635000 6.440000 2.805000 ;
-      RECT 0.175000  0.345000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 0.780000 0.805000 ;
-      RECT 0.175000  1.795000 0.780000 1.965000 ;
-      RECT 0.175000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.780000 1.070000 ;
-      RECT 0.610000  1.070000 0.840000 1.400000 ;
-      RECT 0.610000  1.400000 0.780000 1.795000 ;
-      RECT 1.015000  0.345000 1.185000 1.685000 ;
-      RECT 1.015000  1.685000 1.240000 2.465000 ;
-      RECT 1.455000  1.495000 2.140000 1.665000 ;
-      RECT 1.455000  1.665000 1.785000 2.415000 ;
-      RECT 1.535000  0.345000 1.705000 0.615000 ;
-      RECT 1.535000  0.615000 2.140000 0.765000 ;
-      RECT 1.535000  0.765000 2.340000 0.785000 ;
-      RECT 1.875000  0.085000 2.205000 0.445000 ;
-      RECT 1.955000  1.835000 2.270000 2.635000 ;
-      RECT 1.970000  0.785000 2.340000 1.095000 ;
-      RECT 1.970000  1.095000 2.140000 1.495000 ;
-      RECT 2.470000  1.355000 2.755000 2.005000 ;
-      RECT 2.715000  0.705000 3.095000 1.035000 ;
-      RECT 2.840000  0.365000 3.500000 0.535000 ;
-      RECT 2.900000  2.255000 3.650000 2.425000 ;
-      RECT 2.925000  1.035000 3.095000 1.415000 ;
-      RECT 2.925000  1.415000 3.265000 1.995000 ;
-      RECT 3.330000  0.535000 3.500000 1.025000 ;
-      RECT 3.330000  1.025000 4.330000 1.245000 ;
-      RECT 3.480000  1.245000 4.330000 1.325000 ;
-      RECT 3.480000  1.325000 3.650000 2.255000 ;
-      RECT 3.740000  0.085000 4.070000 0.530000 ;
-      RECT 3.820000  1.535000 5.925000 1.865000 ;
-      RECT 3.820000  2.135000 4.110000 2.635000 ;
-      RECT 4.240000  0.255000 4.590000 0.655000 ;
-      RECT 4.240000  0.655000 5.925000 0.825000 ;
-      RECT 4.300000  2.135000 4.580000 2.635000 ;
-      RECT 4.750000  1.865000 4.940000 2.465000 ;
-      RECT 5.095000  0.085000 5.925000 0.485000 ;
-      RECT 5.110000  2.135000 5.925000 2.635000 ;
-      RECT 5.605000  0.825000 5.925000 1.535000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.610000  1.445000 0.780000 1.615000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.070000  1.785000 1.240000 1.955000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.470000  1.785000 2.640000 1.955000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 2.930000  1.445000 3.100000 1.615000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.415000 0.840000 1.460000 ;
-      RECT 0.550000 1.460000 3.160000 1.600000 ;
-      RECT 0.550000 1.600000 0.840000 1.645000 ;
-      RECT 1.010000 1.755000 1.300000 1.800000 ;
-      RECT 1.010000 1.800000 2.700000 1.940000 ;
-      RECT 1.010000 1.940000 1.300000 1.985000 ;
-      RECT 2.410000 1.755000 2.700000 1.800000 ;
-      RECT 2.410000 1.940000 2.700000 1.985000 ;
-      RECT 2.870000 1.415000 3.160000 1.460000 ;
-      RECT 2.870000 1.600000 3.160000 1.645000 ;
-  END
-END sky130_fd_sc_hd__dlrtn_1
-MACRO sky130_fd_sc_hd__dlrtn_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlrtn_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.360000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.465000 0.955000 1.795000 1.325000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  1.014750 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.610000 0.255000 5.965000 0.485000 ;
-        RECT 5.680000 1.875000 5.965000 2.465000 ;
-        RECT 5.795000 0.485000 5.965000 0.765000 ;
-        RECT 5.795000 0.765000 7.275000 1.325000 ;
-        RECT 5.795000 1.325000 5.965000 1.875000 ;
-        RECT 6.575000 0.255000 6.775000 0.765000 ;
-        RECT 6.575000 1.325000 6.775000 2.465000 ;
-    END
-  END Q
-  PIN RESET_B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.505000 0.995000 5.145000 1.325000 ;
-    END
-  END RESET_B
-  PIN GATE_N
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.985000 0.330000 1.625000 ;
-    END
-  END GATE_N
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.360000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.550000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 0.000000 2.635000 7.360000 2.805000 ;
-        RECT 0.515000 2.135000 0.845000 2.635000 ;
-        RECT 1.960000 1.835000 2.275000 2.635000 ;
-        RECT 3.825000 2.135000 4.115000 2.635000 ;
-        RECT 4.305000 2.135000 4.585000 2.635000 ;
-        RECT 5.115000 1.875000 5.485000 2.635000 ;
-        RECT 6.135000 1.495000 6.405000 2.635000 ;
-        RECT 6.945000 1.495000 7.275000 2.635000 ;
-      LAYER mcon ;
-        RECT 0.145000 2.635000 0.315000 2.805000 ;
-        RECT 0.605000 2.635000 0.775000 2.805000 ;
-        RECT 1.065000 2.635000 1.235000 2.805000 ;
-        RECT 1.525000 2.635000 1.695000 2.805000 ;
-        RECT 1.985000 2.635000 2.155000 2.805000 ;
-        RECT 2.445000 2.635000 2.615000 2.805000 ;
-        RECT 2.905000 2.635000 3.075000 2.805000 ;
-        RECT 3.365000 2.635000 3.535000 2.805000 ;
-        RECT 3.825000 2.635000 3.995000 2.805000 ;
-        RECT 4.285000 2.635000 4.455000 2.805000 ;
-        RECT 4.745000 2.635000 4.915000 2.805000 ;
-        RECT 5.205000 2.635000 5.375000 2.805000 ;
-        RECT 5.665000 2.635000 5.835000 2.805000 ;
-        RECT 6.125000 2.635000 6.295000 2.805000 ;
-        RECT 6.585000 2.635000 6.755000 2.805000 ;
-        RECT 7.045000 2.635000 7.215000 2.805000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.360000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.360000 0.085000 ;
-      RECT 0.175000  0.345000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 0.780000 0.805000 ;
-      RECT 0.175000  1.795000 0.780000 1.965000 ;
-      RECT 0.175000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.610000  0.805000 0.780000 1.070000 ;
-      RECT 0.610000  1.070000 0.840000 1.400000 ;
-      RECT 0.610000  1.400000 0.780000 1.795000 ;
-      RECT 1.015000  0.345000 1.185000 1.685000 ;
-      RECT 1.015000  1.685000 1.240000 2.465000 ;
-      RECT 1.460000  1.495000 2.145000 1.665000 ;
-      RECT 1.460000  1.665000 1.790000 2.415000 ;
-      RECT 1.540000  0.345000 1.710000 0.615000 ;
-      RECT 1.540000  0.615000 2.145000 0.765000 ;
-      RECT 1.540000  0.765000 2.345000 0.785000 ;
-      RECT 1.880000  0.085000 2.210000 0.445000 ;
-      RECT 1.975000  0.785000 2.345000 1.095000 ;
-      RECT 1.975000  1.095000 2.145000 1.495000 ;
-      RECT 2.475000  1.355000 2.760000 2.005000 ;
-      RECT 2.720000  0.705000 3.100000 1.035000 ;
-      RECT 2.845000  0.365000 3.505000 0.535000 ;
-      RECT 2.905000  2.255000 3.655000 2.425000 ;
-      RECT 2.930000  1.035000 3.100000 1.415000 ;
-      RECT 2.930000  1.415000 3.270000 1.995000 ;
-      RECT 3.335000  0.535000 3.505000 1.025000 ;
-      RECT 3.335000  1.025000 4.315000 1.245000 ;
-      RECT 3.485000  1.245000 4.315000 1.325000 ;
-      RECT 3.485000  1.325000 3.655000 2.255000 ;
-      RECT 3.745000  0.085000 4.075000 0.530000 ;
-      RECT 3.825000  1.535000 5.625000 1.705000 ;
-      RECT 3.825000  1.705000 4.945000 1.865000 ;
-      RECT 4.245000  0.255000 4.595000 0.655000 ;
-      RECT 4.245000  0.655000 5.625000 0.825000 ;
-      RECT 4.755000  1.865000 4.945000 2.465000 ;
-      RECT 5.100000  0.085000 5.440000 0.485000 ;
-      RECT 5.455000  0.825000 5.625000 1.535000 ;
-      RECT 6.135000  0.085000 6.405000 0.595000 ;
-      RECT 6.945000  0.085000 7.275000 0.595000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.610000  1.445000 0.780000 1.615000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.070000  1.785000 1.240000 1.955000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.475000  1.785000 2.645000 1.955000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.935000  1.445000 3.105000 1.615000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.415000 0.840000 1.460000 ;
-      RECT 0.550000 1.460000 3.165000 1.600000 ;
-      RECT 0.550000 1.600000 0.840000 1.645000 ;
-      RECT 1.010000 1.755000 1.300000 1.800000 ;
-      RECT 1.010000 1.800000 2.705000 1.940000 ;
-      RECT 1.010000 1.940000 1.300000 1.985000 ;
-      RECT 2.415000 1.755000 2.705000 1.800000 ;
-      RECT 2.415000 1.940000 2.705000 1.985000 ;
-      RECT 2.875000 1.415000 3.165000 1.460000 ;
-      RECT 2.875000 1.600000 3.165000 1.645000 ;
-  END
-END sky130_fd_sc_hd__dlrtn_4
-MACRO sky130_fd_sc_hd__dlrtn_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlrtn_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.440000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.460000 0.955000 1.790000 1.325000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.480500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.595000 0.255000 5.925000 0.485000 ;
-        RECT 5.655000 1.875000 5.925000 2.465000 ;
-        RECT 5.755000 0.485000 5.925000 0.765000 ;
-        RECT 5.755000 0.765000 6.355000 0.865000 ;
-        RECT 5.755000 1.425000 6.355000 1.500000 ;
-        RECT 5.755000 1.500000 5.925000 1.875000 ;
-        RECT 5.760000 1.415000 6.355000 1.425000 ;
-        RECT 5.765000 1.410000 6.355000 1.415000 ;
-        RECT 5.770000 0.865000 6.355000 0.890000 ;
-        RECT 5.775000 1.385000 6.355000 1.410000 ;
-        RECT 5.785000 0.890000 6.355000 1.385000 ;
-    END
-  END Q
-  PIN RESET_B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.480000 0.995000 5.170000 1.325000 ;
-    END
-  END RESET_B
-  PIN GATE_N
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.985000 0.330000 1.625000 ;
-    END
-  END GATE_N
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 6.440000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.630000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.440000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.440000 0.085000 ;
-      RECT 0.000000  2.635000 6.440000 2.805000 ;
-      RECT 0.175000  0.345000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 0.780000 0.805000 ;
-      RECT 0.175000  1.795000 0.780000 1.965000 ;
-      RECT 0.175000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.780000 1.070000 ;
-      RECT 0.610000  1.070000 0.840000 1.400000 ;
-      RECT 0.610000  1.400000 0.780000 1.795000 ;
-      RECT 1.015000  0.345000 1.185000 1.685000 ;
-      RECT 1.015000  1.685000 1.240000 2.465000 ;
-      RECT 1.455000  1.495000 2.140000 1.665000 ;
-      RECT 1.455000  1.665000 1.785000 2.415000 ;
-      RECT 1.535000  0.345000 1.705000 0.615000 ;
-      RECT 1.535000  0.615000 2.140000 0.765000 ;
-      RECT 1.535000  0.765000 2.340000 0.785000 ;
-      RECT 1.875000  0.085000 2.205000 0.445000 ;
-      RECT 1.955000  1.835000 2.270000 2.635000 ;
-      RECT 1.960000  0.785000 2.340000 1.095000 ;
-      RECT 1.960000  1.095000 2.140000 1.495000 ;
-      RECT 2.470000  1.355000 2.755000 2.005000 ;
-      RECT 2.675000  0.705000 3.095000 1.145000 ;
-      RECT 2.775000  2.255000 3.605000 2.425000 ;
-      RECT 2.810000  0.365000 3.500000 0.535000 ;
-      RECT 2.925000  1.145000 3.095000 1.415000 ;
-      RECT 2.925000  1.415000 3.265000 1.995000 ;
-      RECT 3.330000  0.535000 3.500000 1.025000 ;
-      RECT 3.330000  1.025000 4.310000 1.245000 ;
-      RECT 3.435000  1.245000 4.310000 1.325000 ;
-      RECT 3.435000  1.325000 3.605000 2.255000 ;
-      RECT 3.735000  0.085000 4.070000 0.530000 ;
-      RECT 3.800000  2.135000 4.110000 2.635000 ;
-      RECT 3.820000  1.535000 5.585000 1.705000 ;
-      RECT 3.820000  1.705000 4.920000 1.865000 ;
-      RECT 4.240000  0.255000 4.590000 0.655000 ;
-      RECT 4.240000  0.655000 5.585000 0.825000 ;
-      RECT 4.280000  2.135000 4.560000 2.635000 ;
-      RECT 4.730000  1.865000 4.920000 2.465000 ;
-      RECT 5.090000  1.875000 5.460000 2.635000 ;
-      RECT 5.095000  0.085000 5.425000 0.485000 ;
-      RECT 5.350000  0.995000 5.615000 1.325000 ;
-      RECT 5.415000  0.825000 5.585000 0.995000 ;
-      RECT 5.415000  1.325000 5.585000 1.535000 ;
-      RECT 6.095000  0.085000 6.355000 0.595000 ;
-      RECT 6.095000  1.670000 6.355000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.610000  1.445000 0.780000 1.615000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.070000  1.785000 1.240000 1.955000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.470000  1.785000 2.640000 1.955000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 2.930000  1.445000 3.100000 1.615000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.415000 0.840000 1.460000 ;
-      RECT 0.550000 1.460000 3.160000 1.600000 ;
-      RECT 0.550000 1.600000 0.840000 1.645000 ;
-      RECT 1.010000 1.755000 1.300000 1.800000 ;
-      RECT 1.010000 1.800000 2.700000 1.940000 ;
-      RECT 1.010000 1.940000 1.300000 1.985000 ;
-      RECT 2.410000 1.755000 2.700000 1.800000 ;
-      RECT 2.410000 1.940000 2.700000 1.985000 ;
-      RECT 2.870000 1.415000 3.160000 1.460000 ;
-      RECT 2.870000 1.600000 3.160000 1.645000 ;
-  END
-END sky130_fd_sc_hd__dlrtn_2
-MACRO sky130_fd_sc_hd__edfxbp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__edfxbp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  11.96000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.695000 0.765000 1.915000 1.720000 ;
-    END
-  END D
-  PIN DE
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.110000 0.765000 2.565000 1.185000 ;
-        RECT 2.110000 1.185000 2.325000 1.370000 ;
-    END
-  END DE
-  PIN Q
-    ANTENNADIFFAREA  0.462000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 11.225000 0.255000 11.555000 2.420000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 9.400000 1.065000 9.845000 1.410000 ;
-        RECT 9.400000 1.410000 9.730000 2.465000 ;
-        RECT 9.515000 0.255000 9.845000 1.065000 ;
-    END
-  END Q_N
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 0.975000 0.445000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 11.960000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 12.150000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 11.960000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 11.960000 0.085000 ;
-      RECT  0.000000  2.635000 11.960000 2.805000 ;
-      RECT  0.175000  0.345000  0.345000 0.635000 ;
-      RECT  0.175000  0.635000  0.845000 0.805000 ;
-      RECT  0.175000  1.795000  0.845000 1.965000 ;
-      RECT  0.175000  1.965000  0.345000 2.465000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.515000  2.135000  0.845000 2.635000 ;
-      RECT  0.615000  0.805000  0.845000 1.795000 ;
-      RECT  1.015000  0.345000  1.185000 2.465000 ;
-      RECT  1.355000  0.255000  1.785000 0.515000 ;
-      RECT  1.355000  0.515000  1.525000 1.890000 ;
-      RECT  1.355000  1.890000  1.785000 2.465000 ;
-      RECT  2.235000  0.085000  2.565000 0.515000 ;
-      RECT  2.235000  1.890000  2.565000 2.635000 ;
-      RECT  2.495000  1.355000  3.085000 1.720000 ;
-      RECT  2.755000  1.720000  3.085000 2.425000 ;
-      RECT  2.780000  0.255000  3.005000 0.845000 ;
-      RECT  2.780000  0.845000  3.635000 1.175000 ;
-      RECT  2.780000  1.175000  3.085000 1.355000 ;
-      RECT  3.185000  0.085000  3.515000 0.610000 ;
-      RECT  3.265000  1.825000  3.460000 2.635000 ;
-      RECT  3.805000  0.685000  3.975000 1.320000 ;
-      RECT  3.805000  1.320000  4.175000 1.650000 ;
-      RECT  4.125000  1.820000  4.515000 2.020000 ;
-      RECT  4.125000  2.020000  4.455000 2.465000 ;
-      RECT  4.145000  0.255000  4.415000 0.980000 ;
-      RECT  4.145000  0.980000  4.515000 1.150000 ;
-      RECT  4.345000  1.150000  4.515000 1.820000 ;
-      RECT  4.795000  1.125000  4.980000 1.720000 ;
-      RECT  4.815000  0.735000  5.320000 0.955000 ;
-      RECT  4.915000  2.175000  5.955000 2.375000 ;
-      RECT  5.005000  0.255000  5.680000 0.565000 ;
-      RECT  5.150000  0.955000  5.320000 1.655000 ;
-      RECT  5.150000  1.655000  5.615000 2.005000 ;
-      RECT  5.510000  0.565000  5.680000 1.315000 ;
-      RECT  5.510000  1.315000  6.360000 1.485000 ;
-      RECT  5.785000  1.485000  6.360000 1.575000 ;
-      RECT  5.785000  1.575000  5.955000 2.175000 ;
-      RECT  5.870000  0.765000  6.935000 1.045000 ;
-      RECT  5.870000  1.045000  7.445000 1.065000 ;
-      RECT  5.870000  1.065000  6.070000 1.095000 ;
-      RECT  5.945000  0.085000  6.340000 0.560000 ;
-      RECT  6.125000  1.835000  6.360000 2.635000 ;
-      RECT  6.190000  1.245000  6.360000 1.315000 ;
-      RECT  6.530000  0.255000  6.935000 0.765000 ;
-      RECT  6.530000  1.065000  7.445000 1.375000 ;
-      RECT  6.530000  1.375000  6.860000 2.465000 ;
-      RECT  7.070000  2.105000  7.360000 2.635000 ;
-      RECT  7.165000  0.085000  7.440000 0.615000 ;
-      RECT  7.790000  1.245000  7.980000 1.965000 ;
-      RECT  7.925000  2.165000  8.890000 2.355000 ;
-      RECT  8.005000  0.705000  8.470000 1.035000 ;
-      RECT  8.025000  0.330000  8.890000 0.535000 ;
-      RECT  8.150000  1.035000  8.470000 1.995000 ;
-      RECT  8.640000  0.535000  8.890000 2.165000 ;
-      RECT  9.060000  1.495000  9.230000 2.635000 ;
-      RECT  9.095000  0.085000  9.345000 0.900000 ;
-      RECT  9.900000  1.575000 10.130000 2.010000 ;
-      RECT 10.015000  0.890000 10.640000 1.220000 ;
-      RECT 10.300000  0.255000 10.640000 0.890000 ;
-      RECT 10.300000  1.220000 10.640000 2.465000 ;
-      RECT 10.810000  0.085000 11.055000 0.900000 ;
-      RECT 10.810000  1.465000 11.055000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.635000  1.785000  0.805000 1.955000 ;
-      RECT  1.015000  1.445000  1.185000 1.615000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.355000  0.425000  1.525000 0.595000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.805000  0.765000  3.975000 0.935000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.185000  0.425000  4.355000 0.595000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  4.800000  1.445000  4.970000 1.615000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.210000  1.785000  5.380000 1.955000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.800000  1.785000  7.970000 1.955000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.220000  1.445000  8.390000 1.615000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.680000  1.785000  8.850000 1.955000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT  9.930000  1.785000 10.100000 1.955000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.390000  0.765000 10.560000 0.935000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-    LAYER met1 ;
-      RECT  0.575000 1.755000  0.865000 1.800000 ;
-      RECT  0.575000 1.800000  8.030000 1.940000 ;
-      RECT  0.575000 1.940000  0.865000 1.985000 ;
-      RECT  0.955000 1.415000  1.245000 1.460000 ;
-      RECT  0.955000 1.460000  8.450000 1.600000 ;
-      RECT  0.955000 1.600000  1.245000 1.645000 ;
-      RECT  1.295000 0.395000  4.415000 0.580000 ;
-      RECT  1.295000 0.580000  1.585000 0.625000 ;
-      RECT  3.745000 0.735000  4.035000 0.780000 ;
-      RECT  3.745000 0.780000 10.620000 0.920000 ;
-      RECT  3.745000 0.920000  4.035000 0.965000 ;
-      RECT  4.125000 0.580000  4.415000 0.625000 ;
-      RECT  4.740000 1.415000  5.030000 1.460000 ;
-      RECT  4.740000 1.600000  5.030000 1.645000 ;
-      RECT  5.150000 1.755000  5.440000 1.800000 ;
-      RECT  5.150000 1.940000  5.440000 1.985000 ;
-      RECT  7.740000 1.755000  8.030000 1.800000 ;
-      RECT  7.740000 1.940000  8.030000 1.985000 ;
-      RECT  8.160000 1.415000  8.450000 1.460000 ;
-      RECT  8.160000 1.600000  8.450000 1.645000 ;
-      RECT  8.620000 1.755000  8.910000 1.800000 ;
-      RECT  8.620000 1.800000 10.160000 1.940000 ;
-      RECT  8.620000 1.940000  8.910000 1.985000 ;
-      RECT  9.870000 1.755000 10.160000 1.800000 ;
-      RECT  9.870000 1.940000 10.160000 1.985000 ;
-      RECT 10.330000 0.735000 10.620000 0.780000 ;
-      RECT 10.330000 0.920000 10.620000 0.965000 ;
-  END
-END sky130_fd_sc_hd__edfxbp_1
-MACRO sky130_fd_sc_hd__a2bb2o_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a2bb2o_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.910000 0.995000 1.240000 1.615000 ;
-    END
-  END A1_N
-  PIN A2_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.410000 0.995000 1.700000 1.375000 ;
-    END
-  END A2_N
-  PIN B1
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.280000 0.765000 3.540000 1.655000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.600000 1.355000 3.080000 1.655000 ;
-        RECT 2.820000 0.765000 3.080000 1.355000 ;
-    END
-  END B2
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.255000 0.345000 0.810000 ;
-        RECT 0.085000 0.810000 0.260000 1.525000 ;
-        RECT 0.085000 1.525000 0.345000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.430000  0.995000 0.685000 1.325000 ;
-      RECT 0.515000  0.085000 0.945000 0.530000 ;
-      RECT 0.515000  1.325000 0.685000 1.805000 ;
-      RECT 0.515000  1.805000 1.275000 1.975000 ;
-      RECT 0.515000  2.235000 0.845000 2.635000 ;
-      RECT 1.105000  1.975000 1.275000 2.200000 ;
-      RECT 1.105000  2.200000 2.245000 2.370000 ;
-      RECT 1.180000  0.255000 1.350000 0.655000 ;
-      RECT 1.180000  0.655000 2.060000 0.825000 ;
-      RECT 1.520000  0.085000 2.240000 0.485000 ;
-      RECT 1.540000  1.545000 2.060000 1.715000 ;
-      RECT 1.540000  1.715000 1.710000 1.905000 ;
-      RECT 1.890000  0.825000 2.060000 1.545000 ;
-      RECT 1.990000  1.895000 2.400000 2.065000 ;
-      RECT 1.990000  2.065000 2.245000 2.200000 ;
-      RECT 1.990000  2.370000 2.245000 2.465000 ;
-      RECT 2.230000  0.700000 2.580000 0.870000 ;
-      RECT 2.230000  0.870000 2.400000 1.895000 ;
-      RECT 2.410000  0.255000 2.580000 0.700000 ;
-      RECT 2.415000  2.255000 2.745000 2.425000 ;
-      RECT 2.575000  1.835000 3.515000 2.005000 ;
-      RECT 2.575000  2.005000 2.745000 2.255000 ;
-      RECT 2.915000  2.175000 3.165000 2.635000 ;
-      RECT 3.155000  0.085000 3.555000 0.595000 ;
-      RECT 3.335000  2.005000 3.515000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a2bb2o_1
-MACRO sky130_fd_sc_hd__a2bb2o_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a2bb2o_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.360000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1_N
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.315000 1.075000 3.645000 1.325000 ;
-        RECT 3.475000 1.325000 3.645000 1.445000 ;
-        RECT 3.475000 1.445000 4.965000 1.615000 ;
-        RECT 4.605000 1.075000 4.965000 1.445000 ;
-    END
-  END A1_N
-  PIN A2_N
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.815000 1.075000 4.435000 1.275000 ;
-    END
-  END A2_N
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.575000 1.445000 ;
-        RECT 0.085000 1.445000 1.685000 1.615000 ;
-        RECT 1.515000 1.075000 1.895000 1.245000 ;
-        RECT 1.515000 1.245000 1.685000 1.445000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.805000 1.075000 1.345000 1.275000 ;
-    END
-  END B2
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.235000 0.275000 5.565000 0.725000 ;
-        RECT 5.235000 0.725000 6.920000 0.905000 ;
-        RECT 5.275000 1.785000 6.365000 1.955000 ;
-        RECT 5.275000 1.955000 5.525000 2.465000 ;
-        RECT 6.075000 0.275000 6.405000 0.725000 ;
-        RECT 6.115000 1.415000 6.920000 1.655000 ;
-        RECT 6.115000 1.655000 6.365000 1.785000 ;
-        RECT 6.115000 1.955000 6.365000 2.465000 ;
-        RECT 6.610000 0.905000 6.920000 1.415000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.360000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.550000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.360000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.360000 0.085000 ;
-      RECT 0.000000  2.635000 7.360000 2.805000 ;
-      RECT 0.135000  1.785000 2.065000 1.955000 ;
-      RECT 0.135000  1.955000 0.385000 2.465000 ;
-      RECT 0.175000  0.085000 0.345000 0.895000 ;
-      RECT 0.515000  0.255000 1.685000 0.475000 ;
-      RECT 0.515000  0.475000 0.765000 0.905000 ;
-      RECT 0.555000  2.125000 0.805000 2.635000 ;
-      RECT 0.935000  0.645000 1.270000 0.735000 ;
-      RECT 0.935000  0.735000 2.525000 0.905000 ;
-      RECT 0.975000  1.955000 1.225000 2.465000 ;
-      RECT 1.395000  2.125000 1.645000 2.635000 ;
-      RECT 1.815000  1.955000 2.065000 2.295000 ;
-      RECT 1.815000  2.295000 2.905000 2.465000 ;
-      RECT 1.855000  0.085000 2.025000 0.555000 ;
-      RECT 1.855000  1.455000 2.065000 1.785000 ;
-      RECT 2.195000  0.255000 2.525000 0.735000 ;
-      RECT 2.235000  0.905000 2.445000 1.415000 ;
-      RECT 2.235000  1.415000 2.620000 1.965000 ;
-      RECT 2.235000  1.965000 2.485000 2.125000 ;
-      RECT 2.615000  1.075000 3.145000 1.245000 ;
-      RECT 2.655000  2.135000 2.905000 2.295000 ;
-      RECT 2.695000  0.085000 3.385000 0.555000 ;
-      RECT 2.955000  0.725000 4.725000 0.905000 ;
-      RECT 2.955000  0.905000 3.145000 1.075000 ;
-      RECT 2.955000  1.245000 3.145000 1.495000 ;
-      RECT 2.955000  1.495000 3.305000 1.665000 ;
-      RECT 3.135000  1.665000 3.305000 1.785000 ;
-      RECT 3.135000  1.785000 4.265000 1.965000 ;
-      RECT 3.175000  2.135000 3.425000 2.635000 ;
-      RECT 3.555000  0.255000 3.885000 0.725000 ;
-      RECT 3.595000  2.135000 3.845000 2.295000 ;
-      RECT 3.595000  2.295000 4.685000 2.465000 ;
-      RECT 4.015000  1.965000 4.265000 2.125000 ;
-      RECT 4.055000  0.085000 4.225000 0.555000 ;
-      RECT 4.395000  0.255000 4.725000 0.725000 ;
-      RECT 4.435000  1.785000 4.685000 2.295000 ;
-      RECT 4.855000  1.795000 5.105000 2.635000 ;
-      RECT 4.895000  0.085000 5.065000 0.895000 ;
-      RECT 5.135000  1.075000 6.440000 1.245000 ;
-      RECT 5.135000  1.245000 5.460000 1.615000 ;
-      RECT 5.695000  2.165000 5.945000 2.635000 ;
-      RECT 5.735000  0.085000 5.905000 0.555000 ;
-      RECT 6.535000  1.825000 6.785000 2.635000 ;
-      RECT 6.575000  0.085000 6.745000 0.555000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.450000  1.445000 2.620000 1.615000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.230000  1.445000 5.400000 1.615000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-    LAYER met1 ;
-      RECT 2.390000 1.415000 2.680000 1.460000 ;
-      RECT 2.390000 1.460000 5.460000 1.600000 ;
-      RECT 2.390000 1.600000 2.680000 1.645000 ;
-      RECT 5.170000 1.415000 5.460000 1.460000 ;
-      RECT 5.170000 1.600000 5.460000 1.645000 ;
-  END
-END sky130_fd_sc_hd__a2bb2o_4
-MACRO sky130_fd_sc_hd__a2bb2o_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a2bb2o_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1_N
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.345000 0.995000 1.675000 1.615000 ;
-    END
-  END A1_N
-  PIN A2_N
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.845000 0.995000 2.135000 1.375000 ;
-    END
-  END A2_N
-  PIN B1
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.730000 0.765000 3.990000 1.655000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.050000 1.355000 3.530000 1.655000 ;
-        RECT 3.270000 0.765000 3.530000 1.355000 ;
-    END
-  END B2
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.525000 0.255000 0.780000 0.810000 ;
-        RECT 0.525000 0.810000 0.695000 1.525000 ;
-        RECT 0.525000 1.525000 0.780000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.125000 -0.085000 0.295000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.185000  0.085000 0.355000 0.930000 ;
-      RECT 0.185000  1.445000 0.355000 2.635000 ;
-      RECT 0.865000  0.995000 1.120000 1.325000 ;
-      RECT 0.950000  0.085000 1.380000 0.530000 ;
-      RECT 0.950000  1.325000 1.120000 1.805000 ;
-      RECT 0.950000  1.805000 1.710000 1.975000 ;
-      RECT 0.950000  2.235000 1.280000 2.635000 ;
-      RECT 1.540000  1.975000 1.710000 2.200000 ;
-      RECT 1.540000  2.200000 2.670000 2.370000 ;
-      RECT 1.615000  0.255000 1.785000 0.655000 ;
-      RECT 1.615000  0.655000 2.510000 0.825000 ;
-      RECT 1.955000  0.085000 2.690000 0.485000 ;
-      RECT 1.975000  1.545000 2.510000 1.715000 ;
-      RECT 1.975000  1.715000 2.145000 1.905000 ;
-      RECT 2.340000  0.825000 2.510000 1.545000 ;
-      RECT 2.440000  1.895000 2.850000 2.065000 ;
-      RECT 2.440000  2.065000 2.670000 2.200000 ;
-      RECT 2.500000  2.370000 2.670000 2.465000 ;
-      RECT 2.680000  0.700000 3.030000 0.870000 ;
-      RECT 2.680000  0.870000 2.850000 1.895000 ;
-      RECT 2.860000  0.255000 3.030000 0.700000 ;
-      RECT 2.875000  2.255000 3.205000 2.425000 ;
-      RECT 3.035000  1.835000 3.965000 2.005000 ;
-      RECT 3.035000  2.005000 3.205000 2.255000 ;
-      RECT 3.375000  2.175000 3.625000 2.635000 ;
-      RECT 3.605000  0.085000 4.005000 0.595000 ;
-      RECT 3.795000  2.005000 3.965000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a2bb2o_2
-MACRO sky130_fd_sc_hd__sdfxbp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sdfxbp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  11.04000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.440000 1.355000 2.775000 1.685000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 9.180000 0.305000 9.530000 0.725000 ;
-        RECT 9.180000 0.725000 9.560000 0.790000 ;
-        RECT 9.180000 0.790000 9.610000 0.825000 ;
-        RECT 9.200000 1.505000 9.610000 1.540000 ;
-        RECT 9.200000 1.540000 9.530000 2.465000 ;
-        RECT 9.355000 1.430000 9.610000 1.505000 ;
-        RECT 9.390000 0.825000 9.610000 1.430000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 10.685000 0.265000 10.940000 0.795000 ;
-        RECT 10.685000 1.445000 10.940000 2.325000 ;
-        RECT 10.730000 0.795000 10.940000 1.445000 ;
-    END
-  END Q_N
-  PIN SCD
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.515000 1.055000 3.995000 1.655000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.760000 0.750000 3.235000 0.785000 ;
-        RECT 1.760000 0.785000 2.010000 0.810000 ;
-        RECT 1.760000 0.810000 1.990000 0.820000 ;
-        RECT 1.760000 0.820000 1.975000 0.835000 ;
-        RECT 1.760000 0.835000 1.970000 0.840000 ;
-        RECT 1.760000 0.840000 1.965000 0.850000 ;
-        RECT 1.760000 0.850000 1.960000 0.855000 ;
-        RECT 1.760000 0.855000 1.955000 0.860000 ;
-        RECT 1.760000 0.860000 1.950000 0.870000 ;
-        RECT 1.760000 0.870000 1.945000 0.875000 ;
-        RECT 1.760000 0.875000 1.940000 0.880000 ;
-        RECT 1.760000 0.880000 1.930000 1.685000 ;
-        RECT 1.790000 0.735000 3.235000 0.750000 ;
-        RECT 1.805000 0.725000 3.235000 0.735000 ;
-        RECT 1.820000 0.715000 3.235000 0.725000 ;
-        RECT 1.830000 0.705000 3.235000 0.715000 ;
-        RECT 1.840000 0.690000 3.235000 0.705000 ;
-        RECT 1.860000 0.655000 3.235000 0.690000 ;
-        RECT 1.875000 0.615000 3.235000 0.655000 ;
-        RECT 2.455000 0.305000 2.630000 0.615000 ;
-        RECT 3.065000 0.785000 3.235000 1.115000 ;
-    END
-  END SCE
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 0.975000 0.445000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 11.040000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 11.230000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 11.040000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 11.040000 0.085000 ;
-      RECT  0.000000  2.635000 11.040000 2.805000 ;
-      RECT  0.175000  0.345000  0.345000 0.635000 ;
-      RECT  0.175000  0.635000  0.810000 0.805000 ;
-      RECT  0.175000  1.795000  0.845000 1.965000 ;
-      RECT  0.175000  1.965000  0.345000 2.465000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.515000  2.135000  0.845000 2.635000 ;
-      RECT  0.615000  0.805000  0.810000 0.970000 ;
-      RECT  0.615000  0.970000  0.845000 1.795000 ;
-      RECT  1.015000  0.345000  1.185000 2.465000 ;
-      RECT  1.420000  0.255000  1.705000 0.585000 ;
-      RECT  1.420000  0.585000  1.590000 1.860000 ;
-      RECT  1.420000  1.860000  3.230000 2.075000 ;
-      RECT  1.420000  2.075000  1.705000 2.445000 ;
-      RECT  1.875000  2.245000  2.205000 2.635000 ;
-      RECT  1.955000  0.085000  2.285000 0.445000 ;
-      RECT  2.100000  0.955000  2.445000 1.125000 ;
-      RECT  2.100000  1.125000  2.270000 1.860000 ;
-      RECT  2.675000  2.245000  3.570000 2.415000 ;
-      RECT  2.800000  0.275000  3.575000 0.445000 ;
-      RECT  3.060000  1.355000  3.255000 1.685000 ;
-      RECT  3.060000  1.685000  3.230000 1.860000 ;
-      RECT  3.400000  1.825000  4.335000 1.995000 ;
-      RECT  3.400000  1.995000  3.570000 2.245000 ;
-      RECT  3.405000  0.445000  3.575000 0.715000 ;
-      RECT  3.405000  0.715000  4.335000 0.885000 ;
-      RECT  3.740000  2.165000  3.910000 2.635000 ;
-      RECT  3.745000  0.085000  3.945000 0.545000 ;
-      RECT  4.165000  0.365000  4.515000 0.535000 ;
-      RECT  4.165000  0.535000  4.335000 0.715000 ;
-      RECT  4.165000  0.885000  4.335000 1.825000 ;
-      RECT  4.165000  1.995000  4.335000 2.070000 ;
-      RECT  4.165000  2.070000  4.450000 2.440000 ;
-      RECT  4.505000  0.705000  5.085000 1.035000 ;
-      RECT  4.505000  1.035000  4.745000 1.905000 ;
-      RECT  4.645000  2.190000  5.715000 2.360000 ;
-      RECT  4.685000  0.365000  5.425000 0.535000 ;
-      RECT  4.935000  1.655000  5.375000 2.010000 ;
-      RECT  5.255000  0.535000  5.425000 1.315000 ;
-      RECT  5.255000  1.315000  6.055000 1.485000 ;
-      RECT  5.545000  1.485000  6.055000 1.575000 ;
-      RECT  5.545000  1.575000  5.715000 2.190000 ;
-      RECT  5.595000  0.765000  6.395000 1.065000 ;
-      RECT  5.595000  1.065000  5.765000 1.095000 ;
-      RECT  5.675000  0.085000  6.045000 0.585000 ;
-      RECT  5.885000  1.245000  6.055000 1.315000 ;
-      RECT  5.885000  1.835000  6.055000 2.635000 ;
-      RECT  6.225000  0.365000  6.685000 0.535000 ;
-      RECT  6.225000  0.535000  6.395000 0.765000 ;
-      RECT  6.225000  1.065000  6.395000 2.135000 ;
-      RECT  6.225000  2.135000  6.475000 2.465000 ;
-      RECT  6.565000  0.705000  7.115000 1.035000 ;
-      RECT  6.565000  1.245000  6.755000 1.965000 ;
-      RECT  6.700000  2.165000  7.585000 2.335000 ;
-      RECT  6.915000  0.365000  7.455000 0.535000 ;
-      RECT  6.925000  1.035000  7.115000 1.575000 ;
-      RECT  6.925000  1.575000  7.245000 1.905000 ;
-      RECT  7.285000  0.535000  7.455000 0.995000 ;
-      RECT  7.285000  0.995000  8.315000 1.325000 ;
-      RECT  7.285000  1.325000  7.585000 1.405000 ;
-      RECT  7.415000  1.405000  7.585000 2.165000 ;
-      RECT  7.700000  0.085000  8.070000 0.615000 ;
-      RECT  7.755000  1.575000  8.670000 1.905000 ;
-      RECT  7.765000  2.135000  8.070000 2.635000 ;
-      RECT  8.340000  0.300000  8.670000 0.825000 ;
-      RECT  8.380000  1.905000  8.670000 2.455000 ;
-      RECT  8.485000  0.825000  8.670000 0.995000 ;
-      RECT  8.485000  0.995000  9.220000 1.325000 ;
-      RECT  8.485000  1.325000  8.670000 1.575000 ;
-      RECT  8.840000  0.085000  9.010000 0.695000 ;
-      RECT  8.840000  1.625000  9.010000 2.635000 ;
-      RECT  9.700000  0.345000  9.950000 0.620000 ;
-      RECT  9.700000  1.685000 10.030000 2.425000 ;
-      RECT  9.780000  0.620000  9.950000 0.995000 ;
-      RECT  9.780000  0.995000 10.560000 1.325000 ;
-      RECT  9.780000  1.325000 10.030000 1.685000 ;
-      RECT 10.185000  0.085000 10.515000 0.805000 ;
-      RECT 10.210000  1.495000 10.515000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.645000  1.785000  0.815000 1.955000 ;
-      RECT  1.015000  0.765000  1.185000 0.935000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  0.765000  4.915000 0.935000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.165000  1.785000  5.335000 1.955000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.575000  1.785000  6.745000 1.955000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  0.765000  6.755000 0.935000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.585000 1.755000 0.875000 1.800000 ;
-      RECT 0.585000 1.800000 6.805000 1.940000 ;
-      RECT 0.585000 1.940000 0.875000 1.985000 ;
-      RECT 0.955000 0.735000 1.245000 0.780000 ;
-      RECT 0.955000 0.780000 6.815000 0.920000 ;
-      RECT 0.955000 0.920000 1.245000 0.965000 ;
-      RECT 4.685000 0.735000 4.975000 0.780000 ;
-      RECT 4.685000 0.920000 4.975000 0.965000 ;
-      RECT 5.105000 1.755000 5.395000 1.800000 ;
-      RECT 5.105000 1.940000 5.395000 1.985000 ;
-      RECT 6.515000 1.755000 6.805000 1.800000 ;
-      RECT 6.515000 1.940000 6.805000 1.985000 ;
-      RECT 6.525000 0.735000 6.815000 0.780000 ;
-      RECT 6.525000 0.920000 6.815000 0.965000 ;
-  END
-END sky130_fd_sc_hd__sdfxbp_1
-MACRO sky130_fd_sc_hd__sdfxbp_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sdfxbp_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  11.96000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.460000 1.355000 2.795000 1.685000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 9.255000 0.255000 9.585000 0.790000 ;
-        RECT 9.255000 0.790000 9.615000 0.825000 ;
-        RECT 9.255000 1.495000 9.615000 1.530000 ;
-        RECT 9.255000 1.530000 9.585000 2.430000 ;
-        RECT 9.410000 0.825000 9.615000 0.890000 ;
-        RECT 9.410000 1.430000 9.615000 1.495000 ;
-        RECT 9.445000 0.890000 9.615000 1.430000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 11.190000 0.265000 11.440000 0.795000 ;
-        RECT 11.190000 1.445000 11.440000 2.325000 ;
-        RECT 11.235000 0.795000 11.440000 1.445000 ;
-    END
-  END Q_N
-  PIN SCD
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.535000 1.035000 4.035000 1.655000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.780000 0.615000 3.255000 0.785000 ;
-        RECT 1.780000 0.785000 1.950000 1.685000 ;
-        RECT 2.475000 0.305000 2.650000 0.615000 ;
-        RECT 3.085000 0.785000 3.255000 1.115000 ;
-    END
-  END SCE
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 0.975000 0.445000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 11.960000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 12.150000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 11.960000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 11.960000 0.085000 ;
-      RECT  0.000000  2.635000 11.960000 2.805000 ;
-      RECT  0.175000  0.345000  0.345000 0.635000 ;
-      RECT  0.175000  0.635000  0.810000 0.805000 ;
-      RECT  0.180000  1.795000  0.845000 1.965000 ;
-      RECT  0.180000  1.965000  0.350000 2.465000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.520000  2.135000  0.850000 2.635000 ;
-      RECT  0.615000  0.805000  0.810000 0.970000 ;
-      RECT  0.615000  0.970000  0.845000 1.795000 ;
-      RECT  1.015000  0.345000  1.245000 0.715000 ;
-      RECT  1.020000  0.715000  1.245000 2.465000 ;
-      RECT  1.435000  0.275000  1.805000 0.445000 ;
-      RECT  1.435000  0.445000  1.605000 1.860000 ;
-      RECT  1.435000  1.860000  3.250000 2.075000 ;
-      RECT  1.435000  2.075000  1.710000 2.445000 ;
-      RECT  1.880000  2.245000  2.210000 2.635000 ;
-      RECT  1.975000  0.085000  2.305000 0.445000 ;
-      RECT  2.120000  0.955000  2.465000 1.125000 ;
-      RECT  2.120000  1.125000  2.290000 1.860000 ;
-      RECT  2.695000  2.245000  3.590000 2.415000 ;
-      RECT  2.820000  0.275000  3.595000 0.445000 ;
-      RECT  3.080000  1.355000  3.275000 1.685000 ;
-      RECT  3.080000  1.685000  3.250000 1.860000 ;
-      RECT  3.420000  1.825000  4.375000 1.995000 ;
-      RECT  3.420000  1.995000  3.590000 2.245000 ;
-      RECT  3.425000  0.445000  3.595000 0.695000 ;
-      RECT  3.425000  0.695000  4.375000 0.865000 ;
-      RECT  3.760000  2.165000  3.930000 2.635000 ;
-      RECT  3.765000  0.085000  3.965000 0.525000 ;
-      RECT  4.205000  0.365000  4.555000 0.535000 ;
-      RECT  4.205000  0.535000  4.375000 0.695000 ;
-      RECT  4.205000  0.865000  4.375000 1.825000 ;
-      RECT  4.205000  1.995000  4.375000 2.065000 ;
-      RECT  4.205000  2.065000  4.485000 2.440000 ;
-      RECT  4.545000  0.705000  5.125000 1.035000 ;
-      RECT  4.545000  1.035000  4.785000 1.905000 ;
-      RECT  4.685000  2.190000  5.755000 2.360000 ;
-      RECT  4.725000  0.365000  5.465000 0.535000 ;
-      RECT  4.975000  1.655000  5.415000 2.010000 ;
-      RECT  5.295000  0.535000  5.465000 1.315000 ;
-      RECT  5.295000  1.315000  6.095000 1.485000 ;
-      RECT  5.585000  1.485000  6.095000 1.575000 ;
-      RECT  5.585000  1.575000  5.755000 2.190000 ;
-      RECT  5.635000  0.765000  6.435000 1.065000 ;
-      RECT  5.635000  1.065000  5.805000 1.095000 ;
-      RECT  5.715000  0.085000  6.085000 0.585000 ;
-      RECT  5.925000  1.245000  6.095000 1.315000 ;
-      RECT  5.925000  1.835000  6.095000 2.635000 ;
-      RECT  6.265000  0.365000  6.725000 0.535000 ;
-      RECT  6.265000  0.535000  6.435000 0.765000 ;
-      RECT  6.265000  1.065000  6.435000 2.135000 ;
-      RECT  6.265000  2.135000  6.515000 2.465000 ;
-      RECT  6.605000  0.705000  7.155000 1.035000 ;
-      RECT  6.605000  1.245000  6.795000 1.965000 ;
-      RECT  6.740000  2.165000  7.625000 2.335000 ;
-      RECT  6.955000  0.365000  7.495000 0.535000 ;
-      RECT  6.965000  1.035000  7.155000 1.575000 ;
-      RECT  6.965000  1.575000  7.285000 1.905000 ;
-      RECT  7.325000  0.535000  7.495000 0.995000 ;
-      RECT  7.325000  0.995000  8.370000 1.325000 ;
-      RECT  7.325000  1.325000  7.625000 1.405000 ;
-      RECT  7.455000  1.405000  7.625000 2.165000 ;
-      RECT  7.740000  0.085000  8.110000 0.615000 ;
-      RECT  7.795000  1.575000  8.725000 1.905000 ;
-      RECT  7.805000  2.135000  8.110000 2.635000 ;
-      RECT  8.360000  0.300000  8.725000 0.825000 ;
-      RECT  8.395000  1.905000  8.725000 2.455000 ;
-      RECT  8.540000  0.825000  8.725000 0.995000 ;
-      RECT  8.540000  0.995000  9.275000 1.325000 ;
-      RECT  8.540000  1.325000  8.725000 1.575000 ;
-      RECT  8.895000  0.085000  9.085000 0.695000 ;
-      RECT  8.895000  1.625000  9.075000 2.635000 ;
-      RECT  9.755000  0.085000  9.985000 0.690000 ;
-      RECT  9.765000  1.615000  9.935000 2.635000 ;
-      RECT 10.205000  0.345000 10.455000 0.995000 ;
-      RECT 10.205000  0.995000 11.065000 1.325000 ;
-      RECT 10.205000  1.325000 10.535000 2.425000 ;
-      RECT 10.690000  0.085000 11.020000 0.805000 ;
-      RECT 10.715000  1.495000 11.020000 2.635000 ;
-      RECT 11.610000  0.085000 11.780000 0.955000 ;
-      RECT 11.610000  1.395000 11.780000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.645000  1.785000  0.815000 1.955000 ;
-      RECT  1.050000  0.765000  1.220000 0.935000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  0.765000  4.915000 0.935000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  1.785000  5.375000 1.955000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  6.625000  1.785000  6.795000 1.955000 ;
-      RECT  6.640000  0.765000  6.810000 0.935000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.585000 1.755000 0.875000 1.800000 ;
-      RECT 0.585000 1.800000 6.855000 1.940000 ;
-      RECT 0.585000 1.940000 0.875000 1.985000 ;
-      RECT 0.990000 0.735000 1.280000 0.780000 ;
-      RECT 0.990000 0.780000 6.870000 0.920000 ;
-      RECT 0.990000 0.920000 1.280000 0.965000 ;
-      RECT 4.685000 0.735000 4.975000 0.780000 ;
-      RECT 4.685000 0.920000 4.975000 0.965000 ;
-      RECT 5.145000 1.755000 5.435000 1.800000 ;
-      RECT 5.145000 1.940000 5.435000 1.985000 ;
-      RECT 6.565000 1.755000 6.855000 1.800000 ;
-      RECT 6.565000 1.940000 6.855000 1.985000 ;
-      RECT 6.580000 0.735000 6.870000 0.780000 ;
-      RECT 6.580000 0.920000 6.870000 0.965000 ;
-  END
-END sky130_fd_sc_hd__sdfxbp_2
-MACRO sky130_fd_sc_hd__a21bo_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a21bo_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.685000 0.995000 3.100000 1.615000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.270000 0.995000 3.560000 1.615000 ;
-    END
-  END A2
-  PIN B1_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.070000 1.035000 1.525000 1.325000 ;
-        RECT 1.330000 0.995000 1.525000 1.035000 ;
-    END
-  END B1_N
-  PIN X
-    ANTENNADIFFAREA  0.462000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.150000 0.715000 0.850000 0.885000 ;
-        RECT 0.150000 0.885000 0.380000 1.835000 ;
-        RECT 0.150000 1.835000 0.850000 2.005000 ;
-        RECT 0.520000 0.315000 0.850000 0.715000 ;
-        RECT 0.595000 2.005000 0.850000 2.425000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.090000  0.085000 0.345000 0.545000 ;
-      RECT 0.090000  2.255000 0.425000 2.635000 ;
-      RECT 0.570000  1.075000 0.900000 1.495000 ;
-      RECT 0.570000  1.495000 1.285000 1.665000 ;
-      RECT 1.020000  0.085000 1.220000 0.865000 ;
-      RECT 1.040000  2.275000 1.370000 2.635000 ;
-      RECT 1.115000  1.665000 1.285000 1.895000 ;
-      RECT 1.115000  1.895000 2.225000 2.105000 ;
-      RECT 1.455000  0.655000 1.865000 0.825000 ;
-      RECT 1.455000  1.555000 1.865000 1.725000 ;
-      RECT 1.695000  0.825000 1.865000 0.995000 ;
-      RECT 1.695000  0.995000 2.175000 1.325000 ;
-      RECT 1.695000  1.325000 1.865000 1.555000 ;
-      RECT 1.975000  0.085000 2.305000 0.465000 ;
-      RECT 1.975000  2.105000 2.225000 2.465000 ;
-      RECT 2.055000  1.505000 2.515000 1.675000 ;
-      RECT 2.055000  1.675000 2.225000 1.895000 ;
-      RECT 2.345000  0.635000 2.740000 0.825000 ;
-      RECT 2.345000  0.825000 2.515000 1.505000 ;
-      RECT 2.395000  1.845000 3.565000 2.015000 ;
-      RECT 2.395000  2.015000 2.725000 2.465000 ;
-      RECT 2.895000  2.185000 3.065000 2.635000 ;
-      RECT 3.235000  0.085000 3.565000 0.825000 ;
-      RECT 3.235000  2.015000 3.565000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a21bo_2
-MACRO sky130_fd_sc_hd__a21bo_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a21bo_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.750000 0.995000 2.175000 1.615000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.370000 0.995000 2.630000 1.615000 ;
-    END
-  END A2
-  PIN B1_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.105000 0.325000 0.335000 1.665000 ;
-    END
-  END B1_N
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.300000 0.265000 3.580000 2.455000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.105000  1.845000 0.855000 2.045000 ;
-      RECT 0.105000  2.045000 0.345000 2.435000 ;
-      RECT 0.515000  0.265000 0.745000 1.165000 ;
-      RECT 0.515000  1.165000 0.855000 1.845000 ;
-      RECT 0.515000  2.225000 0.865000 2.635000 ;
-      RECT 0.945000  0.085000 1.190000 0.865000 ;
-      RECT 1.035000  1.045000 1.580000 1.345000 ;
-      RECT 1.035000  1.345000 1.365000 2.455000 ;
-      RECT 1.360000  0.265000 1.790000 0.625000 ;
-      RECT 1.360000  0.625000 3.100000 0.815000 ;
-      RECT 1.360000  0.815000 1.580000 1.045000 ;
-      RECT 1.535000  1.785000 2.560000 1.985000 ;
-      RECT 1.535000  1.985000 1.715000 2.455000 ;
-      RECT 1.885000  2.155000 2.215000 2.635000 ;
-      RECT 2.370000  0.085000 3.100000 0.455000 ;
-      RECT 2.390000  1.985000 2.560000 2.455000 ;
-      RECT 2.825000  1.495000 3.110000 2.635000 ;
-      RECT 2.840000  0.815000 3.100000 1.325000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a21bo_1
-MACRO sky130_fd_sc_hd__a21bo_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a21bo_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.980000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.590000 1.010000 4.955000 1.360000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.025000 1.010000 4.420000 1.275000 ;
-        RECT 4.245000 1.275000 4.420000 1.595000 ;
-        RECT 4.245000 1.595000 5.390000 1.765000 ;
-        RECT 5.220000 1.055000 5.700000 1.290000 ;
-        RECT 5.220000 1.290000 5.390000 1.595000 ;
-    END
-  END A2
-  PIN B1_N
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.500000 1.010000 0.830000 1.625000 ;
-    END
-  END B1_N
-  PIN X
-    ANTENNADIFFAREA  0.924000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.000000 0.615000 2.340000 0.785000 ;
-        RECT 1.000000 0.785000 1.235000 1.595000 ;
-        RECT 1.000000 1.595000 2.410000 1.765000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.980000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.170000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.980000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.980000 0.085000 ;
-      RECT 0.000000  2.635000 5.980000 2.805000 ;
-      RECT 0.105000  0.255000 0.540000 0.840000 ;
-      RECT 0.105000  0.840000 0.330000 1.795000 ;
-      RECT 0.105000  1.795000 0.565000 1.935000 ;
-      RECT 0.105000  1.935000 2.870000 2.105000 ;
-      RECT 0.105000  2.105000 0.550000 2.465000 ;
-      RECT 0.710000  0.085000 1.050000 0.445000 ;
-      RECT 0.720000  2.275000 1.050000 2.635000 ;
-      RECT 1.405000  0.995000 2.810000 1.185000 ;
-      RECT 1.405000  1.185000 2.530000 1.325000 ;
-      RECT 1.580000  0.085000 1.910000 0.445000 ;
-      RECT 1.580000  2.275000 1.910000 2.635000 ;
-      RECT 2.435000  2.275000 2.770000 2.635000 ;
-      RECT 2.515000  0.085000 3.285000 0.445000 ;
-      RECT 2.640000  0.615000 3.645000 0.670000 ;
-      RECT 2.640000  0.670000 4.965000 0.785000 ;
-      RECT 2.640000  0.785000 3.010000 0.800000 ;
-      RECT 2.640000  0.800000 2.810000 0.995000 ;
-      RECT 2.700000  1.355000 3.305000 1.525000 ;
-      RECT 2.700000  1.525000 2.870000 1.935000 ;
-      RECT 2.995000  0.995000 3.305000 1.355000 ;
-      RECT 3.055000  1.695000 3.225000 2.210000 ;
-      RECT 3.055000  2.210000 4.065000 2.380000 ;
-      RECT 3.475000  0.255000 3.645000 0.615000 ;
-      RECT 3.475000  0.785000 4.965000 0.840000 ;
-      RECT 3.475000  0.840000 3.645000 1.805000 ;
-      RECT 3.855000  0.085000 4.185000 0.445000 ;
-      RECT 3.885000  1.445000 4.065000 1.935000 ;
-      RECT 3.885000  1.935000 5.825000 2.105000 ;
-      RECT 3.885000  2.105000 4.065000 2.210000 ;
-      RECT 4.235000  2.275000 4.565000 2.635000 ;
-      RECT 4.685000  0.405000 4.965000 0.670000 ;
-      RECT 5.075000  2.275000 5.405000 2.635000 ;
-      RECT 5.545000  0.085000 5.825000 0.885000 ;
-      RECT 5.570000  1.460000 5.825000 1.935000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a21bo_4
-MACRO sky130_fd_sc_hd__nor3_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nor3_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.840000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.485000 0.655000 1.755000 1.665000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.595000 0.995000 0.975000 1.325000 ;
-        RECT 0.595000 1.325000 0.830000 2.005000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.995000 0.425000 1.325000 ;
-    END
-  END C
-  PIN Y
-    ANTENNADIFFAREA  0.604500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.385000 0.345000 0.655000 ;
-        RECT 0.090000 0.655000 1.315000 0.825000 ;
-        RECT 0.090000 1.495000 0.425000 2.280000 ;
-        RECT 0.090000 2.280000 1.170000 2.450000 ;
-        RECT 1.000000 1.495000 1.315000 1.665000 ;
-        RECT 1.000000 1.665000 1.170000 2.280000 ;
-        RECT 1.015000 0.385000 1.185000 0.655000 ;
-        RECT 1.145000 0.825000 1.315000 1.495000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.840000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.030000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.840000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.840000 0.085000 ;
-      RECT 0.000000  2.635000 1.840000 2.805000 ;
-      RECT 0.515000  0.085000 0.845000 0.485000 ;
-      RECT 1.355000  0.085000 1.685000 0.485000 ;
-      RECT 1.435000  1.835000 1.750000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nor3_1
-MACRO sky130_fd_sc_hd__nor3_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nor3_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.135000 1.075000 0.965000 1.285000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.135000 1.075000 2.185000 1.285000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.375000 1.075000 2.965000 1.285000 ;
-        RECT 2.375000 1.285000 2.640000 1.625000 ;
-    END
-  END C
-  PIN Y
-    ANTENNADIFFAREA  0.796500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.535000 0.255000 0.865000 0.725000 ;
-        RECT 0.535000 0.725000 3.595000 0.905000 ;
-        RECT 1.375000 0.255000 1.705000 0.725000 ;
-        RECT 2.755000 0.255000 3.085000 0.725000 ;
-        RECT 2.835000 1.455000 3.595000 1.625000 ;
-        RECT 2.835000 1.625000 3.045000 2.125000 ;
-        RECT 3.135000 0.905000 3.595000 1.455000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.090000  0.085000 0.365000 0.905000 ;
-      RECT 0.150000  1.455000 2.085000 1.625000 ;
-      RECT 0.150000  1.625000 0.405000 2.465000 ;
-      RECT 0.575000  1.795000 0.825000 2.635000 ;
-      RECT 0.995000  1.625000 1.245000 2.465000 ;
-      RECT 1.035000  0.085000 1.205000 0.555000 ;
-      RECT 1.415000  1.795000 1.665000 2.295000 ;
-      RECT 1.415000  2.295000 3.465000 2.465000 ;
-      RECT 1.835000  1.625000 2.085000 2.125000 ;
-      RECT 1.875000  0.085000 2.585000 0.555000 ;
-      RECT 2.415000  1.795000 2.625000 2.295000 ;
-      RECT 3.215000  1.795000 3.465000 2.295000 ;
-      RECT 3.255000  0.085000 3.545000 0.555000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nor3_2
-MACRO sky130_fd_sc_hd__nor3_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nor3_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.980000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 1.075000 1.825000 1.285000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.095000 1.075000 3.685000 1.285000 ;
-        RECT 3.515000 1.285000 3.685000 1.445000 ;
-        RECT 3.515000 1.445000 5.165000 1.615000 ;
-        RECT 4.995000 1.075000 5.415000 1.285000 ;
-        RECT 4.995000 1.285000 5.165000 1.445000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.855000 1.075000 4.765000 1.275000 ;
-    END
-  END C
-  PIN Y
-    ANTENNADIFFAREA  1.593000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.535000 0.255000 0.865000 0.725000 ;
-        RECT 0.535000 0.725000 5.895000 0.905000 ;
-        RECT 1.375000 0.255000 1.705000 0.725000 ;
-        RECT 2.215000 0.255000 2.545000 0.725000 ;
-        RECT 3.055000 0.255000 3.385000 0.725000 ;
-        RECT 3.515000 1.785000 5.895000 1.955000 ;
-        RECT 3.515000 1.955000 4.605000 1.965000 ;
-        RECT 3.515000 1.965000 3.765000 2.125000 ;
-        RECT 3.895000 0.255000 4.225000 0.725000 ;
-        RECT 4.355000 1.965000 4.605000 2.125000 ;
-        RECT 4.735000 0.255000 5.065000 0.725000 ;
-        RECT 5.605000 0.255000 5.895000 0.725000 ;
-        RECT 5.605000 0.905000 5.895000 1.785000 ;
-        RECT 5.615000 1.955000 5.895000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.980000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.170000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.980000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.980000 0.085000 ;
-      RECT 0.000000  2.635000 5.980000 2.805000 ;
-      RECT 0.090000  0.085000 0.365000 0.905000 ;
-      RECT 0.150000  1.455000 2.085000 1.625000 ;
-      RECT 0.150000  1.625000 0.405000 2.465000 ;
-      RECT 0.575000  1.795000 0.825000 2.635000 ;
-      RECT 0.995000  1.625000 1.245000 2.465000 ;
-      RECT 1.035000  0.085000 1.205000 0.555000 ;
-      RECT 1.415000  1.795000 1.665000 2.635000 ;
-      RECT 1.835000  1.625000 2.085000 2.085000 ;
-      RECT 1.835000  2.085000 2.925000 2.465000 ;
-      RECT 1.875000  0.085000 2.045000 0.555000 ;
-      RECT 2.255000  1.455000 3.345000 1.625000 ;
-      RECT 2.255000  1.625000 2.505000 1.915000 ;
-      RECT 2.675000  1.795000 2.925000 2.085000 ;
-      RECT 2.715000  0.085000 2.885000 0.555000 ;
-      RECT 3.095000  1.625000 3.345000 2.295000 ;
-      RECT 3.095000  2.295000 5.025000 2.465000 ;
-      RECT 3.555000  0.085000 3.725000 0.555000 ;
-      RECT 3.935000  2.135000 4.185000 2.295000 ;
-      RECT 4.395000  0.085000 4.565000 0.555000 ;
-      RECT 4.775000  2.135000 5.025000 2.295000 ;
-      RECT 5.195000  2.125000 5.445000 2.465000 ;
-      RECT 5.235000  0.085000 5.405000 0.555000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.125000 2.615000 2.295000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.125000 5.375000 2.295000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-    LAYER met1 ;
-      RECT 2.385000 2.065000 2.680000 2.140000 ;
-      RECT 2.385000 2.140000 5.440000 2.280000 ;
-      RECT 2.385000 2.280000 2.680000 2.335000 ;
-      RECT 5.145000 2.065000 5.440000 2.140000 ;
-      RECT 5.145000 2.280000 5.440000 2.335000 ;
-  END
-END sky130_fd_sc_hd__nor3_4
-MACRO sky130_fd_sc_hd__einvp_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__einvp_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.850000 0.765000 3.135000 1.615000 ;
-    END
-  END A
-  PIN TE
-    ANTENNAGATEAREA  0.354000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.330000 1.615000 ;
-    END
-  END TE
-  PIN Z
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.350000 0.595000 2.680000 2.125000 ;
-    END
-  END Z
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.085000  0.255000 0.345000 0.655000 ;
-      RECT 0.085000  0.655000 0.875000 0.825000 ;
-      RECT 0.085000  1.785000 0.875000 1.955000 ;
-      RECT 0.085000  1.955000 0.345000 2.465000 ;
-      RECT 0.500000  0.825000 0.875000 0.995000 ;
-      RECT 0.500000  0.995000 2.180000 1.325000 ;
-      RECT 0.500000  1.325000 0.875000 1.785000 ;
-      RECT 0.515000  0.085000 0.875000 0.485000 ;
-      RECT 0.515000  2.125000 0.875000 2.635000 ;
-      RECT 1.045000  0.255000 1.240000 0.655000 ;
-      RECT 1.045000  0.655000 2.180000 0.825000 ;
-      RECT 1.045000  1.555000 2.155000 1.725000 ;
-      RECT 1.045000  1.725000 1.285000 2.465000 ;
-      RECT 1.410000  0.085000 1.770000 0.485000 ;
-      RECT 1.455000  1.895000 1.785000 2.635000 ;
-      RECT 1.940000  0.255000 3.135000 0.425000 ;
-      RECT 1.940000  0.425000 2.180000 0.655000 ;
-      RECT 1.985000  1.725000 2.155000 2.295000 ;
-      RECT 1.985000  2.295000 3.135000 2.465000 ;
-      RECT 2.850000  0.425000 3.135000 0.595000 ;
-      RECT 2.850000  1.785000 3.135000 2.295000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__einvp_2
-MACRO sky130_fd_sc_hd__einvp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__einvp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.300000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.975000 0.975000 2.215000 1.955000 ;
-    END
-  END A
-  PIN TE
-    ANTENNAGATEAREA  0.223500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.545000 1.725000 ;
-    END
-  END TE
-  PIN Z
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.620000 0.255000 2.215000 0.805000 ;
-        RECT 1.620000 0.805000 1.795000 2.125000 ;
-        RECT 1.620000 2.125000 2.215000 2.465000 ;
-    END
-  END Z
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.300000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.490000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.300000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.300000 0.085000 ;
-      RECT 0.000000  2.635000 2.300000 2.805000 ;
-      RECT 0.085000  0.255000 0.345000 0.655000 ;
-      RECT 0.085000  0.655000 1.450000 0.825000 ;
-      RECT 0.085000  1.895000 1.450000 2.065000 ;
-      RECT 0.085000  2.065000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 1.450000 0.485000 ;
-      RECT 0.515000  2.235000 1.450000 2.635000 ;
-      RECT 0.715000  0.825000 1.450000 1.895000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-  END
-END sky130_fd_sc_hd__einvp_1
-MACRO sky130_fd_sc_hd__einvp_8
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__einvp_8 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  8.280000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  1.980000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.420000 1.020000 8.195000 1.275000 ;
-    END
-  END A
-  PIN TE
-    ANTENNAGATEAREA  1.027500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.330000 1.615000 ;
-    END
-  END TE
-  PIN Z
-    ANTENNADIFFAREA  1.782000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.870000 0.635000 8.195000 0.850000 ;
-        RECT 4.870000 0.850000 5.250000 1.445000 ;
-        RECT 4.870000 1.445000 7.720000 1.615000 ;
-        RECT 4.870000 1.615000 5.200000 2.125000 ;
-        RECT 5.710000 1.615000 6.040000 2.125000 ;
-        RECT 6.550000 1.615000 6.880000 2.125000 ;
-        RECT 7.390000 1.615000 7.720000 2.125000 ;
-    END
-  END Z
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 8.280000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.470000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 8.280000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 8.280000 0.085000 ;
-      RECT 0.000000  2.635000 8.280000 2.805000 ;
-      RECT 0.085000  0.255000 0.345000 0.655000 ;
-      RECT 0.085000  0.655000 0.695000 0.825000 ;
-      RECT 0.085000  1.785000 0.875000 1.955000 ;
-      RECT 0.085000  1.955000 0.345000 2.465000 ;
-      RECT 0.500000  0.825000 0.695000 0.995000 ;
-      RECT 0.500000  0.995000 4.700000 1.325000 ;
-      RECT 0.500000  1.325000 0.875000 1.785000 ;
-      RECT 0.515000  0.085000 0.845000 0.485000 ;
-      RECT 0.515000  2.125000 0.875000 2.635000 ;
-      RECT 1.035000  0.255000 1.205000 0.655000 ;
-      RECT 1.035000  0.655000 4.700000 0.825000 ;
-      RECT 1.075000  1.555000 4.700000 1.725000 ;
-      RECT 1.075000  1.725000 1.285000 2.465000 ;
-      RECT 1.375000  0.085000 1.705000 0.485000 ;
-      RECT 1.455000  1.895000 1.785000 2.635000 ;
-      RECT 1.875000  0.255000 2.045000 0.655000 ;
-      RECT 1.955000  1.725000 2.125000 2.465000 ;
-      RECT 2.215000  0.085000 2.545000 0.485000 ;
-      RECT 2.295000  1.895000 2.625000 2.635000 ;
-      RECT 2.715000  0.255000 2.885000 0.655000 ;
-      RECT 2.795000  1.725000 2.965000 2.465000 ;
-      RECT 3.055000  0.085000 3.385000 0.485000 ;
-      RECT 3.135000  1.895000 3.465000 2.635000 ;
-      RECT 3.555000  0.255000 3.725000 0.655000 ;
-      RECT 3.635000  1.725000 3.805000 2.465000 ;
-      RECT 3.895000  0.085000 4.235000 0.485000 ;
-      RECT 3.975000  1.895000 4.305000 2.635000 ;
-      RECT 4.405000  0.255000 8.195000 0.465000 ;
-      RECT 4.405000  0.465000 4.700000 0.655000 ;
-      RECT 4.475000  1.725000 4.700000 2.295000 ;
-      RECT 4.475000  2.295000 8.195000 2.465000 ;
-      RECT 5.370000  1.785000 5.540000 2.295000 ;
-      RECT 6.210000  1.785000 6.380000 2.295000 ;
-      RECT 7.050000  1.785000 7.220000 2.295000 ;
-      RECT 7.890000  1.445000 8.195000 2.295000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-  END
-END sky130_fd_sc_hd__einvp_8
-MACRO sky130_fd_sc_hd__einvp_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__einvp_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.060000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.740000 1.020000 4.975000 1.275000 ;
-    END
-  END A
-  PIN TE
-    ANTENNAGATEAREA  0.637500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.330000 1.615000 ;
-    END
-  END TE
-  PIN Z
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.190000 0.635000 4.975000 0.850000 ;
-        RECT 3.190000 0.850000 3.570000 1.445000 ;
-        RECT 3.190000 1.445000 4.360000 1.615000 ;
-        RECT 3.190000 1.615000 3.520000 2.125000 ;
-        RECT 4.030000 1.615000 4.360000 2.125000 ;
-    END
-  END Z
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.060000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.250000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.060000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.060000 0.085000 ;
-      RECT 0.000000  2.635000 5.060000 2.805000 ;
-      RECT 0.085000  0.255000 0.345000 0.655000 ;
-      RECT 0.085000  0.655000 0.695000 0.825000 ;
-      RECT 0.085000  1.785000 0.875000 1.955000 ;
-      RECT 0.085000  1.955000 0.345000 2.465000 ;
-      RECT 0.500000  0.825000 0.695000 0.995000 ;
-      RECT 0.500000  0.995000 3.020000 1.325000 ;
-      RECT 0.500000  1.325000 0.875000 1.785000 ;
-      RECT 0.515000  0.085000 0.845000 0.485000 ;
-      RECT 0.515000  2.125000 0.875000 2.635000 ;
-      RECT 1.035000  0.255000 1.205000 0.655000 ;
-      RECT 1.035000  0.655000 3.020000 0.825000 ;
-      RECT 1.075000  1.555000 2.995000 1.725000 ;
-      RECT 1.075000  1.725000 1.285000 2.465000 ;
-      RECT 1.375000  0.085000 1.705000 0.485000 ;
-      RECT 1.455000  1.895000 1.785000 2.635000 ;
-      RECT 1.875000  0.255000 2.045000 0.655000 ;
-      RECT 1.955000  1.725000 2.125000 2.465000 ;
-      RECT 2.215000  0.085000 2.555000 0.485000 ;
-      RECT 2.295000  1.895000 2.655000 2.635000 ;
-      RECT 2.735000  0.255000 4.975000 0.465000 ;
-      RECT 2.735000  0.465000 3.020000 0.655000 ;
-      RECT 2.825000  1.725000 2.995000 2.295000 ;
-      RECT 2.825000  2.295000 4.975000 2.465000 ;
-      RECT 3.690000  1.785000 3.860000 2.295000 ;
-      RECT 4.530000  1.445000 4.975000 2.295000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-  END
-END sky130_fd_sc_hd__einvp_4
-MACRO sky130_fd_sc_hd__a21oi_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a21oi_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.980000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.565000 1.065000 4.000000 1.310000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.050000 1.065000 2.395000 1.480000 ;
-        RECT 2.050000 1.480000 5.470000 1.705000 ;
-        RECT 4.225000 1.075000 5.470000 1.480000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.995000 0.400000 1.035000 ;
-        RECT 0.090000 1.035000 1.430000 1.415000 ;
-    END
-  END B1
-  PIN Y
-    ANTENNADIFFAREA  1.288000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.580000 1.585000 1.880000 1.705000 ;
-        RECT 0.580000 1.705000 1.745000 2.035000 ;
-        RECT 0.595000 0.370000 0.785000 0.615000 ;
-        RECT 0.595000 0.615000 1.645000 0.695000 ;
-        RECT 0.595000 0.695000 3.905000 0.865000 ;
-        RECT 1.455000 0.255000 1.645000 0.615000 ;
-        RECT 1.600000 0.865000 3.905000 0.895000 ;
-        RECT 1.600000 0.895000 1.880000 1.585000 ;
-        RECT 2.275000 0.675000 3.905000 0.695000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.980000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.170000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.980000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.980000 0.085000 ;
-      RECT 0.000000  2.635000 5.980000 2.805000 ;
-      RECT 0.090000  0.085000 0.425000 0.805000 ;
-      RECT 0.180000  1.795000 0.375000 2.215000 ;
-      RECT 0.180000  2.215000 2.115000 2.465000 ;
-      RECT 0.955000  0.085000 1.285000 0.445000 ;
-      RECT 0.955000  2.205000 2.115000 2.215000 ;
-      RECT 1.835000  0.085000 2.115000 0.525000 ;
-      RECT 1.915000  1.875000 5.625000 2.105000 ;
-      RECT 1.915000  2.105000 2.115000 2.205000 ;
-      RECT 2.285000  0.255000 4.335000 0.505000 ;
-      RECT 2.285000  2.275000 2.615000 2.635000 ;
-      RECT 2.785000  2.105000 2.975000 2.465000 ;
-      RECT 3.145000  2.275000 3.475000 2.635000 ;
-      RECT 3.645000  2.105000 3.835000 2.465000 ;
-      RECT 4.005000  2.275000 4.335000 2.635000 ;
-      RECT 4.075000  0.505000 4.335000 0.735000 ;
-      RECT 4.075000  0.735000 5.195000 0.905000 ;
-      RECT 4.505000  0.085000 4.695000 0.565000 ;
-      RECT 4.505000  2.105000 4.685000 2.465000 ;
-      RECT 4.865000  0.255000 5.195000 0.735000 ;
-      RECT 4.865000  2.275000 5.195000 2.635000 ;
-      RECT 5.365000  0.085000 5.625000 0.885000 ;
-      RECT 5.365000  2.105000 5.625000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a21oi_4
-MACRO sky130_fd_sc_hd__a21oi_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a21oi_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.840000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.850000 0.995000 1.265000 1.325000 ;
-        RECT 1.035000 0.375000 1.265000 0.995000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.445000 0.995000 1.740000 1.325000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 0.675000 0.335000 1.325000 ;
-    END
-  END B1
-  PIN Y
-    ANTENNADIFFAREA  0.447000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 1.495000 0.680000 1.685000 ;
-        RECT 0.095000 1.685000 0.370000 2.455000 ;
-        RECT 0.505000 0.645000 0.835000 0.825000 ;
-        RECT 0.505000 0.825000 0.680000 1.495000 ;
-        RECT 0.610000 0.265000 0.835000 0.645000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.840000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.030000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.840000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.840000 0.085000 ;
-      RECT 0.000000  2.635000 1.840000 2.805000 ;
-      RECT 0.110000  0.085000 0.440000 0.475000 ;
-      RECT 0.540000  1.855000 1.745000 2.025000 ;
-      RECT 0.540000  2.025000 0.870000 2.455000 ;
-      RECT 0.850000  1.525000 1.745000 1.855000 ;
-      RECT 1.040000  2.195000 1.235000 2.635000 ;
-      RECT 1.415000  2.025000 1.745000 2.455000 ;
-      RECT 1.445000  0.085000 1.745000 0.815000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a21oi_1
-MACRO sky130_fd_sc_hd__a21oi_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a21oi_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.815000 0.995000 1.425000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.145000 1.035000 0.645000 1.495000 ;
-        RECT 0.145000 1.495000 1.930000 1.675000 ;
-        RECT 1.605000 1.075000 1.935000 1.245000 ;
-        RECT 1.605000 1.245000 1.930000 1.495000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.800000 0.995000 3.075000 1.625000 ;
-    END
-  END B1
-  PIN Y
-    ANTENNADIFFAREA  0.627500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.955000 0.255000 1.300000 0.615000 ;
-        RECT 0.955000 0.615000 2.615000 0.785000 ;
-        RECT 2.295000 0.255000 2.615000 0.615000 ;
-        RECT 2.315000 0.785000 2.615000 2.115000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.100000  0.085000 0.395000 0.865000 ;
-      RECT 0.110000  1.855000 2.145000 2.025000 ;
-      RECT 0.110000  2.025000 1.220000 2.105000 ;
-      RECT 0.110000  2.105000 0.370000 2.465000 ;
-      RECT 0.540000  2.275000 0.870000 2.635000 ;
-      RECT 1.050000  2.105000 1.220000 2.465000 ;
-      RECT 1.475000  2.195000 1.645000 2.635000 ;
-      RECT 1.760000  0.085000 2.090000 0.445000 ;
-      RECT 1.815000  2.025000 2.145000 2.285000 ;
-      RECT 1.815000  2.285000 3.090000 2.465000 ;
-      RECT 2.785000  1.795000 3.090000 2.285000 ;
-      RECT 2.795000  0.085000 3.125000 0.825000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a21oi_2
-MACRO sky130_fd_sc_hd__sdlclkp_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sdlclkp_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  8.280000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN GATE
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.855000 0.955000 1.195000 1.445000 ;
-        RECT 0.855000 1.445000 1.240000 1.955000 ;
-    END
-  END GATE
-  PIN GCLK
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.500000 0.255000 6.830000 0.445000 ;
-        RECT 6.580000 0.445000 6.830000 0.715000 ;
-        RECT 6.580000 0.715000 7.220000 0.885000 ;
-        RECT 6.580000 1.485000 7.220000 1.655000 ;
-        RECT 6.580000 1.655000 6.830000 2.465000 ;
-        RECT 7.050000 0.885000 7.220000 1.055000 ;
-        RECT 7.050000 1.055000 8.195000 1.315000 ;
-        RECT 7.050000 1.315000 7.220000 1.485000 ;
-        RECT 7.420000 0.255000 7.720000 1.055000 ;
-        RECT 7.420000 1.315000 7.720000 2.465000 ;
-    END
-  END GCLK
-  PIN SCE
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.955000 0.345000 1.665000 ;
-    END
-  END SCE
-  PIN CLK
-    ANTENNAGATEAREA  0.406500 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 4.725000 0.995000 4.945000 1.325000 ;
-      LAYER mcon ;
-        RECT 4.770000 1.105000 4.940000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 5.685000 0.995000 6.065000 1.325000 ;
-      LAYER mcon ;
-        RECT 5.710000 1.105000 5.880000 1.275000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 4.710000 1.075000 5.000000 1.120000 ;
-        RECT 4.710000 1.120000 5.940000 1.260000 ;
-        RECT 4.710000 1.260000 5.000000 1.305000 ;
-        RECT 5.650000 1.075000 5.940000 1.120000 ;
-        RECT 5.650000 1.260000 5.940000 1.305000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 8.280000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.470000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 8.280000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 8.280000 0.085000 ;
-      RECT 0.000000  2.635000 8.280000 2.805000 ;
-      RECT 0.085000  0.255000 0.345000 0.615000 ;
-      RECT 0.085000  0.615000 1.195000 0.785000 ;
-      RECT 0.085000  1.835000 0.345000 2.635000 ;
-      RECT 0.515000  0.085000 0.845000 0.445000 ;
-      RECT 0.515000  0.785000 0.685000 2.125000 ;
-      RECT 0.515000  2.125000 1.260000 2.465000 ;
-      RECT 1.015000  0.255000 1.195000 0.615000 ;
-      RECT 1.365000  0.255000 2.500000 0.535000 ;
-      RECT 1.365000  0.705000 1.705000 1.205000 ;
-      RECT 1.365000  1.205000 1.865000 1.325000 ;
-      RECT 1.410000  1.325000 1.865000 1.955000 ;
-      RECT 1.430000  2.125000 2.205000 2.465000 ;
-      RECT 1.875000  0.705000 2.160000 1.035000 ;
-      RECT 2.035000  1.205000 3.015000 1.375000 ;
-      RECT 2.035000  1.375000 2.205000 2.125000 ;
-      RECT 2.330000  0.535000 2.500000 0.995000 ;
-      RECT 2.330000  0.995000 3.015000 1.205000 ;
-      RECT 2.375000  1.575000 2.545000 1.635000 ;
-      RECT 2.375000  1.635000 3.405000 1.905000 ;
-      RECT 2.375000  2.075000 3.015000 2.635000 ;
-      RECT 2.670000  0.085000 3.015000 0.825000 ;
-      RECT 3.185000  0.255000 3.405000 1.635000 ;
-      RECT 3.185000  1.905000 3.405000 1.915000 ;
-      RECT 3.185000  1.915000 5.515000 2.085000 ;
-      RECT 3.185000  2.085000 3.405000 2.465000 ;
-      RECT 3.595000  0.255000 3.925000 0.765000 ;
-      RECT 3.595000  0.765000 4.020000 0.935000 ;
-      RECT 3.595000  0.935000 3.765000 1.575000 ;
-      RECT 3.595000  1.575000 4.005000 1.745000 ;
-      RECT 3.595000  2.255000 5.515000 2.635000 ;
-      RECT 3.935000  1.105000 4.480000 1.275000 ;
-      RECT 4.095000  0.085000 4.425000 0.445000 ;
-      RECT 4.175000  1.275000 4.480000 1.495000 ;
-      RECT 4.175000  1.495000 4.975000 1.745000 ;
-      RECT 4.190000  0.615000 4.845000 0.785000 ;
-      RECT 4.190000  0.785000 4.480000 1.105000 ;
-      RECT 4.595000  0.255000 4.845000 0.615000 ;
-      RECT 5.015000  0.255000 5.435000 0.615000 ;
-      RECT 5.015000  0.615000 6.410000 0.785000 ;
-      RECT 5.165000  0.995000 5.515000 1.915000 ;
-      RECT 5.605000  0.085000 6.330000 0.445000 ;
-      RECT 5.685000  1.495000 6.410000 2.085000 ;
-      RECT 5.685000  2.085000 5.855000 2.465000 ;
-      RECT 6.055000  2.255000 6.385000 2.635000 ;
-      RECT 6.240000  0.785000 6.410000 1.055000 ;
-      RECT 6.240000  1.055000 6.880000 1.315000 ;
-      RECT 6.240000  1.315000 6.410000 1.495000 ;
-      RECT 7.000000  0.085000 7.250000 0.545000 ;
-      RECT 7.000000  1.825000 7.250000 2.635000 ;
-      RECT 7.890000  0.085000 8.195000 0.885000 ;
-      RECT 7.890000  1.485000 8.195000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.530000  1.445000 1.700000 1.615000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 1.990000  0.765000 2.160000 0.935000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 3.850000  0.765000 4.020000 0.935000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.310000  1.445000 4.480000 1.615000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-    LAYER met1 ;
-      RECT 1.470000 1.415000 1.760000 1.460000 ;
-      RECT 1.470000 1.460000 4.540000 1.600000 ;
-      RECT 1.470000 1.600000 1.760000 1.645000 ;
-      RECT 1.930000 0.735000 2.220000 0.780000 ;
-      RECT 1.930000 0.780000 4.080000 0.920000 ;
-      RECT 1.930000 0.920000 2.220000 0.965000 ;
-      RECT 3.790000 0.735000 4.080000 0.780000 ;
-      RECT 3.790000 0.920000 4.080000 0.965000 ;
-      RECT 4.250000 1.415000 4.540000 1.460000 ;
-      RECT 4.250000 1.600000 4.540000 1.645000 ;
-  END
-END sky130_fd_sc_hd__sdlclkp_4
-MACRO sky130_fd_sc_hd__sdlclkp_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sdlclkp_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.360000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN GATE
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.855000 0.955000 1.195000 1.445000 ;
-        RECT 0.855000 1.445000 1.240000 1.955000 ;
-    END
-  END GATE
-  PIN GCLK
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.570000 0.255000 6.840000 0.825000 ;
-        RECT 6.570000 1.495000 6.840000 2.465000 ;
-        RECT 6.670000 0.825000 6.840000 1.055000 ;
-        RECT 6.670000 1.055000 7.275000 1.315000 ;
-        RECT 6.670000 1.315000 6.840000 1.495000 ;
-    END
-  END GCLK
-  PIN SCE
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.955000 0.340000 1.665000 ;
-    END
-  END SCE
-  PIN CLK
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 4.705000 0.955000 6.050000 1.265000 ;
-        RECT 4.705000 1.265000 4.925000 1.325000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.360000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.550000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.360000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.360000 0.085000 ;
-      RECT 0.000000  2.635000 7.360000 2.805000 ;
-      RECT 0.085000  0.255000 0.345000 0.615000 ;
-      RECT 0.085000  0.615000 1.195000 0.785000 ;
-      RECT 0.085000  1.835000 0.345000 2.635000 ;
-      RECT 0.515000  0.085000 0.845000 0.445000 ;
-      RECT 0.515000  0.785000 0.685000 2.125000 ;
-      RECT 0.515000  2.125000 1.260000 2.465000 ;
-      RECT 1.015000  0.255000 1.195000 0.615000 ;
-      RECT 1.365000  0.255000 2.500000 0.535000 ;
-      RECT 1.365000  0.705000 1.705000 1.205000 ;
-      RECT 1.365000  1.205000 1.865000 1.325000 ;
-      RECT 1.410000  1.325000 1.865000 1.955000 ;
-      RECT 1.430000  2.125000 2.205000 2.465000 ;
-      RECT 1.875000  0.705000 2.160000 1.035000 ;
-      RECT 2.035000  1.205000 3.015000 1.375000 ;
-      RECT 2.035000  1.375000 2.205000 2.125000 ;
-      RECT 2.330000  0.535000 2.500000 0.995000 ;
-      RECT 2.330000  0.995000 3.015000 1.205000 ;
-      RECT 2.375000  1.575000 2.545000 1.635000 ;
-      RECT 2.375000  1.635000 3.405000 1.905000 ;
-      RECT 2.375000  2.075000 3.015000 2.635000 ;
-      RECT 2.670000  0.085000 3.015000 0.825000 ;
-      RECT 3.185000  0.255000 3.405000 1.635000 ;
-      RECT 3.185000  1.905000 3.405000 1.915000 ;
-      RECT 3.185000  1.915000 5.490000 2.085000 ;
-      RECT 3.185000  2.085000 3.405000 2.465000 ;
-      RECT 3.575000  0.255000 3.925000 0.765000 ;
-      RECT 3.575000  0.765000 4.000000 0.935000 ;
-      RECT 3.575000  0.935000 3.745000 1.575000 ;
-      RECT 3.575000  1.575000 4.040000 1.745000 ;
-      RECT 3.575000  2.255000 5.530000 2.635000 ;
-      RECT 3.915000  1.105000 4.460000 1.275000 ;
-      RECT 4.095000  0.085000 4.425000 0.445000 ;
-      RECT 4.170000  0.615000 4.825000 0.785000 ;
-      RECT 4.170000  0.785000 4.460000 1.105000 ;
-      RECT 4.210000  1.275000 4.460000 1.495000 ;
-      RECT 4.210000  1.495000 5.010000 1.745000 ;
-      RECT 4.595000  0.255000 4.825000 0.615000 ;
-      RECT 5.100000  0.255000 5.310000 0.615000 ;
-      RECT 5.100000  0.615000 6.400000 0.785000 ;
-      RECT 5.180000  1.435000 5.650000 1.605000 ;
-      RECT 5.180000  1.605000 5.490000 1.915000 ;
-      RECT 5.490000  0.085000 6.400000 0.445000 ;
-      RECT 5.700000  1.775000 6.400000 2.085000 ;
-      RECT 5.700000  2.085000 5.870000 2.465000 ;
-      RECT 5.820000  1.435000 6.400000 1.775000 ;
-      RECT 6.070000  2.255000 6.400000 2.635000 ;
-      RECT 6.230000  0.785000 6.400000 0.995000 ;
-      RECT 6.230000  0.995000 6.500000 1.325000 ;
-      RECT 6.230000  1.325000 6.400000 1.435000 ;
-      RECT 7.010000  0.085000 7.275000 0.885000 ;
-      RECT 7.010000  1.485000 7.275000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.530000  1.445000 1.700000 1.615000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 1.990000  0.765000 2.160000 0.935000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 3.830000  0.765000 4.000000 0.935000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.290000  1.445000 4.460000 1.615000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-    LAYER met1 ;
-      RECT 1.470000 1.415000 1.760000 1.460000 ;
-      RECT 1.470000 1.460000 4.520000 1.600000 ;
-      RECT 1.470000 1.600000 1.760000 1.645000 ;
-      RECT 1.930000 0.735000 2.220000 0.780000 ;
-      RECT 1.930000 0.780000 4.060000 0.920000 ;
-      RECT 1.930000 0.920000 2.220000 0.965000 ;
-      RECT 3.770000 0.735000 4.060000 0.780000 ;
-      RECT 3.770000 0.920000 4.060000 0.965000 ;
-      RECT 4.230000 1.415000 4.520000 1.460000 ;
-      RECT 4.230000 1.600000 4.520000 1.645000 ;
-  END
-END sky130_fd_sc_hd__sdlclkp_2
-MACRO sky130_fd_sc_hd__sdlclkp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sdlclkp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.900000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN GATE
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.850000 0.955000 1.190000 1.325000 ;
-        RECT 0.880000 1.325000 1.190000 1.445000 ;
-        RECT 0.880000 1.445000 1.235000 1.955000 ;
-    END
-  END GATE
-  PIN GCLK
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.530000 0.255000 6.815000 0.825000 ;
-        RECT 6.530000 1.495000 6.815000 2.465000 ;
-        RECT 6.645000 0.825000 6.815000 1.495000 ;
-    END
-  END GCLK
-  PIN SCE
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.955000 0.340000 1.665000 ;
-    END
-  END SCE
-  PIN CLK
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 4.710000 0.955000 6.010000 1.265000 ;
-        RECT 4.710000 1.265000 4.930000 1.325000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 6.900000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.090000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.900000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.900000 0.085000 ;
-      RECT 0.000000  2.635000 6.900000 2.805000 ;
-      RECT 0.085000  0.255000 0.345000 0.615000 ;
-      RECT 0.085000  0.615000 1.190000 0.785000 ;
-      RECT 0.085000  1.835000 0.345000 2.635000 ;
-      RECT 0.510000  0.785000 0.680000 1.460000 ;
-      RECT 0.510000  1.460000 0.710000 1.755000 ;
-      RECT 0.515000  0.085000 0.845000 0.445000 ;
-      RECT 0.540000  1.755000 0.710000 2.125000 ;
-      RECT 0.540000  2.125000 1.255000 2.465000 ;
-      RECT 1.015000  0.255000 1.190000 0.615000 ;
-      RECT 1.360000  0.255000 2.495000 0.535000 ;
-      RECT 1.360000  0.705000 1.700000 1.205000 ;
-      RECT 1.360000  1.205000 1.860000 1.325000 ;
-      RECT 1.405000  1.325000 1.860000 1.955000 ;
-      RECT 1.425000  2.125000 2.200000 2.465000 ;
-      RECT 1.870000  0.705000 2.155000 1.035000 ;
-      RECT 2.030000  1.205000 3.010000 1.375000 ;
-      RECT 2.030000  1.375000 2.200000 2.125000 ;
-      RECT 2.325000  0.535000 2.495000 0.995000 ;
-      RECT 2.325000  0.995000 3.010000 1.205000 ;
-      RECT 2.370000  1.575000 2.540000 1.635000 ;
-      RECT 2.370000  1.635000 3.400000 1.905000 ;
-      RECT 2.370000  2.075000 3.010000 2.635000 ;
-      RECT 2.665000  0.085000 3.010000 0.825000 ;
-      RECT 3.180000  0.255000 3.400000 1.635000 ;
-      RECT 3.180000  1.905000 3.400000 1.915000 ;
-      RECT 3.180000  1.915000 5.450000 2.085000 ;
-      RECT 3.180000  2.085000 3.400000 2.465000 ;
-      RECT 3.580000  0.255000 3.910000 0.765000 ;
-      RECT 3.580000  0.765000 4.005000 0.935000 ;
-      RECT 3.580000  0.935000 3.750000 1.575000 ;
-      RECT 3.580000  1.575000 3.990000 1.745000 ;
-      RECT 3.580000  2.255000 5.490000 2.635000 ;
-      RECT 3.920000  1.105000 4.465000 1.275000 ;
-      RECT 4.080000  0.085000 4.410000 0.445000 ;
-      RECT 4.160000  1.275000 4.465000 1.495000 ;
-      RECT 4.160000  1.495000 4.960000 1.745000 ;
-      RECT 4.175000  0.615000 4.830000 0.785000 ;
-      RECT 4.175000  0.785000 4.465000 1.105000 ;
-      RECT 4.580000  0.255000 4.830000 0.615000 ;
-      RECT 5.010000  0.255000 5.270000 0.615000 ;
-      RECT 5.010000  0.615000 6.360000 0.785000 ;
-      RECT 5.140000  1.435000 5.610000 1.605000 ;
-      RECT 5.140000  1.605000 5.450000 1.915000 ;
-      RECT 5.505000  0.085000 6.360000 0.445000 ;
-      RECT 5.660000  1.775000 6.360000 2.085000 ;
-      RECT 5.660000  2.085000 5.830000 2.465000 ;
-      RECT 5.780000  1.435000 6.360000 1.775000 ;
-      RECT 6.030000  2.255000 6.360000 2.635000 ;
-      RECT 6.190000  0.785000 6.360000 0.995000 ;
-      RECT 6.190000  0.995000 6.460000 1.325000 ;
-      RECT 6.190000  1.325000 6.360000 1.435000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  1.445000 1.695000 1.615000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  0.765000 2.155000 0.935000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 3.835000  0.765000 4.005000 0.935000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.295000  1.445000 4.465000 1.615000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-    LAYER met1 ;
-      RECT 1.465000 1.415000 1.755000 1.460000 ;
-      RECT 1.465000 1.460000 4.525000 1.600000 ;
-      RECT 1.465000 1.600000 1.755000 1.645000 ;
-      RECT 1.925000 0.735000 2.215000 0.780000 ;
-      RECT 1.925000 0.780000 4.065000 0.920000 ;
-      RECT 1.925000 0.920000 2.215000 0.965000 ;
-      RECT 3.775000 0.735000 4.065000 0.780000 ;
-      RECT 3.775000 0.920000 4.065000 0.965000 ;
-      RECT 4.235000 1.415000 4.525000 1.460000 ;
-      RECT 4.235000 1.600000 4.525000 1.645000 ;
-  END
-END sky130_fd_sc_hd__sdlclkp_1
-MACRO sky130_fd_sc_hd__dlrtp_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlrtp_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.440000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.440000 0.955000 1.770000 1.325000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.480500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.595000 0.255000 5.925000 0.485000 ;
-        RECT 5.655000 1.875000 5.925000 2.465000 ;
-        RECT 5.755000 0.485000 5.925000 0.765000 ;
-        RECT 5.755000 0.765000 6.355000 0.865000 ;
-        RECT 5.755000 1.425000 6.355000 1.500000 ;
-        RECT 5.755000 1.500000 5.925000 1.875000 ;
-        RECT 5.760000 1.415000 6.355000 1.425000 ;
-        RECT 5.765000 1.410000 6.355000 1.415000 ;
-        RECT 5.770000 0.865000 6.355000 0.890000 ;
-        RECT 5.775000 1.385000 6.355000 1.410000 ;
-        RECT 5.785000 0.890000 6.355000 1.385000 ;
-    END
-  END Q
-  PIN RESET_B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.480000 0.995000 4.815000 1.035000 ;
-        RECT 4.480000 1.035000 5.240000 1.325000 ;
-    END
-  END RESET_B
-  PIN GATE
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.985000 0.330000 1.625000 ;
-    END
-  END GATE
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 6.440000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.630000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.440000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.440000 0.085000 ;
-      RECT 0.000000  2.635000 6.440000 2.805000 ;
-      RECT 0.085000  0.345000 0.345000 0.635000 ;
-      RECT 0.085000  0.635000 0.780000 0.805000 ;
-      RECT 0.175000  1.795000 0.780000 1.965000 ;
-      RECT 0.175000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.780000 1.070000 ;
-      RECT 0.610000  1.070000 0.840000 1.400000 ;
-      RECT 0.610000  1.400000 0.780000 1.795000 ;
-      RECT 1.015000  0.345000 1.185000 1.685000 ;
-      RECT 1.015000  1.685000 1.240000 2.465000 ;
-      RECT 1.435000  1.495000 2.120000 1.665000 ;
-      RECT 1.435000  1.665000 1.785000 2.415000 ;
-      RECT 1.515000  0.345000 1.705000 0.615000 ;
-      RECT 1.515000  0.615000 2.120000 0.765000 ;
-      RECT 1.515000  0.765000 2.335000 0.785000 ;
-      RECT 1.875000  0.085000 2.205000 0.445000 ;
-      RECT 1.950000  0.785000 2.335000 1.095000 ;
-      RECT 1.950000  1.095000 2.120000 1.495000 ;
-      RECT 1.955000  1.835000 2.250000 2.635000 ;
-      RECT 2.450000  1.355000 2.755000 1.685000 ;
-      RECT 2.585000  0.735000 3.100000 1.040000 ;
-      RECT 2.770000  0.365000 3.445000 0.535000 ;
-      RECT 2.770000  2.255000 3.580000 2.425000 ;
-      RECT 2.905000  1.780000 3.265000 1.910000 ;
-      RECT 2.905000  1.910000 3.175000 1.995000 ;
-      RECT 2.930000  1.040000 3.100000 1.570000 ;
-      RECT 2.930000  1.570000 3.265000 1.780000 ;
-      RECT 3.270000  0.535000 3.445000 0.995000 ;
-      RECT 3.270000  0.995000 4.220000 1.325000 ;
-      RECT 3.410000  2.000000 3.605000 2.085000 ;
-      RECT 3.410000  2.085000 3.580000 2.255000 ;
-      RECT 3.415000  1.995000 3.605000 2.000000 ;
-      RECT 3.420000  1.985000 3.605000 1.995000 ;
-      RECT 3.435000  1.325000 3.605000 1.985000 ;
-      RECT 3.720000  0.085000 4.060000 0.530000 ;
-      RECT 3.750000  2.175000 4.090000 2.635000 ;
-      RECT 3.775000  1.535000 5.585000 1.705000 ;
-      RECT 3.775000  1.705000 4.970000 1.865000 ;
-      RECT 4.240000  0.255000 4.580000 0.655000 ;
-      RECT 4.240000  0.655000 5.095000 0.695000 ;
-      RECT 4.240000  0.695000 5.585000 0.825000 ;
-      RECT 4.280000  2.135000 4.560000 2.635000 ;
-      RECT 4.800000  1.865000 4.970000 2.465000 ;
-      RECT 4.955000  0.825000 5.585000 0.865000 ;
-      RECT 5.140000  1.875000 5.485000 2.635000 ;
-      RECT 5.255000  0.085000 5.425000 0.525000 ;
-      RECT 5.415000  0.865000 5.585000 0.995000 ;
-      RECT 5.415000  0.995000 5.615000 1.325000 ;
-      RECT 5.415000  1.325000 5.585000 1.535000 ;
-      RECT 6.095000  0.085000 6.355000 0.595000 ;
-      RECT 6.095000  1.670000 6.355000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.610000  1.445000 0.780000 1.615000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.070000  1.785000 1.240000 1.955000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.450000  1.445000 2.620000 1.615000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 2.925000  1.785000 3.095000 1.955000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.415000 0.840000 1.460000 ;
-      RECT 0.550000 1.460000 2.680000 1.600000 ;
-      RECT 0.550000 1.600000 0.840000 1.645000 ;
-      RECT 1.010000 1.755000 1.300000 1.800000 ;
-      RECT 1.010000 1.800000 3.155000 1.940000 ;
-      RECT 1.010000 1.940000 1.300000 1.985000 ;
-      RECT 2.390000 1.415000 2.680000 1.460000 ;
-      RECT 2.390000 1.600000 2.680000 1.645000 ;
-      RECT 2.865000 1.755000 3.155000 1.800000 ;
-      RECT 2.865000 1.940000 3.155000 1.985000 ;
-  END
-END sky130_fd_sc_hd__dlrtp_2
-MACRO sky130_fd_sc_hd__dlrtp_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlrtp_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.360000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.465000 0.955000 1.795000 1.325000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  1.014750 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.610000 0.255000 5.965000 0.485000 ;
-        RECT 5.680000 1.875000 5.965000 2.465000 ;
-        RECT 5.795000 0.485000 5.965000 0.765000 ;
-        RECT 5.795000 0.765000 7.275000 1.325000 ;
-        RECT 5.795000 1.325000 5.965000 1.875000 ;
-        RECT 6.575000 0.255000 6.775000 0.765000 ;
-        RECT 6.575000 1.325000 6.775000 2.465000 ;
-    END
-  END Q
-  PIN RESET_B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.505000 0.995000 5.145000 1.325000 ;
-    END
-  END RESET_B
-  PIN GATE
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.985000 0.330000 1.625000 ;
-    END
-  END GATE
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.360000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.550000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 0.000000 2.635000 7.360000 2.805000 ;
-        RECT 0.515000 2.135000 0.845000 2.635000 ;
-        RECT 1.960000 1.835000 2.275000 2.635000 ;
-        RECT 3.825000 2.135000 4.115000 2.635000 ;
-        RECT 4.305000 2.135000 4.585000 2.635000 ;
-        RECT 5.115000 1.875000 5.485000 2.635000 ;
-        RECT 6.135000 1.495000 6.405000 2.635000 ;
-        RECT 6.945000 1.495000 7.275000 2.635000 ;
-      LAYER mcon ;
-        RECT 0.145000 2.635000 0.315000 2.805000 ;
-        RECT 0.605000 2.635000 0.775000 2.805000 ;
-        RECT 1.065000 2.635000 1.235000 2.805000 ;
-        RECT 1.525000 2.635000 1.695000 2.805000 ;
-        RECT 1.985000 2.635000 2.155000 2.805000 ;
-        RECT 2.445000 2.635000 2.615000 2.805000 ;
-        RECT 2.905000 2.635000 3.075000 2.805000 ;
-        RECT 3.365000 2.635000 3.535000 2.805000 ;
-        RECT 3.825000 2.635000 3.995000 2.805000 ;
-        RECT 4.285000 2.635000 4.455000 2.805000 ;
-        RECT 4.745000 2.635000 4.915000 2.805000 ;
-        RECT 5.205000 2.635000 5.375000 2.805000 ;
-        RECT 5.665000 2.635000 5.835000 2.805000 ;
-        RECT 6.125000 2.635000 6.295000 2.805000 ;
-        RECT 6.585000 2.635000 6.755000 2.805000 ;
-        RECT 7.045000 2.635000 7.215000 2.805000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.360000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.360000 0.085000 ;
-      RECT 0.175000  0.345000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 0.780000 0.805000 ;
-      RECT 0.175000  1.795000 0.780000 1.965000 ;
-      RECT 0.175000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.610000  0.805000 0.780000 1.070000 ;
-      RECT 0.610000  1.070000 0.840000 1.400000 ;
-      RECT 0.610000  1.400000 0.780000 1.795000 ;
-      RECT 1.015000  0.345000 1.185000 1.685000 ;
-      RECT 1.015000  1.685000 1.240000 2.465000 ;
-      RECT 1.460000  1.495000 2.145000 1.665000 ;
-      RECT 1.460000  1.665000 1.790000 2.415000 ;
-      RECT 1.540000  0.345000 1.710000 0.615000 ;
-      RECT 1.540000  0.615000 2.145000 0.765000 ;
-      RECT 1.540000  0.765000 2.345000 0.785000 ;
-      RECT 1.880000  0.085000 2.210000 0.445000 ;
-      RECT 1.975000  0.785000 2.345000 1.095000 ;
-      RECT 1.975000  1.095000 2.145000 1.495000 ;
-      RECT 2.475000  1.355000 2.760000 1.685000 ;
-      RECT 2.720000  0.705000 3.100000 1.035000 ;
-      RECT 2.845000  0.365000 3.505000 0.535000 ;
-      RECT 2.905000  2.255000 3.655000 2.425000 ;
-      RECT 2.930000  1.035000 3.100000 1.575000 ;
-      RECT 2.930000  1.575000 3.270000 1.995000 ;
-      RECT 3.335000  0.535000 3.505000 0.995000 ;
-      RECT 3.335000  0.995000 4.235000 1.165000 ;
-      RECT 3.485000  1.165000 4.235000 1.325000 ;
-      RECT 3.485000  1.325000 3.655000 2.255000 ;
-      RECT 3.745000  0.085000 4.075000 0.530000 ;
-      RECT 3.825000  1.535000 5.625000 1.705000 ;
-      RECT 3.825000  1.705000 4.945000 1.865000 ;
-      RECT 4.265000  0.255000 4.595000 0.655000 ;
-      RECT 4.265000  0.655000 5.625000 0.825000 ;
-      RECT 4.755000  1.865000 4.945000 2.465000 ;
-      RECT 5.100000  0.085000 5.440000 0.485000 ;
-      RECT 5.455000  0.825000 5.625000 1.535000 ;
-      RECT 6.135000  0.085000 6.405000 0.595000 ;
-      RECT 6.945000  0.085000 7.275000 0.595000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.610000  1.445000 0.780000 1.615000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.070000  1.785000 1.240000 1.955000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.475000  1.445000 2.645000 1.615000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.935000  1.785000 3.105000 1.955000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.415000 0.840000 1.460000 ;
-      RECT 0.550000 1.460000 2.705000 1.600000 ;
-      RECT 0.550000 1.600000 0.840000 1.645000 ;
-      RECT 1.010000 1.755000 1.300000 1.800000 ;
-      RECT 1.010000 1.800000 3.165000 1.940000 ;
-      RECT 1.010000 1.940000 1.300000 1.985000 ;
-      RECT 2.415000 1.415000 2.705000 1.460000 ;
-      RECT 2.415000 1.600000 2.705000 1.645000 ;
-      RECT 2.875000 1.755000 3.165000 1.800000 ;
-      RECT 2.875000 1.940000 3.165000 1.985000 ;
-  END
-END sky130_fd_sc_hd__dlrtp_4
-MACRO sky130_fd_sc_hd__dlrtp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlrtp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.980000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.435000 0.955000 1.765000 1.325000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.610000 0.345000 5.895000 0.745000 ;
-        RECT 5.635000 1.670000 5.895000 2.455000 ;
-        RECT 5.725000 0.745000 5.895000 1.670000 ;
-    END
-  END Q
-  PIN RESET_B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.745000 0.345000 4.975000 0.995000 ;
-        RECT 4.745000 0.995000 5.075000 1.325000 ;
-    END
-  END RESET_B
-  PIN GATE
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.985000 0.325000 1.625000 ;
-    END
-  END GATE
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.980000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.170000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.980000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.980000 0.085000 ;
-      RECT 0.000000  2.635000 5.980000 2.805000 ;
-      RECT 0.085000  1.795000 0.775000 1.965000 ;
-      RECT 0.085000  1.965000 0.345000 2.465000 ;
-      RECT 0.170000  0.345000 0.345000 0.635000 ;
-      RECT 0.170000  0.635000 0.775000 0.805000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.605000  0.805000 0.775000 1.070000 ;
-      RECT 0.605000  1.070000 0.835000 1.400000 ;
-      RECT 0.605000  1.400000 0.775000 1.795000 ;
-      RECT 1.015000  0.345000 1.185000 1.685000 ;
-      RECT 1.015000  1.685000 1.235000 2.465000 ;
-      RECT 1.430000  1.495000 2.115000 1.665000 ;
-      RECT 1.430000  1.665000 1.785000 2.415000 ;
-      RECT 1.510000  0.345000 1.705000 0.615000 ;
-      RECT 1.510000  0.615000 2.115000 0.765000 ;
-      RECT 1.510000  0.765000 2.335000 0.785000 ;
-      RECT 1.875000  0.085000 2.205000 0.445000 ;
-      RECT 1.945000  0.785000 2.335000 1.095000 ;
-      RECT 1.945000  1.095000 2.115000 1.495000 ;
-      RECT 1.955000  1.835000 2.245000 2.635000 ;
-      RECT 2.445000  1.355000 2.835000 1.625000 ;
-      RECT 2.445000  1.625000 2.760000 1.685000 ;
-      RECT 2.690000  0.765000 3.245000 1.095000 ;
-      RECT 2.810000  2.255000 3.625000 2.425000 ;
-      RECT 2.815000  0.365000 3.585000 0.535000 ;
-      RECT 2.900000  1.785000 3.265000 1.995000 ;
-      RECT 3.005000  1.095000 3.245000 1.635000 ;
-      RECT 3.005000  1.635000 3.265000 1.785000 ;
-      RECT 3.415000  0.535000 3.585000 0.995000 ;
-      RECT 3.415000  0.995000 4.175000 1.165000 ;
-      RECT 3.455000  1.165000 4.175000 1.325000 ;
-      RECT 3.455000  1.325000 3.625000 2.255000 ;
-      RECT 3.755000  0.085000 4.025000 0.610000 ;
-      RECT 3.815000  1.535000 5.465000 1.735000 ;
-      RECT 3.815000  1.735000 4.965000 1.865000 ;
-      RECT 3.930000  2.135000 4.445000 2.635000 ;
-      RECT 4.195000  0.295000 4.575000 0.805000 ;
-      RECT 4.345000  0.805000 4.575000 1.505000 ;
-      RECT 4.345000  1.505000 5.465000 1.535000 ;
-      RECT 4.625000  1.865000 4.965000 2.435000 ;
-      RECT 5.135000  1.915000 5.465000 2.635000 ;
-      RECT 5.155000  0.085000 5.440000 0.715000 ;
-      RECT 5.245000  0.995000 5.555000 1.325000 ;
-      RECT 5.245000  1.325000 5.465000 1.505000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  1.445000 0.775000 1.615000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  1.785000 1.235000 1.955000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  1.445000 2.615000 1.615000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 2.925000  1.785000 3.095000 1.955000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.545000 1.415000 0.835000 1.460000 ;
-      RECT 0.545000 1.460000 2.675000 1.600000 ;
-      RECT 0.545000 1.600000 0.835000 1.645000 ;
-      RECT 1.005000 1.755000 1.295000 1.800000 ;
-      RECT 1.005000 1.800000 3.155000 1.940000 ;
-      RECT 1.005000 1.940000 1.295000 1.985000 ;
-      RECT 2.385000 1.415000 2.675000 1.460000 ;
-      RECT 2.385000 1.600000 2.675000 1.645000 ;
-      RECT 2.865000 1.755000 3.155000 1.800000 ;
-      RECT 2.865000 1.940000 3.155000 1.985000 ;
-  END
-END sky130_fd_sc_hd__dlrtp_1
-MACRO sky130_fd_sc_hd__a2111o_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a2111o_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.905000 0.995000 3.290000 1.325000 ;
-        RECT 2.985000 0.285000 3.540000 0.845000 ;
-        RECT 2.985000 0.845000 3.290000 0.995000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.510000 1.025000 4.010000 1.290000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.400000 0.995000 2.680000 2.465000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.890000 1.050000 2.220000 2.465000 ;
-    END
-  END C1
-  PIN D1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.290000 1.050000 1.720000 1.290000 ;
-        RECT 1.515000 1.290000 1.720000 2.465000 ;
-    END
-  END D1
-  PIN X
-    ANTENNADIFFAREA  0.504500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.135000 0.255000 0.465000 1.620000 ;
-        RECT 0.135000 1.620000 0.390000 2.460000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-    PORT
-      LAYER pwell ;
-        RECT 1.975000 -0.065000 2.145000 0.105000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.565000  1.815000 0.895000 2.635000 ;
-      RECT 0.635000  0.085000 1.310000 0.470000 ;
-      RECT 0.695000  0.650000 1.915000 0.655000 ;
-      RECT 0.695000  0.655000 2.805000 0.825000 ;
-      RECT 0.695000  0.825000 0.915000 1.465000 ;
-      RECT 0.695000  1.465000 1.345000 1.645000 ;
-      RECT 1.135000  1.645000 1.345000 2.460000 ;
-      RECT 1.585000  0.260000 1.915000 0.650000 ;
-      RECT 2.085000  0.085000 2.430000 0.485000 ;
-      RECT 2.600000  0.260000 2.805000 0.655000 ;
-      RECT 2.860000  1.495000 3.990000 1.665000 ;
-      RECT 2.860000  1.665000 3.145000 2.460000 ;
-      RECT 3.325000  1.835000 3.540000 2.635000 ;
-      RECT 3.715000  0.085000 3.955000 0.760000 ;
-      RECT 3.720000  1.665000 3.990000 2.460000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a2111o_1
-MACRO sky130_fd_sc_hd__a2111o_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a2111o_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.820000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.825000 1.075000 4.495000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.675000 1.075000 5.625000 1.275000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.450000 0.975000 3.255000 1.285000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.040000 0.975000 2.280000 1.285000 ;
-    END
-  END C1
-  PIN D1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.975000 0.370000 1.625000 ;
-    END
-  END D1
-  PIN X
-    ANTENNADIFFAREA  0.924000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.165000 0.255000 6.355000 0.635000 ;
-        RECT 6.165000 0.635000 7.735000 0.805000 ;
-        RECT 6.165000 1.465000 7.735000 1.635000 ;
-        RECT 6.165000 1.635000 7.215000 1.715000 ;
-        RECT 6.165000 1.715000 6.355000 2.465000 ;
-        RECT 7.025000 0.255000 7.215000 0.635000 ;
-        RECT 7.025000 1.715000 7.215000 2.465000 ;
-        RECT 7.490000 0.805000 7.735000 1.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.820000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.010000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.820000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.820000 0.085000 ;
-      RECT 0.000000  2.635000 7.820000 2.805000 ;
-      RECT 0.110000  1.795000 0.370000 2.295000 ;
-      RECT 0.110000  2.295000 2.160000 2.465000 ;
-      RECT 0.180000  0.255000 0.440000 0.635000 ;
-      RECT 0.180000  0.635000 3.655000 0.805000 ;
-      RECT 0.540000  0.805000 0.870000 2.125000 ;
-      RECT 0.610000  0.085000 0.940000 0.465000 ;
-      RECT 1.040000  1.455000 1.230000 2.295000 ;
-      RECT 1.110000  0.255000 1.340000 0.615000 ;
-      RECT 1.110000  0.615000 3.655000 0.635000 ;
-      RECT 1.400000  1.455000 3.100000 1.625000 ;
-      RECT 1.400000  1.625000 1.730000 2.125000 ;
-      RECT 1.510000  0.085000 1.840000 0.445000 ;
-      RECT 1.900000  1.795000 2.160000 2.295000 ;
-      RECT 2.015000  0.255000 2.240000 0.615000 ;
-      RECT 2.340000  1.795000 2.675000 2.295000 ;
-      RECT 2.340000  2.295000 3.650000 2.465000 ;
-      RECT 2.420000  0.085000 3.295000 0.445000 ;
-      RECT 2.845000  1.625000 3.100000 2.125000 ;
-      RECT 3.320000  1.795000 5.495000 1.995000 ;
-      RECT 3.320000  1.995000 3.650000 2.295000 ;
-      RECT 3.465000  0.255000 4.585000 0.445000 ;
-      RECT 3.465000  0.445000 3.655000 0.615000 ;
-      RECT 3.465000  0.805000 3.655000 1.445000 ;
-      RECT 3.465000  1.445000 5.975000 1.625000 ;
-      RECT 3.825000  0.615000 5.495000 0.785000 ;
-      RECT 3.865000  2.165000 4.195000 2.635000 ;
-      RECT 4.365000  1.995000 4.625000 2.415000 ;
-      RECT 4.805000  0.085000 5.140000 0.445000 ;
-      RECT 4.805000  2.255000 5.140000 2.635000 ;
-      RECT 5.310000  0.255000 5.495000 0.615000 ;
-      RECT 5.310000  1.995000 5.495000 2.465000 ;
-      RECT 5.665000  0.085000 5.995000 0.515000 ;
-      RECT 5.665000  1.800000 5.995000 2.635000 ;
-      RECT 5.795000  1.075000 7.320000 1.245000 ;
-      RECT 5.795000  1.245000 5.975000 1.445000 ;
-      RECT 6.525000  0.085000 6.855000 0.445000 ;
-      RECT 6.525000  1.885000 6.855000 2.635000 ;
-      RECT 7.385000  0.085000 7.715000 0.465000 ;
-      RECT 7.385000  1.805000 7.715000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a2111o_4
-MACRO sky130_fd_sc_hd__a2111o_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a2111o_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.600000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.365000 0.955000 3.775000 1.740000 ;
-        RECT 3.505000 0.290000 3.995000 0.825000 ;
-        RECT 3.505000 0.825000 3.775000 0.955000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.945000 0.995000 4.515000 1.740000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.905000 0.995000 3.195000 1.740000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.425000 0.995000 2.735000 2.355000 ;
-    END
-  END C1
-  PIN D1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.885000 0.995000 2.255000 1.325000 ;
-        RECT 1.960000 1.325000 2.255000 2.355000 ;
-    END
-  END D1
-  PIN X
-    ANTENNADIFFAREA  0.462000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.605000 0.255000 0.895000 2.390000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.600000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.790000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.600000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.600000 0.085000 ;
-      RECT 0.000000  2.635000 4.600000 2.805000 ;
-      RECT 0.085000  0.085000 0.435000 0.885000 ;
-      RECT 0.085000  1.635000 0.435000 2.635000 ;
-      RECT 1.065000  0.085000 2.010000 0.445000 ;
-      RECT 1.065000  0.445000 1.325000 0.865000 ;
-      RECT 1.065000  1.075000 1.705000 1.325000 ;
-      RECT 1.065000  1.495000 1.315000 2.635000 ;
-      RECT 1.495000  0.615000 3.335000 0.785000 ;
-      RECT 1.495000  0.785000 1.705000 1.075000 ;
-      RECT 1.495000  1.325000 1.705000 1.495000 ;
-      RECT 1.495000  1.495000 1.785000 2.465000 ;
-      RECT 2.180000  0.255000 2.420000 0.615000 ;
-      RECT 2.590000  0.085000 2.920000 0.445000 ;
-      RECT 3.070000  1.915000 4.515000 2.085000 ;
-      RECT 3.070000  2.085000 3.400000 2.465000 ;
-      RECT 3.090000  0.255000 3.335000 0.615000 ;
-      RECT 3.590000  2.255000 3.920000 2.635000 ;
-      RECT 4.090000  2.085000 4.515000 2.465000 ;
-      RECT 4.165000  0.085000 4.515000 0.805000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a2111o_2
-MACRO sky130_fd_sc_hd__fahcin_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__fahcin_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  12.42000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.950000 1.075000 1.340000 1.275000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.691500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.510000 0.665000 1.740000 1.325000 ;
-      LAYER mcon ;
-        RECT 1.525000 0.765000 1.695000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 4.240000 0.645000 4.490000 1.325000 ;
-      LAYER mcon ;
-        RECT 4.285000 0.765000 4.455000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 1.465000 0.735000 1.755000 0.780000 ;
-        RECT 1.465000 0.780000 4.515000 0.920000 ;
-        RECT 1.465000 0.920000 1.755000 0.965000 ;
-        RECT 4.225000 0.735000 4.515000 0.780000 ;
-        RECT 4.225000 0.920000 4.515000 0.965000 ;
-    END
-  END B
-  PIN CIN
-    ANTENNAGATEAREA  0.493500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 10.520000 1.075000 10.965000 1.275000 ;
-    END
-  END CIN
-  PIN COUT
-    ANTENNADIFFAREA  0.402800 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.600000 0.755000 6.925000 0.925000 ;
-        RECT 6.600000 0.925000 6.870000 1.675000 ;
-        RECT 6.700000 1.675000 6.870000 1.785000 ;
-        RECT 6.755000 0.595000 6.925000 0.755000 ;
-    END
-  END COUT
-  PIN SUM
-    ANTENNADIFFAREA  0.470250 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 11.995000 0.255000 12.335000 0.825000 ;
-        RECT 12.000000 1.785000 12.335000 2.465000 ;
-        RECT 12.125000 0.825000 12.335000 1.785000 ;
-    END
-  END SUM
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 12.420000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 12.610000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 12.420000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 12.420000 0.085000 ;
-      RECT  0.000000  2.635000 12.420000 2.805000 ;
-      RECT  0.085000  0.735000  0.430000 0.805000 ;
-      RECT  0.085000  0.805000  0.255000 1.500000 ;
-      RECT  0.085000  1.500000  0.440000 1.840000 ;
-      RECT  0.085000  1.840000  1.110000 2.010000 ;
-      RECT  0.085000  2.010000  0.430000 2.465000 ;
-      RECT  0.100000  0.255000  0.430000 0.735000 ;
-      RECT  0.425000  0.995000  0.780000 1.325000 ;
-      RECT  0.600000  2.180000  0.770000 2.635000 ;
-      RECT  0.610000  0.735000  1.325000 0.905000 ;
-      RECT  0.610000  0.905000  0.780000 0.995000 ;
-      RECT  0.610000  1.325000  0.780000 1.500000 ;
-      RECT  0.610000  1.500000  1.450000 1.670000 ;
-      RECT  0.630000  0.085000  0.800000 0.545000 ;
-      RECT  0.940000  2.010000  1.110000 2.215000 ;
-      RECT  0.940000  2.215000  1.970000 2.295000 ;
-      RECT  0.940000  2.295000  3.515000 2.385000 ;
-      RECT  0.995000  0.255000  3.390000 0.425000 ;
-      RECT  0.995000  0.425000  2.100000 0.465000 ;
-      RECT  0.995000  0.465000  1.325000 0.735000 ;
-      RECT  1.280000  1.670000  1.450000 1.785000 ;
-      RECT  1.280000  1.785000  2.050000 1.955000 ;
-      RECT  1.280000  1.955000  1.450000 2.045000 ;
-      RECT  1.715000  2.385000  3.515000 2.465000 ;
-      RECT  1.985000  0.675000  2.390000 1.350000 ;
-      RECT  2.220000  0.595000  2.390000 0.675000 ;
-      RECT  2.220000  1.350000  2.390000 1.785000 ;
-      RECT  2.515000  0.425000  3.390000 0.465000 ;
-      RECT  2.565000  1.785000  2.895000 2.045000 ;
-      RECT  2.620000  0.655000  3.025000 0.735000 ;
-      RECT  2.620000  0.735000  3.135000 0.755000 ;
-      RECT  2.620000  0.755000  3.730000 0.905000 ;
-      RECT  2.640000  1.075000  2.970000 1.095000 ;
-      RECT  2.640000  1.095000  3.120000 1.245000 ;
-      RECT  2.800000  1.245000  3.120000 1.265000 ;
-      RECT  2.950000  1.265000  3.120000 1.615000 ;
-      RECT  3.055000  0.905000  3.730000 0.925000 ;
-      RECT  3.215000  0.465000  3.390000 0.585000 ;
-      RECT  3.245000  2.110000  3.460000 2.295000 ;
-      RECT  3.290000  0.925000  3.460000 2.110000 ;
-      RECT  3.560000  0.255000  4.570000 0.425000 ;
-      RECT  3.560000  0.425000  3.730000 0.755000 ;
-      RECT  3.710000  1.150000  4.070000 1.320000 ;
-      RECT  3.710000  1.320000  3.880000 2.290000 ;
-      RECT  3.710000  2.290000  5.065000 2.460000 ;
-      RECT  3.900000  0.595000  4.070000 1.150000 ;
-      RECT  4.080000  1.695000  4.445000 2.120000 ;
-      RECT  4.240000  0.425000  4.570000 0.475000 ;
-      RECT  4.690000  1.385000  5.170000 1.725000 ;
-      RECT  4.815000  1.895000  5.995000 2.065000 ;
-      RECT  4.815000  2.065000  5.065000 2.290000 ;
-      RECT  4.830000  0.510000  5.000000 0.995000 ;
-      RECT  4.830000  0.995000  5.630000 1.325000 ;
-      RECT  4.830000  1.325000  5.170000 1.385000 ;
-      RECT  5.180000  0.085000  5.510000 0.805000 ;
-      RECT  5.260000  2.235000  5.590000 2.635000 ;
-      RECT  5.635000  1.555000  6.370000 1.725000 ;
-      RECT  5.680000  0.380000  5.970000 0.815000 ;
-      RECT  5.800000  0.815000  5.970000 1.555000 ;
-      RECT  5.825000  2.065000  5.995000 2.295000 ;
-      RECT  5.825000  2.295000  7.950000 2.465000 ;
-      RECT  6.140000  0.740000  6.425000 1.325000 ;
-      RECT  6.200000  1.725000  6.370000 1.895000 ;
-      RECT  6.200000  1.895000  6.530000 1.955000 ;
-      RECT  6.200000  1.955000  7.210000 2.125000 ;
-      RECT  6.255000  0.255000  7.695000 0.425000 ;
-      RECT  6.255000  0.425000  6.585000 0.570000 ;
-      RECT  7.040000  1.060000  7.270000 1.230000 ;
-      RECT  7.040000  1.230000  7.210000 1.955000 ;
-      RECT  7.100000  0.595000  7.350000 0.925000 ;
-      RECT  7.100000  0.925000  7.270000 1.060000 ;
-      RECT  7.380000  1.360000  7.610000 1.530000 ;
-      RECT  7.380000  1.530000  7.550000 2.125000 ;
-      RECT  7.440000  1.105000  7.695000 1.290000 ;
-      RECT  7.440000  1.290000  7.610000 1.360000 ;
-      RECT  7.520000  0.425000  7.695000 1.105000 ;
-      RECT  7.780000  1.550000  8.035000 1.720000 ;
-      RECT  7.780000  1.720000  7.950000 2.295000 ;
-      RECT  7.865000  0.255000  9.980000 0.425000 ;
-      RECT  7.865000  0.425000  8.035000 0.740000 ;
-      RECT  7.865000  0.995000  8.035000 1.550000 ;
-      RECT  8.220000  1.955000  8.390000 2.295000 ;
-      RECT  8.220000  2.295000  9.410000 2.465000 ;
-      RECT  8.305000  0.595000  8.555000 0.925000 ;
-      RECT  8.375000  0.925000  8.555000 1.445000 ;
-      RECT  8.375000  1.445000  8.670000 1.530000 ;
-      RECT  8.375000  1.530000  8.890000 1.785000 ;
-      RECT  8.560000  1.785000  8.890000 2.125000 ;
-      RECT  8.725000  0.595000  9.410000 0.765000 ;
-      RECT  8.835000  0.995000  9.070000 1.325000 ;
-      RECT  9.240000  0.765000  9.410000 1.875000 ;
-      RECT  9.240000  1.875000 10.885000 2.025000 ;
-      RECT  9.240000  2.025000 10.145000 2.030000 ;
-      RECT  9.240000  2.030000 10.130000 2.035000 ;
-      RECT  9.240000  2.035000 10.120000 2.040000 ;
-      RECT  9.240000  2.040000 10.105000 2.045000 ;
-      RECT  9.240000  2.045000  9.410000 2.295000 ;
-      RECT  9.640000  0.425000  9.980000 0.825000 ;
-      RECT  9.640000  0.825000  9.810000 1.535000 ;
-      RECT  9.640000  1.535000 10.010000 1.705000 ;
-      RECT  9.980000  0.995000 10.350000 1.325000 ;
-      RECT 10.055000  1.870000 10.885000 1.875000 ;
-      RECT 10.070000  1.865000 10.885000 1.870000 ;
-      RECT 10.085000  1.860000 10.885000 1.865000 ;
-      RECT 10.100000  1.855000 10.885000 1.860000 ;
-      RECT 10.180000  0.085000 10.350000 0.565000 ;
-      RECT 10.180000  0.735000 10.910000 0.905000 ;
-      RECT 10.180000  0.905000 10.350000 0.995000 ;
-      RECT 10.180000  1.325000 10.350000 1.445000 ;
-      RECT 10.180000  1.445000 10.885000 1.855000 ;
-      RECT 10.190000  2.195000 10.360000 2.635000 ;
-      RECT 10.530000  0.285000 10.910000 0.735000 ;
-      RECT 10.535000  2.025000 10.885000 2.465000 ;
-      RECT 11.075000  1.455000 11.405000 2.465000 ;
-      RECT 11.155000  0.270000 11.325000 0.680000 ;
-      RECT 11.155000  0.680000 11.405000 1.455000 ;
-      RECT 11.495000  0.085000 11.825000 0.510000 ;
-      RECT 11.575000  1.785000 11.830000 2.635000 ;
-      RECT 11.645000  0.995000 11.955000 1.615000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.880000  1.785000  2.050000 1.955000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  1.105000  2.155000 1.275000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.570000  1.785000  2.740000 1.955000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  2.950000  1.445000  3.120000 1.615000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.140000  1.785000  4.310000 1.955000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  4.760000  1.445000  4.930000 1.615000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.140000  1.105000  6.310000 1.275000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.520000  0.765000  7.690000 0.935000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.440000  1.445000  8.610000 1.615000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  8.900000  1.105000  9.070000 1.275000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.220000  0.765000 11.390000 0.935000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-      RECT 11.680000  1.445000 11.850000 1.615000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-      RECT 12.105000  2.635000 12.275000 2.805000 ;
-    LAYER met1 ;
-      RECT  1.820000 1.755000  2.110000 1.800000 ;
-      RECT  1.820000 1.800000  4.370000 1.940000 ;
-      RECT  1.820000 1.940000  2.110000 1.985000 ;
-      RECT  1.925000 1.075000  2.215000 1.120000 ;
-      RECT  1.925000 1.120000  9.130000 1.260000 ;
-      RECT  1.925000 1.260000  2.215000 1.305000 ;
-      RECT  2.510000 1.755000  2.800000 1.800000 ;
-      RECT  2.510000 1.940000  2.800000 1.985000 ;
-      RECT  2.890000 1.415000  3.180000 1.460000 ;
-      RECT  2.890000 1.460000  4.990000 1.600000 ;
-      RECT  2.890000 1.600000  3.180000 1.645000 ;
-      RECT  4.080000 1.755000  4.370000 1.800000 ;
-      RECT  4.080000 1.940000  4.370000 1.985000 ;
-      RECT  4.700000 1.415000  4.990000 1.460000 ;
-      RECT  4.700000 1.600000  4.990000 1.645000 ;
-      RECT  6.080000 1.075000  6.370000 1.120000 ;
-      RECT  6.080000 1.260000  6.370000 1.305000 ;
-      RECT  7.460000 0.735000  7.750000 0.780000 ;
-      RECT  7.460000 0.780000 11.450000 0.920000 ;
-      RECT  7.460000 0.920000  7.750000 0.965000 ;
-      RECT  8.380000 1.415000  8.670000 1.460000 ;
-      RECT  8.380000 1.460000 11.910000 1.600000 ;
-      RECT  8.380000 1.600000  8.670000 1.645000 ;
-      RECT  8.840000 1.075000  9.130000 1.120000 ;
-      RECT  8.840000 1.260000  9.130000 1.305000 ;
-      RECT 11.160000 0.735000 11.450000 0.780000 ;
-      RECT 11.160000 0.920000 11.450000 0.965000 ;
-      RECT 11.620000 1.415000 11.910000 1.460000 ;
-      RECT 11.620000 1.600000 11.910000 1.645000 ;
-  END
-END sky130_fd_sc_hd__fahcin_1
-MACRO sky130_fd_sc_hd__lpflow_decapkapwr_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_decapkapwr_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.840000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN KAPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.545000 1.755000 2.465000 ;
-        RECT 1.005000 1.025000 1.755000 1.545000 ;
-      LAYER mcon ;
-        RECT 0.145000 2.125000 0.315000 2.295000 ;
-        RECT 0.605000 2.125000 0.775000 2.295000 ;
-        RECT 1.065000 2.125000 1.235000 2.295000 ;
-        RECT 1.525000 2.125000 1.695000 2.295000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 2.140000 1.770000 2.340000 ;
-        RECT 0.085000 2.080000 1.755000 2.140000 ;
-    END
-  END KAPWR
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.840000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.030000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.840000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.840000 0.085000 ;
-      RECT 0.000000  2.635000 1.840000 2.805000 ;
-      RECT 0.085000  0.085000 1.755000 0.855000 ;
-      RECT 0.085000  0.855000 0.835000 1.375000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_decapkapwr_4
-MACRO sky130_fd_sc_hd__lpflow_decapkapwr_6
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_decapkapwr_6 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN KAPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.545000 2.675000 2.465000 ;
-        RECT 1.465000 1.025000 2.675000 1.545000 ;
-      LAYER mcon ;
-        RECT 0.145000 2.125000 0.315000 2.295000 ;
-        RECT 0.605000 2.125000 0.775000 2.295000 ;
-        RECT 1.065000 2.125000 1.235000 2.295000 ;
-        RECT 1.525000 2.125000 1.695000 2.295000 ;
-        RECT 1.985000 2.125000 2.155000 2.295000 ;
-        RECT 2.445000 2.125000 2.615000 2.295000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 2.140000 2.690000 2.340000 ;
-        RECT 0.085000 2.080000 2.675000 2.140000 ;
-    END
-  END KAPWR
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.085000  0.085000 2.675000 0.855000 ;
-      RECT 0.085000  0.855000 1.295000 1.375000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_decapkapwr_6
-MACRO sky130_fd_sc_hd__lpflow_decapkapwr_8
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_decapkapwr_8 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN KAPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.545000 3.595000 2.465000 ;
-        RECT 1.905000 1.025000 3.595000 1.545000 ;
-      LAYER mcon ;
-        RECT 0.145000 2.125000 0.315000 2.295000 ;
-        RECT 0.605000 2.125000 0.775000 2.295000 ;
-        RECT 1.065000 2.125000 1.235000 2.295000 ;
-        RECT 1.525000 2.125000 1.695000 2.295000 ;
-        RECT 1.985000 2.125000 2.155000 2.295000 ;
-        RECT 2.445000 2.125000 2.615000 2.295000 ;
-        RECT 2.905000 2.125000 3.075000 2.295000 ;
-        RECT 3.365000 2.125000 3.535000 2.295000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 2.140000 3.610000 2.340000 ;
-        RECT 0.085000 2.080000 3.595000 2.140000 ;
-    END
-  END KAPWR
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.085000  0.085000 3.595000 0.855000 ;
-      RECT 0.085000  0.855000 1.735000 1.375000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_decapkapwr_8
-MACRO sky130_fd_sc_hd__lpflow_decapkapwr_3
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_decapkapwr_3 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.380000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN KAPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.545000 1.295000 2.465000 ;
-        RECT 0.775000 1.005000 1.295000 1.545000 ;
-      LAYER mcon ;
-        RECT 0.145000 2.125000 0.315000 2.295000 ;
-        RECT 0.605000 2.125000 0.775000 2.295000 ;
-        RECT 1.065000 2.125000 1.235000 2.295000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 2.140000 1.310000 2.340000 ;
-        RECT 0.085000 2.080000 1.295000 2.140000 ;
-    END
-  END KAPWR
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.380000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 1.570000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.380000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.380000 0.085000 ;
-      RECT 0.000000  2.635000 1.380000 2.805000 ;
-      RECT 0.085000  0.085000 1.295000 0.835000 ;
-      RECT 0.085000  0.835000 0.605000 1.375000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_decapkapwr_3
-MACRO sky130_fd_sc_hd__lpflow_decapkapwr_12
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_decapkapwr_12 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.520000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN KAPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.545000 5.430000 2.465000 ;
-        RECT 2.835000 1.025000 5.430000 1.545000 ;
-      LAYER mcon ;
-        RECT 0.145000 2.125000 0.315000 2.295000 ;
-        RECT 0.605000 2.125000 0.775000 2.295000 ;
-        RECT 1.065000 2.125000 1.235000 2.295000 ;
-        RECT 1.525000 2.125000 1.695000 2.295000 ;
-        RECT 1.985000 2.125000 2.155000 2.295000 ;
-        RECT 2.445000 2.125000 2.615000 2.295000 ;
-        RECT 2.905000 2.125000 3.075000 2.295000 ;
-        RECT 3.365000 2.125000 3.535000 2.295000 ;
-        RECT 3.825000 2.125000 3.995000 2.295000 ;
-        RECT 4.285000 2.125000 4.455000 2.295000 ;
-        RECT 4.745000 2.125000 4.915000 2.295000 ;
-        RECT 5.205000 2.125000 5.375000 2.295000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 2.140000 5.450000 2.340000 ;
-        RECT 0.085000 2.080000 5.435000 2.140000 ;
-    END
-  END KAPWR
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.520000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.710000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.520000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.520000 0.085000 ;
-      RECT 0.000000  2.635000 5.520000 2.805000 ;
-      RECT 0.085000  0.085000 5.430000 0.855000 ;
-      RECT 0.085000  0.855000 2.665000 1.375000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_decapkapwr_12
-MACRO sky130_fd_sc_hd__o311a_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o311a_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.415000 0.995000 1.750000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.920000 0.995000 2.250000 1.325000 ;
-        RECT 1.980000 1.325000 2.250000 2.070000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.440000 0.995000 2.730000 1.325000 ;
-        RECT 2.440000 1.325000 2.675000 2.070000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.900000 0.995000 3.300000 1.325000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.810000 0.995000 4.055000 1.325000 ;
-    END
-  END C1
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.055000 0.905000 1.315000 ;
-        RECT 0.550000 0.255000 0.825000 0.995000 ;
-        RECT 0.550000 0.995000 0.905000 1.055000 ;
-        RECT 0.550000 1.315000 0.905000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.085000  0.085000 0.380000 0.885000 ;
-      RECT 0.085000  1.485000 0.380000 2.635000 ;
-      RECT 0.995000  0.085000 1.665000 0.825000 ;
-      RECT 1.075000  0.995000 1.245000 1.495000 ;
-      RECT 1.075000  1.495000 1.810000 1.665000 ;
-      RECT 1.075000  1.835000 1.470000 2.635000 ;
-      RECT 1.640000  1.665000 1.810000 2.295000 ;
-      RECT 1.640000  2.295000 3.175000 2.465000 ;
-      RECT 1.835000  0.310000 2.120000 0.655000 ;
-      RECT 1.835000  0.655000 3.220000 0.825000 ;
-      RECT 2.300000  0.085000 2.675000 0.485000 ;
-      RECT 2.845000  1.495000 4.055000 1.665000 ;
-      RECT 2.845000  1.665000 3.175000 2.295000 ;
-      RECT 2.890000  0.310000 3.220000 0.655000 ;
-      RECT 3.360000  1.835000 3.595000 2.635000 ;
-      RECT 3.470000  0.255000 4.055000 0.825000 ;
-      RECT 3.470000  0.825000 3.640000 1.495000 ;
-      RECT 3.765000  1.665000 4.055000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o311a_2
-MACRO sky130_fd_sc_hd__o311a_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o311a_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.820000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.950000 1.055000 7.735000 1.315000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.020000 1.055000 6.770000 1.315000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.655000 1.055000 5.850000 1.315000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.250000 1.055000 4.475000 1.315000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.115000 1.055000 3.080000 1.315000 ;
-    END
-  END C1
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.055000 0.765000 1.315000 ;
-        RECT 0.595000 0.255000 0.765000 0.715000 ;
-        RECT 0.595000 0.715000 1.605000 0.885000 ;
-        RECT 0.595000 0.885000 0.765000 1.055000 ;
-        RECT 0.595000 1.315000 0.765000 1.485000 ;
-        RECT 0.595000 1.485000 1.605000 1.725000 ;
-        RECT 0.595000 1.725000 0.765000 2.465000 ;
-        RECT 1.435000 0.255000 1.605000 0.715000 ;
-        RECT 1.435000 1.725000 1.605000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.820000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.010000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.820000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.820000 0.085000 ;
-      RECT 0.000000  2.635000 7.820000 2.805000 ;
-      RECT 0.085000  0.085000 0.425000 0.885000 ;
-      RECT 0.085000  1.485000 0.425000 2.635000 ;
-      RECT 0.935000  0.085000 1.265000 0.545000 ;
-      RECT 0.935000  1.055000 1.945000 1.315000 ;
-      RECT 0.935000  1.895000 1.265000 2.635000 ;
-      RECT 1.775000  0.085000 2.025000 0.545000 ;
-      RECT 1.775000  0.715000 3.045000 0.885000 ;
-      RECT 1.775000  0.885000 1.945000 1.055000 ;
-      RECT 1.775000  1.315000 1.945000 1.485000 ;
-      RECT 1.775000  1.485000 5.005000 1.725000 ;
-      RECT 1.775000  1.895000 2.445000 2.635000 ;
-      RECT 2.195000  0.255000 4.305000 0.505000 ;
-      RECT 2.195000  0.675000 3.045000 0.715000 ;
-      RECT 2.615000  1.725000 2.785000 2.465000 ;
-      RECT 2.955000  1.895000 3.285000 2.635000 ;
-      RECT 3.215000  0.505000 3.385000 0.885000 ;
-      RECT 3.455000  1.725000 3.625000 2.465000 ;
-      RECT 3.555000  0.675000 7.735000 0.885000 ;
-      RECT 3.855000  1.895000 4.045000 2.635000 ;
-      RECT 4.335000  1.895000 4.665000 2.295000 ;
-      RECT 4.335000  2.295000 6.445000 2.465000 ;
-      RECT 4.485000  0.255000 4.755000 0.675000 ;
-      RECT 4.835000  1.725000 5.005000 2.125000 ;
-      RECT 4.925000  0.085000 5.605000 0.505000 ;
-      RECT 5.255000  1.485000 5.525000 2.295000 ;
-      RECT 5.695000  1.485000 7.735000 1.725000 ;
-      RECT 5.695000  1.725000 5.945000 2.125000 ;
-      RECT 5.775000  0.255000 5.945000 0.675000 ;
-      RECT 6.115000  0.085000 6.445000 0.505000 ;
-      RECT 6.115000  1.895000 6.445000 2.295000 ;
-      RECT 6.615000  0.255000 6.785000 0.675000 ;
-      RECT 6.615000  1.725000 6.785000 2.125000 ;
-      RECT 6.955000  0.085000 7.285000 0.505000 ;
-      RECT 6.955000  1.895000 7.285000 2.635000 ;
-      RECT 7.455000  0.255000 7.735000 0.675000 ;
-      RECT 7.455000  1.725000 7.735000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o311a_4
-MACRO sky130_fd_sc_hd__o311a_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o311a_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.945000 0.995000 1.280000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.450000 0.995000 1.790000 1.325000 ;
-        RECT 1.520000 1.325000 1.790000 2.070000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.980000 0.995000 2.270000 1.325000 ;
-        RECT 1.980000 1.325000 2.215000 2.070000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.440000 0.995000 2.840000 1.325000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.350000 0.995000 3.595000 1.325000 ;
-    END
-  END C1
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.255000 0.355000 1.070000 ;
-        RECT 0.085000 1.070000 0.435000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.140000 -0.085000 0.310000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.525000  0.085000 1.195000 0.825000 ;
-      RECT 0.605000  0.995000 0.775000 1.495000 ;
-      RECT 0.605000  1.495000 1.350000 1.665000 ;
-      RECT 0.605000  1.835000 1.010000 2.635000 ;
-      RECT 1.180000  1.665000 1.350000 2.295000 ;
-      RECT 1.180000  2.295000 2.715000 2.465000 ;
-      RECT 1.365000  0.310000 1.660000 0.655000 ;
-      RECT 1.365000  0.655000 2.760000 0.825000 ;
-      RECT 1.840000  0.085000 2.215000 0.485000 ;
-      RECT 2.385000  1.495000 3.595000 1.665000 ;
-      RECT 2.385000  1.665000 2.715000 2.295000 ;
-      RECT 2.430000  0.310000 2.760000 0.655000 ;
-      RECT 2.900000  1.835000 3.135000 2.635000 ;
-      RECT 3.010000  0.255000 3.595000 0.825000 ;
-      RECT 3.010000  0.825000 3.180000 1.495000 ;
-      RECT 3.305000  1.665000 3.595000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o311a_1
-MACRO sky130_fd_sc_hd__sdfrtn_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sdfrtn_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  11.50000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.144000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.735000 1.355000 3.120000 1.785000 ;
-        RECT 2.865000 1.785000 3.120000 2.465000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 11.140000 0.265000 11.400000 0.795000 ;
-        RECT 11.140000 1.460000 11.400000 2.325000 ;
-        RECT 11.150000 1.445000 11.400000 1.460000 ;
-        RECT 11.190000 0.795000 11.400000 1.445000 ;
-    END
-  END Q
-  PIN RESET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.505000 0.765000 7.035000 1.045000 ;
-      LAYER mcon ;
-        RECT 6.865000 0.765000 7.035000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 9.525000 1.065000 10.115000 1.275000 ;
-        RECT 9.825000 0.635000 10.115000 1.065000 ;
-      LAYER mcon ;
-        RECT 9.690000 1.105000  9.860000 1.275000 ;
-        RECT 9.945000 0.765000 10.115000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 6.445000 0.735000  7.095000 0.780000 ;
-        RECT 6.445000 0.780000 10.175000 0.920000 ;
-        RECT 6.445000 0.920000  7.095000 0.965000 ;
-        RECT 9.630000 0.920000 10.175000 0.965000 ;
-        RECT 9.630000 0.965000  9.920000 1.305000 ;
-        RECT 9.885000 0.735000 10.175000 0.780000 ;
-    END
-  END RESET_B
-  PIN SCD
-    ANTENNAGATEAREA  0.156600 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.020000 0.285000 4.275000 0.710000 ;
-        RECT 4.020000 0.710000 4.395000 1.700000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.435000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.465000 1.985000 1.730000 2.465000 ;
-        RECT 1.485000 1.070000 1.730000 1.985000 ;
-    END
-  END SCE
-  PIN CLK_N
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.140000 0.975000 0.490000 1.625000 ;
-    END
-  END CLK_N
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 11.500000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.215000 -0.010000 0.235000 0.015000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000  1.970000 1.425000 ;
-        RECT -0.190000 1.425000 11.690000 2.910000 ;
-        RECT  4.405000 1.305000 11.690000 1.425000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 11.500000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 11.500000 0.085000 ;
-      RECT  0.000000  2.635000 11.500000 2.805000 ;
-      RECT  0.090000  1.795000  0.865000 1.965000 ;
-      RECT  0.090000  1.965000  0.345000 2.465000 ;
-      RECT  0.095000  0.345000  0.345000 0.635000 ;
-      RECT  0.095000  0.635000  0.835000 0.805000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.530000  2.135000  0.860000 2.635000 ;
-      RECT  0.660000  0.805000  0.835000 0.995000 ;
-      RECT  0.660000  0.995000  0.975000 1.325000 ;
-      RECT  0.660000  1.325000  0.865000 1.795000 ;
-      RECT  1.015000  0.345000  1.315000 0.675000 ;
-      RECT  1.035000  1.730000  1.315000 1.900000 ;
-      RECT  1.035000  1.900000  1.205000 2.465000 ;
-      RECT  1.145000  0.675000  1.315000 1.730000 ;
-      RECT  1.535000  0.395000  1.705000 0.730000 ;
-      RECT  1.535000  0.730000  2.225000 0.900000 ;
-      RECT  1.875000  0.085000  2.205000 0.560000 ;
-      RECT  1.900000  2.055000  2.150000 2.400000 ;
-      RECT  1.980000  1.260000  2.470000 1.455000 ;
-      RECT  1.980000  1.455000  2.150000 2.055000 ;
-      RECT  2.055000  0.900000  2.225000 0.995000 ;
-      RECT  2.055000  0.995000  3.085000 1.185000 ;
-      RECT  2.055000  1.185000  2.470000 1.260000 ;
-      RECT  2.320000  2.040000  2.490000 2.635000 ;
-      RECT  2.395000  0.085000  2.725000 0.825000 ;
-      RECT  2.915000  0.255000  3.850000 0.425000 ;
-      RECT  2.915000  0.425000  3.085000 0.995000 ;
-      RECT  3.255000  0.675000  3.425000 1.015000 ;
-      RECT  3.255000  1.015000  3.460000 1.185000 ;
-      RECT  3.290000  1.185000  3.460000 1.935000 ;
-      RECT  3.290000  1.935000  5.075000 2.105000 ;
-      RECT  3.460000  2.105000  3.630000 2.465000 ;
-      RECT  3.680000  0.425000  3.850000 1.685000 ;
-      RECT  4.300000  2.275000  4.630000 2.635000 ;
-      RECT  4.445000  0.085000  4.775000 0.540000 ;
-      RECT  4.565000  0.715000  5.145000 0.895000 ;
-      RECT  4.565000  0.895000  4.735000 1.935000 ;
-      RECT  4.905000  1.065000  5.075000 1.395000 ;
-      RECT  4.905000  2.105000  5.075000 2.185000 ;
-      RECT  4.905000  2.185000  5.275000 2.435000 ;
-      RECT  4.975000  0.335000  5.315000 0.505000 ;
-      RECT  4.975000  0.505000  5.145000 0.715000 ;
-      RECT  5.245000  1.575000  5.495000 1.955000 ;
-      RECT  5.325000  0.705000  5.975000 1.035000 ;
-      RECT  5.325000  1.035000  5.495000 1.575000 ;
-      RECT  5.470000  2.135000  5.835000 2.465000 ;
-      RECT  5.485000  0.305000  6.335000 0.475000 ;
-      RECT  5.665000  1.215000  7.375000 1.385000 ;
-      RECT  5.665000  1.385000  5.835000 2.135000 ;
-      RECT  6.005000  1.935000  7.165000 2.105000 ;
-      RECT  6.005000  2.105000  6.175000 2.375000 ;
-      RECT  6.165000  0.475000  6.335000 1.215000 ;
-      RECT  6.285000  1.595000  7.715000 1.765000 ;
-      RECT  6.410000  2.355000  6.740000 2.635000 ;
-      RECT  6.915000  0.085000  7.245000 0.545000 ;
-      RECT  6.995000  2.105000  7.165000 2.375000 ;
-      RECT  7.205000  1.005000  7.375000 1.215000 ;
-      RECT  7.375000  2.175000  7.745000 2.635000 ;
-      RECT  7.455000  0.275000  7.785000 0.445000 ;
-      RECT  7.455000  0.445000  7.715000 0.835000 ;
-      RECT  7.455000  1.765000  7.715000 1.835000 ;
-      RECT  7.455000  1.835000  8.140000 2.005000 ;
-      RECT  7.545000  0.835000  7.715000 1.595000 ;
-      RECT  7.885000  0.705000  8.095000 1.495000 ;
-      RECT  7.885000  1.495000  8.520000 1.655000 ;
-      RECT  7.885000  1.655000  8.870000 1.665000 ;
-      RECT  7.970000  2.005000  8.140000 2.465000 ;
-      RECT  8.005000  0.255000  8.915000 0.535000 ;
-      RECT  8.310000  1.665000  8.870000 1.935000 ;
-      RECT  8.310000  1.935000  8.840000 1.955000 ;
-      RECT  8.320000  2.125000  9.190000 2.465000 ;
-      RECT  8.405000  0.920000  8.575000 1.325000 ;
-      RECT  8.745000  0.535000  8.915000 1.315000 ;
-      RECT  8.745000  1.315000  9.210000 1.485000 ;
-      RECT  9.015000  2.035000  9.210000 2.115000 ;
-      RECT  9.015000  2.115000  9.190000 2.125000 ;
-      RECT  9.040000  1.485000  9.210000 1.575000 ;
-      RECT  9.040000  1.575000 10.205000 1.745000 ;
-      RECT  9.040000  1.745000  9.210000 2.035000 ;
-      RECT  9.085000  0.085000  9.255000 0.525000 ;
-      RECT  9.125000  0.695000  9.655000 0.865000 ;
-      RECT  9.125000  0.865000  9.295000 1.145000 ;
-      RECT  9.360000  2.195000  9.610000 2.635000 ;
-      RECT  9.485000  0.295000 10.515000 0.465000 ;
-      RECT  9.485000  0.465000  9.655000 0.695000 ;
-      RECT  9.780000  1.915000 10.545000 2.085000 ;
-      RECT  9.780000  2.085000  9.950000 2.375000 ;
-      RECT 10.120000  2.255000 10.450000 2.635000 ;
-      RECT 10.345000  0.465000 10.515000 0.995000 ;
-      RECT 10.345000  0.995000 11.020000 1.295000 ;
-      RECT 10.375000  1.295000 11.020000 1.325000 ;
-      RECT 10.375000  1.325000 10.545000 1.915000 ;
-      RECT 10.720000  0.085000 10.890000 0.545000 ;
-      RECT 10.720000  1.495000 10.970000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.675000  1.785000  0.845000 1.955000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.145000  1.105000  1.315000 1.275000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  4.905000  1.105000  5.075000 1.275000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.325000  1.785000  5.495000 1.955000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.405000  1.105000  8.575000 1.275000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.445000  1.785000  8.615000 1.955000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.615000 1.755000 0.915000 1.800000 ;
-      RECT 0.615000 1.800000 8.675000 1.940000 ;
-      RECT 0.615000 1.940000 0.915000 1.985000 ;
-      RECT 1.085000 1.075000 1.375000 1.120000 ;
-      RECT 1.085000 1.120000 8.635000 1.260000 ;
-      RECT 1.085000 1.260000 1.375000 1.305000 ;
-      RECT 4.845000 1.075000 5.135000 1.120000 ;
-      RECT 4.845000 1.260000 5.135000 1.305000 ;
-      RECT 5.265000 1.755000 5.555000 1.800000 ;
-      RECT 5.265000 1.940000 5.555000 1.985000 ;
-      RECT 8.345000 1.075000 8.635000 1.120000 ;
-      RECT 8.345000 1.260000 8.635000 1.305000 ;
-      RECT 8.385000 1.755000 8.675000 1.800000 ;
-      RECT 8.385000 1.940000 8.675000 1.985000 ;
-  END
-END sky130_fd_sc_hd__sdfrtn_1
-MACRO sky130_fd_sc_hd__sedfxbp_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sedfxbp_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  15.18000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.695000 0.765000 1.915000 1.720000 ;
-    END
-  END D
-  PIN DE
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.110000 0.765000 2.565000 1.185000 ;
-        RECT 2.110000 1.185000 2.325000 1.370000 ;
-    END
-  END DE
-  PIN Q
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 13.935000 0.255000 14.265000 2.420000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 11.700000 1.065000 12.145000 1.300000 ;
-        RECT 11.700000 1.300000 12.030000 2.465000 ;
-        RECT 11.815000 0.255000 12.145000 1.065000 ;
-    END
-  END Q_N
-  PIN SCD
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.760000 1.105000 6.215000 1.665000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.025000 1.105000 5.250000 1.615000 ;
-    END
-  END SCE
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 0.975000 0.445000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 15.180000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000  4.885000 1.435000 ;
-        RECT -0.190000 1.435000 15.370000 2.910000 ;
-        RECT  7.200000 1.305000 15.370000 1.435000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 15.180000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 15.180000 0.085000 ;
-      RECT  0.000000  2.635000 15.180000 2.805000 ;
-      RECT  0.175000  0.345000  0.345000 0.635000 ;
-      RECT  0.175000  0.635000  0.845000 0.805000 ;
-      RECT  0.175000  1.795000  0.845000 1.965000 ;
-      RECT  0.175000  1.965000  0.345000 2.465000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.515000  2.135000  0.845000 2.635000 ;
-      RECT  0.615000  0.805000  0.845000 1.795000 ;
-      RECT  1.015000  0.345000  1.185000 2.465000 ;
-      RECT  1.355000  0.255000  1.785000 0.515000 ;
-      RECT  1.355000  0.515000  1.525000 1.890000 ;
-      RECT  1.355000  1.890000  1.785000 2.465000 ;
-      RECT  2.235000  0.085000  2.565000 0.515000 ;
-      RECT  2.235000  1.890000  2.565000 2.635000 ;
-      RECT  2.495000  1.355000  3.085000 1.720000 ;
-      RECT  2.755000  1.720000  3.085000 2.425000 ;
-      RECT  2.780000  0.255000  3.005000 0.845000 ;
-      RECT  2.780000  0.845000  3.635000 1.175000 ;
-      RECT  2.780000  1.175000  3.085000 1.355000 ;
-      RECT  3.185000  0.085000  3.515000 0.610000 ;
-      RECT  3.265000  1.825000  3.460000 2.635000 ;
-      RECT  3.805000  0.685000  3.975000 1.320000 ;
-      RECT  3.805000  1.320000  4.175000 1.650000 ;
-      RECT  4.125000  1.820000  4.515000 2.020000 ;
-      RECT  4.125000  2.020000  4.455000 2.465000 ;
-      RECT  4.145000  0.255000  4.415000 0.980000 ;
-      RECT  4.145000  0.980000  4.515000 1.150000 ;
-      RECT  4.345000  1.150000  4.515000 1.820000 ;
-      RECT  4.595000  0.255000  4.795000 0.645000 ;
-      RECT  4.595000  0.645000  4.855000 0.825000 ;
-      RECT  4.635000  2.210000  4.965000 2.465000 ;
-      RECT  4.685000  0.825000  4.855000 1.785000 ;
-      RECT  4.685000  1.785000  4.965000 2.210000 ;
-      RECT  4.965000  0.255000  5.590000 0.515000 ;
-      RECT  5.155000  1.835000  6.585000 2.005000 ;
-      RECT  5.155000  2.005000  5.495000 2.465000 ;
-      RECT  5.260000  0.515000  5.590000 0.935000 ;
-      RECT  5.420000  0.935000  5.590000 1.835000 ;
-      RECT  5.665000  2.175000  6.010000 2.635000 ;
-      RECT  5.760000  0.085000  6.010000 0.905000 ;
-      RECT  6.385000  1.355000  6.585000 1.835000 ;
-      RECT  6.515000  0.255000  7.135000 0.565000 ;
-      RECT  6.515000  0.565000  6.925000 1.185000 ;
-      RECT  6.675000  2.150000  7.005000 2.465000 ;
-      RECT  6.755000  1.185000  6.925000 1.865000 ;
-      RECT  6.755000  1.865000  7.005000 2.150000 ;
-      RECT  7.095000  1.125000  7.280000 1.720000 ;
-      RECT  7.115000  0.735000  7.620000 0.955000 ;
-      RECT  7.215000  2.175000  8.255000 2.375000 ;
-      RECT  7.305000  0.255000  7.980000 0.565000 ;
-      RECT  7.450000  0.955000  7.620000 1.655000 ;
-      RECT  7.450000  1.655000  7.915000 2.005000 ;
-      RECT  7.810000  0.565000  7.980000 1.315000 ;
-      RECT  7.810000  1.315000  8.660000 1.485000 ;
-      RECT  8.085000  1.485000  8.660000 1.575000 ;
-      RECT  8.085000  1.575000  8.255000 2.175000 ;
-      RECT  8.170000  0.765000  9.235000 1.045000 ;
-      RECT  8.170000  1.045000  9.745000 1.065000 ;
-      RECT  8.170000  1.065000  8.370000 1.095000 ;
-      RECT  8.245000  0.085000  8.640000 0.560000 ;
-      RECT  8.425000  1.835000  8.660000 2.635000 ;
-      RECT  8.490000  1.245000  8.660000 1.315000 ;
-      RECT  8.830000  0.255000  9.235000 0.765000 ;
-      RECT  8.830000  1.065000  9.745000 1.375000 ;
-      RECT  8.830000  1.375000  9.160000 2.465000 ;
-      RECT  9.370000  2.105000  9.660000 2.635000 ;
-      RECT  9.465000  0.085000  9.740000 0.615000 ;
-      RECT 10.090000  1.245000 10.280000 1.965000 ;
-      RECT 10.225000  2.165000 11.190000 2.355000 ;
-      RECT 10.305000  0.705000 10.770000 1.035000 ;
-      RECT 10.325000  0.330000 11.190000 0.535000 ;
-      RECT 10.450000  1.035000 10.770000 1.995000 ;
-      RECT 10.940000  0.535000 11.190000 2.165000 ;
-      RECT 11.360000  1.495000 11.530000 2.635000 ;
-      RECT 11.395000  0.085000 11.645000 0.900000 ;
-      RECT 12.200000  1.465000 12.450000 2.635000 ;
-      RECT 12.315000  0.085000 12.565000 0.900000 ;
-      RECT 12.620000  1.575000 12.850000 2.010000 ;
-      RECT 12.735000  0.890000 13.360000 1.220000 ;
-      RECT 13.020000  0.255000 13.360000 0.890000 ;
-      RECT 13.020000  1.220000 13.360000 2.465000 ;
-      RECT 13.530000  0.085000 13.765000 0.900000 ;
-      RECT 13.530000  1.465000 13.765000 2.635000 ;
-      RECT 14.435000  0.085000 14.695000 0.900000 ;
-      RECT 14.435000  1.465000 14.695000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.635000  1.785000  0.805000 1.955000 ;
-      RECT  1.015000  1.445000  1.185000 1.615000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.355000  0.425000  1.525000 0.595000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.805000  0.765000  3.975000 0.935000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.185000  0.425000  4.355000 0.595000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.615000  0.425000  4.785000 0.595000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.530000  0.425000  6.700000 0.595000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.100000  1.445000  7.270000 1.615000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.510000  1.785000  7.680000 1.955000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.100000  1.785000 10.270000 1.955000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.520000  1.445000 10.690000 1.615000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 10.980000  1.785000 11.150000 1.955000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-      RECT 12.105000  2.635000 12.275000 2.805000 ;
-      RECT 12.565000 -0.085000 12.735000 0.085000 ;
-      RECT 12.565000  2.635000 12.735000 2.805000 ;
-      RECT 12.650000  1.785000 12.820000 1.955000 ;
-      RECT 13.025000 -0.085000 13.195000 0.085000 ;
-      RECT 13.025000  2.635000 13.195000 2.805000 ;
-      RECT 13.110000  0.765000 13.280000 0.935000 ;
-      RECT 13.485000 -0.085000 13.655000 0.085000 ;
-      RECT 13.485000  2.635000 13.655000 2.805000 ;
-      RECT 13.945000 -0.085000 14.115000 0.085000 ;
-      RECT 13.945000  2.635000 14.115000 2.805000 ;
-      RECT 14.405000 -0.085000 14.575000 0.085000 ;
-      RECT 14.405000  2.635000 14.575000 2.805000 ;
-      RECT 14.865000 -0.085000 15.035000 0.085000 ;
-      RECT 14.865000  2.635000 15.035000 2.805000 ;
-    LAYER met1 ;
-      RECT  0.575000 1.755000  0.865000 1.800000 ;
-      RECT  0.575000 1.800000 10.330000 1.940000 ;
-      RECT  0.575000 1.940000  0.865000 1.985000 ;
-      RECT  0.955000 1.415000  1.245000 1.460000 ;
-      RECT  0.955000 1.460000 10.750000 1.600000 ;
-      RECT  0.955000 1.600000  1.245000 1.645000 ;
-      RECT  1.295000 0.395000  4.415000 0.580000 ;
-      RECT  1.295000 0.580000  1.585000 0.625000 ;
-      RECT  3.745000 0.735000  4.035000 0.780000 ;
-      RECT  3.745000 0.780000 13.340000 0.920000 ;
-      RECT  3.745000 0.920000  4.035000 0.965000 ;
-      RECT  4.125000 0.580000  4.415000 0.625000 ;
-      RECT  4.555000 0.395000  6.760000 0.580000 ;
-      RECT  4.555000 0.580000  4.845000 0.625000 ;
-      RECT  6.470000 0.580000  6.760000 0.625000 ;
-      RECT  7.040000 1.415000  7.330000 1.460000 ;
-      RECT  7.040000 1.600000  7.330000 1.645000 ;
-      RECT  7.450000 1.755000  7.740000 1.800000 ;
-      RECT  7.450000 1.940000  7.740000 1.985000 ;
-      RECT 10.040000 1.755000 10.330000 1.800000 ;
-      RECT 10.040000 1.940000 10.330000 1.985000 ;
-      RECT 10.460000 1.415000 10.750000 1.460000 ;
-      RECT 10.460000 1.600000 10.750000 1.645000 ;
-      RECT 10.920000 1.755000 11.210000 1.800000 ;
-      RECT 10.920000 1.800000 12.880000 1.940000 ;
-      RECT 10.920000 1.940000 11.210000 1.985000 ;
-      RECT 12.590000 1.755000 12.880000 1.800000 ;
-      RECT 12.590000 1.940000 12.880000 1.985000 ;
-      RECT 13.050000 0.735000 13.340000 0.780000 ;
-      RECT 13.050000 0.920000 13.340000 0.965000 ;
-  END
-END sky130_fd_sc_hd__sedfxbp_2
-MACRO sky130_fd_sc_hd__sedfxbp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sedfxbp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  14.26000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.695000 0.765000 1.915000 1.720000 ;
-    END
-  END D
-  PIN DE
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.110000 0.765000 2.565000 1.185000 ;
-        RECT 2.110000 1.185000 2.325000 1.370000 ;
-    END
-  END DE
-  PIN Q
-    ANTENNADIFFAREA  0.462000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 13.525000 0.255000 13.855000 2.420000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 11.700000 1.065000 12.145000 1.410000 ;
-        RECT 11.700000 1.410000 12.030000 2.465000 ;
-        RECT 11.815000 0.255000 12.145000 1.065000 ;
-    END
-  END Q_N
-  PIN SCD
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.760000 1.105000 6.215000 1.665000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.025000 1.105000 5.250000 1.615000 ;
-    END
-  END SCE
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 0.975000 0.445000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 14.260000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000  4.885000 1.435000 ;
-        RECT -0.190000 1.435000 14.450000 2.910000 ;
-        RECT  7.200000 1.305000 14.450000 1.435000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 14.260000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 14.260000 0.085000 ;
-      RECT  0.000000  2.635000 14.260000 2.805000 ;
-      RECT  0.175000  0.345000  0.345000 0.635000 ;
-      RECT  0.175000  0.635000  0.845000 0.805000 ;
-      RECT  0.175000  1.795000  0.845000 1.965000 ;
-      RECT  0.175000  1.965000  0.345000 2.465000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.515000  2.135000  0.845000 2.635000 ;
-      RECT  0.615000  0.805000  0.845000 1.795000 ;
-      RECT  1.015000  0.345000  1.185000 2.465000 ;
-      RECT  1.355000  0.255000  1.785000 0.515000 ;
-      RECT  1.355000  0.515000  1.525000 1.890000 ;
-      RECT  1.355000  1.890000  1.785000 2.465000 ;
-      RECT  2.235000  0.085000  2.565000 0.515000 ;
-      RECT  2.235000  1.890000  2.565000 2.635000 ;
-      RECT  2.495000  1.355000  3.085000 1.720000 ;
-      RECT  2.755000  1.720000  3.085000 2.425000 ;
-      RECT  2.780000  0.255000  3.005000 0.845000 ;
-      RECT  2.780000  0.845000  3.635000 1.175000 ;
-      RECT  2.780000  1.175000  3.085000 1.355000 ;
-      RECT  3.185000  0.085000  3.515000 0.610000 ;
-      RECT  3.265000  1.825000  3.460000 2.635000 ;
-      RECT  3.805000  0.685000  3.975000 1.320000 ;
-      RECT  3.805000  1.320000  4.175000 1.650000 ;
-      RECT  4.125000  1.820000  4.515000 2.020000 ;
-      RECT  4.125000  2.020000  4.455000 2.465000 ;
-      RECT  4.145000  0.255000  4.415000 0.980000 ;
-      RECT  4.145000  0.980000  4.515000 1.150000 ;
-      RECT  4.345000  1.150000  4.515000 1.820000 ;
-      RECT  4.595000  0.255000  4.795000 0.645000 ;
-      RECT  4.595000  0.645000  4.855000 0.825000 ;
-      RECT  4.635000  2.210000  4.965000 2.465000 ;
-      RECT  4.685000  0.825000  4.855000 1.785000 ;
-      RECT  4.685000  1.785000  4.965000 2.210000 ;
-      RECT  4.965000  0.255000  5.590000 0.515000 ;
-      RECT  5.155000  1.835000  6.585000 2.005000 ;
-      RECT  5.155000  2.005000  5.495000 2.465000 ;
-      RECT  5.260000  0.515000  5.590000 0.935000 ;
-      RECT  5.420000  0.935000  5.590000 1.835000 ;
-      RECT  5.665000  2.175000  6.010000 2.635000 ;
-      RECT  5.760000  0.085000  6.010000 0.905000 ;
-      RECT  6.385000  1.355000  6.585000 1.835000 ;
-      RECT  6.515000  0.255000  7.135000 0.565000 ;
-      RECT  6.515000  0.565000  6.925000 1.185000 ;
-      RECT  6.675000  2.150000  7.005000 2.465000 ;
-      RECT  6.755000  1.185000  6.925000 1.865000 ;
-      RECT  6.755000  1.865000  7.005000 2.150000 ;
-      RECT  7.095000  1.125000  7.280000 1.720000 ;
-      RECT  7.115000  0.735000  7.620000 0.955000 ;
-      RECT  7.215000  2.175000  8.255000 2.375000 ;
-      RECT  7.305000  0.255000  7.980000 0.565000 ;
-      RECT  7.450000  0.955000  7.620000 1.655000 ;
-      RECT  7.450000  1.655000  7.915000 2.005000 ;
-      RECT  7.810000  0.565000  7.980000 1.315000 ;
-      RECT  7.810000  1.315000  8.660000 1.485000 ;
-      RECT  8.085000  1.485000  8.660000 1.575000 ;
-      RECT  8.085000  1.575000  8.255000 2.175000 ;
-      RECT  8.170000  0.765000  9.235000 1.045000 ;
-      RECT  8.170000  1.045000  9.745000 1.065000 ;
-      RECT  8.170000  1.065000  8.370000 1.095000 ;
-      RECT  8.245000  0.085000  8.640000 0.560000 ;
-      RECT  8.425000  1.835000  8.660000 2.635000 ;
-      RECT  8.490000  1.245000  8.660000 1.315000 ;
-      RECT  8.830000  0.255000  9.235000 0.765000 ;
-      RECT  8.830000  1.065000  9.745000 1.375000 ;
-      RECT  8.830000  1.375000  9.160000 2.465000 ;
-      RECT  9.370000  2.105000  9.660000 2.635000 ;
-      RECT  9.465000  0.085000  9.740000 0.615000 ;
-      RECT 10.090000  1.245000 10.280000 1.965000 ;
-      RECT 10.225000  2.165000 11.190000 2.355000 ;
-      RECT 10.305000  0.705000 10.770000 1.035000 ;
-      RECT 10.325000  0.330000 11.190000 0.535000 ;
-      RECT 10.450000  1.035000 10.770000 1.995000 ;
-      RECT 10.940000  0.535000 11.190000 2.165000 ;
-      RECT 11.360000  1.495000 11.530000 2.635000 ;
-      RECT 11.395000  0.085000 11.645000 0.900000 ;
-      RECT 12.200000  1.575000 12.430000 2.010000 ;
-      RECT 12.315000  0.890000 12.940000 1.220000 ;
-      RECT 12.600000  0.255000 12.940000 0.890000 ;
-      RECT 12.600000  1.220000 12.940000 2.465000 ;
-      RECT 13.110000  0.085000 13.355000 0.900000 ;
-      RECT 13.110000  1.465000 13.355000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.635000  1.785000  0.805000 1.955000 ;
-      RECT  1.015000  1.445000  1.185000 1.615000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.355000  0.425000  1.525000 0.595000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.805000  0.765000  3.975000 0.935000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.185000  0.425000  4.355000 0.595000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.615000  0.425000  4.785000 0.595000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.530000  0.425000  6.700000 0.595000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.100000  1.445000  7.270000 1.615000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.510000  1.785000  7.680000 1.955000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.100000  1.785000 10.270000 1.955000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.520000  1.445000 10.690000 1.615000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 10.980000  1.785000 11.150000 1.955000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-      RECT 12.105000  2.635000 12.275000 2.805000 ;
-      RECT 12.230000  1.785000 12.400000 1.955000 ;
-      RECT 12.565000 -0.085000 12.735000 0.085000 ;
-      RECT 12.565000  2.635000 12.735000 2.805000 ;
-      RECT 12.690000  0.765000 12.860000 0.935000 ;
-      RECT 13.025000 -0.085000 13.195000 0.085000 ;
-      RECT 13.025000  2.635000 13.195000 2.805000 ;
-      RECT 13.485000 -0.085000 13.655000 0.085000 ;
-      RECT 13.485000  2.635000 13.655000 2.805000 ;
-      RECT 13.945000 -0.085000 14.115000 0.085000 ;
-      RECT 13.945000  2.635000 14.115000 2.805000 ;
-    LAYER met1 ;
-      RECT  0.575000 1.755000  0.865000 1.800000 ;
-      RECT  0.575000 1.800000 10.330000 1.940000 ;
-      RECT  0.575000 1.940000  0.865000 1.985000 ;
-      RECT  0.955000 1.415000  1.245000 1.460000 ;
-      RECT  0.955000 1.460000 10.750000 1.600000 ;
-      RECT  0.955000 1.600000  1.245000 1.645000 ;
-      RECT  1.295000 0.395000  4.415000 0.580000 ;
-      RECT  1.295000 0.580000  1.585000 0.625000 ;
-      RECT  3.745000 0.735000  4.035000 0.780000 ;
-      RECT  3.745000 0.780000 12.920000 0.920000 ;
-      RECT  3.745000 0.920000  4.035000 0.965000 ;
-      RECT  4.125000 0.580000  4.415000 0.625000 ;
-      RECT  4.555000 0.395000  6.760000 0.580000 ;
-      RECT  4.555000 0.580000  4.845000 0.625000 ;
-      RECT  6.470000 0.580000  6.760000 0.625000 ;
-      RECT  7.040000 1.415000  7.330000 1.460000 ;
-      RECT  7.040000 1.600000  7.330000 1.645000 ;
-      RECT  7.450000 1.755000  7.740000 1.800000 ;
-      RECT  7.450000 1.940000  7.740000 1.985000 ;
-      RECT 10.040000 1.755000 10.330000 1.800000 ;
-      RECT 10.040000 1.940000 10.330000 1.985000 ;
-      RECT 10.460000 1.415000 10.750000 1.460000 ;
-      RECT 10.460000 1.600000 10.750000 1.645000 ;
-      RECT 10.920000 1.755000 11.210000 1.800000 ;
-      RECT 10.920000 1.800000 12.460000 1.940000 ;
-      RECT 10.920000 1.940000 11.210000 1.985000 ;
-      RECT 12.170000 1.755000 12.460000 1.800000 ;
-      RECT 12.170000 1.940000 12.460000 1.985000 ;
-      RECT 12.630000 0.735000 12.920000 0.780000 ;
-      RECT 12.630000 0.920000 12.920000 0.965000 ;
-  END
-END sky130_fd_sc_hd__sedfxbp_1
-MACRO sky130_fd_sc_hd__a31oi_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a31oi_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.820000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.825000 0.995000 5.420000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.935000 0.995000 3.550000 1.325000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.120000 0.995000 1.735000 1.325000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.670000 0.995000 6.855000 1.630000 ;
-    END
-  END B1
-  PIN Y
-    ANTENNADIFFAREA  1.443500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.975000 0.635000 7.585000 0.805000 ;
-        RECT 6.075000 1.915000 7.245000 2.085000 ;
-        RECT 6.575000 0.255000 6.745000 0.635000 ;
-        RECT 7.045000 0.805000 7.245000 1.915000 ;
-        RECT 7.415000 0.255000 7.585000 0.635000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.820000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.010000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.820000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.820000 0.085000 ;
-      RECT 0.000000  2.635000 7.820000 2.805000 ;
-      RECT 0.175000  0.255000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 3.785000 0.805000 ;
-      RECT 0.175000  1.495000 5.405000 1.665000 ;
-      RECT 0.175000  1.665000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  1.915000 0.845000 2.635000 ;
-      RECT 1.015000  0.255000 1.185000 0.635000 ;
-      RECT 1.015000  1.665000 1.185000 2.465000 ;
-      RECT 1.355000  0.085000 1.685000 0.465000 ;
-      RECT 1.355000  1.915000 1.685000 2.635000 ;
-      RECT 1.855000  0.255000 2.025000 0.635000 ;
-      RECT 1.855000  1.665000 2.025000 2.465000 ;
-      RECT 2.195000  0.295000 5.565000 0.465000 ;
-      RECT 2.195000  1.915000 2.525000 2.635000 ;
-      RECT 2.695000  1.665000 2.865000 2.465000 ;
-      RECT 3.035000  1.915000 3.365000 2.635000 ;
-      RECT 3.535000  1.665000 3.705000 2.465000 ;
-      RECT 3.895000  1.915000 4.225000 2.635000 ;
-      RECT 4.395000  1.665000 4.565000 2.465000 ;
-      RECT 4.735000  2.255000 5.065000 2.635000 ;
-      RECT 5.235000  1.665000 5.405000 2.255000 ;
-      RECT 5.235000  2.255000 7.665000 2.425000 ;
-      RECT 5.235000  2.425000 5.405000 2.465000 ;
-      RECT 6.075000  0.085000 6.405000 0.465000 ;
-      RECT 6.915000  0.085000 7.245000 0.465000 ;
-      RECT 7.415000  1.495000 7.665000 2.255000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a31oi_4
-MACRO sky130_fd_sc_hd__a31oi_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a31oi_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.600000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.955000 0.995000 2.665000 1.615000 ;
-        RECT 2.905000 0.995000 3.075000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.050000 0.995000 1.755000 1.615000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.145000 0.995000 0.820000 1.615000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.820000 1.075000 4.490000 1.275000 ;
-        RECT 4.265000 1.275000 4.490000 1.625000 ;
-    END
-  END B1
-  PIN Y
-    ANTENNADIFFAREA  0.922000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.295000 0.655000 4.505000 0.825000 ;
-        RECT 3.255000 0.255000 3.425000 0.655000 ;
-        RECT 3.255000 0.825000 3.570000 1.445000 ;
-        RECT 3.255000 1.445000 4.085000 1.615000 ;
-        RECT 3.755000 1.615000 4.085000 2.115000 ;
-        RECT 4.175000 0.295000 4.505000 0.655000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.600000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.790000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.600000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.600000 0.085000 ;
-      RECT 0.000000  2.635000 4.600000 2.805000 ;
-      RECT 0.095000  0.655000 2.105000 0.825000 ;
-      RECT 0.175000  1.785000 3.505000 1.955000 ;
-      RECT 0.175000  1.955000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.125000 0.845000 2.635000 ;
-      RECT 1.015000  1.955000 1.185000 2.465000 ;
-      RECT 1.355000  0.295000 3.075000 0.465000 ;
-      RECT 1.355000  2.125000 1.685000 2.635000 ;
-      RECT 1.855000  1.955000 2.025000 2.465000 ;
-      RECT 2.310000  2.125000 2.980000 2.635000 ;
-      RECT 3.335000  1.955000 3.505000 2.295000 ;
-      RECT 3.335000  2.295000 4.425000 2.465000 ;
-      RECT 3.675000  0.085000 4.005000 0.465000 ;
-      RECT 4.255000  1.795000 4.425000 2.295000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a31oi_2
-MACRO sky130_fd_sc_hd__a31oi_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a31oi_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.300000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.070000 1.445000 1.455000 1.665000 ;
-        RECT 1.270000 0.995000 1.455000 1.445000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.610000 0.335000 1.055000 1.275000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.365000 1.325000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.965000 0.995000 2.215000 1.325000 ;
-    END
-  END B1
-  PIN Y
-    ANTENNADIFFAREA  0.481250 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.380000 0.295000 1.785000 0.715000 ;
-        RECT 1.380000 0.715000 1.795000 0.825000 ;
-        RECT 1.625000 0.825000 1.795000 1.495000 ;
-        RECT 1.625000 1.495000 2.210000 1.665000 ;
-        RECT 1.875000 1.665000 2.210000 2.445000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.300000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.490000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.300000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.300000 0.085000 ;
-      RECT 0.000000  2.635000 2.300000 2.805000 ;
-      RECT 0.090000  0.085000 0.430000 0.815000 ;
-      RECT 0.090000  1.495000 0.420000 2.635000 ;
-      RECT 0.590000  1.835000 1.695000 2.005000 ;
-      RECT 0.590000  2.005000 0.765000 2.415000 ;
-      RECT 0.935000  2.175000 1.265000 2.635000 ;
-      RECT 1.470000  2.005000 1.695000 2.415000 ;
-      RECT 1.955000  0.085000 2.215000 0.565000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a31oi_1
-MACRO sky130_fd_sc_hd__dlxtp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlxtp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.520000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.460000 0.955000 1.790000 1.325000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.470250 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.150000 0.415000 5.435000 0.745000 ;
-        RECT 5.150000 1.670000 5.435000 2.455000 ;
-        RECT 5.265000 0.745000 5.435000 1.670000 ;
-    END
-  END Q
-  PIN GATE
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.985000 0.330000 1.625000 ;
-    END
-  END GATE
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.520000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.710000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.520000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.520000 0.085000 ;
-      RECT 0.000000  2.635000 5.520000 2.805000 ;
-      RECT 0.175000  0.345000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 0.780000 0.805000 ;
-      RECT 0.175000  1.795000 0.780000 1.965000 ;
-      RECT 0.175000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.780000 1.070000 ;
-      RECT 0.610000  1.070000 0.840000 1.400000 ;
-      RECT 0.610000  1.400000 0.780000 1.795000 ;
-      RECT 1.015000  0.345000 1.185000 1.685000 ;
-      RECT 1.015000  1.685000 1.240000 2.465000 ;
-      RECT 1.455000  1.495000 2.140000 1.665000 ;
-      RECT 1.455000  1.665000 1.785000 2.415000 ;
-      RECT 1.535000  0.345000 1.705000 0.615000 ;
-      RECT 1.535000  0.615000 2.140000 0.765000 ;
-      RECT 1.535000  0.765000 2.340000 0.785000 ;
-      RECT 1.875000  0.085000 2.205000 0.445000 ;
-      RECT 1.955000  1.835000 2.270000 2.635000 ;
-      RECT 1.970000  0.785000 2.340000 1.095000 ;
-      RECT 1.970000  1.095000 2.140000 1.495000 ;
-      RECT 2.470000  1.355000 2.755000 1.685000 ;
-      RECT 2.715000  0.705000 3.095000 1.035000 ;
-      RECT 2.770000  2.255000 3.605000 2.425000 ;
-      RECT 2.840000  0.365000 3.500000 0.535000 ;
-      RECT 2.925000  1.035000 3.095000 1.575000 ;
-      RECT 2.925000  1.575000 3.265000 1.995000 ;
-      RECT 3.330000  0.535000 3.500000 0.995000 ;
-      RECT 3.330000  0.995000 4.175000 1.165000 ;
-      RECT 3.435000  1.165000 4.175000 1.325000 ;
-      RECT 3.435000  1.325000 3.605000 2.255000 ;
-      RECT 3.685000  0.085000 4.015000 0.530000 ;
-      RECT 3.775000  2.135000 3.945000 2.635000 ;
-      RECT 3.840000  1.535000 4.515000 1.865000 ;
-      RECT 4.295000  0.415000 4.515000 0.745000 ;
-      RECT 4.295000  1.865000 4.515000 2.435000 ;
-      RECT 4.345000  0.745000 4.515000 0.995000 ;
-      RECT 4.345000  0.995000 5.095000 1.325000 ;
-      RECT 4.345000  1.325000 4.515000 1.535000 ;
-      RECT 4.695000  0.085000 4.900000 0.715000 ;
-      RECT 4.695000  1.570000 4.900000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.610000  1.445000 0.780000 1.615000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.070000  1.785000 1.240000 1.955000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.470000  1.445000 2.640000 1.615000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 2.930000  1.785000 3.100000 1.955000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.415000 0.840000 1.460000 ;
-      RECT 0.550000 1.460000 2.700000 1.600000 ;
-      RECT 0.550000 1.600000 0.840000 1.645000 ;
-      RECT 1.010000 1.755000 1.300000 1.800000 ;
-      RECT 1.010000 1.800000 3.160000 1.940000 ;
-      RECT 1.010000 1.940000 1.300000 1.985000 ;
-      RECT 2.410000 1.415000 2.700000 1.460000 ;
-      RECT 2.410000 1.600000 2.700000 1.645000 ;
-      RECT 2.870000 1.755000 3.160000 1.800000 ;
-      RECT 2.870000 1.940000 3.160000 1.985000 ;
-  END
-END sky130_fd_sc_hd__dlxtp_1
-MACRO sky130_fd_sc_hd__clkdlybuf4s18_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__clkdlybuf4s18_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.213000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.100000 1.055000 0.550000 1.325000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.376300 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.210000 0.255000 3.590000 0.545000 ;
-        RECT 3.220000 1.760000 3.590000 2.465000 ;
-        RECT 3.365000 0.545000 3.590000 1.760000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.095000  0.255000 0.425000 0.715000 ;
-      RECT 0.095000  0.715000 1.215000 0.885000 ;
-      RECT 0.095000  1.495000 1.215000 1.665000 ;
-      RECT 0.095000  1.665000 0.425000 2.465000 ;
-      RECT 0.595000  0.085000 0.910000 0.545000 ;
-      RECT 0.595000  1.835000 0.925000 2.635000 ;
-      RECT 0.720000  0.885000 1.215000 1.495000 ;
-      RECT 1.385000  0.255000 1.760000 0.825000 ;
-      RECT 1.385000  1.835000 1.760000 2.465000 ;
-      RECT 1.590000  0.825000 1.760000 1.055000 ;
-      RECT 1.590000  1.055000 2.685000 1.250000 ;
-      RECT 1.590000  1.250000 1.760000 1.835000 ;
-      RECT 1.930000  0.255000 2.260000 0.715000 ;
-      RECT 1.930000  0.715000 3.195000 0.885000 ;
-      RECT 1.930000  1.420000 3.195000 1.590000 ;
-      RECT 1.930000  1.590000 2.260000 2.465000 ;
-      RECT 2.710000  0.085000 3.040000 0.545000 ;
-      RECT 2.710000  1.760000 3.040000 2.635000 ;
-      RECT 2.855000  0.885000 3.195000 1.420000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__clkdlybuf4s18_1
-MACRO sky130_fd_sc_hd__clkdlybuf4s18_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__clkdlybuf4s18_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.213000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.560000 1.290000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.397600 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.705000 0.270000 3.150000 0.640000 ;
-        RECT 2.715000 1.420000 3.180000 1.525000 ;
-        RECT 2.715000 1.525000 3.150000 2.465000 ;
-        RECT 2.965000 0.640000 3.150000 0.780000 ;
-        RECT 2.965000 0.780000 3.180000 0.945000 ;
-        RECT 3.010000 0.945000 3.180000 1.420000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.085000  0.270000 0.415000 0.735000 ;
-      RECT 0.085000  0.735000 1.055000 0.905000 ;
-      RECT 0.085000  1.460000 1.055000 1.630000 ;
-      RECT 0.085000  1.630000 0.430000 2.465000 ;
-      RECT 0.585000  0.085000 0.915000 0.565000 ;
-      RECT 0.600000  1.800000 0.930000 2.635000 ;
-      RECT 0.730000  0.905000 1.055000 1.460000 ;
-      RECT 1.110000  1.800000 1.440000 2.465000 ;
-      RECT 1.160000  0.270000 1.440000 0.600000 ;
-      RECT 1.270000  0.600000 1.440000 1.075000 ;
-      RECT 1.270000  1.075000 2.205000 1.255000 ;
-      RECT 1.270000  1.255000 1.440000 1.800000 ;
-      RECT 1.630000  0.270000 1.960000 0.735000 ;
-      RECT 1.630000  0.735000 2.545000 0.905000 ;
-      RECT 1.630000  1.460000 2.545000 1.630000 ;
-      RECT 1.630000  1.630000 1.960000 2.465000 ;
-      RECT 2.130000  1.800000 2.545000 2.635000 ;
-      RECT 2.165000  0.085000 2.535000 0.565000 ;
-      RECT 2.375000  0.905000 2.545000 1.075000 ;
-      RECT 2.375000  1.075000 2.840000 1.245000 ;
-      RECT 2.375000  1.245000 2.545000 1.460000 ;
-      RECT 3.320000  0.085000 3.595000 0.645000 ;
-      RECT 3.320000  1.625000 3.595000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__clkdlybuf4s18_2
-MACRO sky130_fd_sc_hd__and4_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__and4_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.125000 0.755000 0.330000 2.075000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.890000 0.420000 1.245000 1.325000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.420000 0.415000 1.720000 1.305000 ;
-        RECT 1.420000 1.305000 1.590000 1.325000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.900000 0.415000 2.160000 1.325000 ;
-    END
-  END D
-  PIN X
-    ANTENNADIFFAREA  0.544500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.735000 0.295000 3.065000 0.340000 ;
-        RECT 2.735000 0.340000 3.070000 0.805000 ;
-        RECT 2.735000 1.495000 3.070000 2.465000 ;
-        RECT 2.895000 0.805000 3.070000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.095000  2.255000 0.425000 2.635000 ;
-      RECT 0.175000  0.255000 0.670000 0.585000 ;
-      RECT 0.500000  0.585000 0.670000 1.495000 ;
-      RECT 0.500000  1.495000 2.555000 1.665000 ;
-      RECT 0.600000  1.665000 0.850000 2.465000 ;
-      RECT 1.070000  1.915000 1.400000 2.635000 ;
-      RECT 1.585000  1.665000 1.835000 2.465000 ;
-      RECT 2.235000  1.835000 2.565000 2.635000 ;
-      RECT 2.330000  0.085000 2.565000 0.890000 ;
-      RECT 2.330000  1.075000 2.725000 1.315000 ;
-      RECT 2.330000  1.315000 2.555000 1.495000 ;
-      RECT 3.245000  1.835000 3.575000 2.635000 ;
-      RECT 3.255000  0.085000 3.585000 0.810000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__and4_2
-MACRO sky130_fd_sc_hd__and4_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__and4_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.765000 0.325000 2.075000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.885000 0.360000 1.235000 1.325000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.415000 0.355000 1.715000 1.325000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.895000 0.355000 2.175000 1.325000 ;
-    END
-  END D
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.795000 0.295000 3.135000 0.805000 ;
-        RECT 2.795000 2.205000 3.135000 2.465000 ;
-        RECT 2.875000 0.805000 3.135000 2.205000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.090000  2.255000 0.425000 2.635000 ;
-      RECT 0.170000  0.255000 0.665000 0.585000 ;
-      RECT 0.495000  0.585000 0.665000 1.495000 ;
-      RECT 0.495000  1.495000 2.685000 1.665000 ;
-      RECT 0.595000  1.665000 0.845000 2.465000 ;
-      RECT 1.065000  1.915000 1.395000 2.635000 ;
-      RECT 1.580000  1.665000 1.830000 2.465000 ;
-      RECT 2.295000  1.835000 2.625000 2.635000 ;
-      RECT 2.355000  0.085000 2.625000 0.885000 ;
-      RECT 2.370000  1.075000 2.700000 1.325000 ;
-      RECT 2.370000  1.325000 2.685000 1.495000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__and4_1
-MACRO sky130_fd_sc_hd__and4_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__and4_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.125000 0.765000 0.330000 1.655000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.840000 0.995000 1.245000 1.325000 ;
-        RECT 0.890000 0.420000 1.245000 0.995000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.415000 0.425000 1.700000 1.325000 ;
-    END
-  END C
-  PIN D
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.905000 0.730000 2.155000 0.935000 ;
-        RECT 1.905000 0.935000 2.075000 1.325000 ;
-    END
-  END D
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.535000 0.255000 2.705000 0.640000 ;
-        RECT 2.535000 0.640000 4.050000 0.810000 ;
-        RECT 2.535000 1.795000 2.785000 2.465000 ;
-        RECT 2.615000 1.485000 4.050000 1.655000 ;
-        RECT 2.615000 1.655000 2.785000 1.795000 ;
-        RECT 3.375000 0.255000 3.545000 0.640000 ;
-        RECT 3.375000 1.655000 4.050000 1.745000 ;
-        RECT 3.375000 1.745000 3.545000 2.465000 ;
-        RECT 3.800000 0.810000 4.050000 1.485000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.105000  1.835000 0.385000 2.635000 ;
-      RECT 0.175000  0.255000 0.670000 0.585000 ;
-      RECT 0.500000  0.585000 0.670000 1.495000 ;
-      RECT 0.500000  1.495000 2.415000 1.665000 ;
-      RECT 0.555000  1.665000 0.765000 2.465000 ;
-      RECT 0.955000  1.935000 1.285000 2.635000 ;
-      RECT 1.455000  1.665000 1.645000 2.465000 ;
-      RECT 2.025000  0.085000 2.335000 0.550000 ;
-      RECT 2.025000  1.855000 2.355000 2.635000 ;
-      RECT 2.245000  1.105000 3.585000 1.305000 ;
-      RECT 2.245000  1.305000 2.415000 1.495000 ;
-      RECT 2.575000  1.075000 3.585000 1.105000 ;
-      RECT 2.875000  0.085000 3.205000 0.470000 ;
-      RECT 2.955000  1.835000 3.205000 2.635000 ;
-      RECT 3.715000  0.085000 4.045000 0.470000 ;
-      RECT 3.715000  1.915000 4.045000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__and4_4
-MACRO sky130_fd_sc_hd__o21a_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o21a_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.345000 1.075000 2.675000 1.275000 ;
-        RECT 2.445000 1.275000 2.675000 1.615000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.705000 1.075000 2.035000 1.095000 ;
-        RECT 1.705000 1.095000 2.155000 1.275000 ;
-        RECT 1.940000 1.275000 2.155000 2.390000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.065000 1.075000 1.535000 1.305000 ;
-    END
-  END B1
-  PIN X
-    ANTENNADIFFAREA  0.449000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.255000 0.425000 1.030000 ;
-        RECT 0.085000 1.030000 0.365000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.535000  1.860000 1.245000 2.635000 ;
-      RECT 0.595000  0.085000 0.765000 0.545000 ;
-      RECT 0.595000  0.715000 1.305000 0.905000 ;
-      RECT 0.595000  0.905000 0.880000 1.475000 ;
-      RECT 0.595000  1.475000 1.745000 1.690000 ;
-      RECT 1.005000  0.255000 1.365000 0.520000 ;
-      RECT 1.005000  0.520000 1.360000 0.525000 ;
-      RECT 1.005000  0.525000 1.355000 0.535000 ;
-      RECT 1.005000  0.535000 1.350000 0.540000 ;
-      RECT 1.005000  0.540000 1.345000 0.550000 ;
-      RECT 1.005000  0.550000 1.340000 0.555000 ;
-      RECT 1.005000  0.555000 1.330000 0.565000 ;
-      RECT 1.005000  0.565000 1.320000 0.575000 ;
-      RECT 1.005000  0.575000 1.305000 0.715000 ;
-      RECT 1.415000  1.690000 1.745000 2.465000 ;
-      RECT 1.495000  0.635000 1.825000 0.715000 ;
-      RECT 1.495000  0.715000 2.675000 0.905000 ;
-      RECT 1.995000  0.085000 2.165000 0.545000 ;
-      RECT 2.335000  0.255000 2.675000 0.715000 ;
-      RECT 2.335000  1.915000 2.665000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o21a_1
-MACRO sky130_fd_sc_hd__o21a_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o21a_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.865000 0.995000 3.125000 1.450000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.025000 1.025000 2.610000 1.400000 ;
-        RECT 2.405000 1.400000 2.610000 1.985000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.445000 1.010000 1.855000 1.615000 ;
-    END
-  END B1
-  PIN X
-    ANTENNADIFFAREA  0.453750 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.530000 0.255000 0.775000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.090000  1.635000 0.345000 2.635000 ;
-      RECT 0.105000  0.085000 0.345000 0.885000 ;
-      RECT 0.945000  0.085000 1.275000 0.465000 ;
-      RECT 0.945000  0.635000 1.795000 0.840000 ;
-      RECT 0.945000  0.840000 1.275000 1.330000 ;
-      RECT 0.945000  2.185000 1.795000 2.635000 ;
-      RECT 1.105000  1.330000 1.275000 1.785000 ;
-      RECT 1.105000  1.785000 2.225000 2.005000 ;
-      RECT 1.465000  0.255000 1.795000 0.635000 ;
-      RECT 1.965000  0.465000 2.175000 0.635000 ;
-      RECT 1.965000  0.635000 3.120000 0.825000 ;
-      RECT 1.965000  2.005000 2.225000 2.465000 ;
-      RECT 2.345000  0.085000 2.675000 0.465000 ;
-      RECT 2.795000  1.650000 3.120000 2.635000 ;
-      RECT 2.845000  0.495000 3.120000 0.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o21a_2
-MACRO sky130_fd_sc_hd__o21a_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o21a_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.520000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.480000 0.990000 3.785000 1.495000 ;
-        RECT 3.480000 1.495000 5.400000 1.705000 ;
-        RECT 5.030000 0.995000 5.400000 1.495000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.140000 0.995000 4.690000 1.325000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.485000 1.075000 3.155000 1.615000 ;
-    END
-  END B1
-  PIN X
-    ANTENNADIFFAREA  0.924000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.635000 1.715000 0.805000 ;
-        RECT 0.090000 0.805000 0.320000 1.530000 ;
-        RECT 0.090000 1.530000 1.955000 1.700000 ;
-        RECT 0.595000 0.615000 1.715000 0.635000 ;
-        RECT 0.915000 1.700000 1.105000 2.465000 ;
-        RECT 1.775000 1.700000 1.955000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.520000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.710000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.520000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.520000 0.085000 ;
-      RECT 0.000000  2.635000 5.520000 2.805000 ;
-      RECT 0.095000  0.085000 0.425000 0.465000 ;
-      RECT 0.415000  1.870000 0.745000 2.635000 ;
-      RECT 0.490000  0.995000 2.315000 1.335000 ;
-      RECT 0.955000  0.085000 1.285000 0.445000 ;
-      RECT 1.275000  1.870000 1.605000 2.635000 ;
-      RECT 1.815000  0.085000 2.145000 0.465000 ;
-      RECT 2.115000  0.655000 3.095000 0.870000 ;
-      RECT 2.115000  0.870000 2.315000 0.995000 ;
-      RECT 2.125000  1.335000 2.315000 1.830000 ;
-      RECT 2.125000  1.830000 2.845000 1.875000 ;
-      RECT 2.125000  1.875000 4.545000 2.085000 ;
-      RECT 2.135000  2.255000 2.485000 2.635000 ;
-      RECT 2.335000  0.255000 3.605000 0.485000 ;
-      RECT 2.655000  2.085000 4.545000 2.105000 ;
-      RECT 2.655000  2.105000 2.845000 2.465000 ;
-      RECT 3.015000  2.275000 3.685000 2.635000 ;
-      RECT 3.275000  0.485000 3.605000 0.615000 ;
-      RECT 3.275000  0.615000 5.405000 0.785000 ;
-      RECT 3.775000  0.085000 4.115000 0.445000 ;
-      RECT 4.215000  2.105000 4.545000 2.445000 ;
-      RECT 4.645000  0.085000 4.975000 0.445000 ;
-      RECT 5.075000  1.935000 5.435000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o21a_4
-MACRO sky130_fd_sc_hd__probe_p_8
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__probe_p_8 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.520000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.742500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.140000 1.075000 1.240000 1.275000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  1.782000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER met5 ;
-        RECT 1.250000 0.560000 4.270000 2.160000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.520000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.710000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.520000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.520000 0.085000 ;
-      RECT 0.000000  2.635000 5.520000 2.805000 ;
-      RECT 0.095000  1.445000 1.595000 1.615000 ;
-      RECT 0.095000  1.615000 0.425000 2.465000 ;
-      RECT 0.175000  0.255000 0.345000 0.735000 ;
-      RECT 0.175000  0.735000 1.595000 0.905000 ;
-      RECT 0.515000  0.085000 0.845000 0.565000 ;
-      RECT 0.595000  1.835000 0.765000 2.635000 ;
-      RECT 0.935000  1.615000 1.265000 2.465000 ;
-      RECT 1.015000  0.260000 1.185000 0.735000 ;
-      RECT 1.355000  0.085000 1.685000 0.565000 ;
-      RECT 1.420000  0.905000 1.595000 1.075000 ;
-      RECT 1.420000  1.075000 4.045000 1.245000 ;
-      RECT 1.420000  1.245000 1.595000 1.445000 ;
-      RECT 1.435000  1.835000 1.605000 2.635000 ;
-      RECT 1.855000  0.255000 2.025000 0.735000 ;
-      RECT 1.855000  0.735000 4.545000 0.905000 ;
-      RECT 1.855000  1.445000 4.545000 1.615000 ;
-      RECT 1.855000  1.615000 2.025000 2.465000 ;
-      RECT 2.195000  0.085000 2.525000 0.565000 ;
-      RECT 2.195000  1.835000 2.525000 2.635000 ;
-      RECT 2.695000  0.255000 2.865000 0.735000 ;
-      RECT 2.695000  1.615000 2.865000 2.465000 ;
-      RECT 3.035000  0.085000 3.365000 0.565000 ;
-      RECT 3.035000  1.835000 3.365000 2.635000 ;
-      RECT 3.535000  0.255000 3.705000 0.735000 ;
-      RECT 3.535000  1.615000 3.705000 2.465000 ;
-      RECT 3.875000  0.085000 4.205000 0.565000 ;
-      RECT 3.875000  1.835000 4.205000 2.635000 ;
-      RECT 4.290000  0.905000 4.545000 1.055000 ;
-      RECT 4.290000  1.055000 4.885000 1.315000 ;
-      RECT 4.290000  1.315000 4.545000 1.445000 ;
-      RECT 4.375000  0.255000 4.545000 0.735000 ;
-      RECT 4.375000  1.615000 4.545000 2.465000 ;
-      RECT 4.715000  0.085000 5.045000 0.885000 ;
-      RECT 4.715000  1.485000 5.045000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.320000  1.105000 4.490000 1.275000 ;
-      RECT 4.680000  1.105000 4.850000 1.275000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-    LAYER met1 ;
-      RECT 3.465000 1.060000 4.105000 1.075000 ;
-      RECT 3.465000 1.075000 4.910000 1.305000 ;
-      RECT 3.465000 1.305000 4.105000 1.320000 ;
-    LAYER met2 ;
-      RECT 3.445000 1.005000 4.125000 1.375000 ;
-    LAYER met3 ;
-      RECT 3.395000 1.025000 4.175000 1.355000 ;
-    LAYER met4 ;
-      RECT 1.370000 0.680000 4.150000 1.860000 ;
-    LAYER via ;
-      RECT 3.495000 1.060000 3.755000 1.320000 ;
-      RECT 3.815000 1.060000 4.075000 1.320000 ;
-    LAYER via2 ;
-      RECT 3.445000 1.050000 3.725000 1.330000 ;
-      RECT 3.845000 1.050000 4.125000 1.330000 ;
-    LAYER via3 ;
-      RECT 3.425000 1.030000 3.745000 1.350000 ;
-      RECT 3.825000 1.030000 4.145000 1.350000 ;
-    LAYER via4 ;
-      RECT 2.970000 0.680000 4.150000 1.860000 ;
-  END
-END sky130_fd_sc_hd__probe_p_8
-MACRO sky130_fd_sc_hd__clkinv_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__clkinv_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.380000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.315000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.375000 0.325000 1.325000 ;
-    END
-  END A
-  PIN Y
-    ANTENNADIFFAREA  0.336000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 0.255000 0.840000 0.760000 ;
-        RECT 0.515000 0.760000 1.295000 1.290000 ;
-        RECT 0.515000 1.290000 0.845000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.380000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 1.570000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.380000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.380000 0.085000 ;
-      RECT 0.000000  2.635000 1.380000 2.805000 ;
-      RECT 0.085000  1.665000 0.345000 2.635000 ;
-      RECT 1.010000  0.085000 1.295000 0.590000 ;
-      RECT 1.015000  1.665000 1.295000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-  END
-END sky130_fd_sc_hd__clkinv_1
-MACRO sky130_fd_sc_hd__clkinv_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__clkinv_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.840000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.576000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.065000 1.305000 1.290000 ;
-    END
-  END A
-  PIN Y
-    ANTENNADIFFAREA  0.662600 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.155000 1.460000 1.755000 1.630000 ;
-        RECT 0.155000 1.630000 0.410000 2.435000 ;
-        RECT 1.010000 1.630000 1.270000 2.435000 ;
-        RECT 1.025000 0.280000 1.250000 0.725000 ;
-        RECT 1.025000 0.725000 1.755000 0.895000 ;
-        RECT 1.475000 0.895000 1.755000 1.460000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.840000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.030000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.840000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.840000 0.085000 ;
-      RECT 0.000000  2.635000 1.840000 2.805000 ;
-      RECT 0.560000  0.085000 0.855000 0.610000 ;
-      RECT 0.580000  1.800000 0.840000 2.635000 ;
-      RECT 1.420000  0.085000 1.750000 0.555000 ;
-      RECT 1.440000  1.800000 1.695000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-  END
-END sky130_fd_sc_hd__clkinv_2
-MACRO sky130_fd_sc_hd__clkinv_16
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__clkinv_16 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  11.04000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  4.608000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.345000 0.895000  2.155000 1.275000 ;
-        RECT 8.930000 0.895000 10.710000 1.275000 ;
-      LAYER mcon ;
-        RECT 1.525000 1.105000 1.695000 1.275000 ;
-        RECT 1.985000 1.105000 2.155000 1.275000 ;
-        RECT 9.345000 1.105000 9.515000 1.275000 ;
-        RECT 9.805000 1.105000 9.975000 1.275000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 1.465000 1.075000  2.215000 1.120000 ;
-        RECT 1.465000 1.120000 10.035000 1.260000 ;
-        RECT 1.465000 1.260000  2.215000 1.305000 ;
-        RECT 9.285000 1.075000 10.035000 1.120000 ;
-        RECT 9.285000 1.260000 10.035000 1.305000 ;
-    END
-  END A
-  PIN Y
-    ANTENNADIFFAREA  4.520900 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT  0.575000 1.455000 10.480000 1.665000 ;
-        RECT  0.575000 1.665000  0.830000 2.465000 ;
-        RECT  1.435000 1.665000  1.690000 2.450000 ;
-        RECT  2.325000 0.280000  2.550000 1.415000 ;
-        RECT  2.325000 1.415000  8.755000 1.455000 ;
-        RECT  2.325000 1.665000  2.550000 2.465000 ;
-        RECT  3.155000 0.280000  3.410000 1.415000 ;
-        RECT  3.155000 1.665000  3.410000 2.450000 ;
-        RECT  4.015000 0.280000  4.255000 1.415000 ;
-        RECT  4.015000 1.665000  4.255000 2.450000 ;
-        RECT  4.905000 0.280000  5.255000 1.415000 ;
-        RECT  4.905000 1.665000  5.280000 2.450000 ;
-        RECT  5.925000 0.280000  6.175000 1.415000 ;
-        RECT  5.925000 1.665000  6.175000 2.450000 ;
-        RECT  6.785000 0.280000  7.035000 1.415000 ;
-        RECT  6.785000 1.665000  7.035000 2.450000 ;
-        RECT  7.645000 0.280000  7.895000 1.415000 ;
-        RECT  7.645000 1.665000  7.895000 2.450000 ;
-        RECT  8.505000 0.280000  8.755000 1.415000 ;
-        RECT  8.505000 1.665000  8.755000 2.450000 ;
-        RECT  9.365000 1.665000  9.605000 2.450000 ;
-        RECT 10.225000 1.665000 10.480000 2.450000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 11.040000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 11.230000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 11.040000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 11.040000 0.085000 ;
-      RECT  0.000000  2.635000 11.040000 2.805000 ;
-      RECT  0.140000  1.495000  0.405000 2.635000 ;
-      RECT  1.000000  1.835000  1.260000 2.635000 ;
-      RECT  1.855000  0.085000  2.125000 0.610000 ;
-      RECT  1.865000  1.835000  2.120000 2.635000 ;
-      RECT  2.720000  0.085000  2.985000 0.610000 ;
-      RECT  2.720000  1.835000  2.980000 2.635000 ;
-      RECT  3.580000  0.085000  3.845000 0.610000 ;
-      RECT  3.585000  1.835000  3.840000 2.635000 ;
-      RECT  4.465000  0.085000  4.730000 0.610000 ;
-      RECT  4.465000  1.835000  4.720000 2.635000 ;
-      RECT  5.490000  0.085000  5.755000 0.610000 ;
-      RECT  5.490000  1.835000  5.745000 2.120000 ;
-      RECT  5.490000  2.120000  5.750000 2.635000 ;
-      RECT  6.350000  0.085000  6.575000 0.610000 ;
-      RECT  6.355000  1.835000  6.610000 2.635000 ;
-      RECT  7.210000  0.085000  7.475000 0.610000 ;
-      RECT  7.215000  1.835000  7.470000 2.635000 ;
-      RECT  8.070000  0.085000  8.335000 0.610000 ;
-      RECT  8.075000  1.835000  8.330000 2.635000 ;
-      RECT  8.930000  0.085000  9.195000 0.610000 ;
-      RECT  8.935000  1.835000  9.190000 2.635000 ;
-      RECT  9.795000  1.835000 10.050000 2.635000 ;
-      RECT 10.650000  1.835000 10.910000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-  END
-END sky130_fd_sc_hd__clkinv_16
-MACRO sky130_fd_sc_hd__clkinv_8
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__clkinv_8 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.980000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  2.304000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.455000 1.035000 4.865000 1.290000 ;
-    END
-  END A
-  PIN Y
-    ANTENNADIFFAREA  2.090400 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.115000 0.695000 5.440000 0.865000 ;
-        RECT 0.115000 0.865000 0.285000 1.460000 ;
-        RECT 0.115000 1.460000 5.440000 1.630000 ;
-        RECT 0.565000 1.630000 0.805000 2.435000 ;
-        RECT 1.405000 1.630000 1.645000 2.435000 ;
-        RECT 1.535000 0.280000 1.725000 0.695000 ;
-        RECT 2.245000 1.630000 2.495000 2.435000 ;
-        RECT 2.395000 0.280000 2.585000 0.695000 ;
-        RECT 3.080000 1.630000 3.325000 2.435000 ;
-        RECT 3.255000 0.280000 3.445000 0.695000 ;
-        RECT 3.920000 1.630000 4.175000 2.435000 ;
-        RECT 4.115000 0.280000 4.305000 0.695000 ;
-        RECT 4.765000 1.630000 5.005000 2.435000 ;
-        RECT 5.170000 0.865000 5.440000 1.460000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.980000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.170000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.980000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.980000 0.085000 ;
-      RECT 0.000000  2.635000 5.980000 2.805000 ;
-      RECT 0.135000  1.800000 0.395000 2.635000 ;
-      RECT 0.975000  1.800000 1.235000 2.635000 ;
-      RECT 1.035000  0.085000 1.365000 0.525000 ;
-      RECT 1.815000  1.800000 2.075000 2.635000 ;
-      RECT 1.895000  0.085000 2.225000 0.525000 ;
-      RECT 2.665000  1.800000 2.910000 2.635000 ;
-      RECT 2.755000  0.085000 3.085000 0.525000 ;
-      RECT 3.495000  1.800000 3.750000 2.635000 ;
-      RECT 3.615000  0.085000 3.945000 0.525000 ;
-      RECT 4.345000  1.800000 4.595000 2.635000 ;
-      RECT 4.475000  0.085000 4.805000 0.525000 ;
-      RECT 5.175000  1.800000 5.430000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-  END
-END sky130_fd_sc_hd__clkinv_8
-MACRO sky130_fd_sc_hd__clkinv_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__clkinv_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  1.152000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.445000 1.065000 2.660000 1.290000 ;
-    END
-  END A
-  PIN Y
-    ANTENNADIFFAREA  1.075200 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.105000 0.725000 3.135000 0.895000 ;
-        RECT 0.105000 0.895000 0.275000 1.460000 ;
-        RECT 0.105000 1.460000 3.135000 1.630000 ;
-        RECT 0.605000 1.630000 0.860000 2.435000 ;
-        RECT 1.030000 0.280000 1.290000 0.725000 ;
-        RECT 1.465000 1.630000 1.720000 2.435000 ;
-        RECT 1.890000 0.280000 2.145000 0.725000 ;
-        RECT 2.320000 1.630000 2.580000 2.435000 ;
-        RECT 2.835000 0.895000 3.135000 1.460000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.085000  1.800000 0.430000 2.635000 ;
-      RECT 0.565000  0.085000 0.860000 0.555000 ;
-      RECT 1.030000  1.800000 1.290000 2.635000 ;
-      RECT 1.460000  0.085000 1.720000 0.555000 ;
-      RECT 1.890000  1.800000 2.150000 2.635000 ;
-      RECT 2.315000  0.085000 2.615000 0.555000 ;
-      RECT 2.750000  1.800000 3.135000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__clkinv_4
-MACRO sky130_fd_sc_hd__sdfrtp_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sdfrtp_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  12.88000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.144000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.735000 1.355000 3.120000 1.785000 ;
-        RECT 2.865000 1.785000 3.120000 2.465000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 11.140000 0.265000 11.400000 0.795000 ;
-        RECT 11.140000 1.460000 11.400000 2.325000 ;
-        RECT 11.150000 1.445000 11.400000 1.460000 ;
-        RECT 11.190000 0.795000 11.400000 0.995000 ;
-        RECT 11.190000 0.995000 12.240000 1.325000 ;
-        RECT 11.190000 1.325000 11.400000 1.445000 ;
-        RECT 11.990000 0.265000 12.240000 0.995000 ;
-        RECT 11.990000 1.325000 12.240000 2.325000 ;
-    END
-  END Q
-  PIN RESET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.505000 0.765000 7.035000 1.045000 ;
-      LAYER mcon ;
-        RECT 6.865000 0.765000 7.035000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 9.525000 1.065000 10.115000 1.275000 ;
-        RECT 9.825000 0.635000 10.115000 1.065000 ;
-      LAYER mcon ;
-        RECT 9.690000 1.105000  9.860000 1.275000 ;
-        RECT 9.945000 0.765000 10.115000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 6.445000 0.735000  7.095000 0.780000 ;
-        RECT 6.445000 0.780000 10.175000 0.920000 ;
-        RECT 6.445000 0.920000  7.095000 0.965000 ;
-        RECT 9.630000 0.920000 10.175000 0.965000 ;
-        RECT 9.630000 0.965000  9.920000 1.305000 ;
-        RECT 9.885000 0.735000 10.175000 0.780000 ;
-    END
-  END RESET_B
-  PIN SCD
-    ANTENNAGATEAREA  0.156600 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.020000 0.285000 4.275000 0.710000 ;
-        RECT 4.020000 0.710000 4.395000 1.700000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.435000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.465000 1.985000 1.730000 2.465000 ;
-        RECT 1.485000 1.070000 1.730000 1.985000 ;
-    END
-  END SCE
-  PIN CLK
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.140000 0.975000 0.490000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 12.880000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.215000 -0.010000 0.235000 0.015000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000  1.970000 1.425000 ;
-        RECT -0.190000 1.425000 13.070000 2.910000 ;
-        RECT  4.405000 1.305000 13.070000 1.425000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 12.880000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 12.880000 0.085000 ;
-      RECT  0.000000  2.635000 12.880000 2.805000 ;
-      RECT  0.090000  1.795000  0.865000 1.965000 ;
-      RECT  0.090000  1.965000  0.345000 2.465000 ;
-      RECT  0.095000  0.345000  0.345000 0.635000 ;
-      RECT  0.095000  0.635000  0.835000 0.805000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.530000  2.135000  0.860000 2.635000 ;
-      RECT  0.660000  0.805000  0.835000 0.995000 ;
-      RECT  0.660000  0.995000  0.975000 1.325000 ;
-      RECT  0.660000  1.325000  0.865000 1.795000 ;
-      RECT  1.015000  0.345000  1.315000 0.675000 ;
-      RECT  1.035000  1.730000  1.315000 1.900000 ;
-      RECT  1.035000  1.900000  1.205000 2.465000 ;
-      RECT  1.145000  0.675000  1.315000 1.730000 ;
-      RECT  1.535000  0.395000  1.705000 0.730000 ;
-      RECT  1.535000  0.730000  2.225000 0.900000 ;
-      RECT  1.875000  0.085000  2.205000 0.560000 ;
-      RECT  1.900000  2.055000  2.150000 2.400000 ;
-      RECT  1.980000  1.260000  2.470000 1.455000 ;
-      RECT  1.980000  1.455000  2.150000 2.055000 ;
-      RECT  2.055000  0.900000  2.225000 0.995000 ;
-      RECT  2.055000  0.995000  3.085000 1.185000 ;
-      RECT  2.055000  1.185000  2.470000 1.260000 ;
-      RECT  2.320000  2.040000  2.490000 2.635000 ;
-      RECT  2.395000  0.085000  2.725000 0.825000 ;
-      RECT  2.915000  0.255000  3.850000 0.425000 ;
-      RECT  2.915000  0.425000  3.085000 0.995000 ;
-      RECT  3.255000  0.675000  3.425000 1.015000 ;
-      RECT  3.255000  1.015000  3.460000 1.185000 ;
-      RECT  3.290000  1.185000  3.460000 1.935000 ;
-      RECT  3.290000  1.935000  5.075000 2.105000 ;
-      RECT  3.460000  2.105000  3.630000 2.465000 ;
-      RECT  3.680000  0.425000  3.850000 1.685000 ;
-      RECT  4.300000  2.275000  4.630000 2.635000 ;
-      RECT  4.445000  0.085000  4.775000 0.540000 ;
-      RECT  4.565000  0.715000  5.145000 0.895000 ;
-      RECT  4.565000  0.895000  4.735000 1.935000 ;
-      RECT  4.905000  1.065000  5.075000 1.395000 ;
-      RECT  4.905000  2.105000  5.075000 2.185000 ;
-      RECT  4.905000  2.185000  5.275000 2.435000 ;
-      RECT  4.975000  0.335000  5.315000 0.505000 ;
-      RECT  4.975000  0.505000  5.145000 0.715000 ;
-      RECT  5.245000  1.575000  5.495000 1.955000 ;
-      RECT  5.325000  0.705000  5.975000 1.035000 ;
-      RECT  5.325000  1.035000  5.495000 1.575000 ;
-      RECT  5.470000  2.135000  5.835000 2.465000 ;
-      RECT  5.485000  0.305000  6.335000 0.475000 ;
-      RECT  5.665000  1.215000  7.375000 1.385000 ;
-      RECT  5.665000  1.385000  5.835000 2.135000 ;
-      RECT  6.005000  1.935000  7.165000 2.105000 ;
-      RECT  6.005000  2.105000  6.175000 2.375000 ;
-      RECT  6.165000  0.475000  6.335000 1.215000 ;
-      RECT  6.285000  1.595000  7.715000 1.765000 ;
-      RECT  6.410000  2.355000  6.740000 2.635000 ;
-      RECT  6.915000  0.085000  7.245000 0.545000 ;
-      RECT  6.995000  2.105000  7.165000 2.375000 ;
-      RECT  7.205000  1.005000  7.375000 1.215000 ;
-      RECT  7.375000  2.175000  7.745000 2.635000 ;
-      RECT  7.455000  0.275000  7.785000 0.445000 ;
-      RECT  7.455000  0.445000  7.715000 0.835000 ;
-      RECT  7.455000  1.765000  7.715000 1.835000 ;
-      RECT  7.455000  1.835000  8.140000 2.005000 ;
-      RECT  7.545000  0.835000  7.715000 1.595000 ;
-      RECT  7.885000  0.705000  8.095000 1.495000 ;
-      RECT  7.885000  1.495000  8.520000 1.655000 ;
-      RECT  7.885000  1.655000  8.870000 1.665000 ;
-      RECT  7.970000  2.005000  8.140000 2.465000 ;
-      RECT  8.005000  0.255000  8.915000 0.535000 ;
-      RECT  8.310000  1.665000  8.870000 1.935000 ;
-      RECT  8.310000  1.935000  8.840000 1.955000 ;
-      RECT  8.320000  2.125000  9.190000 2.465000 ;
-      RECT  8.405000  0.920000  8.575000 1.325000 ;
-      RECT  8.745000  0.535000  8.915000 1.315000 ;
-      RECT  8.745000  1.315000  9.210000 1.485000 ;
-      RECT  9.015000  2.035000  9.210000 2.115000 ;
-      RECT  9.015000  2.115000  9.190000 2.125000 ;
-      RECT  9.040000  1.485000  9.210000 1.575000 ;
-      RECT  9.040000  1.575000 10.205000 1.745000 ;
-      RECT  9.040000  1.745000  9.210000 2.035000 ;
-      RECT  9.085000  0.085000  9.255000 0.525000 ;
-      RECT  9.125000  0.695000  9.655000 0.865000 ;
-      RECT  9.125000  0.865000  9.295000 1.145000 ;
-      RECT  9.360000  2.195000  9.610000 2.635000 ;
-      RECT  9.485000  0.295000 10.515000 0.465000 ;
-      RECT  9.485000  0.465000  9.655000 0.695000 ;
-      RECT  9.780000  1.915000 10.545000 2.085000 ;
-      RECT  9.780000  2.085000  9.950000 2.375000 ;
-      RECT 10.120000  2.255000 10.450000 2.635000 ;
-      RECT 10.345000  0.465000 10.515000 0.995000 ;
-      RECT 10.345000  0.995000 11.020000 1.295000 ;
-      RECT 10.375000  1.295000 11.020000 1.325000 ;
-      RECT 10.375000  1.325000 10.545000 1.915000 ;
-      RECT 10.720000  0.085000 10.890000 0.545000 ;
-      RECT 10.720000  1.495000 10.970000 2.635000 ;
-      RECT 11.570000  0.085000 11.740000 0.545000 ;
-      RECT 11.570000  1.495000 11.820000 2.635000 ;
-      RECT 12.410000  0.085000 12.580000 0.545000 ;
-      RECT 12.410000  1.495000 12.660000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.805000  1.105000  0.975000 1.275000 ;
-      RECT  1.035000  1.785000  1.205000 1.955000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  4.905000  1.105000  5.075000 1.275000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.325000  1.785000  5.495000 1.955000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.405000  1.105000  8.575000 1.275000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.445000  1.785000  8.615000 1.955000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-      RECT 12.105000  2.635000 12.275000 2.805000 ;
-      RECT 12.565000 -0.085000 12.735000 0.085000 ;
-      RECT 12.565000  2.635000 12.735000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.745000 1.075000 1.035000 1.120000 ;
-      RECT 0.745000 1.120000 8.635000 1.260000 ;
-      RECT 0.745000 1.260000 1.035000 1.305000 ;
-      RECT 0.970000 1.755000 1.270000 1.800000 ;
-      RECT 0.970000 1.800000 8.675000 1.940000 ;
-      RECT 0.970000 1.940000 1.270000 1.985000 ;
-      RECT 4.845000 1.075000 5.135000 1.120000 ;
-      RECT 4.845000 1.260000 5.135000 1.305000 ;
-      RECT 5.265000 1.755000 5.555000 1.800000 ;
-      RECT 5.265000 1.940000 5.555000 1.985000 ;
-      RECT 8.345000 1.075000 8.635000 1.120000 ;
-      RECT 8.345000 1.260000 8.635000 1.305000 ;
-      RECT 8.385000 1.755000 8.675000 1.800000 ;
-      RECT 8.385000 1.940000 8.675000 1.985000 ;
-  END
-END sky130_fd_sc_hd__sdfrtp_4
-MACRO sky130_fd_sc_hd__sdfrtp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sdfrtp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  11.50000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.144000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.735000 1.355000 3.120000 1.785000 ;
-        RECT 2.865000 1.785000 3.120000 2.465000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 11.140000 0.265000 11.400000 0.795000 ;
-        RECT 11.140000 1.460000 11.400000 2.325000 ;
-        RECT 11.150000 1.445000 11.400000 1.460000 ;
-        RECT 11.190000 0.795000 11.400000 1.445000 ;
-    END
-  END Q
-  PIN RESET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.505000 0.765000 7.035000 1.045000 ;
-      LAYER mcon ;
-        RECT 6.865000 0.765000 7.035000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 9.525000 1.065000 10.115000 1.275000 ;
-        RECT 9.825000 0.635000 10.115000 1.065000 ;
-      LAYER mcon ;
-        RECT 9.690000 1.105000  9.860000 1.275000 ;
-        RECT 9.945000 0.765000 10.115000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 6.445000 0.735000  7.095000 0.780000 ;
-        RECT 6.445000 0.780000 10.175000 0.920000 ;
-        RECT 6.445000 0.920000  7.095000 0.965000 ;
-        RECT 9.630000 0.920000 10.175000 0.965000 ;
-        RECT 9.630000 0.965000  9.920000 1.305000 ;
-        RECT 9.885000 0.735000 10.175000 0.780000 ;
-    END
-  END RESET_B
-  PIN SCD
-    ANTENNAGATEAREA  0.156600 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.020000 0.285000 4.275000 0.710000 ;
-        RECT 4.020000 0.710000 4.395000 1.700000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.435000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.465000 1.985000 1.730000 2.465000 ;
-        RECT 1.485000 1.070000 1.730000 1.985000 ;
-    END
-  END SCE
-  PIN CLK
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.140000 0.975000 0.490000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 11.500000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.215000 -0.010000 0.235000 0.015000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000  1.970000 1.425000 ;
-        RECT -0.190000 1.425000 11.690000 2.910000 ;
-        RECT  4.405000 1.305000 11.690000 1.425000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 11.500000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 11.500000 0.085000 ;
-      RECT  0.000000  2.635000 11.500000 2.805000 ;
-      RECT  0.090000  1.795000  0.865000 1.965000 ;
-      RECT  0.090000  1.965000  0.345000 2.465000 ;
-      RECT  0.095000  0.345000  0.345000 0.635000 ;
-      RECT  0.095000  0.635000  0.835000 0.805000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.530000  2.135000  0.860000 2.635000 ;
-      RECT  0.660000  0.805000  0.835000 0.995000 ;
-      RECT  0.660000  0.995000  0.975000 1.325000 ;
-      RECT  0.660000  1.325000  0.865000 1.795000 ;
-      RECT  1.015000  0.345000  1.315000 0.675000 ;
-      RECT  1.035000  1.730000  1.315000 1.900000 ;
-      RECT  1.035000  1.900000  1.205000 2.465000 ;
-      RECT  1.145000  0.675000  1.315000 1.730000 ;
-      RECT  1.535000  0.395000  1.705000 0.730000 ;
-      RECT  1.535000  0.730000  2.225000 0.900000 ;
-      RECT  1.875000  0.085000  2.205000 0.560000 ;
-      RECT  1.900000  2.055000  2.150000 2.400000 ;
-      RECT  1.980000  1.260000  2.470000 1.455000 ;
-      RECT  1.980000  1.455000  2.150000 2.055000 ;
-      RECT  2.055000  0.900000  2.225000 0.995000 ;
-      RECT  2.055000  0.995000  3.085000 1.185000 ;
-      RECT  2.055000  1.185000  2.470000 1.260000 ;
-      RECT  2.320000  2.040000  2.490000 2.635000 ;
-      RECT  2.395000  0.085000  2.725000 0.825000 ;
-      RECT  2.915000  0.255000  3.850000 0.425000 ;
-      RECT  2.915000  0.425000  3.085000 0.995000 ;
-      RECT  3.255000  0.675000  3.425000 1.015000 ;
-      RECT  3.255000  1.015000  3.460000 1.185000 ;
-      RECT  3.290000  1.185000  3.460000 1.935000 ;
-      RECT  3.290000  1.935000  5.075000 2.105000 ;
-      RECT  3.460000  2.105000  3.630000 2.465000 ;
-      RECT  3.680000  0.425000  3.850000 1.685000 ;
-      RECT  4.300000  2.275000  4.630000 2.635000 ;
-      RECT  4.445000  0.085000  4.775000 0.540000 ;
-      RECT  4.565000  0.715000  5.145000 0.895000 ;
-      RECT  4.565000  0.895000  4.735000 1.935000 ;
-      RECT  4.905000  1.065000  5.075000 1.395000 ;
-      RECT  4.905000  2.105000  5.075000 2.185000 ;
-      RECT  4.905000  2.185000  5.275000 2.435000 ;
-      RECT  4.975000  0.335000  5.315000 0.505000 ;
-      RECT  4.975000  0.505000  5.145000 0.715000 ;
-      RECT  5.245000  1.575000  5.495000 1.955000 ;
-      RECT  5.325000  0.705000  5.975000 1.035000 ;
-      RECT  5.325000  1.035000  5.495000 1.575000 ;
-      RECT  5.470000  2.135000  5.835000 2.465000 ;
-      RECT  5.485000  0.305000  6.335000 0.475000 ;
-      RECT  5.665000  1.215000  7.375000 1.385000 ;
-      RECT  5.665000  1.385000  5.835000 2.135000 ;
-      RECT  6.005000  1.935000  7.165000 2.105000 ;
-      RECT  6.005000  2.105000  6.175000 2.375000 ;
-      RECT  6.165000  0.475000  6.335000 1.215000 ;
-      RECT  6.285000  1.595000  7.715000 1.765000 ;
-      RECT  6.410000  2.355000  6.740000 2.635000 ;
-      RECT  6.915000  0.085000  7.245000 0.545000 ;
-      RECT  6.995000  2.105000  7.165000 2.375000 ;
-      RECT  7.205000  1.005000  7.375000 1.215000 ;
-      RECT  7.375000  2.175000  7.745000 2.635000 ;
-      RECT  7.455000  0.275000  7.785000 0.445000 ;
-      RECT  7.455000  0.445000  7.715000 0.835000 ;
-      RECT  7.455000  1.765000  7.715000 1.835000 ;
-      RECT  7.455000  1.835000  8.140000 2.005000 ;
-      RECT  7.545000  0.835000  7.715000 1.595000 ;
-      RECT  7.885000  0.705000  8.095000 1.495000 ;
-      RECT  7.885000  1.495000  8.520000 1.655000 ;
-      RECT  7.885000  1.655000  8.870000 1.665000 ;
-      RECT  7.970000  2.005000  8.140000 2.465000 ;
-      RECT  8.005000  0.255000  8.915000 0.535000 ;
-      RECT  8.310000  1.665000  8.870000 1.935000 ;
-      RECT  8.310000  1.935000  8.840000 1.955000 ;
-      RECT  8.320000  2.125000  9.190000 2.465000 ;
-      RECT  8.405000  0.920000  8.575000 1.325000 ;
-      RECT  8.745000  0.535000  8.915000 1.315000 ;
-      RECT  8.745000  1.315000  9.210000 1.485000 ;
-      RECT  9.015000  2.035000  9.210000 2.115000 ;
-      RECT  9.015000  2.115000  9.190000 2.125000 ;
-      RECT  9.040000  1.485000  9.210000 1.575000 ;
-      RECT  9.040000  1.575000 10.205000 1.745000 ;
-      RECT  9.040000  1.745000  9.210000 2.035000 ;
-      RECT  9.085000  0.085000  9.255000 0.525000 ;
-      RECT  9.125000  0.695000  9.655000 0.865000 ;
-      RECT  9.125000  0.865000  9.295000 1.145000 ;
-      RECT  9.360000  2.195000  9.610000 2.635000 ;
-      RECT  9.485000  0.295000 10.515000 0.465000 ;
-      RECT  9.485000  0.465000  9.655000 0.695000 ;
-      RECT  9.780000  1.915000 10.545000 2.085000 ;
-      RECT  9.780000  2.085000  9.950000 2.375000 ;
-      RECT 10.120000  2.255000 10.450000 2.635000 ;
-      RECT 10.345000  0.465000 10.515000 0.995000 ;
-      RECT 10.345000  0.995000 11.020000 1.295000 ;
-      RECT 10.375000  1.295000 11.020000 1.325000 ;
-      RECT 10.375000  1.325000 10.545000 1.915000 ;
-      RECT 10.720000  0.085000 10.890000 0.545000 ;
-      RECT 10.720000  1.495000 10.970000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.805000  1.105000  0.975000 1.275000 ;
-      RECT  1.035000  1.785000  1.205000 1.955000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  4.905000  1.105000  5.075000 1.275000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.325000  1.785000  5.495000 1.955000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.405000  1.105000  8.575000 1.275000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.445000  1.785000  8.615000 1.955000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.745000 1.075000 1.035000 1.120000 ;
-      RECT 0.745000 1.120000 8.635000 1.260000 ;
-      RECT 0.745000 1.260000 1.035000 1.305000 ;
-      RECT 0.970000 1.755000 1.270000 1.800000 ;
-      RECT 0.970000 1.800000 8.675000 1.940000 ;
-      RECT 0.970000 1.940000 1.270000 1.985000 ;
-      RECT 4.845000 1.075000 5.135000 1.120000 ;
-      RECT 4.845000 1.260000 5.135000 1.305000 ;
-      RECT 5.265000 1.755000 5.555000 1.800000 ;
-      RECT 5.265000 1.940000 5.555000 1.985000 ;
-      RECT 8.345000 1.075000 8.635000 1.120000 ;
-      RECT 8.345000 1.260000 8.635000 1.305000 ;
-      RECT 8.385000 1.755000 8.675000 1.800000 ;
-      RECT 8.385000 1.940000 8.675000 1.985000 ;
-  END
-END sky130_fd_sc_hd__sdfrtp_1
-MACRO sky130_fd_sc_hd__sdfrtp_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sdfrtp_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  11.96000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.144000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.735000 1.355000 3.120000 1.785000 ;
-        RECT 2.865000 1.785000 3.120000 2.465000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 11.140000 0.265000 11.400000 0.795000 ;
-        RECT 11.140000 1.460000 11.400000 2.325000 ;
-        RECT 11.150000 1.445000 11.400000 1.460000 ;
-        RECT 11.190000 0.795000 11.400000 1.445000 ;
-    END
-  END Q
-  PIN RESET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.505000 0.765000 7.035000 1.045000 ;
-      LAYER mcon ;
-        RECT 6.865000 0.765000 7.035000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 9.525000 1.065000 10.115000 1.275000 ;
-        RECT 9.825000 0.635000 10.115000 1.065000 ;
-      LAYER mcon ;
-        RECT 9.690000 1.105000  9.860000 1.275000 ;
-        RECT 9.945000 0.765000 10.115000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 6.445000 0.735000  7.095000 0.780000 ;
-        RECT 6.445000 0.780000 10.175000 0.920000 ;
-        RECT 6.445000 0.920000  7.095000 0.965000 ;
-        RECT 9.630000 0.920000 10.175000 0.965000 ;
-        RECT 9.630000 0.965000  9.920000 1.305000 ;
-        RECT 9.885000 0.735000 10.175000 0.780000 ;
-    END
-  END RESET_B
-  PIN SCD
-    ANTENNAGATEAREA  0.156600 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.020000 0.285000 4.275000 0.710000 ;
-        RECT 4.020000 0.710000 4.395000 1.700000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.435000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.465000 1.985000 1.730000 2.465000 ;
-        RECT 1.485000 1.070000 1.730000 1.985000 ;
-    END
-  END SCE
-  PIN CLK
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.140000 0.975000 0.490000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 11.960000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.215000 -0.010000 0.235000 0.015000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000  1.970000 1.425000 ;
-        RECT -0.190000 1.425000 12.150000 2.910000 ;
-        RECT  4.405000 1.305000 12.150000 1.425000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 11.960000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 11.960000 0.085000 ;
-      RECT  0.000000  2.635000 11.960000 2.805000 ;
-      RECT  0.090000  1.795000  0.865000 1.965000 ;
-      RECT  0.090000  1.965000  0.345000 2.465000 ;
-      RECT  0.095000  0.345000  0.345000 0.635000 ;
-      RECT  0.095000  0.635000  0.835000 0.805000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.530000  2.135000  0.860000 2.635000 ;
-      RECT  0.660000  0.805000  0.835000 0.995000 ;
-      RECT  0.660000  0.995000  0.975000 1.325000 ;
-      RECT  0.660000  1.325000  0.865000 1.795000 ;
-      RECT  1.015000  0.345000  1.315000 0.675000 ;
-      RECT  1.035000  1.730000  1.315000 1.900000 ;
-      RECT  1.035000  1.900000  1.205000 2.465000 ;
-      RECT  1.145000  0.675000  1.315000 1.730000 ;
-      RECT  1.535000  0.395000  1.705000 0.730000 ;
-      RECT  1.535000  0.730000  2.225000 0.900000 ;
-      RECT  1.875000  0.085000  2.205000 0.560000 ;
-      RECT  1.900000  2.055000  2.150000 2.400000 ;
-      RECT  1.980000  1.260000  2.470000 1.455000 ;
-      RECT  1.980000  1.455000  2.150000 2.055000 ;
-      RECT  2.055000  0.900000  2.225000 0.995000 ;
-      RECT  2.055000  0.995000  3.085000 1.185000 ;
-      RECT  2.055000  1.185000  2.470000 1.260000 ;
-      RECT  2.320000  2.040000  2.490000 2.635000 ;
-      RECT  2.395000  0.085000  2.725000 0.825000 ;
-      RECT  2.915000  0.255000  3.850000 0.425000 ;
-      RECT  2.915000  0.425000  3.085000 0.995000 ;
-      RECT  3.255000  0.675000  3.425000 1.015000 ;
-      RECT  3.255000  1.015000  3.460000 1.185000 ;
-      RECT  3.290000  1.185000  3.460000 1.935000 ;
-      RECT  3.290000  1.935000  5.075000 2.105000 ;
-      RECT  3.460000  2.105000  3.630000 2.465000 ;
-      RECT  3.680000  0.425000  3.850000 1.685000 ;
-      RECT  4.300000  2.275000  4.630000 2.635000 ;
-      RECT  4.445000  0.085000  4.775000 0.540000 ;
-      RECT  4.565000  0.715000  5.145000 0.895000 ;
-      RECT  4.565000  0.895000  4.735000 1.935000 ;
-      RECT  4.905000  1.065000  5.075000 1.395000 ;
-      RECT  4.905000  2.105000  5.075000 2.185000 ;
-      RECT  4.905000  2.185000  5.275000 2.435000 ;
-      RECT  4.975000  0.335000  5.315000 0.505000 ;
-      RECT  4.975000  0.505000  5.145000 0.715000 ;
-      RECT  5.245000  1.575000  5.495000 1.955000 ;
-      RECT  5.325000  0.705000  5.975000 1.035000 ;
-      RECT  5.325000  1.035000  5.495000 1.575000 ;
-      RECT  5.470000  2.135000  5.835000 2.465000 ;
-      RECT  5.485000  0.305000  6.335000 0.475000 ;
-      RECT  5.665000  1.215000  7.375000 1.385000 ;
-      RECT  5.665000  1.385000  5.835000 2.135000 ;
-      RECT  6.005000  1.935000  7.165000 2.105000 ;
-      RECT  6.005000  2.105000  6.175000 2.375000 ;
-      RECT  6.165000  0.475000  6.335000 1.215000 ;
-      RECT  6.285000  1.595000  7.715000 1.765000 ;
-      RECT  6.410000  2.355000  6.740000 2.635000 ;
-      RECT  6.915000  0.085000  7.245000 0.545000 ;
-      RECT  6.995000  2.105000  7.165000 2.375000 ;
-      RECT  7.205000  1.005000  7.375000 1.215000 ;
-      RECT  7.375000  2.175000  7.745000 2.635000 ;
-      RECT  7.455000  0.275000  7.785000 0.445000 ;
-      RECT  7.455000  0.445000  7.715000 0.835000 ;
-      RECT  7.455000  1.765000  7.715000 1.835000 ;
-      RECT  7.455000  1.835000  8.140000 2.005000 ;
-      RECT  7.545000  0.835000  7.715000 1.595000 ;
-      RECT  7.885000  0.705000  8.095000 1.495000 ;
-      RECT  7.885000  1.495000  8.520000 1.655000 ;
-      RECT  7.885000  1.655000  8.870000 1.665000 ;
-      RECT  7.970000  2.005000  8.140000 2.465000 ;
-      RECT  8.005000  0.255000  8.915000 0.535000 ;
-      RECT  8.310000  1.665000  8.870000 1.935000 ;
-      RECT  8.310000  1.935000  8.840000 1.955000 ;
-      RECT  8.320000  2.125000  9.190000 2.465000 ;
-      RECT  8.405000  0.920000  8.575000 1.325000 ;
-      RECT  8.745000  0.535000  8.915000 1.315000 ;
-      RECT  8.745000  1.315000  9.210000 1.485000 ;
-      RECT  9.015000  2.035000  9.210000 2.115000 ;
-      RECT  9.015000  2.115000  9.190000 2.125000 ;
-      RECT  9.040000  1.485000  9.210000 1.575000 ;
-      RECT  9.040000  1.575000 10.205000 1.745000 ;
-      RECT  9.040000  1.745000  9.210000 2.035000 ;
-      RECT  9.085000  0.085000  9.255000 0.525000 ;
-      RECT  9.125000  0.695000  9.655000 0.865000 ;
-      RECT  9.125000  0.865000  9.295000 1.145000 ;
-      RECT  9.360000  2.195000  9.610000 2.635000 ;
-      RECT  9.485000  0.295000 10.515000 0.465000 ;
-      RECT  9.485000  0.465000  9.655000 0.695000 ;
-      RECT  9.780000  1.915000 10.545000 2.085000 ;
-      RECT  9.780000  2.085000  9.950000 2.375000 ;
-      RECT 10.120000  2.255000 10.450000 2.635000 ;
-      RECT 10.345000  0.465000 10.515000 0.995000 ;
-      RECT 10.345000  0.995000 11.020000 1.295000 ;
-      RECT 10.375000  1.295000 11.020000 1.325000 ;
-      RECT 10.375000  1.325000 10.545000 1.915000 ;
-      RECT 10.720000  0.085000 10.890000 0.545000 ;
-      RECT 10.720000  1.495000 10.970000 2.635000 ;
-      RECT 11.570000  0.085000 11.740000 0.545000 ;
-      RECT 11.570000  1.495000 11.820000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.805000  1.105000  0.975000 1.275000 ;
-      RECT  1.035000  1.785000  1.205000 1.955000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  4.905000  1.105000  5.075000 1.275000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.325000  1.785000  5.495000 1.955000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.405000  1.105000  8.575000 1.275000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.445000  1.785000  8.615000 1.955000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.745000 1.075000 1.035000 1.120000 ;
-      RECT 0.745000 1.120000 8.635000 1.260000 ;
-      RECT 0.745000 1.260000 1.035000 1.305000 ;
-      RECT 0.970000 1.755000 1.270000 1.800000 ;
-      RECT 0.970000 1.800000 8.675000 1.940000 ;
-      RECT 0.970000 1.940000 1.270000 1.985000 ;
-      RECT 4.845000 1.075000 5.135000 1.120000 ;
-      RECT 4.845000 1.260000 5.135000 1.305000 ;
-      RECT 5.265000 1.755000 5.555000 1.800000 ;
-      RECT 5.265000 1.940000 5.555000 1.985000 ;
-      RECT 8.345000 1.075000 8.635000 1.120000 ;
-      RECT 8.345000 1.260000 8.635000 1.305000 ;
-      RECT 8.385000 1.755000 8.675000 1.800000 ;
-      RECT 8.385000 1.940000 8.675000 1.985000 ;
-  END
-END sky130_fd_sc_hd__sdfrtp_2
-MACRO sky130_fd_sc_hd__xor3_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__xor3_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  8.740000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.246000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.505000 1.075000 7.915000 1.325000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.661500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.685000 0.995000 6.855000 1.445000 ;
-        RECT 6.685000 1.445000 7.265000 1.615000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.381000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.860000 0.995000 2.495000 1.325000 ;
-    END
-  END C
-  PIN X
-    ANTENNADIFFAREA  0.449000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.350000 0.590000 0.925000 ;
-        RECT 0.085000 0.925000 0.400000 1.440000 ;
-        RECT 0.085000 1.440000 0.610000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 8.740000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.930000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 8.740000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 8.740000 0.085000 ;
-      RECT 0.000000  2.635000 8.740000 2.805000 ;
-      RECT 0.750000  0.995000 0.950000 1.325000 ;
-      RECT 0.760000  0.085000 1.010000 0.525000 ;
-      RECT 0.780000  0.695000 1.350000 0.865000 ;
-      RECT 0.780000  0.865000 0.950000 0.995000 ;
-      RECT 0.780000  1.325000 0.950000 1.875000 ;
-      RECT 0.780000  1.875000 1.470000 2.045000 ;
-      RECT 0.780000  2.215000 1.115000 2.635000 ;
-      RECT 1.180000  0.255000 2.740000 0.425000 ;
-      RECT 1.180000  0.425000 1.350000 0.695000 ;
-      RECT 1.185000  1.535000 2.835000 1.705000 ;
-      RECT 1.300000  2.045000 1.470000 2.235000 ;
-      RECT 1.300000  2.235000 2.895000 2.405000 ;
-      RECT 1.520000  0.595000 1.690000 1.535000 ;
-      RECT 1.870000  1.895000 3.175000 2.065000 ;
-      RECT 1.970000  0.655000 3.080000 0.825000 ;
-      RECT 2.390000  0.425000 2.740000 0.455000 ;
-      RECT 2.665000  0.995000 2.940000 1.325000 ;
-      RECT 2.665000  1.325000 2.835000 1.535000 ;
-      RECT 2.910000  0.255000 3.760000 0.425000 ;
-      RECT 2.910000  0.425000 3.080000 0.655000 ;
-      RECT 3.005000  1.525000 3.535000 1.695000 ;
-      RECT 3.005000  1.695000 3.175000 1.895000 ;
-      RECT 3.110000  2.235000 3.515000 2.405000 ;
-      RECT 3.250000  0.595000 3.420000 1.375000 ;
-      RECT 3.250000  1.375000 3.535000 1.525000 ;
-      RECT 3.345000  1.895000 4.520000 2.065000 ;
-      RECT 3.345000  2.065000 3.515000 2.235000 ;
-      RECT 3.590000  0.425000 3.760000 1.035000 ;
-      RECT 3.590000  1.035000 3.875000 1.205000 ;
-      RECT 3.685000  2.235000 4.015000 2.635000 ;
-      RECT 3.705000  1.205000 3.875000 1.895000 ;
-      RECT 3.930000  0.085000 4.100000 0.865000 ;
-      RECT 4.105000  1.445000 4.520000 1.715000 ;
-      RECT 4.280000  0.415000 4.520000 1.445000 ;
-      RECT 4.350000  2.065000 4.520000 2.275000 ;
-      RECT 4.350000  2.275000 7.445000 2.445000 ;
-      RECT 4.695000  0.265000 5.110000 0.485000 ;
-      RECT 4.695000  0.485000 4.915000 0.595000 ;
-      RECT 4.695000  0.595000 4.865000 2.105000 ;
-      RECT 5.035000  0.720000 5.450000 0.825000 ;
-      RECT 5.035000  0.825000 5.255000 0.890000 ;
-      RECT 5.035000  0.890000 5.205000 2.275000 ;
-      RECT 5.085000  0.655000 5.450000 0.720000 ;
-      RECT 5.280000  0.320000 5.450000 0.655000 ;
-      RECT 5.395000  1.445000 6.175000 1.615000 ;
-      RECT 5.395000  1.615000 5.810000 2.045000 ;
-      RECT 5.410000  0.995000 5.835000 1.270000 ;
-      RECT 5.620000  0.630000 5.835000 0.995000 ;
-      RECT 6.005000  0.255000 7.150000 0.425000 ;
-      RECT 6.005000  0.425000 6.175000 1.445000 ;
-      RECT 6.345000  0.595000 6.515000 1.935000 ;
-      RECT 6.345000  1.935000 8.655000 2.105000 ;
-      RECT 6.685000  0.425000 7.150000 0.465000 ;
-      RECT 7.025000  0.730000 7.230000 0.945000 ;
-      RECT 7.025000  0.945000 7.335000 1.275000 ;
-      RECT 7.435000  1.495000 8.255000 1.705000 ;
-      RECT 7.475000  0.295000 7.765000 0.735000 ;
-      RECT 7.475000  0.735000 8.255000 0.750000 ;
-      RECT 7.515000  0.750000 8.255000 0.905000 ;
-      RECT 7.855000  2.275000 8.190000 2.635000 ;
-      RECT 7.935000  0.085000 8.105000 0.565000 ;
-      RECT 8.085000  0.905000 8.255000 0.995000 ;
-      RECT 8.085000  0.995000 8.315000 1.325000 ;
-      RECT 8.085000  1.325000 8.255000 1.495000 ;
-      RECT 8.170000  1.875000 8.655000 1.935000 ;
-      RECT 8.355000  0.255000 8.655000 0.585000 ;
-      RECT 8.360000  2.105000 8.655000 2.465000 ;
-      RECT 8.485000  0.585000 8.655000 1.875000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  1.445000 3.535000 1.615000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  0.765000 4.455000 0.935000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  0.425000 4.915000 0.595000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  0.765000 5.835000 0.935000 ;
-      RECT 5.665000  1.445000 5.835000 1.615000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  0.765000 7.215000 0.935000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  0.425000 7.675000 0.595000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-    LAYER met1 ;
-      RECT 3.305000 1.415000 3.595000 1.460000 ;
-      RECT 3.305000 1.460000 5.895000 1.600000 ;
-      RECT 3.305000 1.600000 3.595000 1.645000 ;
-      RECT 4.225000 0.735000 4.515000 0.780000 ;
-      RECT 4.225000 0.780000 7.275000 0.920000 ;
-      RECT 4.225000 0.920000 4.515000 0.965000 ;
-      RECT 4.685000 0.395000 4.975000 0.440000 ;
-      RECT 4.685000 0.440000 7.735000 0.580000 ;
-      RECT 4.685000 0.580000 4.975000 0.625000 ;
-      RECT 5.605000 0.735000 5.895000 0.780000 ;
-      RECT 5.605000 0.920000 5.895000 0.965000 ;
-      RECT 5.605000 1.415000 5.895000 1.460000 ;
-      RECT 5.605000 1.600000 5.895000 1.645000 ;
-      RECT 6.985000 0.735000 7.275000 0.780000 ;
-      RECT 6.985000 0.920000 7.275000 0.965000 ;
-      RECT 7.445000 0.395000 7.735000 0.440000 ;
-      RECT 7.445000 0.580000 7.735000 0.625000 ;
-  END
-END sky130_fd_sc_hd__xor3_1
-MACRO sky130_fd_sc_hd__xor3_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__xor3_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  10.12000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.246000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 8.525000 1.075000 8.935000 1.325000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.661500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.705000 0.995000 7.875000 1.445000 ;
-        RECT 7.705000 1.445000 8.285000 1.615000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.381000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.880000 0.995000 3.515000 1.325000 ;
-    END
-  END C
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.595000 0.350000 0.765000 0.660000 ;
-        RECT 0.595000 0.660000 1.605000 0.830000 ;
-        RECT 0.595000 0.830000 1.535000 0.925000 ;
-        RECT 0.695000 1.440000 1.420000 1.455000 ;
-        RECT 0.695000 1.455000 1.705000 2.045000 ;
-        RECT 0.695000 2.045000 0.865000 2.465000 ;
-        RECT 1.105000 0.925000 1.420000 1.440000 ;
-        RECT 1.435000 0.350000 1.605000 0.660000 ;
-        RECT 1.535000 2.045000 1.705000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER li1 ;
-        RECT 0.000000 -0.085000 10.120000 0.085000 ;
-        RECT 0.175000  0.085000  0.345000 0.545000 ;
-        RECT 0.935000  0.085000  1.265000 0.465000 ;
-        RECT 1.855000  0.085000  2.025000 0.525000 ;
-        RECT 4.950000  0.085000  5.120000 0.885000 ;
-        RECT 8.995000  0.085000  9.165000 0.565000 ;
-      LAYER mcon ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-        RECT 0.605000 -0.085000 0.775000 0.085000 ;
-        RECT 1.065000 -0.085000 1.235000 0.085000 ;
-        RECT 1.525000 -0.085000 1.695000 0.085000 ;
-        RECT 1.985000 -0.085000 2.155000 0.085000 ;
-        RECT 2.445000 -0.085000 2.615000 0.085000 ;
-        RECT 2.905000 -0.085000 3.075000 0.085000 ;
-        RECT 3.365000 -0.085000 3.535000 0.085000 ;
-        RECT 3.825000 -0.085000 3.995000 0.085000 ;
-        RECT 4.285000 -0.085000 4.455000 0.085000 ;
-        RECT 4.745000 -0.085000 4.915000 0.085000 ;
-        RECT 5.205000 -0.085000 5.375000 0.085000 ;
-        RECT 5.665000 -0.085000 5.835000 0.085000 ;
-        RECT 6.125000 -0.085000 6.295000 0.085000 ;
-        RECT 6.585000 -0.085000 6.755000 0.085000 ;
-        RECT 7.045000 -0.085000 7.215000 0.085000 ;
-        RECT 7.505000 -0.085000 7.675000 0.085000 ;
-        RECT 7.965000 -0.085000 8.135000 0.085000 ;
-        RECT 8.425000 -0.085000 8.595000 0.085000 ;
-        RECT 8.885000 -0.085000 9.055000 0.085000 ;
-        RECT 9.345000 -0.085000 9.515000 0.085000 ;
-        RECT 9.805000 -0.085000 9.975000 0.085000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 10.120000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.235000 -0.085000 0.405000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 10.310000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 0.000000 2.635000 10.120000 2.805000 ;
-        RECT 0.275000 2.135000  0.445000 2.635000 ;
-        RECT 1.035000 2.215000  1.365000 2.635000 ;
-        RECT 1.875000 2.215000  2.205000 2.635000 ;
-        RECT 4.705000 2.235000  5.035000 2.635000 ;
-        RECT 8.915000 2.275000  9.245000 2.635000 ;
-      LAYER mcon ;
-        RECT 0.145000 2.635000 0.315000 2.805000 ;
-        RECT 0.605000 2.635000 0.775000 2.805000 ;
-        RECT 1.065000 2.635000 1.235000 2.805000 ;
-        RECT 1.525000 2.635000 1.695000 2.805000 ;
-        RECT 1.985000 2.635000 2.155000 2.805000 ;
-        RECT 2.445000 2.635000 2.615000 2.805000 ;
-        RECT 2.905000 2.635000 3.075000 2.805000 ;
-        RECT 3.365000 2.635000 3.535000 2.805000 ;
-        RECT 3.825000 2.635000 3.995000 2.805000 ;
-        RECT 4.285000 2.635000 4.455000 2.805000 ;
-        RECT 4.745000 2.635000 4.915000 2.805000 ;
-        RECT 5.205000 2.635000 5.375000 2.805000 ;
-        RECT 5.665000 2.635000 5.835000 2.805000 ;
-        RECT 6.125000 2.635000 6.295000 2.805000 ;
-        RECT 6.585000 2.635000 6.755000 2.805000 ;
-        RECT 7.045000 2.635000 7.215000 2.805000 ;
-        RECT 7.505000 2.635000 7.675000 2.805000 ;
-        RECT 7.965000 2.635000 8.135000 2.805000 ;
-        RECT 8.425000 2.635000 8.595000 2.805000 ;
-        RECT 8.885000 2.635000 9.055000 2.805000 ;
-        RECT 9.345000 2.635000 9.515000 2.805000 ;
-        RECT 9.805000 2.635000 9.975000 2.805000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 10.120000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 1.820000 0.965000 2.045000 1.325000 ;
-      RECT 1.875000 0.695000 2.365000 0.865000 ;
-      RECT 1.875000 0.865000 2.045000 0.965000 ;
-      RECT 1.875000 1.325000 2.045000 1.875000 ;
-      RECT 1.875000 1.875000 2.545000 2.045000 ;
-      RECT 2.195000 0.255000 3.760000 0.425000 ;
-      RECT 2.195000 0.425000 2.365000 0.695000 ;
-      RECT 2.370000 1.535000 3.855000 1.705000 ;
-      RECT 2.375000 2.045000 2.545000 2.235000 ;
-      RECT 2.375000 2.235000 3.915000 2.405000 ;
-      RECT 2.540000 0.595000 2.710000 1.535000 ;
-      RECT 2.890000 1.895000 4.195000 2.065000 ;
-      RECT 2.990000 0.655000 4.100000 0.825000 ;
-      RECT 3.410000 0.425000 3.760000 0.455000 ;
-      RECT 3.685000 0.995000 4.055000 1.325000 ;
-      RECT 3.685000 1.325000 3.855000 1.535000 ;
-      RECT 3.930000 0.255000 4.780000 0.425000 ;
-      RECT 3.930000 0.425000 4.100000 0.655000 ;
-      RECT 4.025000 1.525000 4.555000 1.695000 ;
-      RECT 4.025000 1.695000 4.195000 1.895000 ;
-      RECT 4.130000 2.235000 4.535000 2.405000 ;
-      RECT 4.270000 0.595000 4.440000 1.375000 ;
-      RECT 4.270000 1.375000 4.555000 1.525000 ;
-      RECT 4.365000 1.895000 5.540000 2.065000 ;
-      RECT 4.365000 2.065000 4.535000 2.235000 ;
-      RECT 4.610000 0.425000 4.780000 1.035000 ;
-      RECT 4.610000 1.035000 4.865000 1.040000 ;
-      RECT 4.610000 1.040000 4.880000 1.045000 ;
-      RECT 4.610000 1.045000 4.890000 1.050000 ;
-      RECT 4.610000 1.050000 4.895000 1.205000 ;
-      RECT 4.725000 1.205000 4.895000 1.895000 ;
-      RECT 5.125000 1.445000 5.540000 1.715000 ;
-      RECT 5.300000 0.415000 5.540000 1.445000 ;
-      RECT 5.370000 2.065000 5.540000 2.275000 ;
-      RECT 5.370000 2.275000 8.465000 2.445000 ;
-      RECT 5.715000 0.265000 6.130000 0.485000 ;
-      RECT 5.715000 0.485000 5.935000 0.595000 ;
-      RECT 5.715000 0.595000 5.885000 2.105000 ;
-      RECT 6.075000 0.720000 6.470000 0.825000 ;
-      RECT 6.075000 0.825000 6.275000 0.890000 ;
-      RECT 6.075000 0.890000 6.245000 2.275000 ;
-      RECT 6.105000 0.655000 6.470000 0.720000 ;
-      RECT 6.300000 0.320000 6.470000 0.655000 ;
-      RECT 6.415000 1.445000 7.195000 1.615000 ;
-      RECT 6.415000 1.615000 6.830000 2.045000 ;
-      RECT 6.430000 0.995000 6.855000 1.270000 ;
-      RECT 6.640000 0.630000 6.855000 0.995000 ;
-      RECT 7.025000 0.255000 8.170000 0.425000 ;
-      RECT 7.025000 0.425000 7.195000 1.445000 ;
-      RECT 7.365000 0.595000 7.535000 1.935000 ;
-      RECT 7.365000 1.935000 9.675000 2.105000 ;
-      RECT 7.705000 0.425000 8.170000 0.465000 ;
-      RECT 8.045000 0.730000 8.250000 0.945000 ;
-      RECT 8.045000 0.945000 8.355000 1.275000 ;
-      RECT 8.455000 1.495000 9.275000 1.705000 ;
-      RECT 8.495000 0.295000 8.785000 0.735000 ;
-      RECT 8.495000 0.735000 9.275000 0.750000 ;
-      RECT 8.535000 0.750000 9.275000 0.905000 ;
-      RECT 9.105000 0.905000 9.275000 0.995000 ;
-      RECT 9.105000 0.995000 9.335000 1.325000 ;
-      RECT 9.105000 1.325000 9.275000 1.495000 ;
-      RECT 9.190000 1.875000 9.675000 1.935000 ;
-      RECT 9.415000 0.255000 9.675000 0.585000 ;
-      RECT 9.415000 2.105000 9.675000 2.465000 ;
-      RECT 9.505000 0.585000 9.675000 1.875000 ;
-    LAYER mcon ;
-      RECT 4.385000 1.445000 4.555000 1.615000 ;
-      RECT 5.305000 0.765000 5.475000 0.935000 ;
-      RECT 5.765000 0.425000 5.935000 0.595000 ;
-      RECT 6.685000 0.765000 6.855000 0.935000 ;
-      RECT 6.685000 1.445000 6.855000 1.615000 ;
-      RECT 8.065000 0.765000 8.235000 0.935000 ;
-      RECT 8.525000 0.425000 8.695000 0.595000 ;
-    LAYER met1 ;
-      RECT 4.325000 1.415000 4.615000 1.460000 ;
-      RECT 4.325000 1.460000 6.915000 1.600000 ;
-      RECT 4.325000 1.600000 4.615000 1.645000 ;
-      RECT 5.245000 0.735000 5.535000 0.780000 ;
-      RECT 5.245000 0.780000 8.295000 0.920000 ;
-      RECT 5.245000 0.920000 5.535000 0.965000 ;
-      RECT 5.705000 0.395000 5.995000 0.440000 ;
-      RECT 5.705000 0.440000 8.755000 0.580000 ;
-      RECT 5.705000 0.580000 5.995000 0.625000 ;
-      RECT 6.625000 0.735000 6.915000 0.780000 ;
-      RECT 6.625000 0.920000 6.915000 0.965000 ;
-      RECT 6.625000 1.415000 6.915000 1.460000 ;
-      RECT 6.625000 1.600000 6.915000 1.645000 ;
-      RECT 8.005000 0.735000 8.295000 0.780000 ;
-      RECT 8.005000 0.920000 8.295000 0.965000 ;
-      RECT 8.465000 0.395000 8.755000 0.440000 ;
-      RECT 8.465000 0.580000 8.755000 0.625000 ;
-  END
-END sky130_fd_sc_hd__xor3_4
-MACRO sky130_fd_sc_hd__xor3_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__xor3_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.200000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.246000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.965000 1.075000 8.375000 1.325000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.661500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.145000 0.995000 7.315000 1.445000 ;
-        RECT 7.145000 1.445000 7.725000 1.615000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.381000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.320000 0.995000 2.955000 1.325000 ;
-    END
-  END C
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.545000 0.660000 1.050000 0.925000 ;
-        RECT 0.545000 0.925000 0.860000 1.440000 ;
-        RECT 0.545000 1.440000 1.070000 2.045000 ;
-        RECT 0.800000 0.350000 1.050000 0.660000 ;
-        RECT 0.820000 2.045000 1.070000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.200000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.390000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.200000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.200000 0.085000 ;
-      RECT 0.000000  2.635000 9.200000 2.805000 ;
-      RECT 0.300000  0.085000 0.630000 0.465000 ;
-      RECT 0.300000  2.215000 0.650000 2.635000 ;
-      RECT 1.210000  0.995000 1.410000 1.325000 ;
-      RECT 1.220000  0.085000 1.470000 0.525000 ;
-      RECT 1.240000  0.695000 1.810000 0.865000 ;
-      RECT 1.240000  0.865000 1.410000 0.995000 ;
-      RECT 1.240000  1.325000 1.410000 1.875000 ;
-      RECT 1.240000  1.875000 1.930000 2.045000 ;
-      RECT 1.240000  2.215000 1.575000 2.635000 ;
-      RECT 1.640000  0.255000 3.200000 0.425000 ;
-      RECT 1.640000  0.425000 1.810000 0.695000 ;
-      RECT 1.645000  1.535000 3.295000 1.705000 ;
-      RECT 1.760000  2.045000 1.930000 2.235000 ;
-      RECT 1.760000  2.235000 3.355000 2.405000 ;
-      RECT 1.980000  0.595000 2.150000 1.535000 ;
-      RECT 2.330000  1.895000 3.635000 2.065000 ;
-      RECT 2.430000  0.655000 3.540000 0.825000 ;
-      RECT 2.850000  0.425000 3.200000 0.455000 ;
-      RECT 3.125000  0.995000 3.400000 1.325000 ;
-      RECT 3.125000  1.325000 3.295000 1.535000 ;
-      RECT 3.370000  0.255000 4.220000 0.425000 ;
-      RECT 3.370000  0.425000 3.540000 0.655000 ;
-      RECT 3.465000  1.525000 3.995000 1.695000 ;
-      RECT 3.465000  1.695000 3.635000 1.895000 ;
-      RECT 3.570000  2.235000 3.975000 2.405000 ;
-      RECT 3.710000  0.595000 3.880000 1.375000 ;
-      RECT 3.710000  1.375000 3.995000 1.525000 ;
-      RECT 3.805000  1.895000 4.980000 2.065000 ;
-      RECT 3.805000  2.065000 3.975000 2.235000 ;
-      RECT 4.050000  0.425000 4.220000 1.035000 ;
-      RECT 4.050000  1.035000 4.335000 1.205000 ;
-      RECT 4.145000  2.235000 4.475000 2.635000 ;
-      RECT 4.165000  1.205000 4.335000 1.895000 ;
-      RECT 4.390000  0.085000 4.560000 0.865000 ;
-      RECT 4.565000  1.445000 4.980000 1.715000 ;
-      RECT 4.740000  0.415000 4.980000 1.445000 ;
-      RECT 4.810000  2.065000 4.980000 2.275000 ;
-      RECT 4.810000  2.275000 7.905000 2.445000 ;
-      RECT 5.155000  0.265000 5.570000 0.485000 ;
-      RECT 5.155000  0.485000 5.375000 0.595000 ;
-      RECT 5.155000  0.595000 5.325000 2.105000 ;
-      RECT 5.495000  0.720000 5.910000 0.825000 ;
-      RECT 5.495000  0.825000 5.715000 0.890000 ;
-      RECT 5.495000  0.890000 5.665000 2.275000 ;
-      RECT 5.545000  0.655000 5.910000 0.720000 ;
-      RECT 5.740000  0.320000 5.910000 0.655000 ;
-      RECT 5.855000  1.445000 6.635000 1.615000 ;
-      RECT 5.855000  1.615000 6.270000 2.045000 ;
-      RECT 5.870000  0.995000 6.295000 1.270000 ;
-      RECT 6.080000  0.630000 6.295000 0.995000 ;
-      RECT 6.465000  0.255000 7.610000 0.425000 ;
-      RECT 6.465000  0.425000 6.635000 1.445000 ;
-      RECT 6.805000  0.595000 6.975000 1.935000 ;
-      RECT 6.805000  1.935000 9.115000 2.105000 ;
-      RECT 7.145000  0.425000 7.610000 0.465000 ;
-      RECT 7.485000  0.730000 7.690000 0.945000 ;
-      RECT 7.485000  0.945000 7.795000 1.275000 ;
-      RECT 7.895000  1.495000 8.715000 1.705000 ;
-      RECT 7.935000  0.295000 8.225000 0.735000 ;
-      RECT 7.935000  0.735000 8.715000 0.750000 ;
-      RECT 7.975000  0.750000 8.715000 0.905000 ;
-      RECT 8.315000  2.275000 8.650000 2.635000 ;
-      RECT 8.395000  0.085000 8.565000 0.565000 ;
-      RECT 8.545000  0.905000 8.715000 0.995000 ;
-      RECT 8.545000  0.995000 8.775000 1.325000 ;
-      RECT 8.545000  1.325000 8.715000 1.495000 ;
-      RECT 8.630000  1.875000 9.115000 1.935000 ;
-      RECT 8.815000  0.255000 9.115000 0.585000 ;
-      RECT 8.820000  2.105000 9.115000 2.465000 ;
-      RECT 8.945000  0.585000 9.115000 1.875000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  1.445000 3.995000 1.615000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  0.765000 4.915000 0.935000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  0.425000 5.375000 0.595000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  0.765000 6.295000 0.935000 ;
-      RECT 6.125000  1.445000 6.295000 1.615000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  0.765000 7.675000 0.935000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  0.425000 8.135000 0.595000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-    LAYER met1 ;
-      RECT 3.765000 1.415000 4.055000 1.460000 ;
-      RECT 3.765000 1.460000 6.355000 1.600000 ;
-      RECT 3.765000 1.600000 4.055000 1.645000 ;
-      RECT 4.685000 0.735000 4.975000 0.780000 ;
-      RECT 4.685000 0.780000 7.735000 0.920000 ;
-      RECT 4.685000 0.920000 4.975000 0.965000 ;
-      RECT 5.145000 0.395000 5.435000 0.440000 ;
-      RECT 5.145000 0.440000 8.195000 0.580000 ;
-      RECT 5.145000 0.580000 5.435000 0.625000 ;
-      RECT 6.065000 0.735000 6.355000 0.780000 ;
-      RECT 6.065000 0.920000 6.355000 0.965000 ;
-      RECT 6.065000 1.415000 6.355000 1.460000 ;
-      RECT 6.065000 1.600000 6.355000 1.645000 ;
-      RECT 7.445000 0.735000 7.735000 0.780000 ;
-      RECT 7.445000 0.920000 7.735000 0.965000 ;
-      RECT 7.905000 0.395000 8.195000 0.440000 ;
-      RECT 7.905000 0.580000 8.195000 0.625000 ;
-  END
-END sky130_fd_sc_hd__xor3_2
-MACRO sky130_fd_sc_hd__o221a_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o221a_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.635000 1.075000 3.075000 1.285000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.980000 1.075000 2.465000 1.285000 ;
-        RECT 1.980000 1.285000 2.285000 1.705000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.885000 1.075000 1.230000 1.275000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.400000 1.075000 1.790000 1.275000 ;
-        RECT 1.500000 1.275000 1.790000 1.705000 ;
-    END
-  END B2
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.975000 0.345000 1.325000 ;
-    END
-  END C1
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.295000 0.265000 3.625000 0.735000 ;
-        RECT 3.295000 0.735000 4.055000 0.905000 ;
-        RECT 3.295000 1.875000 4.055000 2.045000 ;
-        RECT 3.295000 2.045000 3.545000 2.465000 ;
-        RECT 3.745000 0.905000 4.055000 1.875000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.120000 -0.085000 0.290000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.170000  0.255000 0.500000 0.635000 ;
-      RECT 0.170000  0.635000 0.715000 0.805000 ;
-      RECT 0.250000  1.495000 1.330000 1.670000 ;
-      RECT 0.250000  1.670000 0.580000 2.465000 ;
-      RECT 0.545000  0.805000 0.715000 1.445000 ;
-      RECT 0.545000  1.445000 1.330000 1.495000 ;
-      RECT 0.670000  0.295000 1.855000 0.465000 ;
-      RECT 0.750000  1.850000 0.990000 2.635000 ;
-      RECT 1.085000  0.645000 1.470000 0.735000 ;
-      RECT 1.085000  0.735000 2.785000 0.905000 ;
-      RECT 1.160000  1.670000 1.330000 1.875000 ;
-      RECT 1.160000  1.875000 2.625000 2.045000 ;
-      RECT 1.550000  2.045000 2.305000 2.465000 ;
-      RECT 2.115000  0.085000 2.285000 0.555000 ;
-      RECT 2.455000  0.270000 2.785000 0.735000 ;
-      RECT 2.455000  1.455000 3.415000 1.625000 ;
-      RECT 2.455000  1.625000 2.625000 1.875000 ;
-      RECT 2.795000  1.795000 3.125000 2.635000 ;
-      RECT 2.955000  0.085000 3.125000 0.905000 ;
-      RECT 3.245000  1.075000 3.575000 1.285000 ;
-      RECT 3.245000  1.285000 3.415000 1.455000 ;
-      RECT 3.715000  2.215000 4.055000 2.635000 ;
-      RECT 3.795000  0.085000 3.965000 0.565000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o221a_2
-MACRO sky130_fd_sc_hd__o221a_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o221a_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.360000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.005000 1.075000 3.605000 1.445000 ;
-        RECT 3.005000 1.445000 4.775000 1.615000 ;
-        RECT 4.525000 1.075000 5.035000 1.275000 ;
-        RECT 4.525000 1.275000 4.775000 1.445000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.775000 1.075000 4.355000 1.275000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.025000 1.075000 1.520000 1.445000 ;
-        RECT 1.025000 1.445000 2.745000 1.615000 ;
-        RECT 2.415000 1.075000 2.745000 1.445000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.690000 1.075000 2.245000 1.275000 ;
-    END
-  END B2
-  PIN C1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 1.075000 0.440000 1.275000 ;
-    END
-  END C1
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.235000 0.255000 5.565000 0.725000 ;
-        RECT 5.235000 0.725000 6.405000 0.735000 ;
-        RECT 5.235000 0.735000 6.920000 0.905000 ;
-        RECT 5.315000 1.785000 5.900000 1.955000 ;
-        RECT 5.315000 1.955000 5.525000 2.465000 ;
-        RECT 5.730000 1.445000 6.920000 1.615000 ;
-        RECT 5.730000 1.615000 5.900000 1.785000 ;
-        RECT 6.075000 0.255000 6.405000 0.725000 ;
-        RECT 6.115000 1.615000 6.365000 2.465000 ;
-        RECT 6.575000 0.905000 6.920000 1.445000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.360000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.550000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.360000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.360000 0.085000 ;
-      RECT 0.000000  2.635000 7.360000 2.805000 ;
-      RECT 0.085000  0.255000 2.955000 0.475000 ;
-      RECT 0.085000  0.475000 0.345000 0.895000 ;
-      RECT 0.145000  1.455000 0.395000 2.635000 ;
-      RECT 0.515000  0.645000 0.845000 0.865000 ;
-      RECT 0.565000  1.445000 0.845000 1.785000 ;
-      RECT 0.565000  1.785000 5.145000 1.955000 ;
-      RECT 0.565000  1.955000 0.815000 2.465000 ;
-      RECT 0.610000  0.865000 0.845000 1.445000 ;
-      RECT 0.985000  2.125000 1.235000 2.635000 ;
-      RECT 1.015000  0.475000 1.185000 0.905000 ;
-      RECT 1.355000  0.645000 2.535000 0.715000 ;
-      RECT 1.355000  0.715000 3.885000 0.725000 ;
-      RECT 1.355000  0.725000 4.725000 0.905000 ;
-      RECT 1.405000  2.125000 1.655000 2.295000 ;
-      RECT 1.405000  2.295000 2.495000 2.465000 ;
-      RECT 1.825000  1.955000 2.075000 2.125000 ;
-      RECT 2.245000  2.125000 2.495000 2.295000 ;
-      RECT 2.665000  2.125000 3.425000 2.635000 ;
-      RECT 3.145000  0.085000 3.385000 0.545000 ;
-      RECT 3.555000  0.255000 3.885000 0.715000 ;
-      RECT 3.595000  2.125000 3.845000 2.295000 ;
-      RECT 3.595000  2.295000 4.685000 2.465000 ;
-      RECT 4.015000  1.955000 4.265000 2.125000 ;
-      RECT 4.055000  0.085000 4.225000 0.555000 ;
-      RECT 4.395000  0.255000 4.725000 0.725000 ;
-      RECT 4.435000  2.125000 4.685000 2.295000 ;
-      RECT 4.855000  2.125000 5.105000 2.635000 ;
-      RECT 4.895000  0.085000 5.065000 0.905000 ;
-      RECT 4.975000  1.445000 5.375000 1.615000 ;
-      RECT 4.975000  1.615000 5.145000 1.785000 ;
-      RECT 5.205000  1.075000 6.405000 1.275000 ;
-      RECT 5.205000  1.275000 5.375000 1.445000 ;
-      RECT 5.695000  2.125000 5.945000 2.635000 ;
-      RECT 5.735000  0.085000 5.905000 0.555000 ;
-      RECT 6.535000  1.795000 6.785000 2.635000 ;
-      RECT 6.575000  0.085000 6.830000 0.565000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o221a_4
-MACRO sky130_fd_sc_hd__o221a_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o221a_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.680000 1.075000 3.130000 1.285000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.005000 1.075000 2.490000 1.285000 ;
-        RECT 2.005000 1.285000 2.380000 1.705000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.925000 1.075000 1.255000 1.285000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.435000 1.075000 1.815000 1.325000 ;
-        RECT 1.495000 1.325000 1.815000 1.705000 ;
-    END
-  END B2
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.415000 1.285000 ;
-    END
-  END C1
-  PIN X
-    ANTENNADIFFAREA  0.462000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.370000 0.265000 4.055000 0.905000 ;
-        RECT 3.390000 1.875000 4.055000 2.465000 ;
-        RECT 3.805000 0.905000 4.055000 1.875000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.240000  1.455000 1.325000 1.625000 ;
-      RECT 0.240000  1.625000 0.540000 2.465000 ;
-      RECT 0.245000  0.255000 0.575000 0.645000 ;
-      RECT 0.245000  0.645000 0.755000 0.825000 ;
-      RECT 0.585000  0.825000 0.755000 1.455000 ;
-      RECT 0.735000  1.795000 0.985000 2.635000 ;
-      RECT 0.745000  0.305000 1.930000 0.475000 ;
-      RECT 1.155000  1.625000 1.325000 1.875000 ;
-      RECT 1.155000  1.875000 2.720000 2.045000 ;
-      RECT 1.160000  0.645000 1.545000 0.735000 ;
-      RECT 1.160000  0.735000 2.860000 0.905000 ;
-      RECT 1.575000  2.045000 2.380000 2.465000 ;
-      RECT 2.190000  0.085000 2.360000 0.555000 ;
-      RECT 2.530000  0.270000 2.860000 0.735000 ;
-      RECT 2.550000  1.455000 3.470000 1.625000 ;
-      RECT 2.550000  1.625000 2.720000 1.875000 ;
-      RECT 2.890000  1.795000 3.220000 2.635000 ;
-      RECT 3.030000  0.085000 3.200000 0.905000 ;
-      RECT 3.300000  1.075000 3.635000 1.285000 ;
-      RECT 3.300000  1.285000 3.470000 1.455000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o221a_1
-MACRO sky130_fd_sc_hd__mux4_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__mux4_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.200000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A0
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.540000 0.375000 6.850000 0.995000 ;
-        RECT 6.540000 0.995000 6.950000 1.075000 ;
-        RECT 6.640000 1.075000 6.950000 1.325000 ;
-    END
-  END A0
-  PIN A1
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.750000 0.715000 5.120000 1.395000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.840000 0.765000 1.240000 1.095000 ;
-        RECT 1.025000 0.395000 1.240000 0.765000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.245000 0.715000 2.620000 1.015000 ;
-        RECT 2.415000 1.015000 2.620000 1.320000 ;
-    END
-  END A3
-  PIN S0
-    ANTENNAGATEAREA  0.393000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.150000 0.975000 0.330000 1.745000 ;
-      LAYER mcon ;
-        RECT 0.150000 1.445000 0.320000 1.615000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 1.010000 1.445000 1.395000 1.615000 ;
-        RECT 1.225000 1.285000 1.395000 1.445000 ;
-      LAYER mcon ;
-        RECT 1.070000 1.445000 1.240000 1.615000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 6.130000 1.245000 6.470000 1.645000 ;
-      LAYER mcon ;
-        RECT 6.130000 1.445000 6.300000 1.615000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.085000 1.415000 0.380000 1.460000 ;
-        RECT 0.085000 1.460000 6.360000 1.600000 ;
-        RECT 0.085000 1.600000 0.380000 1.645000 ;
-        RECT 1.010000 1.415000 1.300000 1.460000 ;
-        RECT 1.010000 1.600000 1.300000 1.645000 ;
-        RECT 6.070000 1.415000 6.360000 1.460000 ;
-        RECT 6.070000 1.600000 6.360000 1.645000 ;
-    END
-  END S0
-  PIN S1
-    ANTENNAGATEAREA  0.303000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.790000 0.715000 3.080000 1.320000 ;
-    END
-  END S1
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.360000 1.835000 7.770000 2.455000 ;
-        RECT 7.440000 0.265000 7.770000 0.725000 ;
-        RECT 7.460000 1.495000 7.770000 1.835000 ;
-        RECT 7.600000 0.725000 7.770000 1.065000 ;
-        RECT 7.600000 1.065000 8.685000 1.305000 ;
-        RECT 7.600000 1.305000 7.770000 1.495000 ;
-        RECT 8.360000 0.265000 8.685000 1.065000 ;
-        RECT 8.360000 1.305000 8.685000 2.455000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.200000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.390000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.200000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.200000 0.085000 ;
-      RECT 0.000000  2.635000 9.200000 2.805000 ;
-      RECT 0.135000  0.345000 0.345000 0.635000 ;
-      RECT 0.135000  0.635000 0.670000 0.805000 ;
-      RECT 0.135000  1.915000 1.905000 1.955000 ;
-      RECT 0.135000  1.955000 0.670000 2.085000 ;
-      RECT 0.135000  2.085000 0.345000 2.375000 ;
-      RECT 0.500000  0.805000 0.670000 1.785000 ;
-      RECT 0.500000  1.785000 1.905000 1.915000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.255000 0.845000 2.635000 ;
-      RECT 1.410000  0.705000 1.735000 1.035000 ;
-      RECT 1.415000  2.125000 2.245000 2.295000 ;
-      RECT 1.475000  0.365000 2.075000 0.535000 ;
-      RECT 1.565000  1.035000 1.735000 1.575000 ;
-      RECT 1.565000  1.575000 1.905000 1.785000 ;
-      RECT 1.905000  0.535000 2.075000 1.235000 ;
-      RECT 1.905000  1.235000 2.245000 1.405000 ;
-      RECT 2.075000  1.405000 2.245000 2.125000 ;
-      RECT 2.455000  0.085000 2.785000 0.545000 ;
-      RECT 2.600000  2.055000 2.830000 2.635000 ;
-      RECT 2.975000  1.785000 3.320000 1.955000 ;
-      RECT 2.990000  0.295000 3.420000 0.465000 ;
-      RECT 3.150000  1.490000 3.420000 1.660000 ;
-      RECT 3.150000  1.660000 3.320000 1.785000 ;
-      RECT 3.250000  0.465000 3.420000 1.060000 ;
-      RECT 3.250000  1.060000 3.485000 1.390000 ;
-      RECT 3.250000  1.390000 3.420000 1.490000 ;
-      RECT 3.310000  2.125000 3.825000 2.295000 ;
-      RECT 3.575000  1.810000 3.825000 2.125000 ;
-      RECT 3.590000  0.345000 3.825000 0.675000 ;
-      RECT 3.655000  0.675000 3.825000 1.810000 ;
-      RECT 3.995000  0.345000 4.185000 2.125000 ;
-      RECT 3.995000  2.125000 4.520000 2.295000 ;
-      RECT 4.400000  0.255000 4.605000 0.585000 ;
-      RECT 4.400000  0.585000 4.570000 1.565000 ;
-      RECT 4.400000  1.565000 5.500000 1.735000 ;
-      RECT 4.400000  1.735000 4.590000 1.895000 ;
-      RECT 4.760000  2.005000 5.105000 2.635000 ;
-      RECT 4.800000  0.085000 5.130000 0.545000 ;
-      RECT 5.330000  0.295000 6.225000 0.465000 ;
-      RECT 5.330000  0.465000 5.500000 1.565000 ;
-      RECT 5.330000  1.735000 5.500000 2.155000 ;
-      RECT 5.330000  2.155000 6.280000 2.325000 ;
-      RECT 5.670000  0.705000 6.290000 1.035000 ;
-      RECT 5.670000  1.035000 5.960000 1.985000 ;
-      RECT 6.530000  2.125000 6.850000 2.295000 ;
-      RECT 6.680000  1.495000 7.290000 1.665000 ;
-      RECT 6.680000  1.665000 6.850000 2.125000 ;
-      RECT 7.020000  0.085000 7.270000 0.815000 ;
-      RECT 7.020000  1.835000 7.190000 2.635000 ;
-      RECT 7.120000  0.995000 7.430000 1.325000 ;
-      RECT 7.120000  1.325000 7.290000 1.495000 ;
-      RECT 7.940000  0.085000 8.190000 0.885000 ;
-      RECT 7.940000  1.495000 8.190000 2.635000 ;
-      RECT 8.855000  0.085000 9.105000 0.885000 ;
-      RECT 8.855000  1.495000 9.105000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.530000  1.785000 1.700000 1.955000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 1.990000  2.125000 2.160000 2.295000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.370000  2.125000 3.540000 2.295000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.290000  2.125000 4.460000 2.295000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 5.670000  1.785000 5.840000 1.955000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 6.590000  2.125000 6.760000 2.295000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-    LAYER met1 ;
-      RECT 1.470000 1.755000 1.760000 1.800000 ;
-      RECT 1.470000 1.800000 5.900000 1.940000 ;
-      RECT 1.470000 1.940000 1.760000 1.985000 ;
-      RECT 1.930000 2.095000 2.220000 2.140000 ;
-      RECT 1.930000 2.140000 3.600000 2.280000 ;
-      RECT 1.930000 2.280000 2.220000 2.325000 ;
-      RECT 3.310000 2.095000 3.600000 2.140000 ;
-      RECT 3.310000 2.280000 3.600000 2.325000 ;
-      RECT 4.230000 2.095000 4.520000 2.140000 ;
-      RECT 4.230000 2.140000 6.820000 2.280000 ;
-      RECT 4.230000 2.280000 4.520000 2.325000 ;
-      RECT 5.610000 1.755000 5.900000 1.800000 ;
-      RECT 5.610000 1.940000 5.900000 1.985000 ;
-      RECT 6.530000 2.095000 6.820000 2.140000 ;
-      RECT 6.530000 2.280000 6.820000 2.325000 ;
-  END
-END sky130_fd_sc_hd__mux4_4
-MACRO sky130_fd_sc_hd__mux4_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__mux4_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  8.280000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A0
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.535000 0.375000 6.845000 0.995000 ;
-        RECT 6.535000 0.995000 6.945000 1.075000 ;
-        RECT 6.635000 1.075000 6.945000 1.325000 ;
-    END
-  END A0
-  PIN A1
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.745000 0.715000 5.115000 1.395000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.835000 0.765000 1.235000 1.095000 ;
-        RECT 1.020000 0.395000 1.235000 0.765000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.240000 0.715000 2.615000 1.015000 ;
-        RECT 2.410000 1.015000 2.615000 1.320000 ;
-    END
-  END A3
-  PIN S0
-    ANTENNAGATEAREA  0.393000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.145000 0.975000 0.325000 1.745000 ;
-      LAYER mcon ;
-        RECT 0.145000 1.445000 0.315000 1.615000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 1.005000 1.445000 1.390000 1.615000 ;
-        RECT 1.220000 1.285000 1.390000 1.445000 ;
-      LAYER mcon ;
-        RECT 1.065000 1.445000 1.235000 1.615000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 6.125000 1.245000 6.465000 1.645000 ;
-      LAYER mcon ;
-        RECT 6.125000 1.445000 6.295000 1.615000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.085000 1.415000 0.375000 1.460000 ;
-        RECT 0.085000 1.460000 6.355000 1.600000 ;
-        RECT 0.085000 1.600000 0.375000 1.645000 ;
-        RECT 1.005000 1.415000 1.295000 1.460000 ;
-        RECT 1.005000 1.600000 1.295000 1.645000 ;
-        RECT 6.065000 1.415000 6.355000 1.460000 ;
-        RECT 6.065000 1.600000 6.355000 1.645000 ;
-    END
-  END S0
-  PIN S1
-    ANTENNAGATEAREA  0.303000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.785000 0.715000 3.075000 1.320000 ;
-    END
-  END S1
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.355000 1.835000 7.765000 2.455000 ;
-        RECT 7.435000 0.265000 7.765000 0.725000 ;
-        RECT 7.455000 1.495000 7.765000 1.835000 ;
-        RECT 7.595000 0.725000 7.765000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 8.280000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.470000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 8.280000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 8.280000 0.085000 ;
-      RECT 0.000000  2.635000 8.280000 2.805000 ;
-      RECT 0.170000  0.345000 0.345000 0.635000 ;
-      RECT 0.170000  0.635000 0.665000 0.805000 ;
-      RECT 0.175000  1.915000 1.900000 1.955000 ;
-      RECT 0.175000  1.955000 0.665000 2.085000 ;
-      RECT 0.175000  2.085000 0.345000 2.375000 ;
-      RECT 0.495000  0.805000 0.665000 1.785000 ;
-      RECT 0.495000  1.785000 1.900000 1.915000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.255000 0.845000 2.635000 ;
-      RECT 1.405000  0.705000 1.730000 1.035000 ;
-      RECT 1.410000  2.125000 2.240000 2.295000 ;
-      RECT 1.470000  0.365000 2.070000 0.535000 ;
-      RECT 1.560000  1.035000 1.730000 1.575000 ;
-      RECT 1.560000  1.575000 1.900000 1.785000 ;
-      RECT 1.900000  0.535000 2.070000 1.235000 ;
-      RECT 1.900000  1.235000 2.240000 1.405000 ;
-      RECT 2.070000  1.405000 2.240000 2.125000 ;
-      RECT 2.450000  0.085000 2.780000 0.545000 ;
-      RECT 2.595000  2.055000 2.825000 2.635000 ;
-      RECT 2.970000  1.785000 3.315000 1.955000 ;
-      RECT 2.985000  0.295000 3.415000 0.465000 ;
-      RECT 3.145000  1.490000 3.415000 1.660000 ;
-      RECT 3.145000  1.660000 3.315000 1.785000 ;
-      RECT 3.245000  0.465000 3.415000 1.060000 ;
-      RECT 3.245000  1.060000 3.480000 1.390000 ;
-      RECT 3.245000  1.390000 3.415000 1.490000 ;
-      RECT 3.305000  2.125000 3.820000 2.295000 ;
-      RECT 3.565000  1.810000 3.820000 2.125000 ;
-      RECT 3.585000  0.345000 3.820000 0.675000 ;
-      RECT 3.650000  0.675000 3.820000 1.810000 ;
-      RECT 3.990000  0.345000 4.180000 2.125000 ;
-      RECT 3.990000  2.125000 4.515000 2.295000 ;
-      RECT 4.395000  0.255000 4.600000 0.585000 ;
-      RECT 4.395000  0.585000 4.565000 1.565000 ;
-      RECT 4.395000  1.565000 5.495000 1.735000 ;
-      RECT 4.395000  1.735000 4.585000 1.895000 ;
-      RECT 4.755000  2.005000 5.100000 2.635000 ;
-      RECT 4.795000  0.085000 5.125000 0.545000 ;
-      RECT 5.325000  0.295000 6.220000 0.465000 ;
-      RECT 5.325000  0.465000 5.495000 1.565000 ;
-      RECT 5.325000  1.735000 5.495000 2.155000 ;
-      RECT 5.325000  2.155000 6.275000 2.325000 ;
-      RECT 5.665000  0.705000 6.285000 1.035000 ;
-      RECT 5.665000  1.035000 5.955000 1.985000 ;
-      RECT 6.525000  2.125000 6.845000 2.295000 ;
-      RECT 6.675000  1.495000 7.285000 1.665000 ;
-      RECT 6.675000  1.665000 6.845000 2.125000 ;
-      RECT 7.015000  0.085000 7.265000 0.815000 ;
-      RECT 7.015000  1.835000 7.185000 2.635000 ;
-      RECT 7.115000  0.995000 7.425000 1.325000 ;
-      RECT 7.115000  1.325000 7.285000 1.495000 ;
-      RECT 7.935000  0.085000 8.190000 0.885000 ;
-      RECT 7.935000  1.495000 8.185000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  1.785000 1.695000 1.955000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.125000 2.155000 2.295000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.125000 3.535000 2.295000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.125000 4.455000 2.295000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  1.785000 5.835000 1.955000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.125000 6.755000 2.295000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-    LAYER met1 ;
-      RECT 1.465000 1.755000 1.755000 1.800000 ;
-      RECT 1.465000 1.800000 5.895000 1.940000 ;
-      RECT 1.465000 1.940000 1.755000 1.985000 ;
-      RECT 1.925000 2.095000 2.215000 2.140000 ;
-      RECT 1.925000 2.140000 3.595000 2.280000 ;
-      RECT 1.925000 2.280000 2.215000 2.325000 ;
-      RECT 3.305000 2.095000 3.595000 2.140000 ;
-      RECT 3.305000 2.280000 3.595000 2.325000 ;
-      RECT 4.225000 2.095000 4.515000 2.140000 ;
-      RECT 4.225000 2.140000 6.815000 2.280000 ;
-      RECT 4.225000 2.280000 4.515000 2.325000 ;
-      RECT 5.605000 1.755000 5.895000 1.800000 ;
-      RECT 5.605000 1.940000 5.895000 1.985000 ;
-      RECT 6.525000 2.095000 6.815000 2.140000 ;
-      RECT 6.525000 2.280000 6.815000 2.325000 ;
-  END
-END sky130_fd_sc_hd__mux4_2
-MACRO sky130_fd_sc_hd__mux4_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__mux4_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.660000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A0
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.805000 0.995000 1.240000 1.615000 ;
-    END
-  END A0
-  PIN A1
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.150000 0.995000 0.495000 1.615000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.250000 1.055000 5.580000 1.675000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.800000 1.055000 5.045000 1.675000 ;
-    END
-  END A3
-  PIN S0
-    ANTENNAGATEAREA  0.378000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.265000 0.995000 3.565000 1.995000 ;
-    END
-  END S0
-  PIN S1
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.055000 0.995000 6.345000 1.675000 ;
-    END
-  END S1
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 9.315000 0.255000 9.575000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.660000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.850000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.660000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.660000 0.085000 ;
-      RECT 0.000000  2.635000 9.660000 2.805000 ;
-      RECT 0.175000  0.260000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 1.185000 0.805000 ;
-      RECT 0.175000  1.795000 1.705000 1.965000 ;
-      RECT 0.175000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.255000 0.845000 2.635000 ;
-      RECT 1.015000  0.255000 2.090000 0.425000 ;
-      RECT 1.015000  0.425000 1.185000 0.635000 ;
-      RECT 1.015000  2.135000 1.185000 2.295000 ;
-      RECT 1.015000  2.295000 2.545000 2.465000 ;
-      RECT 1.410000  0.595000 1.750000 0.765000 ;
-      RECT 1.410000  0.765000 1.700000 0.935000 ;
-      RECT 1.410000  0.935000 1.580000 1.455000 ;
-      RECT 1.410000  1.455000 2.045000 1.625000 ;
-      RECT 1.535000  1.965000 1.705000 2.125000 ;
-      RECT 1.875000  1.625000 2.045000 1.955000 ;
-      RECT 1.875000  1.955000 2.205000 2.125000 ;
-      RECT 1.920000  0.425000 2.090000 0.760000 ;
-      RECT 2.080000  1.105000 2.620000 1.285000 ;
-      RECT 2.260000  0.430000 2.620000 1.105000 ;
-      RECT 2.260000  1.285000 2.620000 1.395000 ;
-      RECT 2.260000  1.395000 3.065000 1.625000 ;
-      RECT 2.375000  1.795000 2.545000 2.295000 ;
-      RECT 2.715000  1.625000 3.065000 2.465000 ;
-      RECT 2.800000  0.085000 3.090000 0.805000 ;
-      RECT 3.235000  2.255000 3.565000 2.635000 ;
-      RECT 3.380000  0.255000 4.980000 0.425000 ;
-      RECT 3.380000  0.425000 3.550000 0.795000 ;
-      RECT 3.720000  0.595000 4.050000 0.845000 ;
-      RECT 3.735000  0.845000 4.050000 0.920000 ;
-      RECT 3.735000  0.920000 3.905000 1.445000 ;
-      RECT 3.735000  1.445000 4.495000 1.615000 ;
-      RECT 3.825000  1.785000 3.995000 2.295000 ;
-      RECT 3.825000  2.295000 4.835000 2.465000 ;
-      RECT 4.075000  1.095000 4.405000 1.105000 ;
-      RECT 4.075000  1.105000 4.460000 1.265000 ;
-      RECT 4.165000  1.615000 4.495000 2.125000 ;
-      RECT 4.220000  0.595000 4.390000 0.715000 ;
-      RECT 4.220000  0.715000 5.740000 0.885000 ;
-      RECT 4.220000  0.885000 4.390000 0.925000 ;
-      RECT 4.290000  1.265000 4.460000 1.275000 ;
-      RECT 4.625000  0.425000 4.980000 0.465000 ;
-      RECT 4.665000  1.915000 5.730000 2.085000 ;
-      RECT 4.665000  2.085000 4.835000 2.295000 ;
-      RECT 5.060000  2.255000 5.390000 2.635000 ;
-      RECT 5.150000  0.085000 5.320000 0.545000 ;
-      RECT 5.495000  0.295000 5.740000 0.715000 ;
-      RECT 5.560000  2.085000 5.730000 2.465000 ;
-      RECT 5.980000  2.255000 6.330000 2.635000 ;
-      RECT 6.010000  0.085000 6.340000 0.465000 ;
-      RECT 6.500000  2.135000 6.685000 2.465000 ;
-      RECT 6.510000  0.325000 6.685000 0.655000 ;
-      RECT 6.515000  0.655000 6.685000 1.105000 ;
-      RECT 6.515000  1.105000 6.805000 1.275000 ;
-      RECT 6.515000  1.275000 6.685000 2.135000 ;
-      RECT 6.980000  0.765000 7.220000 0.935000 ;
-      RECT 6.980000  0.935000 7.150000 2.135000 ;
-      RECT 6.980000  2.135000 7.190000 2.465000 ;
-      RECT 7.030000  0.255000 7.200000 0.415000 ;
-      RECT 7.030000  0.415000 7.560000 0.585000 ;
-      RECT 7.360000  2.255000 7.690000 2.295000 ;
-      RECT 7.360000  2.295000 8.645000 2.465000 ;
-      RECT 7.390000  0.585000 7.560000 1.755000 ;
-      RECT 7.390000  1.755000 8.175000 1.985000 ;
-      RECT 7.730000  0.255000 8.725000 0.425000 ;
-      RECT 7.730000  0.425000 7.900000 0.585000 ;
-      RECT 7.845000  1.985000 8.175000 2.125000 ;
-      RECT 7.970000  0.765000 8.385000 0.925000 ;
-      RECT 7.970000  0.925000 8.380000 0.935000 ;
-      RECT 8.190000  1.105000 8.645000 1.275000 ;
-      RECT 8.210000  0.595000 8.385000 0.765000 ;
-      RECT 8.475000  1.665000 9.125000 1.835000 ;
-      RECT 8.475000  1.835000 8.645000 2.295000 ;
-      RECT 8.555000  0.425000 8.725000 0.715000 ;
-      RECT 8.555000  0.715000 9.125000 0.885000 ;
-      RECT 8.815000  2.255000 9.145000 2.635000 ;
-      RECT 8.895000  0.085000 9.065000 0.545000 ;
-      RECT 8.955000  0.885000 9.125000 1.665000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.530000  0.765000 1.700000 0.935000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.450000  1.105000 2.620000 1.275000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.290000  1.105000 4.460000 1.275000 ;
-      RECT 4.325000  1.785000 4.495000 1.955000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 6.635000  1.105000 6.805000 1.275000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.050000  0.765000 7.220000 0.935000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.555000  1.785000 7.725000 1.955000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.475000  1.105000 8.645000 1.275000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-    LAYER met1 ;
-      RECT 1.470000 0.735000 1.760000 0.780000 ;
-      RECT 1.470000 0.780000 8.200000 0.920000 ;
-      RECT 1.470000 0.920000 1.760000 0.965000 ;
-      RECT 2.390000 1.075000 2.680000 1.120000 ;
-      RECT 2.390000 1.120000 4.520000 1.260000 ;
-      RECT 2.390000 1.260000 2.680000 1.305000 ;
-      RECT 4.230000 1.075000 4.520000 1.120000 ;
-      RECT 4.230000 1.260000 4.520000 1.305000 ;
-      RECT 4.265000 1.755000 4.555000 1.800000 ;
-      RECT 4.265000 1.800000 7.785000 1.940000 ;
-      RECT 4.265000 1.940000 4.555000 1.985000 ;
-      RECT 6.575000 1.075000 6.865000 1.120000 ;
-      RECT 6.575000 1.120000 8.705000 1.260000 ;
-      RECT 6.575000 1.260000 6.865000 1.305000 ;
-      RECT 6.990000 0.735000 7.280000 0.780000 ;
-      RECT 6.990000 0.920000 7.280000 0.965000 ;
-      RECT 7.495000 1.755000 7.785000 1.800000 ;
-      RECT 7.495000 1.940000 7.785000 1.985000 ;
-      RECT 7.910000 0.735000 8.200000 0.780000 ;
-      RECT 7.910000 0.920000 8.200000 0.965000 ;
-      RECT 8.415000 1.075000 8.705000 1.120000 ;
-      RECT 8.415000 1.260000 8.705000 1.305000 ;
-  END
-END sky130_fd_sc_hd__mux4_1
-MACRO sky130_fd_sc_hd__fa_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__fa_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  10.12000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.633000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.080000 0.995000 2.680000 1.275000 ;
-        RECT 2.080000 1.275000 2.340000 1.325000 ;
-      LAYER mcon ;
-        RECT 2.450000 1.105000 2.620000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 3.610000 1.030000 4.000000 1.360000 ;
-      LAYER mcon ;
-        RECT 3.830000 1.105000 4.000000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 5.645000 0.955000 6.005000 1.275000 ;
-      LAYER mcon ;
-        RECT 5.690000 1.105000 5.860000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 7.030000 0.995000 7.885000 1.275000 ;
-      LAYER mcon ;
-        RECT 7.070000 1.105000 7.240000 1.275000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 2.390000 1.075000 2.680000 1.120000 ;
-        RECT 2.390000 1.120000 7.300000 1.260000 ;
-        RECT 2.390000 1.260000 2.680000 1.305000 ;
-        RECT 3.770000 1.075000 4.060000 1.120000 ;
-        RECT 3.770000 1.260000 4.060000 1.305000 ;
-        RECT 5.630000 1.075000 5.920000 1.120000 ;
-        RECT 5.630000 1.260000 5.920000 1.305000 ;
-        RECT 7.010000 1.075000 7.300000 1.120000 ;
-        RECT 7.010000 1.260000 7.300000 1.305000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.633000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.480000 1.445000 3.080000 1.690000 ;
-      LAYER mcon ;
-        RECT 2.910000 1.445000 3.080000 1.615000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 4.580000 1.435000 4.995000 1.745000 ;
-      LAYER mcon ;
-        RECT 4.770000 1.445000 4.940000 1.615000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 7.075000 1.445000 7.760000 1.735000 ;
-      LAYER mcon ;
-        RECT 7.530000 1.445000 7.700000 1.615000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 2.850000 1.415000 3.140000 1.460000 ;
-        RECT 2.850000 1.460000 7.760000 1.600000 ;
-        RECT 2.850000 1.600000 3.140000 1.645000 ;
-        RECT 4.710000 1.415000 5.000000 1.460000 ;
-        RECT 4.710000 1.600000 5.000000 1.645000 ;
-        RECT 7.470000 1.415000 7.760000 1.460000 ;
-        RECT 7.470000 1.600000 7.760000 1.645000 ;
-    END
-  END B
-  PIN CIN
-    ANTENNAGATEAREA  0.477000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.050000 1.105000 3.420000 1.275000 ;
-        RECT 3.250000 1.275000 3.420000 1.570000 ;
-        RECT 3.250000 1.570000 4.340000 1.740000 ;
-        RECT 4.170000 0.965000 5.390000 1.250000 ;
-        RECT 4.170000 1.250000 4.340000 1.570000 ;
-        RECT 5.220000 1.250000 5.390000 1.435000 ;
-        RECT 5.220000 1.435000 5.580000 1.515000 ;
-        RECT 5.220000 1.515000 6.845000 1.685000 ;
-        RECT 6.595000 1.355000 6.845000 1.515000 ;
-        RECT 6.595000 1.685000 6.845000 1.955000 ;
-    END
-  END CIN
-  PIN COUT
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.735000 1.525000 0.905000 ;
-        RECT 0.085000 0.905000 0.435000 1.415000 ;
-        RECT 0.085000 1.415000 1.570000 1.585000 ;
-        RECT 0.515000 0.255000 0.845000 0.735000 ;
-        RECT 0.515000 1.585000 0.845000 2.445000 ;
-        RECT 1.355000 0.315000 1.685000 0.485000 ;
-        RECT 1.355000 0.485000 1.525000 0.735000 ;
-        RECT 1.400000 1.585000 1.570000 1.780000 ;
-        RECT 1.400000 1.780000 1.645000 1.950000 ;
-        RECT 1.435000 1.950000 1.645000 2.465000 ;
-    END
-  END COUT
-  PIN SUM
-    ANTENNADIFFAREA  0.943000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 8.320000 0.255000  8.650000 0.485000 ;
-        RECT 8.320000 1.795000  8.570000 1.965000 ;
-        RECT 8.320000 1.965000  8.490000 2.465000 ;
-        RECT 8.400000 0.485000  8.650000 0.735000 ;
-        RECT 8.400000 0.735000 10.035000 0.905000 ;
-        RECT 8.400000 1.415000 10.035000 1.585000 ;
-        RECT 8.400000 1.585000  8.570000 1.795000 ;
-        RECT 9.160000 0.270000  9.490000 0.735000 ;
-        RECT 9.160000 1.585000  9.490000 2.425000 ;
-        RECT 9.700000 0.905000 10.035000 1.415000 ;
-    END
-  END SUM
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 10.120000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 10.310000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 10.120000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 10.120000 0.085000 ;
-      RECT 0.000000  2.635000 10.120000 2.805000 ;
-      RECT 0.175000  0.085000  0.345000 0.565000 ;
-      RECT 0.175000  1.795000  0.345000 2.635000 ;
-      RECT 0.605000  1.075000  1.910000 1.245000 ;
-      RECT 1.015000  0.085000  1.185000 0.565000 ;
-      RECT 1.015000  1.795000  1.185000 2.635000 ;
-      RECT 1.740000  0.655000  3.090000 0.825000 ;
-      RECT 1.740000  0.825000  1.910000 1.075000 ;
-      RECT 1.740000  1.245000  1.910000 1.430000 ;
-      RECT 1.740000  1.430000  1.945000 1.495000 ;
-      RECT 1.740000  1.495000  2.310000 1.600000 ;
-      RECT 1.775000  1.600000  2.310000 1.665000 ;
-      RECT 1.815000  2.275000  2.145000 2.635000 ;
-      RECT 1.855000  0.085000  2.185000 0.465000 ;
-      RECT 2.140000  1.665000  2.310000 1.910000 ;
-      RECT 2.140000  1.910000  3.170000 2.080000 ;
-      RECT 2.370000  0.255000  3.090000 0.655000 ;
-      RECT 2.735000  2.080000  3.170000 2.465000 ;
-      RECT 2.850000  0.825000  3.090000 0.935000 ;
-      RECT 3.340000  0.255000  3.510000 0.615000 ;
-      RECT 3.340000  0.615000  4.350000 0.785000 ;
-      RECT 3.340000  1.935000  4.415000 2.105000 ;
-      RECT 3.340000  2.105000  3.510000 2.465000 ;
-      RECT 3.680000  0.085000  4.010000 0.445000 ;
-      RECT 3.680000  2.275000  4.010000 2.635000 ;
-      RECT 4.180000  0.255000  4.350000 0.615000 ;
-      RECT 4.180000  2.105000  4.415000 2.465000 ;
-      RECT 4.620000  0.085000  4.950000 0.490000 ;
-      RECT 4.620000  1.915000  4.950000 2.635000 ;
-      RECT 5.120000  0.255000  5.290000 0.615000 ;
-      RECT 5.120000  0.615000  6.130000 0.785000 ;
-      RECT 5.120000  1.935000  6.130000 2.105000 ;
-      RECT 5.120000  2.105000  5.290000 2.465000 ;
-      RECT 5.460000  0.085000  5.790000 0.445000 ;
-      RECT 5.460000  2.275000  5.790000 2.635000 ;
-      RECT 5.960000  0.255000  6.130000 0.615000 ;
-      RECT 5.960000  2.105000  6.130000 2.465000 ;
-      RECT 6.175000  0.955000  6.860000 1.125000 ;
-      RECT 6.345000  0.765000  6.860000 0.955000 ;
-      RECT 6.410000  2.125000  7.610000 2.465000 ;
-      RECT 6.465000  0.255000  7.475000 0.505000 ;
-      RECT 6.465000  0.505000  6.635000 0.595000 ;
-      RECT 7.305000  0.505000  7.475000 0.655000 ;
-      RECT 7.305000  0.655000  8.225000 0.825000 ;
-      RECT 7.440000  1.935000  8.105000 2.105000 ;
-      RECT 7.440000  2.105000  7.610000 2.125000 ;
-      RECT 7.705000  0.085000  8.035000 0.445000 ;
-      RECT 7.815000  2.275000  8.145000 2.635000 ;
-      RECT 7.935000  1.470000  8.225000 1.640000 ;
-      RECT 7.935000  1.640000  8.105000 1.935000 ;
-      RECT 8.055000  0.825000  8.225000 1.075000 ;
-      RECT 8.055000  1.075000  9.445000 1.245000 ;
-      RECT 8.055000  1.245000  8.225000 1.470000 ;
-      RECT 8.740000  1.795000  8.910000 2.635000 ;
-      RECT 8.820000  0.085000  8.990000 0.565000 ;
-      RECT 9.660000  0.085000  9.830000 0.565000 ;
-      RECT 9.660000  1.795000  9.830000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 2.910000  0.765000 3.080000 0.935000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 6.610000  0.765000 6.780000 0.935000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-      RECT 9.805000 -0.085000 9.975000 0.085000 ;
-      RECT 9.805000  2.635000 9.975000 2.805000 ;
-    LAYER met1 ;
-      RECT 2.850000 0.735000 3.140000 0.780000 ;
-      RECT 2.850000 0.780000 6.840000 0.920000 ;
-      RECT 2.850000 0.920000 3.140000 0.965000 ;
-      RECT 6.550000 0.735000 6.840000 0.780000 ;
-      RECT 6.550000 0.920000 6.840000 0.965000 ;
-  END
-END sky130_fd_sc_hd__fa_4
-MACRO sky130_fd_sc_hd__fa_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__fa_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.360000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.504000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.910000 0.995000 1.240000 1.275000 ;
-        RECT 0.910000 1.275000 1.080000 1.325000 ;
-      LAYER mcon ;
-        RECT 1.070000 1.105000 1.240000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 2.230000 1.030000 2.620000 1.360000 ;
-      LAYER mcon ;
-        RECT 2.450000 1.105000 2.620000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 4.250000 0.955000 4.625000 1.275000 ;
-      LAYER mcon ;
-        RECT 4.310000 1.105000 4.480000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 5.885000 1.035000 6.325000 1.275000 ;
-      LAYER mcon ;
-        RECT 6.150000 1.105000 6.320000 1.275000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 1.010000 1.075000 1.300000 1.120000 ;
-        RECT 1.010000 1.120000 6.380000 1.260000 ;
-        RECT 1.010000 1.260000 1.300000 1.305000 ;
-        RECT 2.390000 1.075000 2.680000 1.120000 ;
-        RECT 2.390000 1.260000 2.680000 1.305000 ;
-        RECT 4.250000 1.075000 4.540000 1.120000 ;
-        RECT 4.250000 1.260000 4.540000 1.305000 ;
-        RECT 6.090000 1.075000 6.380000 1.120000 ;
-        RECT 6.090000 1.260000 6.380000 1.305000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.504000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.300000 1.445000 1.700000 1.880000 ;
-      LAYER mcon ;
-        RECT 1.530000 1.445000 1.700000 1.615000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 3.200000 1.435000 3.560000 1.765000 ;
-      LAYER mcon ;
-        RECT 3.390000 1.445000 3.560000 1.615000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 5.635000 1.445000 6.055000 1.765000 ;
-      LAYER mcon ;
-        RECT 5.690000 1.445000 5.860000 1.615000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 1.470000 1.415000 1.760000 1.460000 ;
-        RECT 1.470000 1.460000 5.920000 1.600000 ;
-        RECT 1.470000 1.600000 1.760000 1.645000 ;
-        RECT 3.330000 1.415000 3.620000 1.460000 ;
-        RECT 3.330000 1.600000 3.620000 1.645000 ;
-        RECT 5.630000 1.415000 5.920000 1.460000 ;
-        RECT 5.630000 1.600000 5.920000 1.645000 ;
-    END
-  END B
-  PIN CIN
-    ANTENNAGATEAREA  0.378000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.670000 1.105000 2.040000 1.275000 ;
-        RECT 1.870000 1.275000 2.040000 1.595000 ;
-        RECT 1.870000 1.595000 2.960000 1.765000 ;
-        RECT 2.790000 0.965000 3.955000 1.250000 ;
-        RECT 2.790000 1.250000 2.960000 1.595000 ;
-        RECT 3.785000 1.250000 3.955000 1.515000 ;
-        RECT 3.785000 1.515000 5.405000 1.685000 ;
-        RECT 5.155000 1.685000 5.405000 1.955000 ;
-    END
-  END CIN
-  PIN COUT
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.255000 0.345000 0.830000 ;
-        RECT 0.085000 0.830000 0.260000 1.485000 ;
-        RECT 0.085000 1.485000 0.345000 2.465000 ;
-    END
-  END COUT
-  PIN SUM
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.840000 0.255000 7.240000 0.810000 ;
-        RECT 6.840000 1.485000 7.240000 2.465000 ;
-        RECT 6.910000 0.810000 7.240000 1.485000 ;
-    END
-  END SUM
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.360000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.550000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.360000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.360000 0.085000 ;
-      RECT 0.000000  2.635000 7.360000 2.805000 ;
-      RECT 0.430000  0.995000 0.685000 1.325000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  0.635000 1.710000 0.805000 ;
-      RECT 0.515000  0.805000 0.685000 0.995000 ;
-      RECT 0.515000  1.325000 0.685000 1.625000 ;
-      RECT 0.515000  1.625000 1.105000 1.945000 ;
-      RECT 0.515000  2.150000 0.765000 2.635000 ;
-      RECT 0.935000  1.945000 1.105000 2.065000 ;
-      RECT 0.935000  2.065000 1.710000 2.465000 ;
-      RECT 1.110000  0.255000 1.710000 0.635000 ;
-      RECT 1.470000  0.805000 1.710000 0.935000 ;
-      RECT 1.960000  0.255000 2.130000 0.615000 ;
-      RECT 1.960000  0.615000 2.970000 0.785000 ;
-      RECT 1.960000  1.935000 3.035000 2.105000 ;
-      RECT 1.960000  2.105000 2.130000 2.465000 ;
-      RECT 2.300000  0.085000 2.630000 0.445000 ;
-      RECT 2.300000  2.275000 2.630000 2.635000 ;
-      RECT 2.800000  0.255000 2.970000 0.615000 ;
-      RECT 2.800000  2.105000 3.035000 2.465000 ;
-      RECT 3.240000  0.085000 3.570000 0.490000 ;
-      RECT 3.240000  2.255000 3.570000 2.635000 ;
-      RECT 3.740000  0.255000 3.910000 0.615000 ;
-      RECT 3.740000  0.615000 4.750000 0.785000 ;
-      RECT 3.740000  1.935000 4.750000 2.105000 ;
-      RECT 3.740000  2.105000 3.910000 2.465000 ;
-      RECT 4.080000  0.085000 4.410000 0.445000 ;
-      RECT 4.080000  2.275000 4.410000 2.635000 ;
-      RECT 4.580000  0.255000 4.750000 0.615000 ;
-      RECT 4.580000  2.105000 4.750000 2.465000 ;
-      RECT 4.795000  0.955000 5.460000 1.125000 ;
-      RECT 4.965000  0.765000 5.460000 0.955000 ;
-      RECT 5.085000  0.255000 6.095000 0.505000 ;
-      RECT 5.085000  0.505000 5.255000 0.595000 ;
-      RECT 5.085000  2.125000 6.170000 2.465000 ;
-      RECT 5.925000  0.505000 6.095000 0.615000 ;
-      RECT 5.925000  0.615000 6.665000 0.785000 ;
-      RECT 6.000000  1.935000 6.665000 2.105000 ;
-      RECT 6.000000  2.105000 6.170000 2.125000 ;
-      RECT 6.265000  0.085000 6.595000 0.445000 ;
-      RECT 6.340000  2.275000 6.670000 2.635000 ;
-      RECT 6.495000  0.785000 6.665000 0.995000 ;
-      RECT 6.495000  0.995000 6.740000 1.325000 ;
-      RECT 6.495000  1.325000 6.665000 1.935000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.530000  0.765000 1.700000 0.935000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.230000  0.765000 5.400000 0.935000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-    LAYER met1 ;
-      RECT 1.470000 0.735000 1.760000 0.780000 ;
-      RECT 1.470000 0.780000 5.460000 0.920000 ;
-      RECT 1.470000 0.920000 1.760000 0.965000 ;
-      RECT 5.170000 0.735000 5.460000 0.780000 ;
-      RECT 5.170000 0.920000 5.460000 0.965000 ;
-  END
-END sky130_fd_sc_hd__fa_1
-MACRO sky130_fd_sc_hd__fa_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__fa_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  8.280000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.631500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.245000 0.995000 1.755000 1.275000 ;
-        RECT 1.245000 1.275000 1.505000 1.325000 ;
-      LAYER mcon ;
-        RECT 1.525000 1.105000 1.695000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 2.685000 1.030000 3.075000 1.360000 ;
-      LAYER mcon ;
-        RECT 2.905000 1.105000 3.075000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 4.720000 0.955000 5.080000 1.275000 ;
-      LAYER mcon ;
-        RECT 4.765000 1.105000 4.935000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 6.105000 0.995000 6.960000 1.275000 ;
-      LAYER mcon ;
-        RECT 6.145000 1.105000 6.315000 1.275000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 1.465000 1.075000 1.755000 1.120000 ;
-        RECT 1.465000 1.120000 6.375000 1.260000 ;
-        RECT 1.465000 1.260000 1.755000 1.305000 ;
-        RECT 2.845000 1.075000 3.135000 1.120000 ;
-        RECT 2.845000 1.260000 3.135000 1.305000 ;
-        RECT 4.705000 1.075000 4.995000 1.120000 ;
-        RECT 4.705000 1.260000 4.995000 1.305000 ;
-        RECT 6.085000 1.075000 6.375000 1.120000 ;
-        RECT 6.085000 1.260000 6.375000 1.305000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.631500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.645000 1.445000 2.155000 1.690000 ;
-      LAYER mcon ;
-        RECT 1.985000 1.445000 2.155000 1.615000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 3.655000 1.435000 4.070000 1.745000 ;
-      LAYER mcon ;
-        RECT 3.845000 1.445000 4.015000 1.615000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 6.150000 1.445000 6.835000 1.735000 ;
-      LAYER mcon ;
-        RECT 6.605000 1.445000 6.775000 1.615000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 1.925000 1.415000 2.215000 1.460000 ;
-        RECT 1.925000 1.460000 6.835000 1.600000 ;
-        RECT 1.925000 1.600000 2.215000 1.645000 ;
-        RECT 3.785000 1.415000 4.075000 1.460000 ;
-        RECT 3.785000 1.600000 4.075000 1.645000 ;
-        RECT 6.545000 1.415000 6.835000 1.460000 ;
-        RECT 6.545000 1.600000 6.835000 1.645000 ;
-    END
-  END B
-  PIN CIN
-    ANTENNAGATEAREA  0.475500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.125000 1.105000 2.495000 1.275000 ;
-        RECT 2.325000 1.275000 2.495000 1.570000 ;
-        RECT 2.325000 1.570000 3.415000 1.740000 ;
-        RECT 3.245000 0.965000 4.465000 1.250000 ;
-        RECT 3.245000 1.250000 3.415000 1.570000 ;
-        RECT 4.295000 1.250000 4.465000 1.435000 ;
-        RECT 4.295000 1.435000 4.655000 1.515000 ;
-        RECT 4.295000 1.515000 5.920000 1.685000 ;
-        RECT 5.670000 1.355000 5.920000 1.515000 ;
-        RECT 5.670000 1.685000 5.920000 1.955000 ;
-    END
-  END CIN
-  PIN COUT
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.735000 0.690000 0.905000 ;
-        RECT 0.085000 0.905000 0.370000 1.415000 ;
-        RECT 0.085000 1.415000 0.735000 1.585000 ;
-        RECT 0.520000 0.315000 0.850000 0.485000 ;
-        RECT 0.520000 0.485000 0.690000 0.735000 ;
-        RECT 0.565000 1.585000 0.735000 1.780000 ;
-        RECT 0.565000 1.780000 0.810000 1.950000 ;
-        RECT 0.600000 1.950000 0.810000 2.465000 ;
-    END
-  END COUT
-  PIN SUM
-    ANTENNADIFFAREA  0.523500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.395000 0.255000 7.725000 0.485000 ;
-        RECT 7.395000 1.795000 7.645000 1.965000 ;
-        RECT 7.395000 1.965000 7.565000 2.465000 ;
-        RECT 7.475000 0.485000 7.725000 0.735000 ;
-        RECT 7.475000 0.735000 8.195000 0.905000 ;
-        RECT 7.475000 1.415000 8.195000 1.585000 ;
-        RECT 7.475000 1.585000 7.645000 1.795000 ;
-        RECT 7.970000 0.905000 8.195000 1.415000 ;
-    END
-  END SUM
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 8.280000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.470000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 8.280000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 8.280000 0.085000 ;
-      RECT 0.000000  2.635000 8.280000 2.805000 ;
-      RECT 0.180000  0.085000 0.350000 0.565000 ;
-      RECT 0.180000  1.795000 0.350000 2.635000 ;
-      RECT 0.540000  1.075000 1.075000 1.245000 ;
-      RECT 0.905000  0.655000 2.165000 0.825000 ;
-      RECT 0.905000  0.825000 1.075000 1.075000 ;
-      RECT 0.905000  1.245000 1.075000 1.430000 ;
-      RECT 0.905000  1.430000 1.110000 1.495000 ;
-      RECT 0.905000  1.495000 1.475000 1.600000 ;
-      RECT 0.940000  1.600000 1.475000 1.665000 ;
-      RECT 0.980000  2.275000 1.310000 2.635000 ;
-      RECT 1.020000  0.085000 1.350000 0.465000 ;
-      RECT 1.305000  1.665000 1.475000 1.910000 ;
-      RECT 1.305000  1.910000 2.245000 2.080000 ;
-      RECT 1.535000  0.255000 2.165000 0.655000 ;
-      RECT 1.900000  2.080000 2.245000 2.465000 ;
-      RECT 1.925000  0.825000 2.165000 0.935000 ;
-      RECT 2.415000  0.255000 2.585000 0.615000 ;
-      RECT 2.415000  0.615000 3.425000 0.785000 ;
-      RECT 2.415000  1.935000 3.490000 2.105000 ;
-      RECT 2.415000  2.105000 2.585000 2.465000 ;
-      RECT 2.755000  0.085000 3.085000 0.445000 ;
-      RECT 2.755000  2.275000 3.085000 2.635000 ;
-      RECT 3.255000  0.255000 3.425000 0.615000 ;
-      RECT 3.255000  2.105000 3.490000 2.465000 ;
-      RECT 3.695000  0.085000 4.025000 0.490000 ;
-      RECT 3.695000  1.915000 4.025000 2.635000 ;
-      RECT 4.195000  0.255000 4.365000 0.615000 ;
-      RECT 4.195000  0.615000 5.205000 0.785000 ;
-      RECT 4.195000  1.935000 5.205000 2.105000 ;
-      RECT 4.195000  2.105000 4.365000 2.465000 ;
-      RECT 4.535000  0.085000 4.865000 0.445000 ;
-      RECT 4.535000  2.275000 4.865000 2.635000 ;
-      RECT 5.035000  0.255000 5.205000 0.615000 ;
-      RECT 5.035000  2.105000 5.205000 2.465000 ;
-      RECT 5.250000  0.955000 5.935000 1.125000 ;
-      RECT 5.420000  0.765000 5.935000 0.955000 ;
-      RECT 5.485000  2.125000 6.685000 2.465000 ;
-      RECT 5.540000  0.255000 6.550000 0.505000 ;
-      RECT 5.540000  0.505000 5.710000 0.595000 ;
-      RECT 6.380000  0.505000 6.550000 0.655000 ;
-      RECT 6.380000  0.655000 7.300000 0.825000 ;
-      RECT 6.515000  1.935000 7.180000 2.105000 ;
-      RECT 6.515000  2.105000 6.685000 2.125000 ;
-      RECT 6.780000  0.085000 7.110000 0.445000 ;
-      RECT 6.890000  2.275000 7.220000 2.635000 ;
-      RECT 7.010000  1.470000 7.300000 1.640000 ;
-      RECT 7.010000  1.640000 7.180000 1.935000 ;
-      RECT 7.130000  0.825000 7.300000 1.075000 ;
-      RECT 7.130000  1.075000 7.800000 1.245000 ;
-      RECT 7.130000  1.245000 7.300000 1.470000 ;
-      RECT 7.815000  1.795000 7.985000 2.635000 ;
-      RECT 7.895000  0.085000 8.065000 0.565000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  0.765000 2.155000 0.935000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 5.685000  0.765000 5.855000 0.935000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-    LAYER met1 ;
-      RECT 1.925000 0.735000 2.215000 0.780000 ;
-      RECT 1.925000 0.780000 5.915000 0.920000 ;
-      RECT 1.925000 0.920000 2.215000 0.965000 ;
-      RECT 5.625000 0.735000 5.915000 0.780000 ;
-      RECT 5.625000 0.920000 5.915000 0.965000 ;
-  END
-END sky130_fd_sc_hd__fa_2
-MACRO sky130_fd_sc_hd__mux2i_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__mux2i_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  8.280000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A0
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.560000 0.995000 1.070000 1.105000 ;
-        RECT 0.560000 1.105000 1.240000 1.325000 ;
-    END
-  END A0
-  PIN A1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.955000 0.995000 3.550000 1.325000 ;
-    END
-  END A1
-  PIN S
-    ANTENNAGATEAREA  1.237500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.845000 1.075000 5.930000 1.290000 ;
-        RECT 5.760000 1.290000 5.930000 1.425000 ;
-        RECT 5.760000 1.425000 7.850000 1.595000 ;
-        RECT 7.680000 0.995000 7.850000 1.425000 ;
-    END
-  END S
-  PIN Y
-    ANTENNADIFFAREA  2.194500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 0.315000 3.785000 0.485000 ;
-        RECT 0.095000 0.485000 0.320000 2.255000 ;
-        RECT 0.095000 2.255000 3.785000 2.425000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 8.280000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.470000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 8.280000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 8.280000 0.085000 ;
-      RECT 0.000000  2.635000 8.280000 2.805000 ;
-      RECT 0.515000  0.655000 1.700000 0.825000 ;
-      RECT 0.515000  1.575000 5.580000 1.745000 ;
-      RECT 1.355000  0.825000 1.700000 0.935000 ;
-      RECT 2.195000  0.655000 5.485000 0.825000 ;
-      RECT 2.195000  1.915000 7.165000 2.085000 ;
-      RECT 3.975000  0.085000 4.305000 0.465000 ;
-      RECT 3.975000  2.255000 4.305000 2.635000 ;
-      RECT 4.475000  0.255000 4.645000 0.655000 ;
-      RECT 4.815000  0.085000 5.145000 0.465000 ;
-      RECT 4.815000  2.255000 5.145000 2.635000 ;
-      RECT 5.315000  0.255000 5.485000 0.655000 ;
-      RECT 5.655000  0.085000 5.980000 0.590000 ;
-      RECT 5.655000  2.255000 5.985000 2.635000 ;
-      RECT 6.150000  0.255000 6.325000 0.715000 ;
-      RECT 6.150000  0.715000 7.165000 0.905000 ;
-      RECT 6.150000  0.905000 6.450000 0.935000 ;
-      RECT 6.155000  1.795000 6.325000 1.915000 ;
-      RECT 6.155000  2.085000 6.325000 2.465000 ;
-      RECT 6.495000  2.255000 6.825000 2.635000 ;
-      RECT 6.545000  0.085000 6.795000 0.545000 ;
-      RECT 6.730000  1.075000 7.510000 1.245000 ;
-      RECT 6.995000  0.510000 7.165000 0.715000 ;
-      RECT 6.995000  1.795000 7.165000 1.915000 ;
-      RECT 6.995000  2.085000 7.165000 2.465000 ;
-      RECT 7.340000  0.655000 8.195000 0.825000 ;
-      RECT 7.340000  0.825000 7.510000 1.075000 ;
-      RECT 7.435000  0.085000 7.765000 0.465000 ;
-      RECT 7.435000  2.255000 7.765000 2.635000 ;
-      RECT 7.935000  0.255000 8.195000 0.655000 ;
-      RECT 7.935000  1.795000 8.195000 2.465000 ;
-      RECT 8.020000  0.825000 8.195000 1.795000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.530000  0.765000 1.700000 0.935000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.150000  0.765000 6.320000 0.935000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-    LAYER met1 ;
-      RECT 1.470000 0.735000 1.760000 0.780000 ;
-      RECT 1.470000 0.780000 6.380000 0.920000 ;
-      RECT 1.470000 0.920000 1.760000 0.965000 ;
-      RECT 6.090000 0.735000 6.380000 0.780000 ;
-      RECT 6.090000 0.920000 6.380000 0.965000 ;
-  END
-END sky130_fd_sc_hd__mux2i_4
-MACRO sky130_fd_sc_hd__mux2i_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__mux2i_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.060000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A0
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.470000 1.075000 3.560000 1.275000 ;
-    END
-  END A0
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.310000 0.995000 4.635000 1.615000 ;
-    END
-  END A1
-  PIN S
-    ANTENNAGATEAREA  0.742500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.430000 0.995000 0.780000 1.325000 ;
-        RECT 0.580000 0.725000 0.780000 0.995000 ;
-    END
-  END S
-  PIN Y
-    ANTENNADIFFAREA  1.691250 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.715000 0.295000 4.975000 0.465000 ;
-        RECT 2.715000 2.255000 4.975000 2.425000 ;
-        RECT 4.750000 1.785000 4.975000 2.255000 ;
-        RECT 4.805000 0.465000 4.975000 1.785000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.060000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.250000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.060000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.060000 0.085000 ;
-      RECT 0.000000  2.635000 5.060000 2.805000 ;
-      RECT 0.085000  0.345000 0.345000 0.675000 ;
-      RECT 0.085000  0.675000 0.260000 1.495000 ;
-      RECT 0.085000  1.495000 1.395000 1.665000 ;
-      RECT 0.085000  1.665000 0.260000 2.135000 ;
-      RECT 0.085000  2.135000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.835000 0.545000 ;
-      RECT 0.515000  2.255000 0.845000 2.635000 ;
-      RECT 0.935000  1.835000 1.735000 2.005000 ;
-      RECT 1.015000  0.575000 1.255000 0.935000 ;
-      RECT 1.225000  1.155000 1.985000 1.325000 ;
-      RECT 1.225000  1.325000 1.395000 1.495000 ;
-      RECT 1.355000  2.255000 1.685000 2.635000 ;
-      RECT 1.435000  0.085000 1.685000 0.885000 ;
-      RECT 1.565000  1.495000 3.465000 1.665000 ;
-      RECT 1.565000  1.665000 1.735000 1.835000 ;
-      RECT 1.655000  1.075000 1.985000 1.155000 ;
-      RECT 1.855000  0.295000 2.025000 0.735000 ;
-      RECT 1.855000  0.735000 3.465000 0.905000 ;
-      RECT 1.855000  2.135000 2.080000 2.465000 ;
-      RECT 1.910000  1.835000 2.885000 1.915000 ;
-      RECT 1.910000  1.915000 4.350000 2.005000 ;
-      RECT 1.910000  2.005000 2.080000 2.135000 ;
-      RECT 2.275000  0.085000 2.445000 0.545000 ;
-      RECT 2.275000  2.175000 2.525000 2.635000 ;
-      RECT 2.715000  2.005000 4.350000 2.085000 ;
-      RECT 3.135000  0.655000 3.465000 0.735000 ;
-      RECT 3.135000  1.665000 3.465000 1.715000 ;
-      RECT 3.850000  0.655000 4.345000 0.825000 ;
-      RECT 3.850000  0.825000 4.105000 0.935000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.070000  0.765000 1.240000 0.935000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 3.850000  0.765000 4.020000 0.935000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-    LAYER met1 ;
-      RECT 1.010000 0.735000 1.300000 0.780000 ;
-      RECT 1.010000 0.780000 4.080000 0.920000 ;
-      RECT 1.010000 0.920000 1.300000 0.965000 ;
-      RECT 3.790000 0.735000 4.080000 0.780000 ;
-      RECT 3.790000 0.920000 4.080000 0.965000 ;
-  END
-END sky130_fd_sc_hd__mux2i_2
-MACRO sky130_fd_sc_hd__mux2i_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__mux2i_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A0
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.060000 0.420000 1.285000 ;
-    END
-  END A0
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.955000 0.995000 1.125000 1.155000 ;
-        RECT 0.955000 1.155000 1.205000 1.325000 ;
-        RECT 1.035000 1.325000 1.205000 1.445000 ;
-        RECT 1.035000 1.445000 1.235000 2.110000 ;
-    END
-  END A1
-  PIN S
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.260000 0.760000 3.595000 1.620000 ;
-    END
-  END S
-  PIN Y
-    ANTENNADIFFAREA  0.480500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.590000 0.595000 0.780000 1.455000 ;
-        RECT 0.590000 1.455000 0.840000 2.125000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.085000  0.255000 1.805000 0.425000 ;
-      RECT 0.085000  0.425000 0.440000 0.465000 ;
-      RECT 0.085000  0.465000 0.345000 0.885000 ;
-      RECT 0.120000  1.455000 0.420000 2.295000 ;
-      RECT 0.120000  2.295000 1.575000 2.465000 ;
-      RECT 0.955000  0.655000 1.520000 0.715000 ;
-      RECT 0.955000  0.715000 2.620000 0.825000 ;
-      RECT 0.965000  0.425000 1.805000 0.465000 ;
-      RECT 1.295000  0.825000 2.620000 0.885000 ;
-      RECT 1.385000  1.075000 3.085000 1.310000 ;
-      RECT 1.405000  1.480000 2.615000 1.650000 ;
-      RECT 1.405000  1.650000 1.575000 2.295000 ;
-      RECT 1.745000  1.835000 1.975000 2.635000 ;
-      RECT 1.975000  0.085000 2.145000 0.545000 ;
-      RECT 2.285000  1.650000 2.615000 2.465000 ;
-      RECT 2.385000  0.255000 2.620000 0.715000 ;
-      RECT 2.800000  0.255000 3.165000 0.485000 ;
-      RECT 2.800000  0.485000 3.085000 1.075000 ;
-      RECT 2.860000  1.310000 3.085000 2.465000 ;
-      RECT 3.295000  1.835000 3.590000 2.635000 ;
-      RECT 3.335000  0.085000 3.555000 0.545000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__mux2i_1
-MACRO sky130_fd_sc_hd__nand2_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nand2_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.300000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.015000 1.075000 1.765000 1.325000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.845000 1.325000 ;
-    END
-  END B
-  PIN Y
-    ANTENNADIFFAREA  0.715500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 1.495000 2.215000 1.665000 ;
-        RECT 0.515000 1.665000 0.845000 2.465000 ;
-        RECT 1.355000 0.655000 2.215000 0.905000 ;
-        RECT 1.355000 1.665000 1.685000 2.465000 ;
-        RECT 1.935000 0.905000 2.215000 1.495000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.300000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.490000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.300000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.300000 0.085000 ;
-      RECT 0.000000  2.635000 2.300000 2.805000 ;
-      RECT 0.085000  0.255000 0.425000 0.715000 ;
-      RECT 0.085000  0.715000 1.185000 0.885000 ;
-      RECT 0.085000  1.495000 0.345000 2.635000 ;
-      RECT 0.595000  0.085000 0.765000 0.545000 ;
-      RECT 0.935000  0.255000 2.105000 0.465000 ;
-      RECT 0.935000  0.465000 1.185000 0.715000 ;
-      RECT 1.015000  1.835000 1.185000 2.635000 ;
-      RECT 1.775000  0.465000 2.105000 0.485000 ;
-      RECT 1.855000  1.835000 2.110000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nand2_2
-MACRO sky130_fd_sc_hd__nand2_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nand2_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.380000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.940000 1.075000 1.275000 1.325000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 1.055000 0.430000 1.325000 ;
-    END
-  END B
-  PIN Y
-    ANTENNADIFFAREA  0.439000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.535000 1.485000 0.865000 2.465000 ;
-        RECT 0.600000 0.255000 1.295000 0.885000 ;
-        RECT 0.600000 0.885000 0.770000 1.485000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.380000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.140000 -0.085000 0.310000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 1.570000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.380000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.380000 0.085000 ;
-      RECT 0.000000  2.635000 1.380000 2.805000 ;
-      RECT 0.085000  0.085000 0.395000 0.885000 ;
-      RECT 0.085000  1.495000 0.365000 2.635000 ;
-      RECT 1.035000  1.495000 1.295000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nand2_1
-MACRO sky130_fd_sc_hd__nand2_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nand2_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.615000 1.075000 4.055000 1.325000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.110000 1.075000 1.730000 1.325000 ;
-    END
-  END B
-  PIN Y
-    ANTENNADIFFAREA  1.431000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 1.495000 3.365000 1.665000 ;
-        RECT 0.515000 1.665000 0.845000 2.465000 ;
-        RECT 1.355000 1.665000 1.685000 2.465000 ;
-        RECT 1.910000 1.075000 2.445000 1.495000 ;
-        RECT 2.195000 0.635000 3.365000 0.805000 ;
-        RECT 2.195000 0.805000 2.445000 1.075000 ;
-        RECT 2.195000 1.665000 2.525000 2.465000 ;
-        RECT 3.035000 1.665000 3.365000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.090000  0.255000 0.425000 0.715000 ;
-      RECT 0.090000  0.715000 2.025000 0.905000 ;
-      RECT 0.090000  1.495000 0.345000 2.635000 ;
-      RECT 0.595000  0.085000 0.765000 0.545000 ;
-      RECT 0.935000  0.255000 1.265000 0.715000 ;
-      RECT 1.015000  1.835000 1.185000 2.635000 ;
-      RECT 1.435000  0.085000 1.605000 0.545000 ;
-      RECT 1.775000  0.255000 3.785000 0.465000 ;
-      RECT 1.775000  0.465000 2.025000 0.715000 ;
-      RECT 1.855000  1.835000 2.025000 2.635000 ;
-      RECT 2.695000  1.835000 2.865000 2.635000 ;
-      RECT 3.535000  0.465000 3.785000 0.885000 ;
-      RECT 3.535000  1.835000 3.785000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nand2_4
-MACRO sky130_fd_sc_hd__nand2_8
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nand2_8 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.360000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  1.980000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.290000 1.075000 6.305000 1.275000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  1.980000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.510000 1.075000 3.365000 1.295000 ;
-    END
-  END B
-  PIN Y
-    ANTENNADIFFAREA  2.862000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 1.465000 6.725000 1.665000 ;
-        RECT 0.515000 1.665000 0.845000 2.465000 ;
-        RECT 1.355000 1.665000 1.685000 2.465000 ;
-        RECT 2.195000 1.665000 2.525000 2.465000 ;
-        RECT 3.035000 1.665000 3.365000 2.465000 ;
-        RECT 3.640000 1.075000 4.120000 1.465000 ;
-        RECT 3.875000 0.655000 6.725000 0.905000 ;
-        RECT 3.875000 0.905000 4.120000 1.075000 ;
-        RECT 3.875000 1.665000 4.205000 2.465000 ;
-        RECT 4.715000 1.665000 5.045000 2.465000 ;
-        RECT 5.555000 1.665000 5.885000 2.465000 ;
-        RECT 6.395000 1.665000 6.725000 2.465000 ;
-        RECT 6.475000 0.905000 6.725000 1.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.360000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.550000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.360000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.360000 0.085000 ;
-      RECT 0.000000  2.635000 7.360000 2.805000 ;
-      RECT 0.090000  0.255000 0.425000 0.735000 ;
-      RECT 0.090000  0.735000 3.705000 0.905000 ;
-      RECT 0.090000  1.495000 0.345000 2.635000 ;
-      RECT 0.595000  0.085000 0.765000 0.565000 ;
-      RECT 0.935000  0.255000 1.265000 0.735000 ;
-      RECT 1.015000  1.835000 1.185000 2.635000 ;
-      RECT 1.435000  0.085000 1.605000 0.565000 ;
-      RECT 1.775000  0.255000 2.105000 0.735000 ;
-      RECT 1.855000  1.835000 2.025000 2.635000 ;
-      RECT 2.275000  0.085000 2.445000 0.565000 ;
-      RECT 2.615000  0.255000 2.945000 0.735000 ;
-      RECT 2.695000  1.835000 2.865000 2.635000 ;
-      RECT 3.115000  0.085000 3.285000 0.565000 ;
-      RECT 3.455000  0.255000 7.270000 0.485000 ;
-      RECT 3.455000  0.485000 3.705000 0.735000 ;
-      RECT 3.535000  1.835000 3.705000 2.635000 ;
-      RECT 4.375000  1.835000 4.545000 2.635000 ;
-      RECT 5.215000  1.835000 5.385000 2.635000 ;
-      RECT 6.055000  1.835000 6.225000 2.635000 ;
-      RECT 6.895000  0.485000 7.270000 0.905000 ;
-      RECT 6.915000  1.495000 7.270000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nand2_8
-MACRO sky130_fd_sc_hd__a32oi_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a32oi_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.230000 1.075000 1.595000 1.255000 ;
-        RECT 1.405000 0.345000 1.705000 0.765000 ;
-        RECT 1.405000 0.765000 1.595000 1.075000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.805000 0.995000 2.165000 1.325000 ;
-        RECT 1.965000 0.415000 2.165000 0.995000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.335000 1.015000 2.750000 1.325000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.855000 0.995000 1.025000 1.425000 ;
-        RECT 0.855000 1.425000 1.255000 1.615000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.345000 1.325000 ;
-    END
-  END B2
-  PIN Y
-    ANTENNADIFFAREA  0.575500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 0.635000 1.165000 0.805000 ;
-        RECT 0.515000 0.805000 0.685000 1.785000 ;
-        RECT 0.515000 1.785000 0.865000 2.085000 ;
-        RECT 0.915000 0.295000 1.165000 0.635000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.085000  1.835000 0.345000 2.255000 ;
-      RECT 0.085000  2.255000 1.345000 2.465000 ;
-      RECT 0.095000  0.085000 0.425000 0.465000 ;
-      RECT 1.095000  1.785000 2.185000 1.955000 ;
-      RECT 1.095000  1.955000 1.345000 2.255000 ;
-      RECT 1.555000  2.135000 1.805000 2.635000 ;
-      RECT 2.015000  1.745000 2.185000 1.785000 ;
-      RECT 2.015000  1.955000 2.185000 2.465000 ;
-      RECT 2.355000  0.085000 2.695000 0.805000 ;
-      RECT 2.355000  1.495000 2.695000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a32oi_1
-MACRO sky130_fd_sc_hd__a32oi_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a32oi_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  10.12000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.775000 1.075000 5.465000 1.285000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.095000 1.075000 7.695000 1.300000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 8.295000 1.075000 9.985000 1.280000 ;
-        RECT 9.805000 0.755000 9.985000 1.075000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.585000 0.995000 3.555000 1.325000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.110000 1.075000 1.750000 1.305000 ;
-        RECT 0.110000 1.305000 0.330000 1.965000 ;
-    END
-  END B2
-  PIN Y
-    ANTENNADIFFAREA  1.782000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 1.575000 3.365000 1.745000 ;
-        RECT 0.515000 1.745000 0.845000 2.085000 ;
-        RECT 1.355000 1.745000 1.685000 2.085000 ;
-        RECT 1.975000 0.990000 2.365000 1.575000 ;
-        RECT 1.975000 1.745000 2.525000 2.085000 ;
-        RECT 2.195000 0.635000 5.565000 0.805000 ;
-        RECT 2.195000 0.805000 2.365000 0.990000 ;
-        RECT 3.035000 1.745000 3.365000 2.085000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 10.120000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 10.310000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 10.120000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 10.120000 0.085000 ;
-      RECT 0.000000  2.635000 10.120000 2.805000 ;
-      RECT 0.095000  2.255000  3.705000 2.425000 ;
-      RECT 0.175000  0.255000  0.345000 0.635000 ;
-      RECT 0.175000  0.635000  2.025000 0.805000 ;
-      RECT 0.515000  0.085000  0.845000 0.465000 ;
-      RECT 1.015000  0.255000  1.185000 0.635000 ;
-      RECT 1.355000  0.085000  1.685000 0.465000 ;
-      RECT 1.855000  0.295000  3.785000 0.465000 ;
-      RECT 1.855000  0.465000  2.025000 0.635000 ;
-      RECT 3.535000  1.575000  9.925000 1.745000 ;
-      RECT 3.535000  1.745000  3.705000 2.255000 ;
-      RECT 3.895000  1.915000  4.225000 2.635000 ;
-      RECT 3.975000  0.295000  7.805000 0.465000 ;
-      RECT 4.395000  1.745000  4.565000 2.465000 ;
-      RECT 4.770000  1.915000  5.440000 2.635000 ;
-      RECT 5.640000  1.745000  5.810000 2.465000 ;
-      RECT 6.215000  0.635000  9.505000 0.805000 ;
-      RECT 6.215000  1.915000  6.545000 2.635000 ;
-      RECT 6.715000  1.745000  6.885000 2.465000 ;
-      RECT 7.055000  1.915000  7.385000 2.635000 ;
-      RECT 7.555000  1.745000  7.725000 2.465000 ;
-      RECT 7.995000  0.085000  8.325000 0.465000 ;
-      RECT 8.415000  1.915000  8.745000 2.635000 ;
-      RECT 8.495000  0.255000  8.665000 0.635000 ;
-      RECT 8.835000  0.085000  9.165000 0.465000 ;
-      RECT 8.915000  1.745000  9.085000 2.465000 ;
-      RECT 9.255000  1.915000  9.585000 2.635000 ;
-      RECT 9.335000  0.255000  9.505000 0.635000 ;
-      RECT 9.685000  0.085000 10.025000 0.465000 ;
-      RECT 9.755000  1.745000  9.925000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-      RECT 9.805000 -0.085000 9.975000 0.085000 ;
-      RECT 9.805000  2.635000 9.975000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a32oi_4
-MACRO sky130_fd_sc_hd__a32oi_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a32oi_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.980000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.415000 1.075000 3.220000 1.625000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.725000 1.075000 4.480000 1.625000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.715000 1.075000 5.860000 1.625000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.045000 1.080000 1.725000 1.285000 ;
-        RECT 1.175000 1.075000 1.505000 1.080000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.145000 1.075000 0.825000 1.285000 ;
-        RECT 0.145000 1.285000 0.325000 1.625000 ;
-    END
-  END B2
-  PIN Y
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 1.955000 0.845000 2.125000 ;
-        RECT 0.595000 1.455000 2.180000 1.625000 ;
-        RECT 0.595000 1.625000 0.765000 1.955000 ;
-        RECT 1.355000 0.655000 3.100000 0.825000 ;
-        RECT 1.435000 1.625000 1.605000 2.125000 ;
-        RECT 1.965000 0.825000 2.180000 1.455000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.980000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.170000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.980000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.980000 0.085000 ;
-      RECT 0.000000  2.635000 5.980000 2.805000 ;
-      RECT 0.095000  0.295000 0.425000 0.465000 ;
-      RECT 0.175000  0.465000 0.345000 0.715000 ;
-      RECT 0.175000  0.715000 1.185000 0.885000 ;
-      RECT 0.175000  1.795000 0.345000 2.295000 ;
-      RECT 0.175000  2.295000 2.025000 2.465000 ;
-      RECT 0.595000  0.085000 0.765000 0.545000 ;
-      RECT 0.935000  0.295000 2.115000 0.465000 ;
-      RECT 1.015000  0.465000 1.185000 0.715000 ;
-      RECT 1.015000  1.795000 1.185000 2.295000 ;
-      RECT 1.855000  1.795000 2.025000 1.915000 ;
-      RECT 1.855000  1.915000 5.805000 2.085000 ;
-      RECT 1.855000  2.085000 2.025000 2.295000 ;
-      RECT 2.270000  2.255000 2.940000 2.635000 ;
-      RECT 2.350000  0.295000 4.370000 0.465000 ;
-      RECT 3.180000  1.795000 3.350000 1.915000 ;
-      RECT 3.180000  2.085000 3.350000 2.465000 ;
-      RECT 3.550000  2.255000 4.220000 2.635000 ;
-      RECT 3.620000  0.635000 5.390000 0.805000 ;
-      RECT 4.390000  1.795000 4.560000 1.915000 ;
-      RECT 4.390000  2.085000 4.560000 2.465000 ;
-      RECT 4.555000  0.085000 4.890000 0.465000 ;
-      RECT 4.765000  2.255000 5.435000 2.635000 ;
-      RECT 5.060000  0.275000 5.390000 0.635000 ;
-      RECT 5.560000  0.085000 5.885000 0.885000 ;
-      RECT 5.635000  1.795000 5.805000 1.915000 ;
-      RECT 5.635000  2.085000 5.805000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a32oi_2
-MACRO sky130_fd_sc_hd__lpflow_inputisolatch_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_inputisolatch_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.060000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.750000 0.765000 2.125000 1.095000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.690000 0.415000 4.975000 0.745000 ;
-        RECT 4.690000 1.670000 4.975000 2.455000 ;
-        RECT 4.805000 0.745000 4.975000 1.670000 ;
-    END
-  END Q
-  PIN SLEEP_B
-    ANTENNAGATEAREA  0.145500 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.985000 0.330000 1.625000 ;
-    END
-  END SLEEP_B
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.060000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.250000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.060000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.060000 0.085000 ;
-      RECT 0.000000  2.635000 5.060000 2.805000 ;
-      RECT 0.175000  0.345000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 0.780000 0.805000 ;
-      RECT 0.175000  1.795000 0.780000 1.965000 ;
-      RECT 0.175000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.780000 1.130000 ;
-      RECT 0.610000  1.130000 0.810000 1.460000 ;
-      RECT 0.610000  1.460000 0.780000 1.795000 ;
-      RECT 0.980000  0.740000 1.185000 0.910000 ;
-      RECT 0.980000  0.910000 1.150000 1.825000 ;
-      RECT 0.980000  1.825000 1.185000 1.915000 ;
-      RECT 0.980000  1.915000 2.845000 1.965000 ;
-      RECT 1.015000  0.345000 1.185000 0.740000 ;
-      RECT 1.015000  1.965000 2.845000 2.085000 ;
-      RECT 1.015000  2.085000 1.185000 2.465000 ;
-      RECT 1.320000  1.240000 1.490000 1.525000 ;
-      RECT 1.320000  1.525000 2.335000 1.695000 ;
-      RECT 1.455000  0.085000 1.785000 0.465000 ;
-      RECT 1.455000  2.255000 1.850000 2.635000 ;
-      RECT 2.050000  1.355000 2.335000 1.525000 ;
-      RECT 2.295000  0.705000 2.675000 1.035000 ;
-      RECT 2.310000  2.255000 3.185000 2.425000 ;
-      RECT 2.380000  0.365000 3.040000 0.535000 ;
-      RECT 2.505000  1.035000 2.675000 1.575000 ;
-      RECT 2.505000  1.575000 2.845000 1.915000 ;
-      RECT 2.870000  0.535000 3.040000 0.995000 ;
-      RECT 2.870000  0.995000 3.780000 1.165000 ;
-      RECT 3.015000  1.165000 3.780000 1.325000 ;
-      RECT 3.015000  1.325000 3.185000 2.255000 ;
-      RECT 3.265000  0.085000 3.595000 0.530000 ;
-      RECT 3.355000  2.135000 3.525000 2.635000 ;
-      RECT 3.420000  1.535000 4.125000 1.865000 ;
-      RECT 3.835000  0.415000 4.125000 0.745000 ;
-      RECT 3.835000  1.865000 4.125000 2.435000 ;
-      RECT 3.950000  0.745000 4.125000 1.535000 ;
-      RECT 4.295000  0.085000 4.465000 0.715000 ;
-      RECT 4.295000  1.570000 4.465000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_inputisolatch_1
-MACRO sky130_fd_sc_hd__o21ai_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o21ai_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.120000 1.055000 0.450000 1.445000 ;
-        RECT 0.120000 1.445000 2.095000 1.615000 ;
-        RECT 1.600000 1.075000 2.095000 1.445000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.620000 1.075000 1.420000 1.275000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.815000 0.765000 3.130000 1.400000 ;
-    END
-  END B1
-  PIN Y
-    ANTENNADIFFAREA  0.742000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.995000 1.785000 2.645000 1.965000 ;
-        RECT 0.995000 1.965000 1.295000 2.125000 ;
-        RECT 2.410000 1.965000 2.645000 2.465000 ;
-        RECT 2.435000 0.595000 2.645000 1.785000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.140000 -0.085000 0.310000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.105000  0.255000 0.435000 0.715000 ;
-      RECT 0.105000  0.715000 2.265000 0.885000 ;
-      RECT 0.105000  1.785000 0.435000 2.635000 ;
-      RECT 0.605000  1.785000 0.825000 2.295000 ;
-      RECT 0.605000  2.295000 1.715000 2.465000 ;
-      RECT 0.615000  0.085000 0.785000 0.545000 ;
-      RECT 0.965000  0.255000 1.295000 0.715000 ;
-      RECT 1.525000  0.085000 1.695000 0.545000 ;
-      RECT 1.525000  2.135000 1.715000 2.295000 ;
-      RECT 1.910000  2.175000 2.240000 2.635000 ;
-      RECT 1.935000  0.255000 3.125000 0.425000 ;
-      RECT 1.935000  0.425000 2.265000 0.715000 ;
-      RECT 2.815000  0.425000 3.125000 0.595000 ;
-      RECT 2.815000  1.570000 3.125000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o21ai_2
-MACRO sky130_fd_sc_hd__o21ai_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o21ai_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.840000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.150000 0.995000 0.410000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.590000 0.995000 0.975000 1.325000 ;
-        RECT 0.590000 1.325000 0.785000 2.375000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.202500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.505000 1.295000 1.750000 1.655000 ;
-    END
-  END B1
-  PIN Y
-    ANTENNADIFFAREA  0.517000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.965000 1.505000 1.315000 1.785000 ;
-        RECT 0.965000 1.785000 1.295000 2.465000 ;
-        RECT 1.145000 0.955000 1.665000 1.125000 ;
-        RECT 1.145000 1.125000 1.315000 1.505000 ;
-        RECT 1.495000 0.390000 1.665000 0.955000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.840000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.030000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.840000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.840000 0.085000 ;
-      RECT 0.000000  2.635000 1.840000 2.805000 ;
-      RECT 0.090000  0.265000 0.380000 0.615000 ;
-      RECT 0.090000  0.615000 1.305000 0.785000 ;
-      RECT 0.090000  1.495000 0.410000 2.635000 ;
-      RECT 0.575000  0.085000 0.905000 0.445000 ;
-      RECT 1.075000  0.310000 1.305000 0.615000 ;
-      RECT 1.495000  1.835000 1.750000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o21ai_1
-MACRO sky130_fd_sc_hd__o21ai_0
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o21ai_0 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.840000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.955000 0.415000 1.615000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.605000 1.100000 1.005000 1.340000 ;
-        RECT 0.605000 1.340000 0.775000 1.645000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.515000 1.355000 1.730000 1.685000 ;
-    END
-  END B1
-  PIN Y
-    ANTENNADIFFAREA  0.290500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.965000 1.510000 1.345000 1.680000 ;
-        RECT 0.965000 1.680000 1.300000 2.465000 ;
-        RECT 1.175000 0.955000 1.740000 1.125000 ;
-        RECT 1.175000 1.125000 1.345000 1.510000 ;
-        RECT 1.455000 0.280000 1.740000 0.955000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.840000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.030000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.840000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.840000 0.085000 ;
-      RECT 0.000000  2.635000 1.840000 2.805000 ;
-      RECT 0.120000  0.280000 0.380000 0.615000 ;
-      RECT 0.120000  0.615000 1.285000 0.785000 ;
-      RECT 0.145000  1.825000 0.475000 2.635000 ;
-      RECT 0.550000  0.085000 0.880000 0.445000 ;
-      RECT 1.050000  0.280000 1.285000 0.615000 ;
-      RECT 1.470000  1.855000 1.725000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o21ai_0
-MACRO sky130_fd_sc_hd__o21ai_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o21ai_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.980000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.125000 1.015000 1.475000 1.320000 ;
-        RECT 0.575000 1.320000 1.475000 1.515000 ;
-        RECT 0.575000 1.515000 3.695000 1.685000 ;
-        RECT 3.445000 0.990000 3.695000 1.515000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.985000 1.070000 3.275000 1.345000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.905000 1.015000 5.255000 1.275000 ;
-    END
-  END B1
-  PIN Y
-    ANTENNADIFFAREA  1.484000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.840000 1.855000 5.150000 2.025000 ;
-        RECT 3.935000 1.445000 5.835000 1.700000 ;
-        RECT 3.935000 1.700000 5.150000 1.855000 ;
-        RECT 4.030000 0.615000 5.835000 0.845000 ;
-        RECT 4.080000 2.025000 5.150000 2.085000 ;
-        RECT 4.080000 2.085000 4.290000 2.465000 ;
-        RECT 4.960000 2.085000 5.150000 2.465000 ;
-        RECT 5.425000 0.845000 5.835000 1.445000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.980000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.170000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.980000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.980000 0.085000 ;
-      RECT 0.000000  2.635000 5.980000 2.805000 ;
-      RECT 0.120000  0.615000 3.860000 0.820000 ;
-      RECT 0.120000  1.820000 0.405000 2.635000 ;
-      RECT 0.550000  0.085000 0.880000 0.445000 ;
-      RECT 0.575000  1.915000 1.670000 2.085000 ;
-      RECT 0.575000  2.085000 0.810000 2.465000 ;
-      RECT 0.980000  2.255000 1.310000 2.635000 ;
-      RECT 1.410000  0.085000 1.740000 0.445000 ;
-      RECT 1.480000  2.085000 1.670000 2.275000 ;
-      RECT 1.480000  2.275000 3.460000 2.465000 ;
-      RECT 2.270000  0.085000 2.600000 0.445000 ;
-      RECT 3.130000  0.085000 3.460000 0.445000 ;
-      RECT 3.630000  0.255000 5.650000 0.445000 ;
-      RECT 3.630000  0.445000 3.860000 0.615000 ;
-      RECT 3.630000  2.195000 3.910000 2.635000 ;
-      RECT 4.460000  2.255000 4.790000 2.635000 ;
-      RECT 5.320000  1.880000 5.650000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o21ai_4
-MACRO sky130_fd_sc_hd__dlrbn_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlrbn_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.820000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.460000 0.955000 1.790000 1.325000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.060000 0.255000 6.380000 2.465000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.475000 0.255000 7.735000 0.595000 ;
-        RECT 7.475000 1.785000 7.735000 2.465000 ;
-        RECT 7.560000 0.595000 7.735000 1.785000 ;
-    END
-  END Q_N
-  PIN RESET_B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.470000 0.995000 5.455000 1.325000 ;
-    END
-  END RESET_B
-  PIN GATE_N
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.985000 0.330000 1.625000 ;
-    END
-  END GATE_N
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.820000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.010000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.820000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.820000 0.085000 ;
-      RECT 0.000000  2.635000 7.820000 2.805000 ;
-      RECT 0.085000  0.345000 0.345000 0.635000 ;
-      RECT 0.085000  0.635000 0.780000 0.805000 ;
-      RECT 0.085000  1.795000 0.780000 1.965000 ;
-      RECT 0.085000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.780000 1.070000 ;
-      RECT 0.610000  1.070000 0.840000 1.400000 ;
-      RECT 0.610000  1.400000 0.780000 1.795000 ;
-      RECT 1.015000  0.345000 1.185000 1.685000 ;
-      RECT 1.015000  1.685000 1.240000 2.465000 ;
-      RECT 1.455000  1.495000 2.140000 1.665000 ;
-      RECT 1.455000  1.665000 1.785000 2.415000 ;
-      RECT 1.535000  0.345000 1.705000 0.615000 ;
-      RECT 1.535000  0.615000 2.140000 0.765000 ;
-      RECT 1.535000  0.765000 2.340000 0.785000 ;
-      RECT 1.875000  0.085000 2.205000 0.445000 ;
-      RECT 1.955000  1.835000 2.270000 2.635000 ;
-      RECT 1.970000  0.785000 2.340000 1.095000 ;
-      RECT 1.970000  1.095000 2.140000 1.495000 ;
-      RECT 2.470000  1.355000 2.755000 2.005000 ;
-      RECT 2.715000  0.705000 3.095000 1.035000 ;
-      RECT 2.840000  0.365000 3.500000 0.535000 ;
-      RECT 2.900000  2.255000 3.650000 2.425000 ;
-      RECT 2.925000  1.035000 3.095000 1.415000 ;
-      RECT 2.925000  1.415000 3.265000 1.995000 ;
-      RECT 3.330000  0.535000 3.500000 0.995000 ;
-      RECT 3.330000  0.995000 4.300000 1.165000 ;
-      RECT 3.480000  1.165000 4.300000 1.325000 ;
-      RECT 3.480000  1.325000 3.650000 2.255000 ;
-      RECT 3.740000  0.085000 4.070000 0.530000 ;
-      RECT 3.820000  2.135000 4.090000 2.635000 ;
-      RECT 3.840000  1.535000 5.875000 1.765000 ;
-      RECT 3.840000  1.765000 4.970000 1.865000 ;
-      RECT 4.240000  0.255000 4.540000 0.655000 ;
-      RECT 4.240000  0.655000 5.875000 0.825000 ;
-      RECT 4.260000  2.135000 4.590000 2.635000 ;
-      RECT 4.760000  1.865000 4.970000 2.435000 ;
-      RECT 5.135000  0.085000 5.875000 0.485000 ;
-      RECT 5.150000  1.935000 5.890000 2.635000 ;
-      RECT 5.625000  0.825000 5.875000 1.535000 ;
-      RECT 6.580000  0.255000 6.750000 0.985000 ;
-      RECT 6.580000  0.985000 6.830000 0.995000 ;
-      RECT 6.580000  0.995000 7.390000 1.325000 ;
-      RECT 6.580000  1.325000 6.830000 2.465000 ;
-      RECT 6.975000  0.085000 7.305000 0.465000 ;
-      RECT 7.010000  1.835000 7.305000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.610000  1.445000 0.780000 1.615000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.070000  1.785000 1.240000 1.955000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.470000  1.785000 2.640000 1.955000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 2.930000  1.445000 3.100000 1.615000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.415000 0.840000 1.460000 ;
-      RECT 0.550000 1.460000 3.160000 1.600000 ;
-      RECT 0.550000 1.600000 0.840000 1.645000 ;
-      RECT 1.010000 1.755000 1.300000 1.800000 ;
-      RECT 1.010000 1.800000 2.700000 1.940000 ;
-      RECT 1.010000 1.940000 1.300000 1.985000 ;
-      RECT 2.410000 1.755000 2.700000 1.800000 ;
-      RECT 2.410000 1.940000 2.700000 1.985000 ;
-      RECT 2.870000 1.415000 3.160000 1.460000 ;
-      RECT 2.870000 1.600000 3.160000 1.645000 ;
-  END
-END sky130_fd_sc_hd__dlrbn_1
-MACRO sky130_fd_sc_hd__dlrbn_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlrbn_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  8.280000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.460000 0.955000 1.790000 1.325000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.536250 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.650000 0.415000 5.910000 0.655000 ;
-        RECT 5.650000 0.655000 5.950000 0.685000 ;
-        RECT 5.650000 0.685000 5.975000 0.825000 ;
-        RECT 5.650000 1.495000 5.975000 1.660000 ;
-        RECT 5.650000 1.660000 5.915000 2.465000 ;
-        RECT 5.740000 0.825000 5.975000 0.860000 ;
-        RECT 5.790000 0.860000 5.975000 0.885000 ;
-        RECT 5.790000 0.885000 6.355000 1.325000 ;
-        RECT 5.790000 1.325000 5.975000 1.495000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.453750 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.500000 0.255000 7.755000 0.825000 ;
-        RECT 7.500000 1.445000 7.755000 2.465000 ;
-        RECT 7.545000 0.825000 7.755000 1.055000 ;
-        RECT 7.545000 1.055000 8.195000 1.325000 ;
-        RECT 7.545000 1.325000 7.755000 1.445000 ;
-    END
-  END Q_N
-  PIN RESET_B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.390000 0.995000 5.140000 1.325000 ;
-    END
-  END RESET_B
-  PIN GATE_N
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.985000 0.330000 1.625000 ;
-    END
-  END GATE_N
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 8.280000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.470000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 8.280000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 8.280000 0.085000 ;
-      RECT 0.000000  2.635000 8.280000 2.805000 ;
-      RECT 0.085000  0.345000 0.345000 0.635000 ;
-      RECT 0.085000  0.635000 0.780000 0.805000 ;
-      RECT 0.085000  1.795000 0.780000 1.965000 ;
-      RECT 0.085000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.605000  0.805000 0.780000 1.070000 ;
-      RECT 0.605000  1.070000 0.840000 1.400000 ;
-      RECT 0.605000  1.400000 0.780000 1.795000 ;
-      RECT 1.015000  0.345000 1.185000 1.685000 ;
-      RECT 1.015000  1.685000 1.240000 2.465000 ;
-      RECT 1.455000  1.495000 2.140000 1.665000 ;
-      RECT 1.455000  1.665000 1.785000 2.415000 ;
-      RECT 1.535000  0.345000 1.705000 0.615000 ;
-      RECT 1.535000  0.615000 2.140000 0.765000 ;
-      RECT 1.535000  0.765000 2.340000 0.785000 ;
-      RECT 1.875000  0.085000 2.205000 0.445000 ;
-      RECT 1.955000  1.835000 2.270000 2.635000 ;
-      RECT 1.970000  0.785000 2.340000 1.095000 ;
-      RECT 1.970000  1.095000 2.140000 1.495000 ;
-      RECT 2.470000  1.355000 2.755000 2.005000 ;
-      RECT 2.715000  0.705000 3.095000 1.035000 ;
-      RECT 2.840000  0.365000 3.500000 0.535000 ;
-      RECT 2.900000  2.255000 3.650000 2.425000 ;
-      RECT 2.925000  1.035000 3.095000 1.415000 ;
-      RECT 2.925000  1.415000 3.265000 1.995000 ;
-      RECT 3.330000  0.535000 3.500000 0.995000 ;
-      RECT 3.330000  0.995000 4.200000 1.165000 ;
-      RECT 3.480000  1.165000 4.200000 1.325000 ;
-      RECT 3.480000  1.325000 3.650000 2.255000 ;
-      RECT 3.740000  0.085000 4.070000 0.825000 ;
-      RECT 3.820000  2.135000 4.590000 2.635000 ;
-      RECT 3.840000  1.495000 5.480000 1.665000 ;
-      RECT 3.840000  1.665000 4.930000 1.865000 ;
-      RECT 4.340000  0.415000 4.560000 0.655000 ;
-      RECT 4.340000  0.655000 5.480000 0.825000 ;
-      RECT 4.760000  1.865000 4.930000 2.435000 ;
-      RECT 5.100000  0.085000 5.480000 0.485000 ;
-      RECT 5.100000  1.855000 5.350000 2.635000 ;
-      RECT 5.310000  0.825000 5.480000 0.995000 ;
-      RECT 5.310000  0.995000 5.620000 1.325000 ;
-      RECT 5.310000  1.325000 5.480000 1.495000 ;
-      RECT 6.085000  0.085000 6.355000 0.545000 ;
-      RECT 6.085000  1.830000 6.355000 2.635000 ;
-      RECT 6.525000  0.255000 6.855000 0.995000 ;
-      RECT 6.525000  0.995000 7.375000 1.325000 ;
-      RECT 6.525000  1.325000 6.855000 2.465000 ;
-      RECT 7.025000  0.085000 7.330000 0.545000 ;
-      RECT 7.035000  1.835000 7.330000 2.635000 ;
-      RECT 7.925000  0.085000 8.195000 0.885000 ;
-      RECT 7.925000  1.495000 8.195000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.610000  1.445000 0.780000 1.615000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.070000  1.785000 1.240000 1.955000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.470000  1.785000 2.640000 1.955000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 2.930000  1.445000 3.100000 1.615000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.415000 0.840000 1.460000 ;
-      RECT 0.550000 1.460000 3.160000 1.600000 ;
-      RECT 0.550000 1.600000 0.840000 1.645000 ;
-      RECT 1.010000 1.755000 1.300000 1.800000 ;
-      RECT 1.010000 1.800000 2.700000 1.940000 ;
-      RECT 1.010000 1.940000 1.300000 1.985000 ;
-      RECT 2.410000 1.755000 2.700000 1.800000 ;
-      RECT 2.410000 1.940000 2.700000 1.985000 ;
-      RECT 2.870000 1.415000 3.160000 1.460000 ;
-      RECT 2.870000 1.600000 3.160000 1.645000 ;
-  END
-END sky130_fd_sc_hd__dlrbn_2
-MACRO sky130_fd_sc_hd__lpflow_inputiso0p_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_inputiso0p_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.480000 1.645000 2.175000 1.955000 ;
-    END
-  END A
-  PIN SLEEP
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.145000 0.765000 0.445000 1.615000 ;
-    END
-  END SLEEP
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.350000 1.580000 2.655000 2.365000 ;
-        RECT 2.415000 0.255000 2.655000 0.775000 ;
-        RECT 2.480000 0.775000 2.655000 1.580000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.090000  0.085000 0.425000 0.590000 ;
-      RECT 0.175000  1.785000 0.850000 2.015000 ;
-      RECT 0.175000  2.015000 0.345000 2.445000 ;
-      RECT 0.515000  2.185000 0.845000 2.635000 ;
-      RECT 0.595000  0.280000 0.835000 0.655000 ;
-      RECT 0.615000  0.655000 0.835000 0.805000 ;
-      RECT 0.615000  0.805000 1.150000 1.135000 ;
-      RECT 0.615000  1.135000 0.850000 1.785000 ;
-      RECT 1.020000  1.305000 2.305000 1.325000 ;
-      RECT 1.020000  1.325000 1.880000 1.475000 ;
-      RECT 1.020000  1.475000 1.305000 2.420000 ;
-      RECT 1.115000  0.270000 1.285000 0.415000 ;
-      RECT 1.115000  0.415000 1.490000 0.610000 ;
-      RECT 1.320000  0.610000 1.490000 0.945000 ;
-      RECT 1.320000  0.945000 2.305000 1.305000 ;
-      RECT 1.485000  2.165000 2.170000 2.635000 ;
-      RECT 1.850000  0.085000 2.245000 0.580000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_inputiso0p_1
-MACRO sky130_fd_sc_hd__inv_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__inv_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.300000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.105000 1.075000 1.735000 1.325000 ;
-    END
-  END A
-  PIN Y
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.565000 0.255000 0.895000 0.725000 ;
-        RECT 0.565000 0.725000 2.170000 0.905000 ;
-        RECT 0.565000 1.495000 2.170000 1.665000 ;
-        RECT 0.565000 1.665000 0.895000 2.465000 ;
-        RECT 1.405000 0.255000 1.735000 0.725000 ;
-        RECT 1.405000 1.665000 2.170000 1.685000 ;
-        RECT 1.405000 1.685000 1.735000 2.465000 ;
-        RECT 1.905000 0.905000 2.170000 1.495000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.300000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.490000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.300000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.300000 0.085000 ;
-      RECT 0.000000  2.635000 2.300000 2.805000 ;
-      RECT 0.130000  0.085000 0.395000 0.545000 ;
-      RECT 0.130000  1.495000 0.395000 2.635000 ;
-      RECT 1.065000  0.085000 1.235000 0.545000 ;
-      RECT 1.065000  1.835000 1.235000 2.635000 ;
-      RECT 1.905000  0.085000 2.155000 0.550000 ;
-      RECT 1.905000  2.175000 2.115000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-  END
-END sky130_fd_sc_hd__inv_4
-MACRO sky130_fd_sc_hd__inv_6
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__inv_6 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  1.485000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.105000 1.075000 2.615000 1.325000 ;
-    END
-  END A
-  PIN Y
-    ANTENNADIFFAREA  1.336500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.685000 1.495000 3.135000 1.665000 ;
-        RECT 0.685000 1.665000 1.015000 2.465000 ;
-        RECT 0.765000 0.255000 0.935000 0.725000 ;
-        RECT 0.765000 0.725000 3.135000 0.905000 ;
-        RECT 1.525000 1.665000 1.855000 2.465000 ;
-        RECT 1.605000 0.255000 1.775000 0.725000 ;
-        RECT 2.365000 1.665000 3.135000 1.685000 ;
-        RECT 2.365000 1.685000 2.695000 2.465000 ;
-        RECT 2.445000 0.255000 2.615000 0.725000 ;
-        RECT 2.785000 0.905000 3.135000 1.495000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.130000  0.085000 0.395000 0.545000 ;
-      RECT 0.130000  1.495000 0.425000 2.635000 ;
-      RECT 1.185000  0.085000 1.355000 0.545000 ;
-      RECT 1.185000  1.835000 1.355000 2.635000 ;
-      RECT 2.025000  0.085000 2.195000 0.545000 ;
-      RECT 2.025000  1.835000 2.195000 2.635000 ;
-      RECT 2.785000  0.085000 3.035000 0.550000 ;
-      RECT 2.865000  2.175000 3.035000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__inv_6
-MACRO sky130_fd_sc_hd__inv_12
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__inv_12 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.980000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  2.970000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.680000 1.075000 5.270000 1.325000 ;
-    END
-  END A
-  PIN Y
-    ANTENNADIFFAREA  2.673000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.715000 5.895000 0.905000 ;
-        RECT 0.085000 0.905000 0.510000 1.495000 ;
-        RECT 0.085000 1.495000 5.895000 1.665000 ;
-        RECT 0.680000 0.255000 1.010000 0.715000 ;
-        RECT 0.680000 1.665000 1.010000 2.465000 ;
-        RECT 1.520000 0.255000 1.850000 0.715000 ;
-        RECT 1.520000 1.665000 1.850000 2.465000 ;
-        RECT 2.360000 0.255000 2.690000 0.715000 ;
-        RECT 2.360000 1.665000 2.690000 2.465000 ;
-        RECT 3.200000 0.255000 3.530000 0.715000 ;
-        RECT 3.200000 1.665000 3.530000 2.465000 ;
-        RECT 4.040000 0.255000 4.370000 0.715000 ;
-        RECT 4.040000 1.665000 4.370000 2.465000 ;
-        RECT 4.880000 0.255000 5.210000 0.715000 ;
-        RECT 4.880000 1.665000 5.210000 2.465000 ;
-        RECT 5.545000 0.905000 5.895000 1.495000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.980000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.170000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.980000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.980000 0.085000 ;
-      RECT 0.000000  2.635000 5.980000 2.805000 ;
-      RECT 0.255000  0.085000 0.510000 0.545000 ;
-      RECT 0.255000  1.835000 0.510000 2.635000 ;
-      RECT 1.180000  0.085000 1.350000 0.545000 ;
-      RECT 1.180000  1.835000 1.350000 2.635000 ;
-      RECT 2.020000  0.085000 2.190000 0.545000 ;
-      RECT 2.020000  1.835000 2.190000 2.635000 ;
-      RECT 2.860000  0.085000 3.030000 0.545000 ;
-      RECT 2.860000  1.835000 3.030000 2.635000 ;
-      RECT 3.700000  0.085000 3.870000 0.545000 ;
-      RECT 3.700000  1.835000 3.870000 2.635000 ;
-      RECT 4.540000  0.085000 4.710000 0.545000 ;
-      RECT 4.540000  1.835000 4.710000 2.635000 ;
-      RECT 5.555000  0.085000 5.895000 0.545000 ;
-      RECT 5.555000  1.835000 5.895000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-  END
-END sky130_fd_sc_hd__inv_12
-MACRO sky130_fd_sc_hd__inv_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__inv_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.380000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.320000 1.075000 0.650000 1.315000 ;
-    END
-  END A
-  PIN Y
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.720000 0.255000 1.050000 0.885000 ;
-        RECT 0.720000 1.485000 1.050000 2.465000 ;
-        RECT 0.820000 0.885000 1.050000 1.485000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.380000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 1.570000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.380000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.380000 0.085000 ;
-      RECT 0.000000  2.635000 1.380000 2.805000 ;
-      RECT 0.320000  0.085000 0.550000 0.905000 ;
-      RECT 0.340000  1.495000 0.550000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-  END
-END sky130_fd_sc_hd__inv_1
-MACRO sky130_fd_sc_hd__inv_16
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__inv_16 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.360000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  3.960000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 5.525000 1.315000 ;
-    END
-  END A
-  PIN Y
-    ANTENNADIFFAREA  3.564000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.580000 0.255000 0.910000 0.715000 ;
-        RECT 0.580000 0.715000 6.790000 0.905000 ;
-        RECT 0.580000 1.495000 6.790000 1.665000 ;
-        RECT 0.580000 1.665000 0.910000 2.465000 ;
-        RECT 1.420000 0.255000 1.750000 0.715000 ;
-        RECT 1.420000 1.665000 1.750000 2.465000 ;
-        RECT 2.260000 0.255000 2.590000 0.715000 ;
-        RECT 2.260000 1.665000 2.590000 2.465000 ;
-        RECT 3.100000 0.255000 3.430000 0.715000 ;
-        RECT 3.100000 1.665000 3.430000 2.465000 ;
-        RECT 3.940000 0.255000 4.270000 0.715000 ;
-        RECT 3.940000 1.665000 4.270000 2.465000 ;
-        RECT 4.780000 0.255000 5.110000 0.715000 ;
-        RECT 4.780000 1.665000 5.110000 2.465000 ;
-        RECT 5.620000 0.255000 5.950000 0.715000 ;
-        RECT 5.620000 1.665000 5.950000 2.465000 ;
-        RECT 6.460000 0.255000 6.790000 0.715000 ;
-        RECT 6.460000 0.905000 6.790000 1.495000 ;
-        RECT 6.460000 1.665000 6.790000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.360000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.550000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.360000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.360000 0.085000 ;
-      RECT 0.000000  2.635000 7.360000 2.805000 ;
-      RECT 0.180000  0.085000 0.410000 0.885000 ;
-      RECT 0.200000  1.485000 0.410000 2.635000 ;
-      RECT 1.080000  0.085000 1.250000 0.545000 ;
-      RECT 1.080000  1.835000 1.250000 2.635000 ;
-      RECT 1.920000  0.085000 2.090000 0.545000 ;
-      RECT 1.920000  1.835000 2.090000 2.635000 ;
-      RECT 2.760000  0.085000 2.930000 0.545000 ;
-      RECT 2.760000  1.835000 2.930000 2.635000 ;
-      RECT 3.600000  0.085000 3.770000 0.545000 ;
-      RECT 3.600000  1.835000 3.770000 2.635000 ;
-      RECT 4.440000  0.085000 4.610000 0.545000 ;
-      RECT 4.440000  1.835000 4.610000 2.635000 ;
-      RECT 5.280000  0.085000 5.450000 0.545000 ;
-      RECT 5.280000  1.835000 5.450000 2.635000 ;
-      RECT 6.120000  0.085000 6.290000 0.545000 ;
-      RECT 6.120000  1.835000 6.290000 2.635000 ;
-      RECT 6.960000  0.085000 7.170000 0.885000 ;
-      RECT 6.960000  1.835000 7.170000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-  END
-END sky130_fd_sc_hd__inv_16
-MACRO sky130_fd_sc_hd__inv_8
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__inv_8 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  1.980000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.680000 1.075000 3.535000 1.325000 ;
-    END
-  END A
-  PIN Y
-    ANTENNADIFFAREA  1.782000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.715000 4.055000 0.905000 ;
-        RECT 0.085000 0.905000 0.430000 1.495000 ;
-        RECT 0.085000 1.495000 4.055000 1.665000 ;
-        RECT 0.680000 0.255000 1.010000 0.715000 ;
-        RECT 0.680000 1.665000 1.010000 2.465000 ;
-        RECT 1.520000 0.255000 1.850000 0.715000 ;
-        RECT 1.520000 1.665000 1.850000 2.465000 ;
-        RECT 2.360000 0.255000 2.690000 0.715000 ;
-        RECT 2.360000 1.665000 2.690000 2.465000 ;
-        RECT 3.200000 0.255000 3.530000 0.715000 ;
-        RECT 3.200000 1.665000 3.530000 2.465000 ;
-        RECT 3.735000 0.905000 4.055000 1.495000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.255000  0.085000 0.510000 0.545000 ;
-      RECT 0.255000  1.835000 0.510000 2.635000 ;
-      RECT 1.180000  0.085000 1.350000 0.545000 ;
-      RECT 1.180000  1.835000 1.350000 2.635000 ;
-      RECT 2.020000  0.085000 2.190000 0.545000 ;
-      RECT 2.020000  1.835000 2.190000 2.635000 ;
-      RECT 2.860000  0.085000 3.030000 0.545000 ;
-      RECT 2.860000  1.835000 3.030000 2.635000 ;
-      RECT 3.700000  0.085000 4.005000 0.545000 ;
-      RECT 3.700000  1.835000 4.000000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__inv_8
-MACRO sky130_fd_sc_hd__inv_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__inv_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.380000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.105000 1.075000 0.435000 1.325000 ;
-    END
-  END A
-  PIN Y
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.525000 0.255000 0.855000 0.885000 ;
-        RECT 0.525000 1.485000 0.855000 2.465000 ;
-        RECT 0.605000 0.885000 0.855000 1.485000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.380000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 1.570000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.380000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.380000 0.085000 ;
-      RECT 0.000000  2.635000 1.380000 2.805000 ;
-      RECT 0.125000  0.085000 0.355000 0.905000 ;
-      RECT 0.125000  1.495000 0.355000 2.635000 ;
-      RECT 1.025000  0.085000 1.235000 0.905000 ;
-      RECT 1.025000  1.495000 1.235000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-  END
-END sky130_fd_sc_hd__inv_2
-MACRO sky130_fd_sc_hd__o32a_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o32a_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.005000 0.995000 1.175000 1.075000 ;
-        RECT 1.005000 1.075000 1.255000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.465000 0.995000 1.810000 1.325000 ;
-        RECT 1.485000 1.325000 1.810000 2.125000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.980000 0.995000 2.255000 1.660000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.320000 0.995000 3.595000 1.325000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.440000 0.995000 2.795000 1.660000 ;
-    END
-  END B2
-  PIN X
-    ANTENNADIFFAREA  0.504000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.255000 0.595000 0.825000 ;
-        RECT 0.085000 0.825000 0.260000 1.495000 ;
-        RECT 0.085000 1.495000 0.470000 2.455000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.140000 -0.085000 0.310000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.445000  0.995000 0.635000 1.075000 ;
-      RECT 0.445000  1.075000 0.810000 1.325000 ;
-      RECT 0.640000  1.325000 0.810000 1.495000 ;
-      RECT 0.640000  1.495000 1.315000 1.665000 ;
-      RECT 0.685000  1.835000 0.975000 2.635000 ;
-      RECT 0.765000  0.085000 0.935000 0.645000 ;
-      RECT 1.140000  0.255000 1.470000 0.655000 ;
-      RECT 1.140000  0.655000 2.540000 0.825000 ;
-      RECT 1.145000  1.665000 1.315000 2.295000 ;
-      RECT 1.145000  2.295000 2.510000 2.465000 ;
-      RECT 1.645000  0.085000 1.975000 0.485000 ;
-      RECT 2.180000  1.835000 3.135000 2.085000 ;
-      RECT 2.180000  2.085000 2.510000 2.295000 ;
-      RECT 2.210000  0.255000 3.595000 0.465000 ;
-      RECT 2.210000  0.465000 2.540000 0.655000 ;
-      RECT 2.710000  0.635000 3.135000 0.825000 ;
-      RECT 2.965000  0.825000 3.135000 1.835000 ;
-      RECT 3.305000  0.465000 3.595000 0.735000 ;
-      RECT 3.305000  1.495000 3.595000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o32a_1
-MACRO sky130_fd_sc_hd__o32a_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o32a_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.495000 0.995000 1.715000 1.615000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.985000 0.995000 2.160000 1.615000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.415000 0.995000 2.635000 1.615000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.695000 1.075000 4.055000 1.245000 ;
-        RECT 3.725000 1.245000 4.055000 1.325000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.910000 0.995000 3.155000 1.615000 ;
-    END
-  END B2
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 0.255000 0.845000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.085000  0.085000 0.345000 0.885000 ;
-      RECT 0.085000  1.495000 0.345000 2.635000 ;
-      RECT 1.015000  0.995000 1.325000 1.785000 ;
-      RECT 1.015000  1.785000 3.525000 1.955000 ;
-      RECT 1.015000  2.125000 1.525000 2.635000 ;
-      RECT 1.095000  0.085000 1.425000 0.825000 ;
-      RECT 1.695000  0.255000 2.025000 0.655000 ;
-      RECT 1.695000  0.655000 3.025000 0.825000 ;
-      RECT 2.195000  0.085000 2.525000 0.485000 ;
-      RECT 2.695000  0.255000 4.055000 0.425000 ;
-      RECT 2.695000  0.425000 3.025000 0.655000 ;
-      RECT 2.695000  1.955000 3.025000 2.465000 ;
-      RECT 3.195000  0.595000 3.525000 0.825000 ;
-      RECT 3.325000  0.825000 3.525000 1.785000 ;
-      RECT 3.695000  0.425000 4.055000 0.905000 ;
-      RECT 3.695000  1.495000 4.055000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o32a_2
-MACRO sky130_fd_sc_hd__o32a_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o32a_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  8.280000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.150000 1.075000 0.780000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.070000 1.075000 1.700000 1.275000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.010000 1.075000 2.625000 1.275000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.870000 1.075000 4.230000 1.275000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.790000 1.075000 5.260000 1.275000 ;
-    END
-  END B2
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.305000 0.255000 6.635000 0.715000 ;
-        RECT 6.305000 0.715000 8.135000 0.905000 ;
-        RECT 6.305000 1.495000 8.135000 1.665000 ;
-        RECT 6.305000 1.665000 6.635000 2.465000 ;
-        RECT 7.145000 0.255000 7.475000 0.715000 ;
-        RECT 7.145000 1.665000 7.475000 2.465000 ;
-        RECT 7.645000 0.905000 8.135000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 8.280000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.470000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 8.280000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 8.280000 0.085000 ;
-      RECT 0.000000  2.635000 8.280000 2.805000 ;
-      RECT 0.085000  0.255000 0.345000 0.635000 ;
-      RECT 0.085000  0.635000 2.965000 0.885000 ;
-      RECT 0.085000  1.445000 1.265000 1.665000 ;
-      RECT 0.085000  1.665000 0.425000 2.465000 ;
-      RECT 0.515000  0.085000 2.545000 0.465000 ;
-      RECT 0.595000  1.835000 0.765000 2.635000 ;
-      RECT 0.935000  1.665000 1.265000 2.295000 ;
-      RECT 0.935000  2.295000 2.105000 2.465000 ;
-      RECT 1.435000  1.445000 2.625000 1.690000 ;
-      RECT 1.435000  1.690000 1.605000 2.045000 ;
-      RECT 1.775000  1.860000 2.105000 2.295000 ;
-      RECT 2.295000  1.690000 2.625000 2.295000 ;
-      RECT 2.295000  2.295000 3.465000 2.465000 ;
-      RECT 2.715000  0.255000 5.695000 0.465000 ;
-      RECT 2.715000  0.465000 2.965000 0.635000 ;
-      RECT 2.795000  1.105000 3.645000 1.275000 ;
-      RECT 2.795000  1.275000 2.965000 2.045000 ;
-      RECT 3.135000  1.445000 3.465000 2.295000 ;
-      RECT 3.455000  0.635000 5.775000 0.805000 ;
-      RECT 3.455000  0.805000 3.645000 1.105000 ;
-      RECT 3.655000  1.445000 3.985000 1.785000 ;
-      RECT 3.655000  1.785000 4.825000 1.955000 ;
-      RECT 3.655000  1.955000 3.985000 2.465000 ;
-      RECT 4.155000  2.125000 4.325000 2.635000 ;
-      RECT 4.400000  0.805000 4.620000 1.445000 ;
-      RECT 4.400000  1.445000 5.195000 1.615000 ;
-      RECT 4.495000  1.955000 4.825000 2.285000 ;
-      RECT 4.495000  2.285000 5.695000 2.465000 ;
-      RECT 5.025000  1.615000 5.195000 2.115000 ;
-      RECT 5.365000  1.445000 5.695000 2.285000 ;
-      RECT 5.520000  0.805000 5.775000 1.075000 ;
-      RECT 5.520000  1.075000 7.475000 1.245000 ;
-      RECT 5.520000  1.245000 6.135000 1.265000 ;
-      RECT 5.965000  0.085000 6.135000 0.885000 ;
-      RECT 5.965000  1.835000 6.135000 2.635000 ;
-      RECT 6.805000  0.085000 6.975000 0.545000 ;
-      RECT 6.805000  1.835000 6.975000 2.635000 ;
-      RECT 7.645000  0.085000 7.900000 0.545000 ;
-      RECT 7.645000  1.835000 7.900000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o32a_4
-MACRO sky130_fd_sc_hd__tap_1
-  CLASS CORE WELLTAP ;
-  FOREIGN sky130_fd_sc_hd__tap_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  0.460000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 0.460000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.265000 0.375000 0.810000 ;
-      LAYER pwell ;
-        RECT 0.145000 0.320000 0.315000 0.845000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.470000 0.375000 2.455000 ;
-      LAYER nwell ;
-        RECT -0.190000 1.305000 0.650000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 0.460000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 0.460000 0.085000 ;
-      RECT 0.000000  2.635000 0.460000 2.805000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-  END
-END sky130_fd_sc_hd__tap_1
-MACRO sky130_fd_sc_hd__tap_2
-  CLASS CORE WELLTAP ;
-  FOREIGN sky130_fd_sc_hd__tap_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  0.920000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 0.920000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.265000 0.835000 0.810000 ;
-      LAYER pwell ;
-        RECT 0.145000 0.320000 0.775000 0.845000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.470000 0.835000 2.455000 ;
-      LAYER nwell ;
-        RECT -0.190000 1.305000 1.110000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 0.920000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 0.920000 0.085000 ;
-      RECT 0.000000  2.635000 0.920000 2.805000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-  END
-END sky130_fd_sc_hd__tap_2
-MACRO sky130_fd_sc_hd__dlxbn_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlxbn_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.820000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.480000 0.955000 1.810000 1.325000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.215000 0.415000 5.465000 0.660000 ;
-        RECT 5.215000 0.660000 5.500000 0.825000 ;
-        RECT 5.215000 1.495000 5.500000 1.710000 ;
-        RECT 5.215000 1.710000 5.465000 2.455000 ;
-        RECT 5.330000 0.825000 5.500000 0.995000 ;
-        RECT 5.330000 0.995000 5.905000 1.325000 ;
-        RECT 5.330000 1.325000 5.500000 1.495000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.453750 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.050000 0.255000 7.305000 0.825000 ;
-        RECT 7.050000 1.445000 7.305000 2.465000 ;
-        RECT 7.095000 0.825000 7.305000 1.055000 ;
-        RECT 7.095000 1.055000 7.735000 1.325000 ;
-        RECT 7.095000 1.325000 7.305000 1.445000 ;
-    END
-  END Q_N
-  PIN GATE_N
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.985000 0.330000 1.625000 ;
-    END
-  END GATE_N
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.820000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.010000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.820000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.820000 0.085000 ;
-      RECT 0.000000  2.635000 7.820000 2.805000 ;
-      RECT 0.175000  0.345000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 0.780000 0.805000 ;
-      RECT 0.175000  1.795000 0.780000 1.965000 ;
-      RECT 0.175000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.780000 1.070000 ;
-      RECT 0.610000  1.070000 0.840000 1.400000 ;
-      RECT 0.610000  1.400000 0.780000 1.795000 ;
-      RECT 1.015000  0.345000 1.185000 1.685000 ;
-      RECT 1.015000  1.685000 1.240000 2.465000 ;
-      RECT 1.475000  1.495000 2.160000 1.665000 ;
-      RECT 1.475000  1.665000 1.805000 2.415000 ;
-      RECT 1.555000  0.345000 1.725000 0.615000 ;
-      RECT 1.555000  0.615000 2.160000 0.765000 ;
-      RECT 1.555000  0.765000 2.360000 0.785000 ;
-      RECT 1.895000  0.085000 2.225000 0.445000 ;
-      RECT 1.975000  1.835000 2.290000 2.635000 ;
-      RECT 1.990000  0.785000 2.360000 1.095000 ;
-      RECT 1.990000  1.095000 2.160000 1.495000 ;
-      RECT 2.490000  1.355000 2.775000 2.005000 ;
-      RECT 2.735000  0.705000 3.115000 1.035000 ;
-      RECT 2.860000  0.365000 3.520000 0.535000 ;
-      RECT 2.920000  2.255000 3.670000 2.425000 ;
-      RECT 2.945000  1.035000 3.115000 1.415000 ;
-      RECT 2.945000  1.415000 3.285000 1.995000 ;
-      RECT 3.350000  0.535000 3.520000 0.995000 ;
-      RECT 3.350000  0.995000 4.220000 1.165000 ;
-      RECT 3.500000  1.165000 4.220000 1.325000 ;
-      RECT 3.500000  1.325000 3.670000 2.255000 ;
-      RECT 3.760000  0.085000 4.090000 0.825000 ;
-      RECT 3.840000  2.135000 4.140000 2.635000 ;
-      RECT 3.860000  1.535000 4.580000 1.865000 ;
-      RECT 4.360000  0.415000 4.580000 0.825000 ;
-      RECT 4.360000  1.865000 4.580000 2.435000 ;
-      RECT 4.410000  0.825000 4.580000 0.995000 ;
-      RECT 4.410000  0.995000 5.160000 1.325000 ;
-      RECT 4.410000  1.325000 4.580000 1.535000 ;
-      RECT 4.760000  0.085000 5.045000 0.825000 ;
-      RECT 4.760000  1.495000 5.045000 2.635000 ;
-      RECT 5.635000  0.085000 5.905000 0.545000 ;
-      RECT 5.635000  1.835000 5.905000 2.635000 ;
-      RECT 6.075000  0.255000 6.405000 0.995000 ;
-      RECT 6.075000  0.995000 6.925000 1.325000 ;
-      RECT 6.075000  1.325000 6.405000 2.465000 ;
-      RECT 6.585000  0.085000 6.880000 0.545000 ;
-      RECT 6.585000  1.835000 6.880000 2.635000 ;
-      RECT 7.475000  0.085000 7.735000 0.885000 ;
-      RECT 7.475000  1.495000 7.735000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.610000  1.445000 0.780000 1.615000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.070000  1.785000 1.240000 1.955000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.490000  1.785000 2.660000 1.955000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 2.950000  1.445000 3.120000 1.615000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.415000 0.840000 1.460000 ;
-      RECT 0.550000 1.460000 3.180000 1.600000 ;
-      RECT 0.550000 1.600000 0.840000 1.645000 ;
-      RECT 1.010000 1.755000 1.300000 1.800000 ;
-      RECT 1.010000 1.800000 2.720000 1.940000 ;
-      RECT 1.010000 1.940000 1.300000 1.985000 ;
-      RECT 2.430000 1.755000 2.720000 1.800000 ;
-      RECT 2.430000 1.940000 2.720000 1.985000 ;
-      RECT 2.890000 1.415000 3.180000 1.460000 ;
-      RECT 2.890000 1.600000 3.180000 1.645000 ;
-  END
-END sky130_fd_sc_hd__dlxbn_2
-MACRO sky130_fd_sc_hd__dlxbn_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlxbn_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.900000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.445000 0.955000 1.785000 1.325000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.140000 0.415000 5.480000 0.745000 ;
-        RECT 5.140000 1.670000 5.480000 2.465000 ;
-        RECT 5.310000 0.745000 5.480000 1.670000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.555000 0.255000 6.815000 0.825000 ;
-        RECT 6.555000 1.505000 6.815000 2.465000 ;
-        RECT 6.625000 0.825000 6.815000 1.505000 ;
-    END
-  END Q_N
-  PIN GATE_N
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.985000 0.330000 1.625000 ;
-    END
-  END GATE_N
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 6.900000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.090000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.900000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.900000 0.085000 ;
-      RECT 0.000000  2.635000 6.900000 2.805000 ;
-      RECT 0.175000  0.345000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 0.780000 0.805000 ;
-      RECT 0.175000  1.795000 0.780000 1.965000 ;
-      RECT 0.175000  1.965000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  2.135000 0.845000 2.635000 ;
-      RECT 0.610000  0.805000 0.780000 1.070000 ;
-      RECT 0.610000  1.070000 0.840000 1.400000 ;
-      RECT 0.610000  1.400000 0.780000 1.795000 ;
-      RECT 1.015000  0.345000 1.185000 1.685000 ;
-      RECT 1.015000  1.685000 1.240000 2.465000 ;
-      RECT 1.480000  1.495000 2.165000 1.665000 ;
-      RECT 1.480000  1.665000 1.810000 2.415000 ;
-      RECT 1.535000  0.345000 1.705000 0.615000 ;
-      RECT 1.535000  0.615000 2.165000 0.785000 ;
-      RECT 1.875000  0.085000 2.230000 0.445000 ;
-      RECT 1.980000  1.835000 2.295000 2.635000 ;
-      RECT 1.995000  0.785000 2.165000 0.905000 ;
-      RECT 1.995000  0.905000 2.365000 1.235000 ;
-      RECT 1.995000  1.235000 2.165000 1.495000 ;
-      RECT 2.495000  1.355000 2.780000 2.005000 ;
-      RECT 2.565000  0.705000 3.120000 1.035000 ;
-      RECT 2.790000  0.365000 3.525000 0.535000 ;
-      RECT 2.920000  2.105000 3.620000 2.115000 ;
-      RECT 2.920000  2.115000 3.615000 2.130000 ;
-      RECT 2.920000  2.130000 3.610000 2.275000 ;
-      RECT 2.950000  1.035000 3.120000 1.415000 ;
-      RECT 2.950000  1.415000 3.290000 1.910000 ;
-      RECT 3.355000  0.535000 3.525000 0.995000 ;
-      RECT 3.355000  0.995000 4.225000 1.165000 ;
-      RECT 3.360000  2.075000 3.630000 2.090000 ;
-      RECT 3.360000  2.090000 3.625000 2.105000 ;
-      RECT 3.375000  2.060000 3.630000 2.075000 ;
-      RECT 3.420000  2.030000 3.630000 2.060000 ;
-      RECT 3.430000  2.015000 3.630000 2.030000 ;
-      RECT 3.460000  1.165000 4.225000 1.325000 ;
-      RECT 3.460000  1.325000 3.630000 2.015000 ;
-      RECT 3.765000  0.085000 4.095000 0.610000 ;
-      RECT 3.780000  2.175000 3.950000 2.635000 ;
-      RECT 3.800000  1.535000 4.580000 1.620000 ;
-      RECT 3.800000  1.620000 4.550000 1.865000 ;
-      RECT 4.300000  0.415000 4.470000 0.660000 ;
-      RECT 4.300000  0.660000 4.580000 0.840000 ;
-      RECT 4.300000  1.865000 4.550000 2.435000 ;
-      RECT 4.395000  0.840000 4.580000 0.995000 ;
-      RECT 4.395000  0.995000 5.140000 1.325000 ;
-      RECT 4.395000  1.325000 4.580000 1.535000 ;
-      RECT 4.640000  0.085000 4.970000 0.495000 ;
-      RECT 4.720000  1.830000 4.970000 2.635000 ;
-      RECT 5.660000  0.255000 5.910000 0.995000 ;
-      RECT 5.660000  0.995000 6.455000 1.325000 ;
-      RECT 5.660000  1.325000 5.910000 2.465000 ;
-      RECT 6.090000  0.085000 6.385000 0.545000 ;
-      RECT 6.090000  1.835000 6.385000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.610000  1.445000 0.780000 1.615000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.070000  1.785000 1.240000 1.955000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.495000  1.785000 2.665000 1.955000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 2.955000  1.445000 3.125000 1.615000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.550000 1.415000 0.840000 1.460000 ;
-      RECT 0.550000 1.460000 3.185000 1.600000 ;
-      RECT 0.550000 1.600000 0.840000 1.645000 ;
-      RECT 1.010000 1.755000 1.300000 1.800000 ;
-      RECT 1.010000 1.800000 2.725000 1.940000 ;
-      RECT 1.010000 1.940000 1.300000 1.985000 ;
-      RECT 2.435000 1.755000 2.725000 1.800000 ;
-      RECT 2.435000 1.940000 2.725000 1.985000 ;
-      RECT 2.895000 1.415000 3.185000 1.460000 ;
-      RECT 2.895000 1.600000 3.185000 1.645000 ;
-  END
-END sky130_fd_sc_hd__dlxbn_1
-MACRO sky130_fd_sc_hd__buf_12
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__buf_12 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.360000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.135000 1.075000 1.660000 1.275000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  2.673000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.275000 0.255000 2.445000 0.735000 ;
-        RECT 2.275000 0.735000 6.645000 0.905000 ;
-        RECT 2.275000 1.445000 6.645000 1.615000 ;
-        RECT 2.275000 1.615000 2.445000 2.465000 ;
-        RECT 3.115000 0.255000 3.285000 0.735000 ;
-        RECT 3.115000 1.615000 3.285000 2.465000 ;
-        RECT 3.955000 0.255000 4.125000 0.735000 ;
-        RECT 3.955000 1.615000 4.125000 2.465000 ;
-        RECT 4.710000 0.905000 6.645000 1.445000 ;
-        RECT 4.795000 0.255000 4.965000 0.735000 ;
-        RECT 4.795000 1.615000 4.965000 2.465000 ;
-        RECT 5.635000 0.255000 5.805000 0.735000 ;
-        RECT 5.635000 1.615000 5.805000 2.465000 ;
-        RECT 6.475000 0.255000 6.645000 0.735000 ;
-        RECT 6.475000 1.615000 6.645000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.360000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.570000 -0.085000 0.740000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.550000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.360000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.360000 0.085000 ;
-      RECT 0.000000  2.635000 7.360000 2.805000 ;
-      RECT 0.095000  0.085000 0.425000 0.565000 ;
-      RECT 0.175000  1.835000 0.345000 2.635000 ;
-      RECT 0.515000  1.445000 2.015000 1.615000 ;
-      RECT 0.515000  1.615000 0.845000 2.465000 ;
-      RECT 0.595000  0.255000 0.765000 0.735000 ;
-      RECT 0.595000  0.735000 2.015000 0.905000 ;
-      RECT 0.935000  0.085000 1.265000 0.565000 ;
-      RECT 1.015000  1.835000 1.185000 2.635000 ;
-      RECT 1.355000  1.615000 1.685000 2.465000 ;
-      RECT 1.435000  0.260000 1.605000 0.735000 ;
-      RECT 1.775000  0.085000 2.105000 0.565000 ;
-      RECT 1.840000  0.905000 2.015000 1.075000 ;
-      RECT 1.840000  1.075000 4.465000 1.245000 ;
-      RECT 1.840000  1.245000 2.015000 1.445000 ;
-      RECT 1.855000  1.835000 2.025000 2.635000 ;
-      RECT 2.615000  0.085000 2.945000 0.565000 ;
-      RECT 2.615000  1.835000 2.945000 2.635000 ;
-      RECT 3.455000  0.085000 3.785000 0.565000 ;
-      RECT 3.455000  1.835000 3.785000 2.635000 ;
-      RECT 4.295000  0.085000 4.625000 0.565000 ;
-      RECT 4.295000  1.835000 4.625000 2.635000 ;
-      RECT 5.135000  0.085000 5.465000 0.565000 ;
-      RECT 5.135000  1.835000 5.465000 2.635000 ;
-      RECT 5.975000  0.085000 6.305000 0.565000 ;
-      RECT 5.975000  1.835000 6.305000 2.635000 ;
-      RECT 6.815000  0.085000 7.145000 0.885000 ;
-      RECT 6.815000  1.485000 7.145000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-  END
-END sky130_fd_sc_hd__buf_12
-MACRO sky130_fd_sc_hd__buf_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__buf_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 1.075000 0.470000 1.315000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.015000 0.255000 1.185000 0.735000 ;
-        RECT 1.015000 0.735000 2.025000 0.905000 ;
-        RECT 1.015000 1.445000 2.025000 1.615000 ;
-        RECT 1.015000 1.615000 1.185000 2.465000 ;
-        RECT 1.530000 0.905000 2.025000 1.445000 ;
-        RECT 1.855000 0.255000 2.025000 0.735000 ;
-        RECT 1.855000 1.615000 2.025000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.095000  1.485000 0.810000 1.655000 ;
-      RECT 0.095000  1.655000 0.425000 2.465000 ;
-      RECT 0.175000  0.255000 0.345000 0.735000 ;
-      RECT 0.175000  0.735000 0.810000 0.905000 ;
-      RECT 0.525000  0.085000 0.765000 0.565000 ;
-      RECT 0.595000  1.835000 0.835000 2.635000 ;
-      RECT 0.640000  0.905000 0.810000 1.075000 ;
-      RECT 0.640000  1.075000 1.140000 1.245000 ;
-      RECT 0.640000  1.245000 0.810000 1.485000 ;
-      RECT 1.355000  0.085000 1.685000 0.565000 ;
-      RECT 1.355000  1.835000 1.685000 2.635000 ;
-      RECT 2.195000  0.085000 2.525000 0.885000 ;
-      RECT 2.195000  1.485000 2.525000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__buf_4
-MACRO sky130_fd_sc_hd__buf_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__buf_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.380000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.196500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.105000 0.985000 0.445000 1.355000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.340600 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.025000 1.560000 1.295000 2.465000 ;
-        RECT 1.035000 0.255000 1.295000 0.760000 ;
-        RECT 1.115000 0.760000 1.295000 1.560000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.380000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.155000 -0.085000 0.325000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 1.570000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.380000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.380000 0.085000 ;
-      RECT 0.000000  2.635000 1.380000 2.805000 ;
-      RECT 0.165000  1.535000 0.840000 1.705000 ;
-      RECT 0.165000  1.705000 0.345000 2.465000 ;
-      RECT 0.175000  0.255000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 0.840000 0.805000 ;
-      RECT 0.525000  0.085000 0.855000 0.465000 ;
-      RECT 0.525000  1.875000 0.855000 2.635000 ;
-      RECT 0.670000  0.805000 0.840000 1.060000 ;
-      RECT 0.670000  1.060000 0.945000 1.390000 ;
-      RECT 0.670000  1.390000 0.840000 1.535000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-  END
-END sky130_fd_sc_hd__buf_1
-MACRO sky130_fd_sc_hd__buf_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__buf_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.840000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.985000 0.440000 1.355000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.060000 0.255000 1.315000 0.830000 ;
-        RECT 1.060000 1.560000 1.315000 2.465000 ;
-        RECT 1.145000 0.830000 1.315000 1.560000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.840000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.030000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.840000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.840000 0.085000 ;
-      RECT 0.000000  2.635000 1.840000 2.805000 ;
-      RECT 0.175000  0.255000 0.345000 0.635000 ;
-      RECT 0.175000  0.635000 0.890000 0.805000 ;
-      RECT 0.175000  1.535000 0.890000 1.705000 ;
-      RECT 0.175000  1.705000 0.345000 2.465000 ;
-      RECT 0.560000  0.085000 0.890000 0.465000 ;
-      RECT 0.560000  1.875000 0.890000 2.635000 ;
-      RECT 0.720000  0.805000 0.890000 0.995000 ;
-      RECT 0.720000  0.995000 0.975000 1.325000 ;
-      RECT 0.720000  1.325000 0.890000 1.535000 ;
-      RECT 1.490000  0.085000 1.750000 0.925000 ;
-      RECT 1.490000  1.485000 1.750000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-  END
-END sky130_fd_sc_hd__buf_2
-MACRO sky130_fd_sc_hd__buf_6
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__buf_6 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.280000 1.075000 1.185000 1.315000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  1.336500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.695000 0.255000 1.865000 0.735000 ;
-        RECT 1.695000 0.735000 3.545000 0.905000 ;
-        RECT 1.695000 1.445000 3.545000 1.615000 ;
-        RECT 1.695000 1.615000 1.865000 2.465000 ;
-        RECT 2.210000 0.905000 3.545000 1.445000 ;
-        RECT 2.535000 0.255000 2.705000 0.735000 ;
-        RECT 2.535000 1.615000 2.705000 2.465000 ;
-        RECT 3.375000 0.255000 3.545000 0.735000 ;
-        RECT 3.375000 1.615000 3.545000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.435000  0.085000 0.605000 0.565000 ;
-      RECT 0.435000  1.485000 0.605000 2.635000 ;
-      RECT 0.775000  0.255000 1.105000 0.735000 ;
-      RECT 0.775000  0.735000 1.525000 0.905000 ;
-      RECT 0.775000  1.485000 1.525000 1.655000 ;
-      RECT 0.775000  1.655000 1.105000 2.465000 ;
-      RECT 1.275000  0.085000 1.445000 0.565000 ;
-      RECT 1.275000  1.835000 1.515000 2.635000 ;
-      RECT 1.355000  0.905000 1.525000 1.075000 ;
-      RECT 1.355000  1.075000 1.825000 1.245000 ;
-      RECT 1.355000  1.245000 1.525000 1.485000 ;
-      RECT 2.035000  0.085000 2.365000 0.565000 ;
-      RECT 2.035000  1.835000 2.365000 2.635000 ;
-      RECT 2.875000  0.085000 3.205000 0.565000 ;
-      RECT 2.875000  1.835000 3.205000 2.635000 ;
-      RECT 3.715000  0.085000 4.045000 0.885000 ;
-      RECT 3.715000  1.485000 4.045000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__buf_6
-MACRO sky130_fd_sc_hd__buf_8
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__buf_8 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.520000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.742500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.140000 1.075000 1.240000 1.275000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  1.782000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.855000 0.255000 2.025000 0.735000 ;
-        RECT 1.855000 0.735000 4.545000 0.905000 ;
-        RECT 1.855000 1.445000 4.545000 1.615000 ;
-        RECT 1.855000 1.615000 2.025000 2.465000 ;
-        RECT 2.695000 0.255000 2.865000 0.735000 ;
-        RECT 2.695000 1.615000 2.865000 2.465000 ;
-        RECT 3.535000 0.255000 3.705000 0.735000 ;
-        RECT 3.535000 1.615000 3.705000 2.465000 ;
-        RECT 4.290000 0.905000 4.545000 1.445000 ;
-        RECT 4.375000 0.255000 4.545000 0.735000 ;
-        RECT 4.375000 1.615000 4.545000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.520000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.710000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.520000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.520000 0.085000 ;
-      RECT 0.000000  2.635000 5.520000 2.805000 ;
-      RECT 0.095000  1.445000 1.595000 1.615000 ;
-      RECT 0.095000  1.615000 0.425000 2.465000 ;
-      RECT 0.175000  0.255000 0.345000 0.735000 ;
-      RECT 0.175000  0.735000 1.595000 0.905000 ;
-      RECT 0.515000  0.085000 0.845000 0.565000 ;
-      RECT 0.595000  1.835000 0.765000 2.635000 ;
-      RECT 0.935000  1.615000 1.265000 2.465000 ;
-      RECT 1.015000  0.260000 1.185000 0.735000 ;
-      RECT 1.355000  0.085000 1.685000 0.565000 ;
-      RECT 1.420000  0.905000 1.595000 1.075000 ;
-      RECT 1.420000  1.075000 4.045000 1.245000 ;
-      RECT 1.420000  1.245000 1.595000 1.445000 ;
-      RECT 1.435000  1.835000 1.605000 2.635000 ;
-      RECT 2.195000  0.085000 2.525000 0.565000 ;
-      RECT 2.195000  1.835000 2.525000 2.635000 ;
-      RECT 3.035000  0.085000 3.365000 0.565000 ;
-      RECT 3.035000  1.835000 3.365000 2.635000 ;
-      RECT 3.875000  0.085000 4.205000 0.565000 ;
-      RECT 3.875000  1.835000 4.205000 2.635000 ;
-      RECT 4.715000  0.085000 5.045000 0.885000 ;
-      RECT 4.715000  1.485000 5.045000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-  END
-END sky130_fd_sc_hd__buf_8
-MACRO sky130_fd_sc_hd__buf_16
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__buf_16 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  10.12000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  1.485000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 2.485000 1.275000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  3.564000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.035000 0.255000  3.285000 0.260000 ;
-        RECT 3.035000 0.260000  3.365000 0.735000 ;
-        RECT 3.035000 0.735000 10.035000 0.905000 ;
-        RECT 3.035000 1.445000 10.035000 1.615000 ;
-        RECT 3.035000 1.615000  3.365000 2.465000 ;
-        RECT 3.875000 0.260000  4.205000 0.735000 ;
-        RECT 3.875000 1.615000  4.205000 2.465000 ;
-        RECT 3.955000 0.255000  4.125000 0.260000 ;
-        RECT 4.715000 0.260000  5.045000 0.735000 ;
-        RECT 4.715000 1.615000  5.045000 2.465000 ;
-        RECT 4.795000 0.255000  4.965000 0.260000 ;
-        RECT 5.555000 0.260000  5.885000 0.735000 ;
-        RECT 5.555000 1.615000  5.885000 2.465000 ;
-        RECT 6.395000 0.260000  6.725000 0.735000 ;
-        RECT 6.395000 1.615000  6.725000 2.465000 ;
-        RECT 7.235000 0.260000  7.565000 0.735000 ;
-        RECT 7.235000 1.615000  7.565000 2.465000 ;
-        RECT 8.075000 0.260000  8.405000 0.735000 ;
-        RECT 8.075000 1.615000  8.405000 2.465000 ;
-        RECT 8.915000 0.260000  9.245000 0.735000 ;
-        RECT 8.915000 1.615000  9.245000 2.465000 ;
-        RECT 9.655000 0.905000 10.035000 1.445000 ;
-        RECT 9.760000 0.365000 10.035000 0.735000 ;
-        RECT 9.760000 1.615000 10.035000 2.360000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 10.120000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 10.310000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 10.120000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 10.120000 0.085000 ;
-      RECT 0.000000  2.635000 10.120000 2.805000 ;
-      RECT 0.175000  0.085000  0.345000 0.905000 ;
-      RECT 0.175000  1.445000  0.345000 2.635000 ;
-      RECT 0.515000  0.260000  0.845000 0.735000 ;
-      RECT 0.515000  0.735000  2.865000 0.905000 ;
-      RECT 0.515000  1.445000  2.865000 1.615000 ;
-      RECT 0.515000  1.615000  0.845000 2.465000 ;
-      RECT 1.015000  0.085000  1.185000 0.565000 ;
-      RECT 1.015000  1.835000  1.185000 2.635000 ;
-      RECT 1.355000  0.260000  1.685000 0.735000 ;
-      RECT 1.355000  1.615000  1.685000 2.465000 ;
-      RECT 1.855000  0.085000  2.025000 0.565000 ;
-      RECT 1.855000  1.835000  2.025000 2.635000 ;
-      RECT 2.195000  0.260000  2.525000 0.735000 ;
-      RECT 2.195000  1.615000  2.525000 2.465000 ;
-      RECT 2.690000  0.905000  2.865000 1.075000 ;
-      RECT 2.690000  1.075000  9.410000 1.275000 ;
-      RECT 2.690000  1.275000  2.865000 1.445000 ;
-      RECT 2.695000  0.085000  2.865000 0.565000 ;
-      RECT 2.695000  1.835000  2.865000 2.635000 ;
-      RECT 3.535000  0.085000  3.705000 0.565000 ;
-      RECT 3.535000  1.835000  3.705000 2.635000 ;
-      RECT 4.375000  0.085000  4.545000 0.565000 ;
-      RECT 4.375000  1.835000  4.545000 2.635000 ;
-      RECT 5.215000  0.085000  5.385000 0.565000 ;
-      RECT 5.215000  1.835000  5.385000 2.635000 ;
-      RECT 6.055000  0.085000  6.225000 0.565000 ;
-      RECT 6.055000  1.835000  6.225000 2.635000 ;
-      RECT 6.895000  0.085000  7.065000 0.565000 ;
-      RECT 6.895000  1.835000  7.065000 2.635000 ;
-      RECT 7.735000  0.085000  7.905000 0.565000 ;
-      RECT 7.735000  1.835000  7.905000 2.635000 ;
-      RECT 8.575000  0.085000  8.745000 0.565000 ;
-      RECT 8.575000  1.835000  8.745000 2.635000 ;
-      RECT 9.415000  0.085000  9.585000 0.565000 ;
-      RECT 9.415000  1.835000  9.585000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-      RECT 9.805000 -0.085000 9.975000 0.085000 ;
-      RECT 9.805000  2.635000 9.975000 2.805000 ;
-  END
-END sky130_fd_sc_hd__buf_16
-MACRO sky130_fd_sc_hd__o21ba_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o21ba_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.100000 1.075000 3.595000 1.625000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.445000 1.075000 2.930000 1.285000 ;
-    END
-  END A2
-  PIN B1_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.425000 0.995000 0.775000 1.325000 ;
-        RECT 0.595000 1.325000 0.775000 1.695000 ;
-    END
-  END B1_N
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.945000 0.255000 1.240000 0.595000 ;
-        RECT 0.945000 0.595000 1.115000 1.495000 ;
-        RECT 0.945000 1.495000 1.350000 1.695000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.085000  0.430000 0.345000 0.825000 ;
-      RECT 0.085000  0.825000 0.255000 1.495000 ;
-      RECT 0.085000  1.495000 0.395000 1.865000 ;
-      RECT 0.085000  1.865000 1.935000 2.035000 ;
-      RECT 0.520000  2.205000 0.910000 2.635000 ;
-      RECT 0.595000  0.085000 0.775000 0.825000 ;
-      RECT 1.285000  0.890000 1.595000 1.060000 ;
-      RECT 1.285000  1.060000 1.455000 1.325000 ;
-      RECT 1.410000  0.085000 1.770000 0.485000 ;
-      RECT 1.415000  2.205000 2.230000 2.635000 ;
-      RECT 1.425000  0.655000 2.275000 0.825000 ;
-      RECT 1.425000  0.825000 1.595000 0.890000 ;
-      RECT 1.765000  0.995000 1.935000 1.865000 ;
-      RECT 1.940000  0.255000 2.275000 0.655000 ;
-      RECT 2.105000  0.825000 2.275000 1.455000 ;
-      RECT 2.105000  1.455000 2.725000 2.035000 ;
-      RECT 2.400000  2.035000 2.725000 2.465000 ;
-      RECT 2.445000  0.365000 2.745000 0.735000 ;
-      RECT 2.445000  0.735000 3.590000 0.905000 ;
-      RECT 2.915000  0.085000 3.085000 0.555000 ;
-      RECT 3.200000  1.875000 3.530000 2.635000 ;
-      RECT 3.255000  0.270000 3.590000 0.735000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o21ba_2
-MACRO sky130_fd_sc_hd__o21ba_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o21ba_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.980000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.990000 1.075000 5.895000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.780000 1.075000 4.820000 1.275000 ;
-    END
-  END A2
-  PIN B1_N
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.425000 1.075000 0.885000 1.285000 ;
-        RECT 0.605000 1.285000 0.885000 1.705000 ;
-    END
-  END B1_N
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.055000 0.255000 1.385000 0.725000 ;
-        RECT 1.055000 0.725000 2.225000 0.905000 ;
-        RECT 1.055000 0.905000 1.455000 1.445000 ;
-        RECT 1.055000 1.445000 2.225000 1.705000 ;
-        RECT 1.895000 0.255000 2.225000 0.725000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.980000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.170000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.980000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.980000 0.085000 ;
-      RECT 0.000000  2.635000 5.980000 2.805000 ;
-      RECT 0.085000  0.265000 0.545000 0.855000 ;
-      RECT 0.085000  0.855000 0.255000 1.455000 ;
-      RECT 0.085000  1.455000 0.435000 1.875000 ;
-      RECT 0.085000  1.875000 2.565000 2.045000 ;
-      RECT 0.085000  2.045000 0.435000 2.465000 ;
-      RECT 0.635000  2.215000 0.965000 2.635000 ;
-      RECT 0.715000  0.085000 0.885000 0.905000 ;
-      RECT 1.475000  2.215000 1.805000 2.635000 ;
-      RECT 1.555000  0.085000 1.725000 0.555000 ;
-      RECT 1.625000  1.075000 2.565000 1.275000 ;
-      RECT 2.315000  2.215000 2.645000 2.635000 ;
-      RECT 2.395000  0.085000 2.565000 0.555000 ;
-      RECT 2.395000  0.725000 3.585000 0.895000 ;
-      RECT 2.395000  0.895000 2.565000 1.075000 ;
-      RECT 2.395000  1.445000 2.905000 1.615000 ;
-      RECT 2.395000  1.615000 2.565000 1.875000 ;
-      RECT 2.735000  1.075000 3.135000 1.245000 ;
-      RECT 2.735000  1.245000 2.905000 1.445000 ;
-      RECT 2.805000  0.255000 4.005000 0.475000 ;
-      RECT 2.815000  1.795000 4.380000 1.965000 ;
-      RECT 2.815000  1.965000 2.985000 2.465000 ;
-      RECT 3.200000  2.135000 3.450000 2.635000 ;
-      RECT 3.235000  0.645000 3.585000 0.725000 ;
-      RECT 3.395000  0.895000 3.585000 1.795000 ;
-      RECT 3.685000  2.135000 3.925000 2.295000 ;
-      RECT 3.685000  2.295000 4.765000 2.465000 ;
-      RECT 3.755000  0.475000 4.005000 0.725000 ;
-      RECT 3.755000  0.725000 5.710000 0.905000 ;
-      RECT 4.135000  1.445000 4.380000 1.795000 ;
-      RECT 4.135000  1.965000 4.380000 2.125000 ;
-      RECT 4.175000  0.085000 4.345000 0.555000 ;
-      RECT 4.515000  0.255000 4.845000 0.725000 ;
-      RECT 4.595000  1.455000 5.710000 1.665000 ;
-      RECT 4.595000  1.665000 4.765000 2.295000 ;
-      RECT 4.935000  1.835000 5.265000 2.635000 ;
-      RECT 5.015000  0.085000 5.185000 0.555000 ;
-      RECT 5.355000  0.265000 5.710000 0.725000 ;
-      RECT 5.435000  1.665000 5.710000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o21ba_4
-MACRO sky130_fd_sc_hd__o21ba_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o21ba_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.950000 1.075000 3.595000 1.285000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.210000 1.075000 2.780000 1.285000 ;
-    END
-  END A2
-  PIN B1_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.030000 0.995000 1.360000 1.325000 ;
-    END
-  END B1_N
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.450000 0.445000 0.825000 ;
-        RECT 0.085000 0.825000 0.340000 1.480000 ;
-        RECT 0.085000 1.480000 0.425000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.510000  0.995000 0.860000 1.325000 ;
-      RECT 0.595000  1.325000 0.860000 1.865000 ;
-      RECT 0.595000  1.865000 2.575000 2.035000 ;
-      RECT 0.595000  2.205000 1.005000 2.635000 ;
-      RECT 0.710000  0.085000 0.880000 0.825000 ;
-      RECT 1.075000  1.525000 1.700000 1.695000 ;
-      RECT 1.160000  0.450000 1.330000 0.655000 ;
-      RECT 1.160000  0.655000 1.700000 0.825000 ;
-      RECT 1.530000  0.825000 1.700000 1.525000 ;
-      RECT 1.750000  2.215000 2.080000 2.635000 ;
-      RECT 1.870000  0.255000 2.040000 1.455000 ;
-      RECT 1.870000  1.455000 2.575000 1.865000 ;
-      RECT 2.250000  2.035000 2.575000 2.465000 ;
-      RECT 2.270000  0.255000 2.600000 0.735000 ;
-      RECT 2.270000  0.735000 3.440000 0.905000 ;
-      RECT 2.770000  0.085000 2.940000 0.555000 ;
-      RECT 3.050000  1.535000 3.380000 2.635000 ;
-      RECT 3.110000  0.270000 3.440000 0.735000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o21ba_1
-MACRO sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.360000 BY  5.440000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.603000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.970000 1.070000 3.290000 1.540000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  1.072500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.335000 0.255000 5.635000 0.980000 ;
-        RECT 5.360000 0.980000 5.635000 1.085000 ;
-        RECT 5.360000 1.085000 6.555000 1.410000 ;
-        RECT 5.360000 1.410000 5.635000 2.370000 ;
-        RECT 6.280000 1.410000 6.555000 2.370000 ;
-        RECT 6.335000 0.255000 6.555000 1.085000 ;
-    END
-  END X
-  PIN LOWLVPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 1.380000 2.065000 2.390000 2.335000 ;
-        RECT 2.060000 1.635000 2.390000 2.065000 ;
-        RECT 2.060000 2.335000 2.390000 2.660000 ;
-        RECT 2.060000 2.660000 2.810000 3.750000 ;
-      LAYER mcon ;
-        RECT 1.420000 2.115000 1.590000 2.285000 ;
-        RECT 1.780000 2.115000 1.950000 2.285000 ;
-        RECT 2.140000 2.115000 2.310000 2.285000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 2.140000 7.290000 2.280000 ;
-        RECT 1.360000 2.085000 2.370000 2.140000 ;
-        RECT 1.360000 2.280000 2.370000 2.315000 ;
-      LAYER nwell ;
-        RECT 1.920000 1.305000 2.980000 4.135000 ;
-    END
-  END LOWLVPWR
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 5.200000 7.360000 5.680000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.075000 5.245000 0.200000 5.395000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT 4.250000 1.305000 7.405000 4.135000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.360000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.360000 0.085000 ;
-      RECT 0.000000  2.635000 1.890000 2.805000 ;
-      RECT 0.000000  5.355000 7.360000 5.525000 ;
-      RECT 2.020000  0.085000 2.350000 0.895000 ;
-      RECT 2.560000  0.375000 2.800000 2.130000 ;
-      RECT 2.560000  2.130000 3.390000 2.370000 ;
-      RECT 2.645000  4.515000 2.905000 5.355000 ;
-      RECT 3.060000  2.370000 3.390000 3.965000 ;
-      RECT 3.075000  4.265000 4.265000 4.325000 ;
-      RECT 3.075000  4.325000 3.405000 5.185000 ;
-      RECT 3.115000  0.085000 3.445000 0.900000 ;
-      RECT 3.145000  4.155000 4.195000 4.265000 ;
-      RECT 3.575000  4.515000 3.765000 5.355000 ;
-      RECT 3.615000  0.255000 3.805000 0.730000 ;
-      RECT 3.615000  0.730000 4.665000 0.980000 ;
-      RECT 3.680000  2.405000 4.190000 2.575000 ;
-      RECT 3.680000  2.575000 3.850000 3.470000 ;
-      RECT 3.680000  3.470000 4.720000 3.640000 ;
-      RECT 3.935000  4.325000 4.265000 5.185000 ;
-      RECT 3.975000  0.085000 4.305000 0.560000 ;
-      RECT 4.020000  0.980000 4.190000 2.405000 ;
-      RECT 4.020000  2.745000 4.640000 2.915000 ;
-      RECT 4.020000  2.915000 4.190000 3.300000 ;
-      RECT 4.020000  3.810000 4.190000 4.155000 ;
-      RECT 4.390000  3.085000 4.720000 3.470000 ;
-      RECT 4.410000  3.640000 4.720000 3.740000 ;
-      RECT 4.445000  4.515000 4.955000 5.355000 ;
-      RECT 4.470000  1.625000 4.640000 2.745000 ;
-      RECT 4.475000  0.255000 4.665000 0.730000 ;
-      RECT 4.835000  0.085000 5.165000 0.900000 ;
-      RECT 4.890000  1.625000 5.120000 2.635000 ;
-      RECT 4.890000  2.635000 7.360000 2.805000 ;
-      RECT 4.890000  2.805000 5.120000 3.740000 ;
-      RECT 5.135000  4.405000 5.765000 4.460000 ;
-      RECT 5.135000  4.460000 5.695000 4.820000 ;
-      RECT 5.135000  4.820000 5.485000 5.160000 ;
-      RECT 5.360000  3.070000 5.550000 4.125000 ;
-      RECT 5.360000  4.125000 6.085000 4.355000 ;
-      RECT 5.360000  4.355000 5.765000 4.405000 ;
-      RECT 5.825000  0.085000 6.155000 0.845000 ;
-      RECT 5.905000  1.610000 6.075000 2.635000 ;
-      RECT 6.755000  0.085000 7.005000 0.925000 ;
-      RECT 6.755000  1.610000 6.935000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.145000  5.355000 0.315000 5.525000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.605000  5.355000 0.775000 5.525000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.065000  5.355000 1.235000 5.525000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.525000  5.355000 1.695000 5.525000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  5.355000 2.155000 5.525000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  5.355000 2.615000 5.525000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  5.355000 3.075000 5.525000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  5.355000 3.535000 5.525000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  5.355000 3.995000 5.525000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  5.355000 4.455000 5.525000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  5.355000 4.915000 5.525000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.205000  5.355000 5.375000 5.525000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 5.665000  5.355000 5.835000 5.525000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.125000  5.355000 6.295000 5.525000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 6.585000  5.355000 6.755000 5.525000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.045000  5.355000 7.215000 5.525000 ;
-    LAYER met1 ;
-      RECT 0.000000 -0.240000 7.360000 0.240000 ;
-    LAYER nwell ;
-      RECT -0.190000 1.305000 0.650000 4.135000 ;
-  END
-END sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4
-MACRO sky130_fd_sc_hd__dlymetal6s2s_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__dlymetal6s2s_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.600000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.570000 1.700000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.245000 0.255000 1.670000 0.825000 ;
-        RECT 1.245000 1.495000 2.150000 1.675000 ;
-        RECT 1.245000 1.675000 1.670000 2.465000 ;
-        RECT 1.320000 0.825000 1.670000 0.995000 ;
-        RECT 1.320000 0.995000 2.150000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.600000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.120000 -0.085000 0.290000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.790000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.600000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.600000 0.085000 ;
-      RECT 0.000000  2.635000 4.600000 2.805000 ;
-      RECT 0.085000  0.255000 0.520000 0.655000 ;
-      RECT 0.085000  0.655000 1.075000 0.825000 ;
-      RECT 0.085000  1.870000 1.075000 2.040000 ;
-      RECT 0.085000  2.040000 0.520000 2.465000 ;
-      RECT 0.690000  0.085000 1.075000 0.485000 ;
-      RECT 0.690000  2.210000 1.075000 2.635000 ;
-      RECT 0.740000  0.825000 1.075000 0.995000 ;
-      RECT 0.740000  0.995000 1.150000 1.325000 ;
-      RECT 0.740000  1.325000 1.075000 1.870000 ;
-      RECT 1.840000  1.845000 2.670000 2.040000 ;
-      RECT 1.840000  2.040000 2.115000 2.465000 ;
-      RECT 1.860000  0.255000 2.115000 0.655000 ;
-      RECT 1.860000  0.655000 2.670000 0.825000 ;
-      RECT 2.285000  0.085000 2.670000 0.485000 ;
-      RECT 2.285000  2.210000 2.670000 2.635000 ;
-      RECT 2.320000  0.825000 2.670000 0.995000 ;
-      RECT 2.320000  0.995000 2.745000 1.325000 ;
-      RECT 2.320000  1.325000 2.670000 1.845000 ;
-      RECT 2.840000  0.255000 3.085000 0.825000 ;
-      RECT 2.840000  1.495000 3.565000 1.675000 ;
-      RECT 2.840000  1.675000 3.085000 2.465000 ;
-      RECT 2.915000  0.825000 3.085000 0.995000 ;
-      RECT 2.915000  0.995000 3.565000 1.495000 ;
-      RECT 3.275000  0.255000 3.530000 0.655000 ;
-      RECT 3.275000  0.655000 4.085000 0.825000 ;
-      RECT 3.275000  1.845000 4.085000 2.040000 ;
-      RECT 3.275000  2.040000 3.530000 2.465000 ;
-      RECT 3.700000  0.085000 4.085000 0.485000 ;
-      RECT 3.700000  2.210000 4.085000 2.635000 ;
-      RECT 3.735000  0.825000 4.085000 0.995000 ;
-      RECT 3.735000  0.995000 4.160000 1.325000 ;
-      RECT 3.735000  1.325000 4.085000 1.845000 ;
-      RECT 4.255000  0.255000 4.515000 0.825000 ;
-      RECT 4.255000  1.495000 4.515000 2.465000 ;
-      RECT 4.330000  0.825000 4.515000 1.495000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-  END
-END sky130_fd_sc_hd__dlymetal6s2s_1
-MACRO sky130_fd_sc_hd__o2111ai_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o2111ai_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.520000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.635000 1.075000 5.435000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.365000 1.075000 4.455000 1.325000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.200000 1.075000 3.185000 1.325000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.045000 1.075000 1.790000 1.325000 ;
-    END
-  END C1
-  PIN D1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 0.425000 1.355000 ;
-    END
-  END D1
-  PIN Y
-    ANTENNADIFFAREA  1.302000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.605000 0.615000 0.935000 0.905000 ;
-        RECT 0.605000 0.905000 0.865000 1.495000 ;
-        RECT 0.605000 1.495000 4.005000 1.665000 ;
-        RECT 0.605000 1.665000 0.865000 2.465000 ;
-        RECT 1.535000 1.665000 1.725000 2.465000 ;
-        RECT 2.395000 1.665000 2.575000 2.465000 ;
-        RECT 3.815000 1.665000 4.005000 2.105000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.520000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.710000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.520000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.520000 0.085000 ;
-      RECT 0.000000  2.635000 5.520000 2.805000 ;
-      RECT 0.175000  0.260000 1.300000 0.445000 ;
-      RECT 0.175000  0.445000 0.435000 0.865000 ;
-      RECT 0.175000  1.525000 0.425000 2.635000 ;
-      RECT 1.035000  1.835000 1.365000 2.635000 ;
-      RECT 1.115000  0.445000 1.300000 0.735000 ;
-      RECT 1.115000  0.735000 2.275000 0.905000 ;
-      RECT 1.470000  0.255000 3.210000 0.445000 ;
-      RECT 1.470000  0.445000 1.775000 0.530000 ;
-      RECT 1.470000  0.530000 1.760000 0.565000 ;
-      RECT 1.895000  1.840000 2.225000 2.635000 ;
-      RECT 1.925000  0.620000 2.275000 0.735000 ;
-      RECT 2.450000  0.655000 5.435000 0.840000 ;
-      RECT 2.755000  1.835000 3.085000 2.635000 ;
-      RECT 2.880000  0.445000 3.210000 0.485000 ;
-      RECT 3.310000  1.835000 3.570000 2.275000 ;
-      RECT 3.310000  2.275000 4.500000 2.465000 ;
-      RECT 3.380000  0.365000 3.570000 0.655000 ;
-      RECT 3.740000  0.085000 4.070000 0.485000 ;
-      RECT 4.240000  0.365000 4.430000 0.650000 ;
-      RECT 4.240000  0.650000 5.435000 0.655000 ;
-      RECT 4.240000  1.515000 5.360000 1.685000 ;
-      RECT 4.240000  1.685000 4.500000 2.275000 ;
-      RECT 4.600000  0.085000 4.930000 0.480000 ;
-      RECT 4.670000  1.855000 4.930000 2.635000 ;
-      RECT 5.100000  0.365000 5.435000 0.650000 ;
-      RECT 5.100000  1.685000 5.360000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o2111ai_2
-MACRO sky130_fd_sc_hd__o2111ai_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o2111ai_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.785000 1.005000 3.115000 1.615000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.985000 0.995000 2.615000 1.615000 ;
-        RECT 2.270000 1.615000 2.615000 2.370000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.525000 0.995000 1.815000 1.615000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.025000 0.255000 1.355000 1.615000 ;
-    END
-  END C1
-  PIN D1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.485000 1.075000 0.815000 1.615000 ;
-    END
-  END D1
-  PIN Y
-    ANTENNADIFFAREA  0.857250 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.255000 0.690000 0.885000 ;
-        RECT 0.085000 0.885000 0.315000 1.785000 ;
-        RECT 0.085000 1.785000 2.095000 2.025000 ;
-        RECT 0.790000 2.025000 1.025000 2.465000 ;
-        RECT 1.750000 2.025000 2.095000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.290000  2.195000 0.620000 2.635000 ;
-      RECT 1.210000  2.255000 1.540000 2.635000 ;
-      RECT 1.750000  0.255000 2.095000 0.625000 ;
-      RECT 1.750000  0.625000 3.115000 0.825000 ;
-      RECT 2.285000  0.085000 2.615000 0.455000 ;
-      RECT 2.785000  0.255000 3.115000 0.625000 ;
-      RECT 2.785000  1.795000 3.115000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o2111ai_1
-MACRO sky130_fd_sc_hd__o2111ai_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o2111ai_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.660000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.820000 1.075000 9.575000 1.340000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.110000 1.075000 7.325000 1.345000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.815000 1.075000 5.455000 1.345000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.940000 1.075000 3.550000 1.345000 ;
-    END
-  END C1
-  PIN D1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.545000 1.075000 1.755000 1.345000 ;
-    END
-  END D1
-  PIN Y
-    ANTENNADIFFAREA  2.984350 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.645000 1.685000 0.815000 ;
-        RECT 0.085000 0.815000 0.375000 1.515000 ;
-        RECT 0.085000 1.515000 7.390000 1.685000 ;
-        RECT 0.085000 1.685000 0.360000 2.465000 ;
-        RECT 1.015000 1.685000 1.195000 2.465000 ;
-        RECT 1.845000 1.685000 2.035000 2.465000 ;
-        RECT 2.685000 1.685000 2.875000 2.465000 ;
-        RECT 3.525000 1.685000 3.715000 2.465000 ;
-        RECT 4.570000 1.685000 4.760000 2.465000 ;
-        RECT 5.410000 1.685000 5.600000 2.465000 ;
-        RECT 6.285000 1.685000 6.480000 2.100000 ;
-        RECT 7.045000 1.685000 7.390000 1.720000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.660000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.850000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.660000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.660000 0.085000 ;
-      RECT 0.000000  2.635000 9.660000 2.805000 ;
-      RECT 0.095000  0.285000 2.025000 0.475000 ;
-      RECT 0.530000  1.855000 0.845000 2.635000 ;
-      RECT 1.390000  1.855000 1.675000 2.635000 ;
-      RECT 1.855000  0.475000 2.025000 0.615000 ;
-      RECT 1.855000  0.615000 3.785000 0.825000 ;
-      RECT 2.195000  0.255000 5.565000 0.445000 ;
-      RECT 2.205000  1.855000 2.515000 2.635000 ;
-      RECT 3.045000  1.855000 3.355000 2.635000 ;
-      RECT 3.975000  0.655000 9.440000 0.905000 ;
-      RECT 4.075000  1.855000 4.400000 2.635000 ;
-      RECT 4.930000  1.855000 5.220000 2.635000 ;
-      RECT 5.785000  1.855000 6.115000 2.270000 ;
-      RECT 5.785000  2.270000 7.005000 2.465000 ;
-      RECT 6.100000  0.085000 6.430000 0.485000 ;
-      RECT 6.705000  1.890000 8.235000 2.060000 ;
-      RECT 6.705000  2.060000 7.005000 2.270000 ;
-      RECT 6.960000  0.085000 7.290000 0.485000 ;
-      RECT 7.555000  2.230000 7.885000 2.635000 ;
-      RECT 7.825000  0.085000 8.155000 0.485000 ;
-      RECT 8.045000  1.515000 9.080000 1.685000 ;
-      RECT 8.045000  1.685000 8.235000 1.890000 ;
-      RECT 8.055000  2.060000 8.235000 2.465000 ;
-      RECT 8.410000  1.855000 8.720000 2.635000 ;
-      RECT 8.665000  0.085000 8.995000 0.485000 ;
-      RECT 8.890000  1.685000 9.080000 2.465000 ;
-      RECT 9.265000  1.535000 9.575000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o2111ai_4
-MACRO sky130_fd_sc_hd__nor4b_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nor4b_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  8.740000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.395000 1.075000 1.805000 1.285000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.075000 1.075000 3.750000 1.285000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.985000 1.075000 5.685000 1.285000 ;
-    END
-  END C
-  PIN D_N
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 7.810000 1.075000 8.655000 1.285000 ;
-    END
-  END D_N
-  PIN Y
-    ANTENNADIFFAREA  1.944000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 0.255000 0.845000 0.725000 ;
-        RECT 0.515000 0.725000 7.245000 0.905000 ;
-        RECT 1.355000 0.255000 1.685000 0.725000 ;
-        RECT 2.195000 0.255000 2.525000 0.725000 ;
-        RECT 3.035000 0.255000 3.365000 0.725000 ;
-        RECT 4.395000 0.255000 4.725000 0.725000 ;
-        RECT 5.235000 0.255000 5.565000 0.725000 ;
-        RECT 6.075000 0.255000 6.405000 0.725000 ;
-        RECT 6.115000 0.905000 6.465000 1.455000 ;
-        RECT 6.115000 1.455000 7.205000 1.625000 ;
-        RECT 6.115000 1.625000 6.365000 2.125000 ;
-        RECT 6.915000 0.255000 7.245000 0.725000 ;
-        RECT 6.955000 1.625000 7.205000 2.125000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 8.740000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.930000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 8.740000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 8.740000 0.085000 ;
-      RECT 0.000000  2.635000 8.740000 2.805000 ;
-      RECT 0.095000  1.455000 2.065000 1.625000 ;
-      RECT 0.095000  1.625000 0.425000 2.465000 ;
-      RECT 0.175000  0.085000 0.345000 0.895000 ;
-      RECT 0.595000  1.795000 0.805000 2.635000 ;
-      RECT 0.975000  1.625000 1.225000 2.465000 ;
-      RECT 1.015000  0.085000 1.185000 0.555000 ;
-      RECT 1.395000  1.795000 1.645000 2.635000 ;
-      RECT 1.815000  1.625000 2.065000 2.295000 ;
-      RECT 1.815000  2.295000 3.745000 2.465000 ;
-      RECT 1.855000  0.085000 2.025000 0.555000 ;
-      RECT 2.235000  1.455000 5.525000 1.625000 ;
-      RECT 2.235000  1.625000 2.485000 2.125000 ;
-      RECT 2.655000  1.795000 2.905000 2.295000 ;
-      RECT 2.695000  0.085000 2.865000 0.555000 ;
-      RECT 3.075000  1.625000 3.325000 2.125000 ;
-      RECT 3.495000  1.795000 3.745000 2.295000 ;
-      RECT 3.535000  0.085000 4.225000 0.555000 ;
-      RECT 4.015000  1.795000 4.265000 2.295000 ;
-      RECT 4.015000  2.295000 7.625000 2.465000 ;
-      RECT 4.435000  1.625000 4.685000 2.125000 ;
-      RECT 4.855000  1.795000 5.105000 2.295000 ;
-      RECT 4.895000  0.085000 5.065000 0.555000 ;
-      RECT 5.275000  1.625000 5.525000 2.125000 ;
-      RECT 5.695000  1.455000 5.945000 2.295000 ;
-      RECT 5.735000  0.085000 5.905000 0.555000 ;
-      RECT 6.535000  1.795000 6.785000 2.295000 ;
-      RECT 6.575000  0.085000 6.745000 0.555000 ;
-      RECT 6.635000  1.075000 7.640000 1.285000 ;
-      RECT 7.375000  1.795000 7.625000 2.295000 ;
-      RECT 7.415000  0.085000 7.585000 0.555000 ;
-      RECT 7.470000  0.735000 8.185000 0.905000 ;
-      RECT 7.470000  0.905000 7.640000 1.075000 ;
-      RECT 7.470000  1.285000 7.640000 1.455000 ;
-      RECT 7.470000  1.455000 8.185000 1.625000 ;
-      RECT 7.810000  0.255000 8.185000 0.735000 ;
-      RECT 7.850000  1.625000 8.185000 2.465000 ;
-      RECT 8.355000  0.085000 8.585000 0.905000 ;
-      RECT 8.355000  1.455000 8.585000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nor4b_4
-MACRO sky130_fd_sc_hd__nor4b_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nor4b_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.520000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.100000 1.075000 1.240000 1.285000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.420000 1.075000 2.635000 1.285000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.815000 1.075000 3.535000 1.285000 ;
-    END
-  END C
-  PIN D_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.805000 1.075000 5.435000 1.285000 ;
-        RECT 5.185000 1.285000 5.435000 1.955000 ;
-    END
-  END D_N
-  PIN Y
-    ANTENNADIFFAREA  0.972000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 0.255000 0.845000 0.725000 ;
-        RECT 0.515000 0.725000 3.920000 0.905000 ;
-        RECT 1.355000 0.255000 1.685000 0.725000 ;
-        RECT 2.750000 0.255000 3.080000 0.725000 ;
-        RECT 3.590000 0.255000 3.920000 0.725000 ;
-        RECT 3.630000 1.455000 4.035000 1.625000 ;
-        RECT 3.630000 1.625000 3.880000 2.125000 ;
-        RECT 3.715000 0.905000 3.920000 1.075000 ;
-        RECT 3.715000 1.075000 4.035000 1.455000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.520000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.710000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.520000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.520000 0.085000 ;
-      RECT 0.000000  2.635000 5.520000 2.805000 ;
-      RECT 0.085000  0.085000 0.345000 0.905000 ;
-      RECT 0.085000  1.455000 2.105000 1.625000 ;
-      RECT 0.085000  1.625000 0.425000 2.465000 ;
-      RECT 0.595000  1.795000 0.805000 2.635000 ;
-      RECT 0.975000  1.625000 1.225000 2.465000 ;
-      RECT 1.015000  0.085000 1.185000 0.555000 ;
-      RECT 1.395000  1.795000 1.605000 2.295000 ;
-      RECT 1.395000  2.295000 3.040000 2.465000 ;
-      RECT 1.775000  1.625000 2.105000 2.125000 ;
-      RECT 1.855000  0.085000 2.580000 0.555000 ;
-      RECT 2.275000  1.455000 3.460000 1.625000 ;
-      RECT 2.275000  1.625000 2.660000 2.125000 ;
-      RECT 2.830000  1.795000 3.040000 2.295000 ;
-      RECT 3.210000  1.625000 3.460000 2.295000 ;
-      RECT 3.210000  2.295000 4.295000 2.465000 ;
-      RECT 3.250000  0.085000 3.420000 0.555000 ;
-      RECT 4.050000  1.795000 4.295000 2.295000 ;
-      RECT 4.090000  0.085000 4.295000 0.895000 ;
-      RECT 4.320000  1.075000 4.635000 1.245000 ;
-      RECT 4.465000  0.380000 4.820000 0.905000 ;
-      RECT 4.465000  0.905000 4.635000 1.075000 ;
-      RECT 4.465000  1.245000 4.635000 2.035000 ;
-      RECT 4.465000  2.035000 4.820000 2.450000 ;
-      RECT 4.990000  0.085000 5.240000 0.825000 ;
-      RECT 4.990000  2.135000 5.240000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nor4b_2
-MACRO sky130_fd_sc_hd__nor4b_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nor4b_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.955000 0.995000 2.275000 1.615000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.455000 0.995000 1.785000 1.615000 ;
-    END
-  END B
-  PIN C
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.985000 0.995000 1.285000 1.615000 ;
-    END
-  END C
-  PIN D_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.445000 0.995000 2.795000 1.615000 ;
-    END
-  END D_N
-  PIN Y
-    ANTENNADIFFAREA  0.871000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.655000 1.925000 0.825000 ;
-        RECT 0.085000 0.825000 0.345000 2.450000 ;
-        RECT 0.855000 0.300000 1.055000 0.655000 ;
-        RECT 1.725000 0.310000 1.925000 0.655000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.355000  0.085000 0.685000 0.480000 ;
-      RECT 0.525000  0.995000 0.745000 1.795000 ;
-      RECT 0.525000  1.795000 3.135000 2.005000 ;
-      RECT 1.225000  0.085000 1.555000 0.485000 ;
-      RECT 2.095000  0.085000 2.425000 0.825000 ;
-      RECT 2.095000  2.185000 2.425000 2.635000 ;
-      RECT 2.660000  0.405000 2.830000 0.655000 ;
-      RECT 2.660000  0.655000 3.135000 0.825000 ;
-      RECT 2.965000  0.825000 3.135000 1.795000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nor4b_1
-MACRO sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1
-  CLASS CORE WELLTAP ;
-  FOREIGN sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.440000 BY  5.440000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.603000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.970000 1.070000 3.290000 1.540000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.402500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.335000 0.290000 5.635000 0.980000 ;
-        RECT 5.360000 0.980000 5.635000 2.370000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 5.200000 6.440000 5.680000 ;
-      LAYER pwell ;
-        RECT 0.145000 4.595000 0.315000 5.120000 ;
-        RECT 5.925000 4.595000 6.095000 5.120000 ;
-    END
-  END VGND
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 3.500000 6.170000 3.640000 ;
-        RECT 0.080000 3.455000 0.370000 3.500000 ;
-        RECT 0.080000 3.640000 0.370000 3.685000 ;
-        RECT 5.870000 3.455000 6.160000 3.500000 ;
-        RECT 5.870000 3.640000 6.160000 3.685000 ;
-      LAYER nwell ;
-        RECT -0.190000 1.305000 0.650000 4.135000 ;
-        RECT  4.250000 1.305000 6.630000 4.135000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.440000 2.960000 ;
-    END
-  END VPWR
-  PIN VPWRIN
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 1.380000 2.065000 2.390000 2.335000 ;
-        RECT 2.060000 1.635000 2.390000 2.065000 ;
-        RECT 2.060000 2.335000 2.390000 2.660000 ;
-        RECT 2.060000 2.660000 2.810000 3.750000 ;
-      LAYER mcon ;
-        RECT 1.420000 2.115000 1.590000 2.285000 ;
-        RECT 1.780000 2.115000 1.950000 2.285000 ;
-        RECT 2.140000 2.115000 2.310000 2.285000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 2.140000 6.170000 2.280000 ;
-        RECT 1.360000 2.085000 2.370000 2.140000 ;
-        RECT 1.360000 2.280000 2.370000 2.315000 ;
-      LAYER nwell ;
-        RECT 1.920000 1.305000 2.980000 4.135000 ;
-    END
-  END VPWRIN
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.440000 0.085000 ;
-      RECT 0.000000  2.635000 1.890000 2.805000 ;
-      RECT 0.000000  5.355000 6.440000 5.525000 ;
-      RECT 0.085000  0.085000 0.375000 0.810000 ;
-      RECT 0.085000  2.985000 0.375000 3.970000 ;
-      RECT 0.085000  4.630000 0.375000 5.355000 ;
-      RECT 2.020000  0.085000 2.350000 0.895000 ;
-      RECT 2.560000  0.375000 2.800000 2.130000 ;
-      RECT 2.560000  2.130000 3.390000 2.370000 ;
-      RECT 2.645000  4.515000 2.905000 5.355000 ;
-      RECT 3.060000  2.370000 3.390000 3.965000 ;
-      RECT 3.075000  4.265000 4.265000 4.325000 ;
-      RECT 3.075000  4.325000 3.405000 5.185000 ;
-      RECT 3.115000  0.085000 3.445000 0.900000 ;
-      RECT 3.145000  4.155000 4.195000 4.265000 ;
-      RECT 3.575000  4.515000 3.765000 5.355000 ;
-      RECT 3.615000  0.290000 3.805000 0.730000 ;
-      RECT 3.615000  0.730000 4.665000 0.980000 ;
-      RECT 3.680000  2.405000 4.190000 2.575000 ;
-      RECT 3.680000  2.575000 3.850000 3.470000 ;
-      RECT 3.680000  3.470000 4.720000 3.640000 ;
-      RECT 3.935000  4.325000 4.265000 5.185000 ;
-      RECT 3.975000  0.085000 4.305000 0.560000 ;
-      RECT 4.020000  0.980000 4.190000 2.405000 ;
-      RECT 4.020000  2.745000 4.640000 2.915000 ;
-      RECT 4.020000  2.915000 4.190000 3.300000 ;
-      RECT 4.020000  3.810000 4.190000 4.155000 ;
-      RECT 4.390000  3.085000 4.720000 3.470000 ;
-      RECT 4.410000  3.640000 4.720000 3.740000 ;
-      RECT 4.445000  4.515000 4.955000 5.355000 ;
-      RECT 4.470000  1.625000 4.640000 2.745000 ;
-      RECT 4.475000  0.290000 4.665000 0.730000 ;
-      RECT 4.835000  0.085000 5.165000 0.900000 ;
-      RECT 4.890000  1.625000 5.120000 2.635000 ;
-      RECT 4.890000  2.635000 6.440000 2.805000 ;
-      RECT 4.890000  2.805000 5.120000 3.740000 ;
-      RECT 5.135000  4.405000 5.765000 4.460000 ;
-      RECT 5.135000  4.460000 5.695000 4.820000 ;
-      RECT 5.135000  4.820000 5.485000 5.160000 ;
-      RECT 5.360000  3.070000 5.550000 4.125000 ;
-      RECT 5.360000  4.125000 6.085000 4.355000 ;
-      RECT 5.360000  4.355000 5.765000 4.405000 ;
-      RECT 5.865000  0.085000 6.155000 0.810000 ;
-      RECT 5.865000  2.985000 6.155000 3.955000 ;
-      RECT 5.865000  4.630000 6.155000 5.355000 ;
-    LAYER mcon ;
-      RECT 0.140000  3.485000 0.310000 3.655000 ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.145000  5.355000 0.315000 5.525000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.605000  5.355000 0.775000 5.525000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.065000  5.355000 1.235000 5.525000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.525000  5.355000 1.695000 5.525000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  5.355000 2.155000 5.525000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  5.355000 2.615000 5.525000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  5.355000 3.075000 5.525000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  5.355000 3.535000 5.525000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  5.355000 3.995000 5.525000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  5.355000 4.455000 5.525000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  5.355000 4.915000 5.525000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.205000  5.355000 5.375000 5.525000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 5.665000  5.355000 5.835000 5.525000 ;
-      RECT 5.930000  3.485000 6.100000 3.655000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.125000  5.355000 6.295000 5.525000 ;
-    LAYER met1 ;
-      RECT 0.000000 -0.240000 6.440000 0.240000 ;
-    LAYER pwell ;
-      RECT 0.145000 0.320000 0.315000 0.845000 ;
-      RECT 5.925000 0.320000 6.095000 0.845000 ;
-  END
-END sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1
-MACRO sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4
-  CLASS CORE WELLTAP ;
-  FOREIGN sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.360000 BY  5.440000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.603000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.970000 1.070000 3.290000 1.540000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  1.072500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.335000 0.255000 5.635000 0.980000 ;
-        RECT 5.360000 0.980000 5.635000 1.085000 ;
-        RECT 5.360000 1.085000 6.555000 1.410000 ;
-        RECT 5.360000 1.410000 5.635000 2.370000 ;
-        RECT 6.280000 1.410000 6.555000 2.370000 ;
-        RECT 6.335000 0.255000 6.555000 1.085000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 5.200000 7.360000 5.680000 ;
-      LAYER pwell ;
-        RECT 0.145000 4.595000 0.315000 5.120000 ;
-        RECT 7.045000 4.595000 7.215000 5.120000 ;
-    END
-  END VGND
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 3.500000 7.290000 3.640000 ;
-        RECT 0.080000 3.455000 0.370000 3.500000 ;
-        RECT 0.080000 3.640000 0.370000 3.685000 ;
-        RECT 6.930000 3.455000 7.220000 3.500000 ;
-        RECT 6.930000 3.640000 7.220000 3.685000 ;
-      LAYER nwell ;
-        RECT -0.190000 1.305000 0.650000 4.135000 ;
-        RECT  4.250000 1.305000 7.405000 4.135000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.360000 2.960000 ;
-    END
-  END VPWR
-  PIN VPWRIN
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 1.380000 2.065000 2.390000 2.335000 ;
-        RECT 2.060000 1.635000 2.390000 2.065000 ;
-        RECT 2.060000 2.335000 2.390000 2.660000 ;
-        RECT 2.060000 2.660000 2.810000 3.750000 ;
-      LAYER mcon ;
-        RECT 1.420000 2.115000 1.590000 2.285000 ;
-        RECT 1.780000 2.115000 1.950000 2.285000 ;
-        RECT 2.140000 2.115000 2.310000 2.285000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 2.140000 7.290000 2.280000 ;
-        RECT 1.360000 2.085000 2.370000 2.140000 ;
-        RECT 1.360000 2.280000 2.370000 2.315000 ;
-      LAYER nwell ;
-        RECT 1.920000 1.305000 2.980000 4.135000 ;
-    END
-  END VPWRIN
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.360000 0.085000 ;
-      RECT 0.000000  2.635000 1.890000 2.805000 ;
-      RECT 0.000000  5.355000 7.360000 5.525000 ;
-      RECT 0.085000  0.085000 0.375000 0.810000 ;
-      RECT 0.085000  2.985000 0.375000 3.970000 ;
-      RECT 0.085000  4.630000 0.375000 5.355000 ;
-      RECT 2.020000  0.085000 2.350000 0.895000 ;
-      RECT 2.560000  0.375000 2.800000 2.130000 ;
-      RECT 2.560000  2.130000 3.390000 2.370000 ;
-      RECT 2.645000  4.515000 2.905000 5.355000 ;
-      RECT 3.060000  2.370000 3.390000 3.965000 ;
-      RECT 3.075000  4.265000 4.265000 4.325000 ;
-      RECT 3.075000  4.325000 3.405000 5.185000 ;
-      RECT 3.115000  0.085000 3.445000 0.900000 ;
-      RECT 3.145000  4.155000 4.195000 4.265000 ;
-      RECT 3.575000  4.515000 3.765000 5.355000 ;
-      RECT 3.615000  0.255000 3.805000 0.730000 ;
-      RECT 3.615000  0.730000 4.665000 0.980000 ;
-      RECT 3.680000  2.405000 4.190000 2.575000 ;
-      RECT 3.680000  2.575000 3.850000 3.470000 ;
-      RECT 3.680000  3.470000 4.720000 3.640000 ;
-      RECT 3.935000  4.325000 4.265000 5.185000 ;
-      RECT 3.975000  0.085000 4.305000 0.560000 ;
-      RECT 4.020000  0.980000 4.190000 2.405000 ;
-      RECT 4.020000  2.745000 4.640000 2.915000 ;
-      RECT 4.020000  2.915000 4.190000 3.300000 ;
-      RECT 4.020000  3.810000 4.190000 4.155000 ;
-      RECT 4.390000  3.085000 4.720000 3.470000 ;
-      RECT 4.410000  3.640000 4.720000 3.740000 ;
-      RECT 4.445000  4.515000 4.955000 5.355000 ;
-      RECT 4.470000  1.625000 4.640000 2.745000 ;
-      RECT 4.475000  0.255000 4.665000 0.730000 ;
-      RECT 4.835000  0.085000 5.165000 0.900000 ;
-      RECT 4.890000  1.625000 5.120000 2.635000 ;
-      RECT 4.890000  2.635000 7.360000 2.805000 ;
-      RECT 4.890000  2.805000 5.120000 3.740000 ;
-      RECT 5.135000  4.405000 5.765000 4.460000 ;
-      RECT 5.135000  4.460000 5.695000 4.820000 ;
-      RECT 5.135000  4.820000 5.485000 5.160000 ;
-      RECT 5.360000  3.070000 5.550000 4.125000 ;
-      RECT 5.360000  4.125000 6.085000 4.355000 ;
-      RECT 5.360000  4.355000 5.765000 4.405000 ;
-      RECT 5.825000  0.085000 6.155000 0.845000 ;
-      RECT 5.905000  1.610000 6.075000 2.635000 ;
-      RECT 6.755000  0.085000 7.005000 0.925000 ;
-      RECT 6.755000  1.610000 6.935000 2.635000 ;
-      RECT 6.985000  2.985000 7.275000 3.955000 ;
-      RECT 6.985000  4.630000 7.275000 5.355000 ;
-    LAYER mcon ;
-      RECT 0.140000  3.485000 0.310000 3.655000 ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.145000  5.355000 0.315000 5.525000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.605000  5.355000 0.775000 5.525000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.065000  5.355000 1.235000 5.525000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.525000  5.355000 1.695000 5.525000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  5.355000 2.155000 5.525000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  5.355000 2.615000 5.525000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  5.355000 3.075000 5.525000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  5.355000 3.535000 5.525000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  5.355000 3.995000 5.525000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  5.355000 4.455000 5.525000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  5.355000 4.915000 5.525000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.205000  5.355000 5.375000 5.525000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 5.665000  5.355000 5.835000 5.525000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.125000  5.355000 6.295000 5.525000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 6.585000  5.355000 6.755000 5.525000 ;
-      RECT 6.990000  3.485000 7.160000 3.655000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.045000  5.355000 7.215000 5.525000 ;
-    LAYER met1 ;
-      RECT 0.000000 -0.240000 7.360000 0.240000 ;
-    LAYER pwell ;
-      RECT 0.145000 0.320000 0.315000 0.845000 ;
-  END
-END sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4
-MACRO sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2
-  CLASS CORE WELLTAP ;
-  FOREIGN sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.440000 BY  5.440000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.603000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.970000 1.070000 3.290000 1.540000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.610500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.335000 0.255000 5.635000 0.980000 ;
-        RECT 5.360000 0.980000 5.635000 2.370000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 5.200000 6.440000 5.680000 ;
-      LAYER pwell ;
-        RECT 0.145000 4.595000 0.315000 5.120000 ;
-        RECT 6.125000 4.595000 6.295000 5.120000 ;
-    END
-  END VGND
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 3.500000 6.300000 3.640000 ;
-        RECT 0.080000 3.455000 0.370000 3.500000 ;
-        RECT 0.080000 3.640000 0.370000 3.685000 ;
-        RECT 6.010000 3.455000 6.300000 3.500000 ;
-        RECT 6.010000 3.640000 6.300000 3.685000 ;
-      LAYER nwell ;
-        RECT -0.190000 1.305000 0.650000 4.135000 ;
-        RECT  4.250000 1.305000 6.630000 4.135000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.440000 2.960000 ;
-    END
-  END VPWR
-  PIN VPWRIN
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 1.380000 2.065000 2.390000 2.335000 ;
-        RECT 2.060000 1.635000 2.390000 2.065000 ;
-        RECT 2.060000 2.335000 2.390000 2.660000 ;
-        RECT 2.060000 2.660000 2.810000 3.750000 ;
-      LAYER mcon ;
-        RECT 1.420000 2.115000 1.590000 2.285000 ;
-        RECT 1.780000 2.115000 1.950000 2.285000 ;
-        RECT 2.140000 2.115000 2.310000 2.285000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 2.140000 6.370000 2.280000 ;
-        RECT 1.360000 2.085000 2.370000 2.140000 ;
-        RECT 1.360000 2.280000 2.370000 2.315000 ;
-      LAYER nwell ;
-        RECT 1.920000 1.305000 2.980000 4.135000 ;
-    END
-  END VPWRIN
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.440000 0.085000 ;
-      RECT 0.000000  2.635000 1.890000 2.805000 ;
-      RECT 0.000000  5.355000 6.440000 5.525000 ;
-      RECT 0.085000  0.085000 0.375000 0.810000 ;
-      RECT 0.085000  2.985000 0.375000 3.970000 ;
-      RECT 0.085000  4.630000 0.375000 5.355000 ;
-      RECT 2.020000  0.085000 2.350000 0.895000 ;
-      RECT 2.560000  0.375000 2.800000 2.130000 ;
-      RECT 2.560000  2.130000 3.390000 2.370000 ;
-      RECT 2.645000  4.515000 2.905000 5.355000 ;
-      RECT 3.060000  2.370000 3.390000 3.965000 ;
-      RECT 3.075000  4.265000 4.265000 4.325000 ;
-      RECT 3.075000  4.325000 3.405000 5.185000 ;
-      RECT 3.115000  0.085000 3.445000 0.900000 ;
-      RECT 3.145000  4.155000 4.195000 4.265000 ;
-      RECT 3.575000  4.515000 3.765000 5.355000 ;
-      RECT 3.615000  0.255000 3.805000 0.730000 ;
-      RECT 3.615000  0.730000 4.665000 0.980000 ;
-      RECT 3.680000  2.405000 4.190000 2.575000 ;
-      RECT 3.680000  2.575000 3.850000 3.470000 ;
-      RECT 3.680000  3.470000 4.720000 3.640000 ;
-      RECT 3.935000  4.325000 4.265000 5.185000 ;
-      RECT 3.975000  0.085000 4.305000 0.560000 ;
-      RECT 4.020000  0.980000 4.190000 2.405000 ;
-      RECT 4.020000  2.745000 4.640000 2.915000 ;
-      RECT 4.020000  2.915000 4.190000 3.300000 ;
-      RECT 4.020000  3.810000 4.190000 4.155000 ;
-      RECT 4.390000  3.085000 4.720000 3.470000 ;
-      RECT 4.410000  3.640000 4.720000 3.740000 ;
-      RECT 4.445000  4.515000 4.955000 5.355000 ;
-      RECT 4.470000  1.625000 4.640000 2.745000 ;
-      RECT 4.475000  0.255000 4.665000 0.730000 ;
-      RECT 4.835000  0.085000 5.165000 0.900000 ;
-      RECT 4.890000  1.625000 5.120000 2.635000 ;
-      RECT 4.890000  2.635000 6.440000 2.805000 ;
-      RECT 4.890000  2.805000 5.120000 3.740000 ;
-      RECT 5.135000  4.405000 5.765000 4.460000 ;
-      RECT 5.135000  4.460000 5.695000 4.820000 ;
-      RECT 5.135000  4.820000 5.485000 5.160000 ;
-      RECT 5.360000  3.070000 5.550000 4.125000 ;
-      RECT 5.360000  4.125000 6.085000 4.355000 ;
-      RECT 5.360000  4.355000 5.765000 4.405000 ;
-      RECT 5.825000  0.085000 6.155000 0.900000 ;
-      RECT 5.905000  1.610000 6.075000 2.635000 ;
-      RECT 6.065000  2.985000 6.355000 3.955000 ;
-      RECT 6.065000  4.630000 6.355000 5.355000 ;
-    LAYER mcon ;
-      RECT 0.140000  3.485000 0.310000 3.655000 ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.145000  5.355000 0.315000 5.525000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.605000  5.355000 0.775000 5.525000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.065000  5.355000 1.235000 5.525000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.525000  5.355000 1.695000 5.525000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  5.355000 2.155000 5.525000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  5.355000 2.615000 5.525000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  5.355000 3.075000 5.525000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  5.355000 3.535000 5.525000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  5.355000 3.995000 5.525000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  5.355000 4.455000 5.525000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  5.355000 4.915000 5.525000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.205000  5.355000 5.375000 5.525000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 5.665000  5.355000 5.835000 5.525000 ;
-      RECT 6.070000  3.485000 6.240000 3.655000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.125000  5.355000 6.295000 5.525000 ;
-    LAYER met1 ;
-      RECT 0.000000 -0.240000 6.440000 0.240000 ;
-    LAYER pwell ;
-      RECT 0.145000 0.320000 0.315000 0.845000 ;
-  END
-END sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2
-MACRO sky130_fd_sc_hd__o22ai_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o22ai_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.300000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.755000 1.075000 2.215000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.220000 1.075000 1.585000 1.245000 ;
-        RECT 1.405000 1.245000 1.585000 1.445000 ;
-        RECT 1.405000 1.445000 1.725000 1.615000 ;
-        RECT 1.525000 1.615000 1.725000 2.405000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.665000 0.325000 1.990000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.835000 0.995000 1.005000 1.415000 ;
-        RECT 0.835000 1.415000 1.235000 1.665000 ;
-    END
-  END B2
-  PIN Y
-    ANTENNADIFFAREA  0.650250 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.495000 0.645000 0.845000 0.825000 ;
-        RECT 0.495000 0.825000 0.665000 1.835000 ;
-        RECT 0.495000 1.835000 1.335000 2.045000 ;
-        RECT 0.835000 2.045000 1.335000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.300000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.490000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.300000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.300000 0.085000 ;
-      RECT 0.000000  2.635000 2.300000 2.805000 ;
-      RECT 0.085000  0.295000 1.345000 0.475000 ;
-      RECT 0.135000  2.175000 0.345000 2.635000 ;
-      RECT 1.015000  0.475000 1.345000 0.695000 ;
-      RECT 1.015000  0.695000 2.215000 0.825000 ;
-      RECT 1.185000  0.825000 2.215000 0.865000 ;
-      RECT 1.535000  0.085000 1.705000 0.525000 ;
-      RECT 1.875000  0.280000 2.215000 0.695000 ;
-      RECT 1.895000  1.455000 2.215000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o22ai_1
-MACRO sky130_fd_sc_hd__o22ai_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o22ai_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.600000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.395000 1.075000 4.165000 1.285000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.555000 1.075000 3.225000 1.275000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.200000 1.075000 0.985000 1.285000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.155000 1.075000 1.925000 1.275000 ;
-    END
-  END B2
-  PIN Y
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.535000 0.645000 0.865000 0.725000 ;
-        RECT 0.535000 0.725000 2.340000 0.905000 ;
-        RECT 1.375000 0.645000 1.705000 0.725000 ;
-        RECT 1.415000 1.445000 3.065000 1.625000 ;
-        RECT 1.415000 1.625000 1.665000 2.125000 ;
-        RECT 2.095000 0.905000 2.340000 1.445000 ;
-        RECT 2.815000 1.625000 3.065000 2.125000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.600000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.790000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.600000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.600000 0.085000 ;
-      RECT 0.000000  2.635000 4.600000 2.805000 ;
-      RECT 0.090000  0.305000 2.680000 0.475000 ;
-      RECT 0.090000  0.475000 0.365000 0.905000 ;
-      RECT 0.150000  1.455000 1.245000 1.625000 ;
-      RECT 0.150000  1.625000 0.405000 2.465000 ;
-      RECT 0.575000  1.795000 0.825000 2.635000 ;
-      RECT 0.995000  1.625000 1.245000 2.295000 ;
-      RECT 0.995000  2.295000 2.085000 2.465000 ;
-      RECT 1.835000  1.795000 2.085000 2.295000 ;
-      RECT 2.395000  1.795000 2.645000 2.295000 ;
-      RECT 2.395000  2.295000 3.485000 2.465000 ;
-      RECT 2.510000  0.475000 2.680000 0.725000 ;
-      RECT 2.510000  0.725000 4.365000 0.905000 ;
-      RECT 2.855000  0.085000 3.025000 0.555000 ;
-      RECT 3.195000  0.255000 3.525000 0.725000 ;
-      RECT 3.235000  1.455000 4.330000 1.625000 ;
-      RECT 3.235000  1.625000 3.485000 2.295000 ;
-      RECT 3.655000  1.795000 3.905000 2.635000 ;
-      RECT 3.695000  0.085000 3.865000 0.555000 ;
-      RECT 4.035000  0.255000 4.365000 0.725000 ;
-      RECT 4.075000  1.625000 4.330000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o22ai_2
-MACRO sky130_fd_sc_hd__o22ai_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o22ai_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.360000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 1.075000 1.415000 1.275000 ;
-        RECT 1.150000 1.275000 1.415000 1.445000 ;
-        RECT 1.150000 1.445000 3.575000 1.615000 ;
-        RECT 3.275000 1.075000 3.605000 1.245000 ;
-        RECT 3.275000 1.245000 3.575000 1.445000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.685000 1.075000 3.095000 1.275000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.295000 0.995000 4.940000 1.445000 ;
-        RECT 4.295000 1.445000 6.935000 1.615000 ;
-        RECT 6.715000 0.995000 6.935000 1.445000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.110000 1.075000 6.460000 1.275000 ;
-    END
-  END B2
-  PIN Y
-    ANTENNADIFFAREA  1.782000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.845000 1.785000 3.915000 1.955000 ;
-        RECT 1.845000 1.955000 2.095000 2.125000 ;
-        RECT 2.685000 1.955000 2.935000 2.125000 ;
-        RECT 3.745000 1.445000 4.125000 1.615000 ;
-        RECT 3.745000 1.615000 3.915000 1.785000 ;
-        RECT 3.955000 0.645000 7.275000 0.820000 ;
-        RECT 3.955000 0.820000 4.125000 1.445000 ;
-        RECT 5.255000 1.785000 7.275000 1.955000 ;
-        RECT 5.255000 1.955000 5.505000 2.125000 ;
-        RECT 6.095000 1.955000 6.345000 2.125000 ;
-        RECT 7.105000 0.820000 7.275000 1.785000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.360000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.550000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.360000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.360000 0.085000 ;
-      RECT 0.000000  2.635000 7.360000 2.805000 ;
-      RECT 0.125000  0.255000 0.455000 0.725000 ;
-      RECT 0.125000  0.725000 1.295000 0.735000 ;
-      RECT 0.125000  0.735000 3.785000 0.905000 ;
-      RECT 0.165000  1.445000 0.415000 2.635000 ;
-      RECT 0.585000  1.445000 0.835000 1.785000 ;
-      RECT 0.585000  1.785000 1.675000 1.955000 ;
-      RECT 0.585000  1.955000 0.835000 2.465000 ;
-      RECT 0.625000  0.085000 0.795000 0.555000 ;
-      RECT 0.965000  0.255000 1.295000 0.725000 ;
-      RECT 1.005000  2.125000 1.255000 2.635000 ;
-      RECT 1.425000  1.955000 1.675000 2.295000 ;
-      RECT 1.425000  2.295000 3.395000 2.465000 ;
-      RECT 1.465000  0.085000 1.635000 0.555000 ;
-      RECT 1.805000  0.255000 2.135000 0.725000 ;
-      RECT 1.805000  0.725000 2.975000 0.735000 ;
-      RECT 2.265000  2.125000 2.515000 2.295000 ;
-      RECT 2.305000  0.085000 2.475000 0.555000 ;
-      RECT 2.645000  0.255000 2.975000 0.725000 ;
-      RECT 3.105000  2.125000 3.395000 2.295000 ;
-      RECT 3.145000  0.085000 3.315000 0.555000 ;
-      RECT 3.485000  0.255000 7.245000 0.475000 ;
-      RECT 3.485000  0.475000 3.785000 0.735000 ;
-      RECT 3.565000  2.125000 3.785000 2.635000 ;
-      RECT 3.955000  2.125000 4.255000 2.465000 ;
-      RECT 4.085000  1.785000 5.085000 1.955000 ;
-      RECT 4.085000  1.955000 4.255000 2.125000 ;
-      RECT 4.425000  2.125000 4.665000 2.635000 ;
-      RECT 4.835000  1.955000 5.085000 2.295000 ;
-      RECT 4.835000  2.295000 6.765000 2.465000 ;
-      RECT 5.675000  2.125000 5.925000 2.295000 ;
-      RECT 6.515000  2.135000 6.765000 2.295000 ;
-      RECT 6.935000  2.125000 7.215000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o22ai_4
-MACRO sky130_fd_sc_hd__edfxtp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__edfxtp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  11.04000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.695000 0.765000 1.915000 1.720000 ;
-    END
-  END D
-  PIN DE
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.110000 0.765000 2.565000 1.185000 ;
-        RECT 2.110000 1.185000 2.325000 1.370000 ;
-    END
-  END DE
-  PIN Q
-    ANTENNADIFFAREA  0.462000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 10.465000 0.305000 10.795000 2.420000 ;
-    END
-  END Q
-  PIN CLK
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 0.975000 0.445000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 11.040000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 11.230000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 11.040000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 11.040000 0.085000 ;
-      RECT  0.000000  2.635000 11.040000 2.805000 ;
-      RECT  0.175000  0.345000  0.345000 0.635000 ;
-      RECT  0.175000  0.635000  0.845000 0.805000 ;
-      RECT  0.175000  1.795000  0.845000 1.965000 ;
-      RECT  0.175000  1.965000  0.345000 2.465000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.515000  2.135000  0.845000 2.635000 ;
-      RECT  0.615000  0.805000  0.845000 1.795000 ;
-      RECT  1.015000  0.345000  1.185000 2.465000 ;
-      RECT  1.355000  0.255000  1.785000 0.515000 ;
-      RECT  1.355000  0.515000  1.525000 1.890000 ;
-      RECT  1.355000  1.890000  1.785000 2.465000 ;
-      RECT  2.235000  0.085000  2.565000 0.515000 ;
-      RECT  2.235000  1.890000  2.565000 2.635000 ;
-      RECT  2.495000  1.355000  3.085000 1.720000 ;
-      RECT  2.755000  1.720000  3.085000 2.425000 ;
-      RECT  2.780000  0.255000  3.005000 0.845000 ;
-      RECT  2.780000  0.845000  3.635000 1.175000 ;
-      RECT  2.780000  1.175000  3.085000 1.355000 ;
-      RECT  3.185000  0.085000  3.515000 0.610000 ;
-      RECT  3.265000  1.825000  3.460000 2.635000 ;
-      RECT  3.805000  0.685000  3.975000 1.320000 ;
-      RECT  3.805000  1.320000  4.175000 1.650000 ;
-      RECT  4.125000  1.820000  4.515000 2.020000 ;
-      RECT  4.125000  2.020000  4.455000 2.465000 ;
-      RECT  4.145000  0.255000  4.415000 0.980000 ;
-      RECT  4.145000  0.980000  4.515000 1.150000 ;
-      RECT  4.345000  1.150000  4.515000 1.820000 ;
-      RECT  4.795000  1.125000  4.980000 1.720000 ;
-      RECT  4.815000  0.735000  5.320000 0.955000 ;
-      RECT  4.915000  2.175000  5.955000 2.375000 ;
-      RECT  5.005000  0.255000  5.680000 0.565000 ;
-      RECT  5.150000  0.955000  5.320000 1.655000 ;
-      RECT  5.150000  1.655000  5.615000 2.005000 ;
-      RECT  5.510000  0.565000  5.680000 1.315000 ;
-      RECT  5.510000  1.315000  6.360000 1.485000 ;
-      RECT  5.785000  1.485000  6.360000 1.575000 ;
-      RECT  5.785000  1.575000  5.955000 2.175000 ;
-      RECT  5.870000  0.765000  6.935000 1.045000 ;
-      RECT  5.870000  1.045000  7.445000 1.065000 ;
-      RECT  5.870000  1.065000  6.070000 1.095000 ;
-      RECT  5.945000  0.085000  6.340000 0.560000 ;
-      RECT  6.125000  1.835000  6.360000 2.635000 ;
-      RECT  6.190000  1.245000  6.360000 1.315000 ;
-      RECT  6.530000  0.255000  6.935000 0.765000 ;
-      RECT  6.530000  1.065000  7.445000 1.375000 ;
-      RECT  6.530000  1.375000  6.860000 2.465000 ;
-      RECT  7.070000  2.105000  7.360000 2.635000 ;
-      RECT  7.165000  0.085000  7.440000 0.615000 ;
-      RECT  7.790000  1.245000  7.980000 1.965000 ;
-      RECT  7.925000  2.165000  8.810000 2.355000 ;
-      RECT  8.005000  0.705000  8.470000 1.035000 ;
-      RECT  8.025000  0.330000  8.810000 0.535000 ;
-      RECT  8.150000  1.035000  8.470000 1.995000 ;
-      RECT  8.640000  0.535000  8.810000 0.995000 ;
-      RECT  8.640000  0.995000  9.510000 1.325000 ;
-      RECT  8.640000  1.325000  8.810000 2.165000 ;
-      RECT  8.980000  1.530000  9.880000 1.905000 ;
-      RECT  8.980000  2.135000  9.240000 2.635000 ;
-      RECT  9.050000  0.085000  9.365000 0.615000 ;
-      RECT  9.540000  1.905000  9.880000 2.465000 ;
-      RECT  9.550000  0.300000  9.880000 0.825000 ;
-      RECT  9.690000  0.825000  9.880000 1.530000 ;
-      RECT 10.050000  0.085000 10.295000 0.900000 ;
-      RECT 10.050000  1.465000 10.295000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.635000  1.785000  0.805000 1.955000 ;
-      RECT  1.015000  1.445000  1.185000 1.615000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.355000  0.425000  1.525000 0.595000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.805000  0.765000  3.975000 0.935000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.185000  0.425000  4.355000 0.595000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  4.800000  1.445000  4.970000 1.615000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.210000  1.785000  5.380000 1.955000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.800000  1.785000  7.970000 1.955000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.220000  1.445000  8.390000 1.615000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.700000  0.765000  9.870000 0.935000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.575000 1.755000 0.865000 1.800000 ;
-      RECT 0.575000 1.800000 8.030000 1.940000 ;
-      RECT 0.575000 1.940000 0.865000 1.985000 ;
-      RECT 0.955000 1.415000 1.245000 1.460000 ;
-      RECT 0.955000 1.460000 8.450000 1.600000 ;
-      RECT 0.955000 1.600000 1.245000 1.645000 ;
-      RECT 1.295000 0.395000 4.415000 0.580000 ;
-      RECT 1.295000 0.580000 1.585000 0.625000 ;
-      RECT 3.745000 0.735000 4.035000 0.780000 ;
-      RECT 3.745000 0.780000 9.930000 0.920000 ;
-      RECT 3.745000 0.920000 4.035000 0.965000 ;
-      RECT 4.125000 0.580000 4.415000 0.625000 ;
-      RECT 4.740000 1.415000 5.030000 1.460000 ;
-      RECT 4.740000 1.600000 5.030000 1.645000 ;
-      RECT 5.150000 1.755000 5.440000 1.800000 ;
-      RECT 5.150000 1.940000 5.440000 1.985000 ;
-      RECT 7.740000 1.755000 8.030000 1.800000 ;
-      RECT 7.740000 1.940000 8.030000 1.985000 ;
-      RECT 8.160000 1.415000 8.450000 1.460000 ;
-      RECT 8.160000 1.600000 8.450000 1.645000 ;
-      RECT 9.640000 0.735000 9.930000 0.780000 ;
-      RECT 9.640000 0.920000 9.930000 0.965000 ;
-  END
-END sky130_fd_sc_hd__edfxtp_1
-MACRO sky130_fd_sc_hd__ebufn_8
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__ebufn_8 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.660000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.430000 1.615000 ;
-    END
-  END A
-  PIN TE_B
-    ANTENNAGATEAREA  1.375500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.970000 0.620000 1.305000 0.995000 ;
-        RECT 0.970000 0.995000 1.430000 1.325000 ;
-        RECT 0.970000 1.325000 1.305000 1.695000 ;
-    END
-  END TE_B
-  PIN Z
-    ANTENNADIFFAREA  1.782000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.995000 1.445000 9.575000 1.725000 ;
-        RECT 6.275000 0.615000 9.575000 0.855000 ;
-        RECT 9.325000 0.855000 9.575000 1.445000 ;
-    END
-  END Z
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.660000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.850000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.660000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.660000 0.085000 ;
-      RECT 0.000000  2.635000 9.660000 2.805000 ;
-      RECT 0.085000  0.085000 0.445000 0.825000 ;
-      RECT 0.085000  1.785000 0.445000 2.635000 ;
-      RECT 0.600000  0.995000 0.800000 1.615000 ;
-      RECT 0.615000  0.280000 0.800000 0.995000 ;
-      RECT 0.615000  1.615000 0.800000 2.465000 ;
-      RECT 0.970000  0.085000 1.305000 0.445000 ;
-      RECT 0.970000  1.865000 1.305000 2.635000 ;
-      RECT 1.475000  0.255000 1.985000 0.825000 ;
-      RECT 1.475000  1.495000 1.825000 2.465000 ;
-      RECT 1.600000  0.825000 1.985000 1.025000 ;
-      RECT 1.600000  1.025000 5.925000 1.275000 ;
-      RECT 1.600000  1.275000 1.825000 1.495000 ;
-      RECT 1.995000  1.895000 9.575000 2.065000 ;
-      RECT 1.995000  2.065000 2.245000 2.465000 ;
-      RECT 2.155000  0.255000 2.485000 0.655000 ;
-      RECT 2.155000  0.655000 6.105000 0.855000 ;
-      RECT 2.415000  2.235000 2.745000 2.635000 ;
-      RECT 2.655000  0.085000 2.985000 0.485000 ;
-      RECT 2.915000  2.065000 3.085000 2.465000 ;
-      RECT 3.155000  0.275000 3.325000 0.655000 ;
-      RECT 3.255000  2.235000 3.585000 2.635000 ;
-      RECT 3.495000  0.085000 3.825000 0.485000 ;
-      RECT 3.755000  2.065000 3.925000 2.465000 ;
-      RECT 3.995000  0.255000 4.165000 0.655000 ;
-      RECT 4.095000  2.235000 4.425000 2.635000 ;
-      RECT 4.335000  0.085000 4.665000 0.485000 ;
-      RECT 4.595000  2.065000 4.765000 2.465000 ;
-      RECT 4.835000  0.275000 5.005000 0.655000 ;
-      RECT 4.935000  2.235000 5.265000 2.635000 ;
-      RECT 5.175000  0.085000 5.505000 0.485000 ;
-      RECT 5.435000  2.065000 9.575000 2.465000 ;
-      RECT 5.675000  0.255000 9.575000 0.445000 ;
-      RECT 5.675000  0.445000 6.105000 0.655000 ;
-      RECT 6.175000  1.025000 9.155000 1.275000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  1.105000 0.775000 1.275000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.580000  1.105000 6.750000 1.275000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.545000 1.075000 0.835000 1.120000 ;
-      RECT 0.545000 1.120000 6.810000 1.260000 ;
-      RECT 0.545000 1.260000 0.835000 1.305000 ;
-      RECT 6.520000 1.075000 6.810000 1.120000 ;
-      RECT 6.520000 1.260000 6.810000 1.305000 ;
-  END
-END sky130_fd_sc_hd__ebufn_8
-MACRO sky130_fd_sc_hd__ebufn_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__ebufn_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.355000 1.615000 ;
-    END
-  END A
-  PIN TE_B
-    ANTENNAGATEAREA  0.309000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.910000 1.075000 1.240000 1.630000 ;
-    END
-  END TE_B
-  PIN Z
-    ANTENNADIFFAREA  0.601000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.975000 1.495000 3.595000 2.465000 ;
-        RECT 3.125000 0.255000 3.595000 0.825000 ;
-        RECT 3.255000 0.825000 3.595000 1.495000 ;
-    END
-  END Z
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.085000  0.280000 0.345000 0.615000 ;
-      RECT 0.085000  0.615000 1.185000 0.825000 ;
-      RECT 0.085000  1.785000 0.740000 2.005000 ;
-      RECT 0.085000  2.005000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.445000 ;
-      RECT 0.515000  2.175000 0.845000 2.635000 ;
-      RECT 0.525000  0.825000 0.740000 1.785000 ;
-      RECT 1.015000  0.255000 2.025000 0.465000 ;
-      RECT 1.015000  0.465000 1.185000 0.615000 ;
-      RECT 1.015000  1.800000 1.805000 2.005000 ;
-      RECT 1.015000  2.005000 1.270000 2.460000 ;
-      RECT 1.355000  0.635000 1.685000 0.885000 ;
-      RECT 1.410000  0.885000 1.685000 1.075000 ;
-      RECT 1.410000  1.075000 2.535000 1.325000 ;
-      RECT 1.410000  1.325000 1.805000 1.800000 ;
-      RECT 1.440000  2.175000 1.805000 2.635000 ;
-      RECT 1.855000  0.465000 2.025000 0.735000 ;
-      RECT 1.855000  0.735000 2.955000 0.905000 ;
-      RECT 2.195000  0.085000 2.955000 0.565000 ;
-      RECT 2.705000  0.905000 2.955000 0.995000 ;
-      RECT 2.705000  0.995000 3.085000 1.325000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__ebufn_1
-MACRO sky130_fd_sc_hd__ebufn_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__ebufn_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.980000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.490000 0.765000 0.780000 1.675000 ;
-    END
-  END A
-  PIN TE_B
-    ANTENNAGATEAREA  0.811500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.950000 0.765000 1.280000 1.425000 ;
-    END
-  END TE_B
-  PIN Z
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.895000 1.445000 5.895000 1.725000 ;
-        RECT 4.145000 0.615000 5.895000 0.855000 ;
-        RECT 5.675000 0.855000 5.895000 1.445000 ;
-    END
-  END Z
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.980000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 6.170000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.980000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.980000 0.085000 ;
-      RECT 0.000000  2.635000 5.980000 2.805000 ;
-      RECT 0.085000  0.280000 0.345000 0.665000 ;
-      RECT 0.085000  0.665000 0.320000 1.765000 ;
-      RECT 0.085000  1.765000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.930000 0.595000 ;
-      RECT 0.515000  1.845000 0.930000 2.635000 ;
-      RECT 1.100000  0.255000 1.725000 0.595000 ;
-      RECT 1.100000  1.595000 1.725000 1.765000 ;
-      RECT 1.100000  1.765000 1.355000 2.465000 ;
-      RECT 1.450000  0.595000 1.725000 1.025000 ;
-      RECT 1.450000  1.025000 3.810000 1.275000 ;
-      RECT 1.450000  1.275000 1.725000 1.595000 ;
-      RECT 1.565000  1.935000 5.895000 2.105000 ;
-      RECT 1.565000  2.105000 1.810000 2.465000 ;
-      RECT 1.895000  0.255000 2.175000 0.655000 ;
-      RECT 1.895000  0.655000 3.975000 0.855000 ;
-      RECT 1.895000  1.895000 5.895000 1.935000 ;
-      RECT 1.980000  2.275000 2.310000 2.635000 ;
-      RECT 2.345000  0.085000 2.675000 0.485000 ;
-      RECT 2.480000  2.105000 2.650000 2.465000 ;
-      RECT 2.820000  2.275000 3.150000 2.635000 ;
-      RECT 2.845000  0.275000 3.015000 0.655000 ;
-      RECT 3.185000  0.085000 3.515000 0.485000 ;
-      RECT 3.320000  2.105000 5.895000 2.465000 ;
-      RECT 3.685000  0.255000 5.735000 0.445000 ;
-      RECT 3.685000  0.445000 3.975000 0.655000 ;
-      RECT 3.980000  1.025000 5.505000 1.275000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.150000  1.105000 0.320000 1.275000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.310000  1.105000 4.480000 1.275000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.085000 1.075000 0.380000 1.120000 ;
-      RECT 0.085000 1.120000 4.540000 1.260000 ;
-      RECT 0.085000 1.260000 0.380000 1.305000 ;
-      RECT 4.250000 1.075000 4.540000 1.120000 ;
-      RECT 4.250000 1.260000 4.540000 1.305000 ;
-  END
-END sky130_fd_sc_hd__ebufn_4
-MACRO sky130_fd_sc_hd__ebufn_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__ebufn_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.490000 0.765000 0.780000 1.675000 ;
-    END
-  END A
-  PIN TE_B
-    ANTENNAGATEAREA  0.441000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.950000 0.765000 1.280000 1.275000 ;
-    END
-  END TE_B
-  PIN Z
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.905000 1.445000 4.055000 1.625000 ;
-        RECT 1.905000 1.625000 3.625000 1.765000 ;
-        RECT 3.295000 0.635000 4.055000 0.855000 ;
-        RECT 3.295000 1.765000 3.625000 2.125000 ;
-        RECT 3.825000 0.855000 4.055000 1.445000 ;
-    END
-  END Z
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.085000  0.280000 0.345000 0.615000 ;
-      RECT 0.085000  0.615000 0.320000 1.845000 ;
-      RECT 0.085000  1.845000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.850000 0.595000 ;
-      RECT 0.515000  1.845000 0.950000 2.635000 ;
-      RECT 1.020000  0.255000 1.730000 0.595000 ;
-      RECT 1.120000  1.445000 1.735000 1.765000 ;
-      RECT 1.120000  1.765000 1.410000 2.465000 ;
-      RECT 1.450000  0.595000 1.730000 1.025000 ;
-      RECT 1.450000  1.025000 2.965000 1.275000 ;
-      RECT 1.450000  1.275000 1.735000 1.445000 ;
-      RECT 1.600000  1.935000 3.125000 2.105000 ;
-      RECT 1.600000  2.105000 1.810000 2.465000 ;
-      RECT 1.900000  0.255000 2.170000 0.655000 ;
-      RECT 1.900000  0.655000 3.125000 0.855000 ;
-      RECT 1.980000  2.275000 2.310000 2.635000 ;
-      RECT 2.340000  0.085000 2.670000 0.485000 ;
-      RECT 2.480000  2.105000 3.125000 2.295000 ;
-      RECT 2.480000  2.295000 4.055000 2.465000 ;
-      RECT 2.840000  0.275000 4.050000 0.465000 ;
-      RECT 2.840000  0.465000 3.125000 0.655000 ;
-      RECT 3.245000  1.025000 3.655000 1.275000 ;
-      RECT 3.795000  1.795000 4.055000 2.295000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.150000  1.105000 0.320000 1.275000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.380000  1.105000 3.550000 1.275000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.085000 1.075000 0.380000 1.120000 ;
-      RECT 0.085000 1.120000 3.610000 1.260000 ;
-      RECT 0.085000 1.260000 0.380000 1.305000 ;
-      RECT 3.320000 1.075000 3.610000 1.120000 ;
-      RECT 3.320000 1.260000 3.610000 1.305000 ;
-  END
-END sky130_fd_sc_hd__ebufn_2
-MACRO sky130_fd_sc_hd__nor3b_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nor3b_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.900000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.035000 1.075000 2.690000 1.285000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.035000 1.075000 4.300000 1.285000 ;
-    END
-  END B
-  PIN C_N
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.110000 1.075000 0.445000 1.285000 ;
-    END
-  END C_N
-  PIN Y
-    ANTENNADIFFAREA  1.593000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.955000 0.255000 1.285000 0.725000 ;
-        RECT 0.955000 0.725000 6.760000 0.905000 ;
-        RECT 1.795000 0.255000 2.125000 0.725000 ;
-        RECT 3.155000 0.255000 3.485000 0.725000 ;
-        RECT 3.995000 0.255000 4.325000 0.725000 ;
-        RECT 4.835000 0.255000 5.165000 0.725000 ;
-        RECT 4.875000 1.455000 6.760000 1.625000 ;
-        RECT 4.875000 1.625000 5.125000 2.125000 ;
-        RECT 5.675000 0.255000 6.005000 0.725000 ;
-        RECT 5.715000 1.625000 5.965000 2.125000 ;
-        RECT 6.420000 0.905000 6.760000 1.455000 ;
-        RECT 6.515000 0.315000 6.760000 0.725000 ;
-        RECT 6.555000 1.625000 6.760000 2.415000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 6.900000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.090000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.900000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.900000 0.085000 ;
-      RECT 0.000000  2.635000 6.900000 2.805000 ;
-      RECT 0.110000  0.255000 0.445000 0.735000 ;
-      RECT 0.110000  0.735000 0.785000 0.905000 ;
-      RECT 0.110000  1.455000 4.705000 1.625000 ;
-      RECT 0.110000  1.625000 0.405000 2.465000 ;
-      RECT 0.575000  1.795000 0.825000 2.635000 ;
-      RECT 0.615000  0.085000 0.785000 0.555000 ;
-      RECT 0.615000  0.905000 0.785000 1.455000 ;
-      RECT 0.995000  1.795000 4.285000 1.965000 ;
-      RECT 0.995000  1.965000 1.245000 2.465000 ;
-      RECT 1.415000  2.135000 1.665000 2.635000 ;
-      RECT 1.455000  0.085000 1.625000 0.555000 ;
-      RECT 1.835000  1.965000 2.085000 2.465000 ;
-      RECT 2.255000  2.135000 2.505000 2.635000 ;
-      RECT 2.295000  0.085000 2.985000 0.555000 ;
-      RECT 2.775000  2.135000 3.025000 2.295000 ;
-      RECT 2.775000  2.295000 6.385000 2.465000 ;
-      RECT 3.195000  1.965000 3.445000 2.125000 ;
-      RECT 3.615000  2.135000 3.865000 2.295000 ;
-      RECT 3.655000  0.085000 3.825000 0.555000 ;
-      RECT 4.035000  1.965000 4.285000 2.125000 ;
-      RECT 4.455000  1.795000 4.705000 2.295000 ;
-      RECT 4.495000  0.085000 4.665000 0.555000 ;
-      RECT 4.535000  1.075000 6.125000 1.285000 ;
-      RECT 4.535000  1.285000 4.705000 1.455000 ;
-      RECT 5.295000  1.795000 5.545000 2.295000 ;
-      RECT 5.335000  0.085000 5.505000 0.555000 ;
-      RECT 6.135000  1.795000 6.385000 2.295000 ;
-      RECT 6.175000  0.085000 6.345000 0.555000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nor3b_4
-MACRO sky130_fd_sc_hd__nor3b_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nor3b_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.600000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.110000 1.075000 0.965000 1.285000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.135000 1.075000 2.640000 1.285000 ;
-    END
-  END B
-  PIN C_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.030000 1.075000 4.515000 1.285000 ;
-    END
-  END C_N
-  PIN Y
-    ANTENNADIFFAREA  0.796500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.535000 0.255000 0.865000 0.725000 ;
-        RECT 0.535000 0.725000 3.105000 0.905000 ;
-        RECT 1.375000 0.255000 1.705000 0.725000 ;
-        RECT 2.775000 0.255000 3.105000 0.725000 ;
-        RECT 2.815000 0.905000 3.065000 2.125000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.600000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.790000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.600000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.600000 0.085000 ;
-      RECT 0.000000  2.635000 4.600000 2.805000 ;
-      RECT 0.090000  0.085000 0.365000 0.905000 ;
-      RECT 0.090000  1.455000 2.085000 1.625000 ;
-      RECT 0.090000  1.625000 0.405000 2.465000 ;
-      RECT 0.575000  1.795000 0.825000 2.635000 ;
-      RECT 0.995000  1.625000 1.245000 2.465000 ;
-      RECT 1.035000  0.085000 1.205000 0.555000 ;
-      RECT 1.415000  1.795000 1.665000 2.295000 ;
-      RECT 1.415000  2.295000 3.480000 2.465000 ;
-      RECT 1.835000  1.625000 2.085000 2.125000 ;
-      RECT 1.875000  0.085000 2.605000 0.555000 ;
-      RECT 2.375000  1.455000 2.645000 2.295000 ;
-      RECT 3.235000  1.075000 3.860000 1.285000 ;
-      RECT 3.235000  1.455000 3.480000 2.295000 ;
-      RECT 3.275000  0.085000 3.480000 0.895000 ;
-      RECT 3.690000  0.380000 4.045000 0.905000 ;
-      RECT 3.690000  0.905000 3.860000 1.075000 ;
-      RECT 3.690000  1.285000 3.860000 1.455000 ;
-      RECT 3.690000  1.455000 4.045000 1.870000 ;
-      RECT 4.215000  0.085000 4.505000 0.825000 ;
-      RECT 4.215000  1.540000 4.465000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nor3b_2
-MACRO sky130_fd_sc_hd__nor3b_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__nor3b_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.475000 0.995000 1.815000 1.615000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.065000 0.995000 1.305000 1.615000 ;
-    END
-  END B
-  PIN C_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.985000 0.995000 2.335000 1.615000 ;
-    END
-  END C_N
-  PIN Y
-    ANTENNADIFFAREA  0.716500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.255000 0.605000 0.655000 ;
-        RECT 0.085000 0.655000 1.445000 0.825000 ;
-        RECT 0.085000 0.825000 0.255000 1.445000 ;
-        RECT 0.085000 1.445000 0.545000 2.455000 ;
-        RECT 1.275000 0.310000 1.445000 0.655000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.425000  1.075000 0.885000 1.245000 ;
-      RECT 0.715000  1.245000 0.885000 1.785000 ;
-      RECT 0.715000  1.785000 2.675000 1.955000 ;
-      RECT 0.775000  0.085000 1.105000 0.485000 ;
-      RECT 1.615000  0.085000 1.945000 0.825000 ;
-      RECT 1.615000  2.125000 1.945000 2.635000 ;
-      RECT 2.180000  0.405000 2.350000 0.655000 ;
-      RECT 2.180000  0.655000 2.675000 0.825000 ;
-      RECT 2.505000  0.825000 2.675000 1.785000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__nor3b_1
-MACRO sky130_fd_sc_hd__einvn_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__einvn_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.220000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.785000 1.075000 3.135000 1.275000 ;
-    END
-  END A
-  PIN TE_B
-    ANTENNAGATEAREA  0.441000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.325000 1.385000 ;
-    END
-  END TE_B
-  PIN Z
-    ANTENNADIFFAREA  0.694800 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.945000 1.445000 3.135000 1.695000 ;
-        RECT 2.365000 0.595000 2.695000 0.845000 ;
-        RECT 2.365000 0.845000 2.615000 1.445000 ;
-        RECT 2.785000 1.695000 3.135000 2.465000 ;
-    END
-  END Z
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.220000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.410000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.220000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.220000 0.085000 ;
-      RECT 0.000000  2.635000 3.220000 2.805000 ;
-      RECT 0.085000  0.255000 0.345000 0.655000 ;
-      RECT 0.085000  0.655000 0.840000 0.825000 ;
-      RECT 0.085000  1.555000 0.895000 1.725000 ;
-      RECT 0.085000  1.725000 0.345000 2.465000 ;
-      RECT 0.495000  0.825000 0.840000 0.995000 ;
-      RECT 0.495000  0.995000 2.035000 1.275000 ;
-      RECT 0.495000  1.275000 0.895000 1.555000 ;
-      RECT 0.515000  0.085000 0.845000 0.485000 ;
-      RECT 0.515000  1.895000 0.895000 2.635000 ;
-      RECT 1.015000  0.255000 1.280000 0.655000 ;
-      RECT 1.015000  0.655000 2.195000 0.825000 ;
-      RECT 1.070000  1.445000 1.775000 1.865000 ;
-      RECT 1.070000  1.865000 2.615000 2.085000 ;
-      RECT 1.070000  2.085000 1.240000 2.465000 ;
-      RECT 1.410000  2.255000 2.275000 2.635000 ;
-      RECT 1.450000  0.085000 1.780000 0.485000 ;
-      RECT 1.950000  0.255000 3.135000 0.425000 ;
-      RECT 1.950000  0.425000 2.195000 0.655000 ;
-      RECT 2.445000  2.085000 2.615000 2.465000 ;
-      RECT 2.865000  0.425000 3.135000 0.775000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-  END
-END sky130_fd_sc_hd__einvn_2
-MACRO sky130_fd_sc_hd__einvn_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__einvn_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.060000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.530000 0.620000 4.975000 1.325000 ;
-    END
-  END A
-  PIN TE_B
-    ANTENNAGATEAREA  0.811500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.345000 1.325000 ;
-    END
-  END TE_B
-  PIN Z
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.190000 0.620000 4.360000 1.480000 ;
-        RECT 3.190000 1.480000 3.520000 2.075000 ;
-        RECT 4.030000 1.480000 4.360000 2.075000 ;
-    END
-  END Z
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.060000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.250000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.060000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.060000 0.085000 ;
-      RECT 0.000000  2.635000 5.060000 2.805000 ;
-      RECT 0.085000  0.255000 0.345000 0.655000 ;
-      RECT 0.085000  0.655000 0.845000 0.825000 ;
-      RECT 0.085000  1.495000 0.845000 1.665000 ;
-      RECT 0.085000  1.665000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.485000 ;
-      RECT 0.515000  0.825000 0.845000 0.995000 ;
-      RECT 0.515000  0.995000 3.020000 1.325000 ;
-      RECT 0.515000  1.325000 0.845000 1.495000 ;
-      RECT 0.515000  1.835000 0.845000 2.635000 ;
-      RECT 1.015000  0.255000 1.285000 0.655000 ;
-      RECT 1.015000  0.655000 2.995000 0.825000 ;
-      RECT 1.015000  1.495000 3.020000 1.665000 ;
-      RECT 1.015000  1.665000 1.240000 2.465000 ;
-      RECT 1.410000  1.835000 1.740000 2.635000 ;
-      RECT 1.455000  0.085000 1.785000 0.485000 ;
-      RECT 1.910000  1.665000 2.080000 2.465000 ;
-      RECT 1.955000  0.255000 2.125000 0.655000 ;
-      RECT 2.250000  1.835000 2.640000 2.635000 ;
-      RECT 2.295000  0.085000 2.625000 0.485000 ;
-      RECT 2.810000  1.665000 3.020000 2.295000 ;
-      RECT 2.810000  2.295000 4.975000 2.465000 ;
-      RECT 2.825000  0.255000 4.975000 0.450000 ;
-      RECT 2.825000  0.450000 2.995000 0.655000 ;
-      RECT 3.690000  1.650000 3.860000 2.295000 ;
-      RECT 4.530000  1.650000 4.975000 2.295000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-  END
-END sky130_fd_sc_hd__einvn_4
-MACRO sky130_fd_sc_hd__einvn_0
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__einvn_0 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  1.840000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.500000 0.765000 1.755000 1.955000 ;
-    END
-  END A
-  PIN TE_B
-    ANTENNAGATEAREA  0.222000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.995000 0.650000 1.725000 ;
-    END
-  END TE_B
-  PIN Z
-    ANTENNADIFFAREA  0.275600 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.160000 0.255000 1.755000 0.595000 ;
-        RECT 1.160000 0.595000 1.330000 2.125000 ;
-        RECT 1.160000 2.125000 1.755000 2.465000 ;
-    END
-  END Z
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 1.840000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.030000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 1.840000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 1.840000 0.085000 ;
-      RECT 0.000000  2.635000 1.840000 2.805000 ;
-      RECT 0.085000  0.255000 0.360000 0.655000 ;
-      RECT 0.085000  0.655000 0.990000 0.825000 ;
-      RECT 0.085000  1.895000 0.990000 2.065000 ;
-      RECT 0.085000  2.065000 0.400000 2.465000 ;
-      RECT 0.530000  0.085000 0.990000 0.485000 ;
-      RECT 0.570000  2.235000 0.990000 2.635000 ;
-      RECT 0.820000  0.825000 0.990000 1.895000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-  END
-END sky130_fd_sc_hd__einvn_0
-MACRO sky130_fd_sc_hd__einvn_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__einvn_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.300000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.970000 0.765000 2.215000 1.615000 ;
-    END
-  END A
-  PIN TE_B
-    ANTENNAGATEAREA  0.309000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.955000 0.510000 1.725000 ;
-    END
-  END TE_B
-  PIN Z
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.040000 1.785000 2.215000 2.465000 ;
-        RECT 1.620000 0.255000 2.215000 0.595000 ;
-        RECT 1.620000 0.595000 1.800000 1.785000 ;
-    END
-  END Z
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.300000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.490000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.300000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.300000 0.085000 ;
-      RECT 0.000000  2.635000 2.300000 2.805000 ;
-      RECT 0.085000  0.255000 0.370000 0.615000 ;
-      RECT 0.085000  0.615000 1.450000 0.785000 ;
-      RECT 0.085000  1.895000 0.870000 2.065000 ;
-      RECT 0.085000  2.065000 0.370000 2.465000 ;
-      RECT 0.540000  0.085000 1.440000 0.445000 ;
-      RECT 0.540000  2.235000 0.870000 2.635000 ;
-      RECT 0.685000  0.785000 1.450000 1.615000 ;
-      RECT 0.685000  1.615000 0.870000 1.895000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-  END
-END sky130_fd_sc_hd__einvn_1
-MACRO sky130_fd_sc_hd__einvn_8
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__einvn_8 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  8.280000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  1.980000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.645000 0.995000 7.800000 1.285000 ;
-    END
-  END A
-  PIN TE_B
-    ANTENNAGATEAREA  1.375500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.995000 0.345000 1.325000 ;
-    END
-  END TE_B
-  PIN Z
-    ANTENNADIFFAREA  1.782000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.870000 0.620000 8.195000 0.825000 ;
-        RECT 4.870000 1.455000 8.195000 1.625000 ;
-        RECT 4.870000 1.625000 5.200000 2.125000 ;
-        RECT 5.710000 1.625000 6.040000 2.125000 ;
-        RECT 6.550000 1.625000 6.880000 2.125000 ;
-        RECT 7.390000 1.625000 7.720000 2.125000 ;
-        RECT 7.970000 0.825000 8.195000 1.455000 ;
-    END
-  END Z
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 8.280000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.470000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 8.280000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 8.280000 0.085000 ;
-      RECT 0.000000  2.635000 8.280000 2.805000 ;
-      RECT 0.090000  0.255000 0.345000 0.655000 ;
-      RECT 0.090000  0.655000 0.845000 0.825000 ;
-      RECT 0.090000  1.495000 0.845000 1.665000 ;
-      RECT 0.090000  1.665000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.485000 ;
-      RECT 0.515000  0.825000 0.845000 0.995000 ;
-      RECT 0.515000  0.995000 4.475000 1.325000 ;
-      RECT 0.515000  1.325000 0.845000 1.495000 ;
-      RECT 0.515000  1.835000 0.845000 2.635000 ;
-      RECT 1.015000  0.255000 1.285000 0.655000 ;
-      RECT 1.015000  0.655000 4.700000 0.825000 ;
-      RECT 1.015000  1.495000 4.700000 1.665000 ;
-      RECT 1.015000  1.665000 1.240000 2.465000 ;
-      RECT 1.410000  1.835000 1.740000 2.635000 ;
-      RECT 1.455000  0.085000 1.785000 0.485000 ;
-      RECT 1.910000  1.665000 2.080000 2.465000 ;
-      RECT 1.955000  0.255000 2.125000 0.655000 ;
-      RECT 2.250000  1.835000 2.580000 2.635000 ;
-      RECT 2.295000  0.085000 2.625000 0.485000 ;
-      RECT 2.750000  1.665000 2.920000 2.465000 ;
-      RECT 2.795000  0.255000 2.965000 0.655000 ;
-      RECT 3.090000  1.835000 3.420000 2.635000 ;
-      RECT 3.135000  0.085000 3.465000 0.485000 ;
-      RECT 3.590000  1.665000 3.760000 2.465000 ;
-      RECT 3.635000  0.255000 3.805000 0.655000 ;
-      RECT 3.930000  1.835000 4.280000 2.635000 ;
-      RECT 3.975000  0.085000 4.315000 0.485000 ;
-      RECT 4.450000  1.665000 4.700000 2.295000 ;
-      RECT 4.450000  2.295000 8.195000 2.465000 ;
-      RECT 4.485000  0.255000 8.195000 0.450000 ;
-      RECT 4.485000  0.450000 4.700000 0.655000 ;
-      RECT 5.370000  1.795000 5.540000 2.295000 ;
-      RECT 6.210000  1.795000 6.380000 2.295000 ;
-      RECT 7.050000  1.795000 7.220000 2.295000 ;
-      RECT 7.890000  1.795000 8.195000 2.295000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-  END
-END sky130_fd_sc_hd__einvn_8
-MACRO sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2
-  CLASS CORE WELLTAP ;
-  FOREIGN sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.440000 BY  5.440000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.603000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.970000 1.070000 3.290000 1.540000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.610500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.335000 0.255000 5.635000 0.980000 ;
-        RECT 5.360000 0.980000 5.635000 2.370000 ;
-    END
-  END X
-  PIN LOWLVPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 1.380000 2.065000 2.390000 2.335000 ;
-        RECT 2.060000 1.635000 2.390000 2.065000 ;
-        RECT 2.060000 2.335000 2.390000 2.660000 ;
-        RECT 2.060000 2.660000 2.810000 3.750000 ;
-      LAYER mcon ;
-        RECT 1.420000 2.115000 1.590000 2.285000 ;
-        RECT 1.780000 2.115000 1.950000 2.285000 ;
-        RECT 2.140000 2.115000 2.310000 2.285000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 2.140000 6.370000 2.280000 ;
-        RECT 1.360000 2.085000 2.370000 2.140000 ;
-        RECT 1.360000 2.280000 2.370000 2.315000 ;
-      LAYER nwell ;
-        RECT 1.920000 1.305000 2.980000 4.135000 ;
-    END
-  END LOWLVPWR
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 5.200000 6.440000 5.680000 ;
-      LAYER pwell ;
-        RECT 0.145000 4.595000 0.315000 5.120000 ;
-        RECT 6.125000 4.595000 6.295000 5.120000 ;
-    END
-  END VGND
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 3.500000 6.300000 3.640000 ;
-        RECT 0.080000 3.455000 0.370000 3.500000 ;
-        RECT 0.080000 3.640000 0.370000 3.685000 ;
-        RECT 6.010000 3.455000 6.300000 3.500000 ;
-        RECT 6.010000 3.640000 6.300000 3.685000 ;
-      LAYER nwell ;
-        RECT -0.190000 1.305000 0.650000 4.135000 ;
-        RECT  4.250000 1.305000 6.630000 4.135000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.440000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.440000 0.085000 ;
-      RECT 0.000000  2.635000 1.890000 2.805000 ;
-      RECT 0.000000  5.355000 6.440000 5.525000 ;
-      RECT 0.085000  0.085000 0.375000 0.810000 ;
-      RECT 0.085000  2.985000 0.375000 3.970000 ;
-      RECT 0.085000  4.630000 0.375000 5.355000 ;
-      RECT 2.020000  0.085000 2.350000 0.895000 ;
-      RECT 2.560000  0.375000 2.800000 2.130000 ;
-      RECT 2.560000  2.130000 3.390000 2.370000 ;
-      RECT 2.645000  4.515000 2.905000 5.355000 ;
-      RECT 3.060000  2.370000 3.390000 3.965000 ;
-      RECT 3.075000  4.265000 4.265000 4.325000 ;
-      RECT 3.075000  4.325000 3.405000 5.185000 ;
-      RECT 3.115000  0.085000 3.445000 0.900000 ;
-      RECT 3.145000  4.155000 4.195000 4.265000 ;
-      RECT 3.575000  4.515000 3.765000 5.355000 ;
-      RECT 3.615000  0.255000 3.805000 0.730000 ;
-      RECT 3.615000  0.730000 4.665000 0.980000 ;
-      RECT 3.680000  2.405000 4.190000 2.575000 ;
-      RECT 3.680000  2.575000 3.850000 3.470000 ;
-      RECT 3.680000  3.470000 4.720000 3.640000 ;
-      RECT 3.935000  4.325000 4.265000 5.185000 ;
-      RECT 3.975000  0.085000 4.305000 0.560000 ;
-      RECT 4.020000  0.980000 4.190000 2.405000 ;
-      RECT 4.020000  2.745000 4.640000 2.915000 ;
-      RECT 4.020000  2.915000 4.190000 3.300000 ;
-      RECT 4.020000  3.810000 4.190000 4.155000 ;
-      RECT 4.390000  3.085000 4.720000 3.470000 ;
-      RECT 4.410000  3.640000 4.720000 3.740000 ;
-      RECT 4.445000  4.515000 4.955000 5.355000 ;
-      RECT 4.470000  1.625000 4.640000 2.745000 ;
-      RECT 4.475000  0.255000 4.665000 0.730000 ;
-      RECT 4.835000  0.085000 5.165000 0.900000 ;
-      RECT 4.890000  1.625000 5.120000 2.635000 ;
-      RECT 4.890000  2.635000 6.440000 2.805000 ;
-      RECT 4.890000  2.805000 5.120000 3.740000 ;
-      RECT 5.135000  4.405000 5.765000 4.460000 ;
-      RECT 5.135000  4.460000 5.695000 4.820000 ;
-      RECT 5.135000  4.820000 5.485000 5.160000 ;
-      RECT 5.360000  3.070000 5.550000 4.125000 ;
-      RECT 5.360000  4.125000 6.085000 4.355000 ;
-      RECT 5.360000  4.355000 5.765000 4.405000 ;
-      RECT 5.825000  0.085000 6.155000 0.900000 ;
-      RECT 5.905000  1.610000 6.075000 2.635000 ;
-      RECT 6.065000  2.985000 6.355000 3.955000 ;
-      RECT 6.065000  4.630000 6.355000 5.355000 ;
-    LAYER mcon ;
-      RECT 0.140000  3.485000 0.310000 3.655000 ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.145000  5.355000 0.315000 5.525000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.605000  5.355000 0.775000 5.525000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.065000  5.355000 1.235000 5.525000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.525000  5.355000 1.695000 5.525000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  5.355000 2.155000 5.525000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  5.355000 2.615000 5.525000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  5.355000 3.075000 5.525000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  5.355000 3.535000 5.525000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  5.355000 3.995000 5.525000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  5.355000 4.455000 5.525000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  5.355000 4.915000 5.525000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.205000  5.355000 5.375000 5.525000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 5.665000  5.355000 5.835000 5.525000 ;
-      RECT 6.070000  3.485000 6.240000 3.655000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.125000  5.355000 6.295000 5.525000 ;
-    LAYER met1 ;
-      RECT 0.000000 -0.240000 6.440000 0.240000 ;
-    LAYER pwell ;
-      RECT 0.145000 0.320000 0.315000 0.845000 ;
-  END
-END sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2
-MACRO sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1
-  CLASS CORE WELLTAP ;
-  FOREIGN sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.440000 BY  5.440000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.603000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.970000 1.070000 3.290000 1.540000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  0.402500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.335000 0.290000 5.635000 0.980000 ;
-        RECT 5.360000 0.980000 5.635000 2.370000 ;
-    END
-  END X
-  PIN LOWLVPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 1.380000 2.065000 2.390000 2.335000 ;
-        RECT 2.060000 1.635000 2.390000 2.065000 ;
-        RECT 2.060000 2.335000 2.390000 2.660000 ;
-        RECT 2.060000 2.660000 2.810000 3.750000 ;
-      LAYER mcon ;
-        RECT 1.420000 2.115000 1.590000 2.285000 ;
-        RECT 1.780000 2.115000 1.950000 2.285000 ;
-        RECT 2.140000 2.115000 2.310000 2.285000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 2.140000 6.170000 2.280000 ;
-        RECT 1.360000 2.085000 2.370000 2.140000 ;
-        RECT 1.360000 2.280000 2.370000 2.315000 ;
-      LAYER nwell ;
-        RECT 1.920000 1.305000 2.980000 4.135000 ;
-    END
-  END LOWLVPWR
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 5.200000 6.440000 5.680000 ;
-      LAYER pwell ;
-        RECT 0.145000 4.595000 0.315000 5.120000 ;
-        RECT 5.925000 4.595000 6.095000 5.120000 ;
-    END
-  END VGND
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 3.500000 6.170000 3.640000 ;
-        RECT 0.080000 3.455000 0.370000 3.500000 ;
-        RECT 0.080000 3.640000 0.370000 3.685000 ;
-        RECT 5.870000 3.455000 6.160000 3.500000 ;
-        RECT 5.870000 3.640000 6.160000 3.685000 ;
-      LAYER nwell ;
-        RECT -0.190000 1.305000 0.650000 4.135000 ;
-        RECT  4.250000 1.305000 6.630000 4.135000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.440000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.440000 0.085000 ;
-      RECT 0.000000  2.635000 1.890000 2.805000 ;
-      RECT 0.000000  5.355000 6.440000 5.525000 ;
-      RECT 0.085000  0.085000 0.375000 0.810000 ;
-      RECT 0.085000  2.985000 0.375000 3.970000 ;
-      RECT 0.085000  4.630000 0.375000 5.355000 ;
-      RECT 2.020000  0.085000 2.350000 0.895000 ;
-      RECT 2.560000  0.375000 2.800000 2.130000 ;
-      RECT 2.560000  2.130000 3.390000 2.370000 ;
-      RECT 2.645000  4.515000 2.905000 5.355000 ;
-      RECT 3.060000  2.370000 3.390000 3.965000 ;
-      RECT 3.075000  4.265000 4.265000 4.325000 ;
-      RECT 3.075000  4.325000 3.405000 5.185000 ;
-      RECT 3.115000  0.085000 3.445000 0.900000 ;
-      RECT 3.145000  4.155000 4.195000 4.265000 ;
-      RECT 3.575000  4.515000 3.765000 5.355000 ;
-      RECT 3.615000  0.290000 3.805000 0.730000 ;
-      RECT 3.615000  0.730000 4.665000 0.980000 ;
-      RECT 3.680000  2.405000 4.190000 2.575000 ;
-      RECT 3.680000  2.575000 3.850000 3.470000 ;
-      RECT 3.680000  3.470000 4.720000 3.640000 ;
-      RECT 3.935000  4.325000 4.265000 5.185000 ;
-      RECT 3.975000  0.085000 4.305000 0.560000 ;
-      RECT 4.020000  0.980000 4.190000 2.405000 ;
-      RECT 4.020000  2.745000 4.640000 2.915000 ;
-      RECT 4.020000  2.915000 4.190000 3.300000 ;
-      RECT 4.020000  3.810000 4.190000 4.155000 ;
-      RECT 4.390000  3.085000 4.720000 3.470000 ;
-      RECT 4.410000  3.640000 4.720000 3.740000 ;
-      RECT 4.445000  4.515000 4.955000 5.355000 ;
-      RECT 4.470000  1.625000 4.640000 2.745000 ;
-      RECT 4.475000  0.290000 4.665000 0.730000 ;
-      RECT 4.835000  0.085000 5.165000 0.900000 ;
-      RECT 4.890000  1.625000 5.120000 2.635000 ;
-      RECT 4.890000  2.635000 6.440000 2.805000 ;
-      RECT 4.890000  2.805000 5.120000 3.740000 ;
-      RECT 5.135000  4.405000 5.765000 4.460000 ;
-      RECT 5.135000  4.460000 5.695000 4.820000 ;
-      RECT 5.135000  4.820000 5.485000 5.160000 ;
-      RECT 5.360000  3.070000 5.550000 4.125000 ;
-      RECT 5.360000  4.125000 6.085000 4.355000 ;
-      RECT 5.360000  4.355000 5.765000 4.405000 ;
-      RECT 5.865000  0.085000 6.155000 0.810000 ;
-      RECT 5.865000  2.985000 6.155000 3.955000 ;
-      RECT 5.865000  4.630000 6.155000 5.355000 ;
-    LAYER mcon ;
-      RECT 0.140000  3.485000 0.310000 3.655000 ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.145000  5.355000 0.315000 5.525000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.605000  5.355000 0.775000 5.525000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.065000  5.355000 1.235000 5.525000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.525000  5.355000 1.695000 5.525000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  5.355000 2.155000 5.525000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  5.355000 2.615000 5.525000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  5.355000 3.075000 5.525000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  5.355000 3.535000 5.525000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  5.355000 3.995000 5.525000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  5.355000 4.455000 5.525000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  5.355000 4.915000 5.525000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.205000  5.355000 5.375000 5.525000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 5.665000  5.355000 5.835000 5.525000 ;
-      RECT 5.930000  3.485000 6.100000 3.655000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.125000  5.355000 6.295000 5.525000 ;
-    LAYER met1 ;
-      RECT 0.000000 -0.240000 6.440000 0.240000 ;
-    LAYER pwell ;
-      RECT 0.145000 0.320000 0.315000 0.845000 ;
-      RECT 5.925000 0.320000 6.095000 0.845000 ;
-  END
-END sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1
-MACRO sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4
-  CLASS CORE WELLTAP ;
-  FOREIGN sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.360000 BY  5.440000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.603000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.970000 1.070000 3.290000 1.540000 ;
-    END
-  END A
-  PIN X
-    ANTENNADIFFAREA  1.072500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.335000 0.255000 5.635000 0.980000 ;
-        RECT 5.360000 0.980000 5.635000 1.085000 ;
-        RECT 5.360000 1.085000 6.555000 1.410000 ;
-        RECT 5.360000 1.410000 5.635000 2.370000 ;
-        RECT 6.280000 1.410000 6.555000 2.370000 ;
-        RECT 6.335000 0.255000 6.555000 1.085000 ;
-    END
-  END X
-  PIN LOWLVPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 1.380000 2.065000 2.390000 2.335000 ;
-        RECT 2.060000 1.635000 2.390000 2.065000 ;
-        RECT 2.060000 2.335000 2.390000 2.660000 ;
-        RECT 2.060000 2.660000 2.810000 3.750000 ;
-      LAYER mcon ;
-        RECT 1.420000 2.115000 1.590000 2.285000 ;
-        RECT 1.780000 2.115000 1.950000 2.285000 ;
-        RECT 2.140000 2.115000 2.310000 2.285000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 2.140000 7.290000 2.280000 ;
-        RECT 1.360000 2.085000 2.370000 2.140000 ;
-        RECT 1.360000 2.280000 2.370000 2.315000 ;
-      LAYER nwell ;
-        RECT 1.920000 1.305000 2.980000 4.135000 ;
-    END
-  END LOWLVPWR
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 5.200000 7.360000 5.680000 ;
-      LAYER pwell ;
-        RECT 0.145000 4.595000 0.315000 5.120000 ;
-        RECT 7.045000 4.595000 7.215000 5.120000 ;
-    END
-  END VGND
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.070000 3.500000 7.290000 3.640000 ;
-        RECT 0.080000 3.455000 0.370000 3.500000 ;
-        RECT 0.080000 3.640000 0.370000 3.685000 ;
-        RECT 6.930000 3.455000 7.220000 3.500000 ;
-        RECT 6.930000 3.640000 7.220000 3.685000 ;
-      LAYER nwell ;
-        RECT -0.190000 1.305000 0.650000 4.135000 ;
-        RECT  4.250000 1.305000 7.405000 4.135000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.360000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.360000 0.085000 ;
-      RECT 0.000000  2.635000 1.890000 2.805000 ;
-      RECT 0.000000  5.355000 7.360000 5.525000 ;
-      RECT 0.085000  0.085000 0.375000 0.810000 ;
-      RECT 0.085000  2.985000 0.375000 3.970000 ;
-      RECT 0.085000  4.630000 0.375000 5.355000 ;
-      RECT 2.020000  0.085000 2.350000 0.895000 ;
-      RECT 2.560000  0.375000 2.800000 2.130000 ;
-      RECT 2.560000  2.130000 3.390000 2.370000 ;
-      RECT 2.645000  4.515000 2.905000 5.355000 ;
-      RECT 3.060000  2.370000 3.390000 3.965000 ;
-      RECT 3.075000  4.265000 4.265000 4.325000 ;
-      RECT 3.075000  4.325000 3.405000 5.185000 ;
-      RECT 3.115000  0.085000 3.445000 0.900000 ;
-      RECT 3.145000  4.155000 4.195000 4.265000 ;
-      RECT 3.575000  4.515000 3.765000 5.355000 ;
-      RECT 3.615000  0.255000 3.805000 0.730000 ;
-      RECT 3.615000  0.730000 4.665000 0.980000 ;
-      RECT 3.680000  2.405000 4.190000 2.575000 ;
-      RECT 3.680000  2.575000 3.850000 3.470000 ;
-      RECT 3.680000  3.470000 4.720000 3.640000 ;
-      RECT 3.935000  4.325000 4.265000 5.185000 ;
-      RECT 3.975000  0.085000 4.305000 0.560000 ;
-      RECT 4.020000  0.980000 4.190000 2.405000 ;
-      RECT 4.020000  2.745000 4.640000 2.915000 ;
-      RECT 4.020000  2.915000 4.190000 3.300000 ;
-      RECT 4.020000  3.810000 4.190000 4.155000 ;
-      RECT 4.390000  3.085000 4.720000 3.470000 ;
-      RECT 4.410000  3.640000 4.720000 3.740000 ;
-      RECT 4.445000  4.515000 4.955000 5.355000 ;
-      RECT 4.470000  1.625000 4.640000 2.745000 ;
-      RECT 4.475000  0.255000 4.665000 0.730000 ;
-      RECT 4.835000  0.085000 5.165000 0.900000 ;
-      RECT 4.890000  1.625000 5.120000 2.635000 ;
-      RECT 4.890000  2.635000 7.360000 2.805000 ;
-      RECT 4.890000  2.805000 5.120000 3.740000 ;
-      RECT 5.135000  4.405000 5.765000 4.460000 ;
-      RECT 5.135000  4.460000 5.695000 4.820000 ;
-      RECT 5.135000  4.820000 5.485000 5.160000 ;
-      RECT 5.360000  3.070000 5.550000 4.125000 ;
-      RECT 5.360000  4.125000 6.085000 4.355000 ;
-      RECT 5.360000  4.355000 5.765000 4.405000 ;
-      RECT 5.825000  0.085000 6.155000 0.845000 ;
-      RECT 5.905000  1.610000 6.075000 2.635000 ;
-      RECT 6.755000  0.085000 7.005000 0.925000 ;
-      RECT 6.755000  1.610000 6.935000 2.635000 ;
-      RECT 6.985000  2.985000 7.275000 3.955000 ;
-      RECT 6.985000  4.630000 7.275000 5.355000 ;
-    LAYER mcon ;
-      RECT 0.140000  3.485000 0.310000 3.655000 ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.145000  5.355000 0.315000 5.525000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 0.605000  5.355000 0.775000 5.525000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.065000  5.355000 1.235000 5.525000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.525000  5.355000 1.695000 5.525000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  5.355000 2.155000 5.525000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  5.355000 2.615000 5.525000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  5.355000 3.075000 5.525000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  5.355000 3.535000 5.525000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  5.355000 3.995000 5.525000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  5.355000 4.455000 5.525000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  5.355000 4.915000 5.525000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.205000  5.355000 5.375000 5.525000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 5.665000  5.355000 5.835000 5.525000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.125000  5.355000 6.295000 5.525000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 6.585000  5.355000 6.755000 5.525000 ;
-      RECT 6.990000  3.485000 7.160000 3.655000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.045000  5.355000 7.215000 5.525000 ;
-    LAYER met1 ;
-      RECT 0.000000 -0.240000 7.360000 0.240000 ;
-    LAYER pwell ;
-      RECT 0.145000 0.320000 0.315000 0.845000 ;
-  END
-END sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4
-MACRO sky130_fd_sc_hd__a41o_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a41o_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  3.680000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.535000 0.995000 1.915000 1.325000 ;
-        RECT 1.535000 1.325000 1.835000 1.620000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.700000 0.415000 2.650000 0.600000 ;
-        RECT 2.225000 0.600000 2.445000 1.325000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.705000 0.995000 3.085000 1.625000 ;
-        RECT 2.880000 0.395000 3.085000 0.995000 ;
-    END
-  END A3
-  PIN A4
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.315000 0.995000 3.570000 1.625000 ;
-    END
-  END A4
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.005000 1.075000 1.335000 1.635000 ;
-    END
-  END B1
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.085000 0.300000 0.425000 0.560000 ;
-        RECT 0.085000 0.560000 0.345000 2.165000 ;
-        RECT 0.085000 2.165000 0.425000 2.425000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 3.680000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 3.870000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 3.680000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 3.680000 0.085000 ;
-      RECT 0.000000  2.635000 3.680000 2.805000 ;
-      RECT 0.515000  0.735000 1.530000 0.810000 ;
-      RECT 0.515000  0.810000 1.335000 0.905000 ;
-      RECT 0.515000  0.905000 0.685000 1.825000 ;
-      RECT 0.515000  1.825000 1.365000 1.995000 ;
-      RECT 0.595000  0.085000 0.925000 0.565000 ;
-      RECT 0.595000  2.175000 0.845000 2.635000 ;
-      RECT 1.035000  1.995000 1.365000 2.425000 ;
-      RECT 1.115000  0.300000 1.530000 0.735000 ;
-      RECT 1.535000  1.795000 3.505000 1.965000 ;
-      RECT 1.535000  1.965000 1.705000 2.465000 ;
-      RECT 1.915000  2.175000 2.165000 2.635000 ;
-      RECT 2.375000  1.965000 2.545000 2.465000 ;
-      RECT 2.845000  2.175000 3.095000 2.635000 ;
-      RECT 3.255000  0.085000 3.595000 0.810000 ;
-      RECT 3.335000  1.965000 3.505000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a41o_1
-MACRO sky130_fd_sc_hd__a41o_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a41o_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.785000 0.730000 4.005000 1.625000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.085000 1.075000 3.550000 1.245000 ;
-        RECT 3.335000 0.745000 3.550000 1.075000 ;
-        RECT 3.335000 1.245000 3.550000 1.625000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.685000 0.995000 2.855000 1.435000 ;
-        RECT 2.685000 1.435000 3.090000 1.625000 ;
-    END
-  END A3
-  PIN A4
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.000000 0.995000 2.335000 1.625000 ;
-    END
-  END A4
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.400000 1.075000 1.730000 1.295000 ;
-    END
-  END B1
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.595000 0.295000 0.765000 0.755000 ;
-        RECT 0.595000 0.755000 0.785000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.095000  0.085000 0.425000 0.805000 ;
-      RECT 0.095000  1.495000 0.425000 2.635000 ;
-      RECT 0.935000  0.085000 1.265000 0.465000 ;
-      RECT 0.980000  0.635000 2.545000 0.805000 ;
-      RECT 0.980000  0.805000 1.150000 1.495000 ;
-      RECT 0.980000  1.495000 1.785000 1.665000 ;
-      RECT 1.015000  1.835000 1.265000 2.635000 ;
-      RECT 1.455000  1.665000 1.785000 2.425000 ;
-      RECT 1.495000  0.255000 1.705000 0.635000 ;
-      RECT 1.875000  0.085000 2.205000 0.465000 ;
-      RECT 1.955000  1.795000 3.965000 1.965000 ;
-      RECT 1.955000  1.965000 2.125000 2.465000 ;
-      RECT 2.335000  2.175000 2.585000 2.635000 ;
-      RECT 2.375000  0.295000 4.045000 0.465000 ;
-      RECT 2.375000  0.465000 2.545000 0.635000 ;
-      RECT 2.795000  1.965000 2.965000 2.465000 ;
-      RECT 3.335000  2.175000 3.585000 2.635000 ;
-      RECT 3.795000  1.965000 3.965000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a41o_2
-MACRO sky130_fd_sc_hd__a41o_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a41o_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.820000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.395000 1.075000 4.065000 1.295000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.275000 1.075000 4.975000 1.285000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.155000 1.075000 6.185000 1.295000 ;
-    END
-  END A3
-  PIN A4
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.495000 1.075000 7.505000 1.295000 ;
-    END
-  END A4
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.135000 1.075000 3.145000 1.280000 ;
-    END
-  END B1
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.150000 0.635000 1.605000 0.805000 ;
-        RECT 0.150000 0.805000 0.320000 1.575000 ;
-        RECT 0.150000 1.575000 1.605000 1.745000 ;
-        RECT 0.595000 0.255000 0.765000 0.635000 ;
-        RECT 0.595000 1.745000 0.765000 2.465000 ;
-        RECT 1.435000 0.255000 1.605000 0.635000 ;
-        RECT 1.435000 1.745000 1.605000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.820000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.010000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.820000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.820000 0.085000 ;
-      RECT 0.000000  2.635000 7.820000 2.805000 ;
-      RECT 0.095000  0.085000 0.425000 0.465000 ;
-      RECT 0.095000  1.915000 0.425000 2.635000 ;
-      RECT 0.490000  1.075000 1.945000 1.245000 ;
-      RECT 0.935000  0.085000 1.265000 0.465000 ;
-      RECT 0.935000  1.915000 1.265000 2.635000 ;
-      RECT 1.775000  0.085000 2.125000 0.465000 ;
-      RECT 1.775000  0.645000 3.905000 0.815000 ;
-      RECT 1.775000  0.815000 1.945000 1.075000 ;
-      RECT 1.775000  1.245000 1.945000 1.455000 ;
-      RECT 1.775000  1.455000 2.965000 1.625000 ;
-      RECT 1.775000  1.915000 2.125000 2.635000 ;
-      RECT 2.295000  0.255000 2.465000 0.645000 ;
-      RECT 2.375000  1.795000 2.545000 2.295000 ;
-      RECT 2.375000  2.295000 3.405000 2.465000 ;
-      RECT 2.635000  0.085000 2.965000 0.465000 ;
-      RECT 2.715000  1.955000 3.045000 2.125000 ;
-      RECT 2.795000  1.625000 2.965000 1.955000 ;
-      RECT 3.155000  0.295000 4.245000 0.465000 ;
-      RECT 3.235000  1.535000 7.370000 1.705000 ;
-      RECT 3.235000  1.705000 3.405000 2.295000 ;
-      RECT 3.575000  1.915000 3.905000 2.635000 ;
-      RECT 4.075000  0.465000 4.245000 0.645000 ;
-      RECT 4.075000  0.645000 5.165000 0.815000 ;
-      RECT 4.075000  1.705000 4.245000 2.465000 ;
-      RECT 4.415000  0.295000 6.105000 0.465000 ;
-      RECT 4.415000  1.915000 4.745000 2.635000 ;
-      RECT 4.935000  1.705000 5.105000 2.465000 ;
-      RECT 5.345000  1.915000 6.035000 2.635000 ;
-      RECT 5.355000  0.645000 7.285000 0.815000 ;
-      RECT 6.275000  1.705000 6.445000 2.465000 ;
-      RECT 6.615000  0.085000 6.945000 0.465000 ;
-      RECT 6.615000  1.915000 6.945000 2.635000 ;
-      RECT 7.115000  0.255000 7.285000 0.645000 ;
-      RECT 7.115000  1.705000 7.285000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a41o_4
-MACRO sky130_fd_sc_hd__a21boi_0
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a21boi_0 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.780000 0.765000 2.170000 1.615000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.340000 0.765000 2.615000 1.435000 ;
-    END
-  END A2
-  PIN B1_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.470000 1.200000 0.895000 1.955000 ;
-    END
-  END B1_N
-  PIN Y
-    ANTENNADIFFAREA  0.392200 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.065000 1.200000 1.610000 1.655000 ;
-        RECT 1.065000 1.655000 1.305000 2.465000 ;
-        RECT 1.315000 0.255000 1.610000 1.200000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.095000  0.280000 0.380000 0.780000 ;
-      RECT 0.095000  0.780000 1.145000 1.030000 ;
-      RECT 0.095000  1.030000 0.300000 2.085000 ;
-      RECT 0.095000  2.085000 0.355000 2.465000 ;
-      RECT 0.525000  2.175000 0.855000 2.635000 ;
-      RECT 0.550000  0.085000 1.145000 0.610000 ;
-      RECT 1.475000  1.825000 2.665000 2.005000 ;
-      RECT 1.475000  2.005000 1.805000 2.465000 ;
-      RECT 1.975000  2.175000 2.165000 2.635000 ;
-      RECT 2.335000  0.085000 2.665000 0.595000 ;
-      RECT 2.335000  2.005000 2.665000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a21boi_0
-MACRO sky130_fd_sc_hd__a21boi_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a21boi_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  6.900000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.545000 1.065000 4.970000 1.310000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.030000 1.065000 3.375000 1.480000 ;
-        RECT 3.030000 1.480000 6.450000 1.705000 ;
-        RECT 5.205000 1.075000 6.450000 1.480000 ;
-    END
-  END A2
-  PIN B1_N
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.145000 1.075000 0.650000 1.615000 ;
-        RECT 0.480000 0.995000 0.650000 1.075000 ;
-    END
-  END B1_N
-  PIN Y
-    ANTENNADIFFAREA  1.288000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.275000 0.370000 1.465000 0.615000 ;
-        RECT 1.275000 0.615000 2.325000 0.695000 ;
-        RECT 1.275000 0.695000 4.885000 0.865000 ;
-        RECT 1.560000 1.585000 2.860000 1.705000 ;
-        RECT 1.560000 1.705000 2.725000 2.035000 ;
-        RECT 2.135000 0.255000 2.325000 0.615000 ;
-        RECT 2.570000 0.865000 4.885000 0.895000 ;
-        RECT 2.570000 0.895000 2.860000 1.585000 ;
-        RECT 3.255000 0.675000 4.885000 0.695000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 6.900000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.090000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 6.900000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 6.900000 0.085000 ;
-      RECT 0.000000  2.635000 6.900000 2.805000 ;
-      RECT 0.090000  0.255000 0.445000 0.615000 ;
-      RECT 0.090000  0.615000 1.105000 0.795000 ;
-      RECT 0.125000  1.785000 0.990000 2.005000 ;
-      RECT 0.125000  2.005000 0.455000 2.465000 ;
-      RECT 0.625000  2.175000 0.885000 2.635000 ;
-      RECT 0.720000  0.085000 1.105000 0.445000 ;
-      RECT 0.820000  0.795000 1.105000 1.035000 ;
-      RECT 0.820000  1.035000 2.400000 1.345000 ;
-      RECT 0.820000  1.345000 0.990000 1.785000 ;
-      RECT 1.160000  1.795000 1.355000 2.215000 ;
-      RECT 1.160000  2.215000 3.095000 2.465000 ;
-      RECT 1.635000  0.085000 1.965000 0.445000 ;
-      RECT 1.935000  2.205000 3.095000 2.215000 ;
-      RECT 2.495000  0.085000 3.085000 0.525000 ;
-      RECT 2.895000  1.875000 6.605000 2.105000 ;
-      RECT 2.895000  2.105000 3.095000 2.205000 ;
-      RECT 3.265000  0.255000 5.315000 0.505000 ;
-      RECT 3.265000  2.275000 3.595000 2.635000 ;
-      RECT 4.125000  2.275000 4.455000 2.635000 ;
-      RECT 4.625000  2.105000 4.815000 2.465000 ;
-      RECT 4.985000  2.275000 5.315000 2.635000 ;
-      RECT 5.055000  0.505000 5.315000 0.735000 ;
-      RECT 5.055000  0.735000 6.175000 0.905000 ;
-      RECT 5.485000  0.085000 5.675000 0.565000 ;
-      RECT 5.485000  2.105000 5.665000 2.465000 ;
-      RECT 5.845000  0.255000 6.175000 0.735000 ;
-      RECT 5.845000  2.275000 6.175000 2.635000 ;
-      RECT 6.345000  0.085000 6.605000 0.885000 ;
-      RECT 6.345000  2.105000 6.605000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a21boi_4
-MACRO sky130_fd_sc_hd__a21boi_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a21boi_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.760000 0.995000 2.155000 1.345000 ;
-        RECT 1.945000 0.375000 2.155000 0.995000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.350000 0.995000 2.640000 1.345000 ;
-    END
-  END A2
-  PIN B1_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.105000 0.975000 0.335000 1.665000 ;
-    END
-  END B1_N
-  PIN Y
-    ANTENNADIFFAREA  0.551000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.045000 1.045000 1.580000 1.345000 ;
-        RECT 1.045000 1.345000 1.375000 2.455000 ;
-        RECT 1.335000 0.265000 1.765000 0.795000 ;
-        RECT 1.335000 0.795000 1.580000 1.045000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.095000  1.845000 0.855000 2.045000 ;
-      RECT 0.095000  2.045000 0.355000 2.435000 ;
-      RECT 0.365000  0.265000 0.745000 0.715000 ;
-      RECT 0.515000  0.715000 0.745000 1.165000 ;
-      RECT 0.515000  1.165000 0.855000 1.845000 ;
-      RECT 0.525000  2.225000 0.855000 2.635000 ;
-      RECT 0.925000  0.085000 1.155000 0.865000 ;
-      RECT 1.545000  1.525000 2.585000 1.725000 ;
-      RECT 1.545000  1.725000 1.735000 2.455000 ;
-      RECT 1.905000  1.905000 2.235000 2.635000 ;
-      RECT 2.325000  0.085000 2.655000 0.815000 ;
-      RECT 2.415000  1.725000 2.585000 2.455000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a21boi_1
-MACRO sky130_fd_sc_hd__a21boi_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a21boi_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.605000 0.995000 3.215000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.095000 1.075000 2.425000 1.245000 ;
-        RECT 2.100000 1.245000 2.425000 1.495000 ;
-        RECT 2.100000 1.495000 3.675000 1.675000 ;
-        RECT 3.385000 1.035000 3.795000 1.295000 ;
-        RECT 3.385000 1.295000 3.675000 1.495000 ;
-    END
-  END A2
-  PIN B1_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.120000 0.765000 0.425000 1.805000 ;
-    END
-  END B1_N
-  PIN Y
-    ANTENNADIFFAREA  0.627500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.520000 0.255000 1.720000 0.615000 ;
-        RECT 1.520000 0.615000 3.060000 0.785000 ;
-        RECT 1.520000 0.785000 1.715000 2.115000 ;
-        RECT 2.730000 0.255000 3.060000 0.615000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.095000  2.080000 0.425000 2.635000 ;
-      RECT 0.265000  0.360000 0.795000 0.530000 ;
-      RECT 0.595000  0.530000 0.795000 1.070000 ;
-      RECT 0.595000  1.070000 1.325000 1.285000 ;
-      RECT 0.595000  1.285000 0.855000 2.265000 ;
-      RECT 0.985000  0.085000 1.225000 0.885000 ;
-      RECT 1.045000  1.795000 1.350000 2.285000 ;
-      RECT 1.045000  2.285000 2.215000 2.465000 ;
-      RECT 1.885000  1.855000 3.920000 2.025000 ;
-      RECT 1.885000  2.025000 2.215000 2.285000 ;
-      RECT 1.940000  0.085000 2.270000 0.445000 ;
-      RECT 2.385000  2.195000 2.555000 2.635000 ;
-      RECT 2.810000  2.025000 3.920000 2.105000 ;
-      RECT 2.810000  2.105000 2.980000 2.465000 ;
-      RECT 3.160000  2.275000 3.490000 2.635000 ;
-      RECT 3.635000  0.085000 3.930000 0.865000 ;
-      RECT 3.660000  2.105000 3.920000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a21boi_2
-MACRO sky130_fd_sc_hd__a22oi_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a22oi_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.600000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.445000 1.075000 3.100000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.390000 1.075000 4.500000 1.275000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.070000 1.075000 1.700000 1.275000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.150000 1.075000 0.780000 1.275000 ;
-    END
-  END B2
-  PIN Y
-    ANTENNADIFFAREA  1.141000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 1.485000 2.160000 1.655000 ;
-        RECT 0.095000 1.655000 0.345000 2.465000 ;
-        RECT 0.935000 1.655000 1.265000 2.125000 ;
-        RECT 1.355000 0.675000 3.045000 0.845000 ;
-        RECT 1.775000 1.655000 2.160000 2.125000 ;
-        RECT 1.870000 0.845000 2.160000 1.485000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.600000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.790000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.600000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.600000 0.085000 ;
-      RECT 0.000000  2.635000 4.600000 2.805000 ;
-      RECT 0.095000  0.255000 0.345000 0.680000 ;
-      RECT 0.095000  0.680000 1.185000 0.850000 ;
-      RECT 0.515000  0.085000 0.845000 0.510000 ;
-      RECT 0.515000  1.825000 0.765000 2.295000 ;
-      RECT 0.515000  2.295000 2.625000 2.465000 ;
-      RECT 1.015000  0.255000 2.105000 0.505000 ;
-      RECT 1.015000  0.505000 1.185000 0.680000 ;
-      RECT 1.435000  1.825000 1.605000 2.295000 ;
-      RECT 2.295000  0.255000 3.385000 0.505000 ;
-      RECT 2.375000  1.485000 4.305000 1.655000 ;
-      RECT 2.375000  1.655000 2.625000 2.295000 ;
-      RECT 2.795000  1.825000 2.965000 2.635000 ;
-      RECT 3.135000  1.655000 3.465000 2.465000 ;
-      RECT 3.215000  0.505000 3.385000 0.680000 ;
-      RECT 3.215000  0.680000 4.375000 0.850000 ;
-      RECT 3.555000  0.085000 3.885000 0.510000 ;
-      RECT 3.635000  1.825000 3.805000 2.635000 ;
-      RECT 3.975000  1.655000 4.305000 2.465000 ;
-      RECT 4.055000  0.255000 4.375000 0.680000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a22oi_2
-MACRO sky130_fd_sc_hd__a22oi_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a22oi_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.820000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.275000 1.075000 5.685000 1.285000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.910000 1.075000 7.735000 1.285000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.615000 1.075000 4.040000 1.275000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 1.075000 1.895000 1.275000 ;
-    END
-  END B2
-  PIN Y
-    ANTENNADIFFAREA  1.782000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.595000 1.445000 3.325000 1.625000 ;
-        RECT 0.595000 1.625000 0.805000 2.125000 ;
-        RECT 1.395000 1.625000 1.645000 2.125000 ;
-        RECT 2.195000 0.645000 5.565000 0.885000 ;
-        RECT 2.195000 0.885000 2.445000 1.445000 ;
-        RECT 2.235000 1.625000 2.485000 2.125000 ;
-        RECT 3.075000 1.625000 3.325000 2.125000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.820000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.010000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.820000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.820000 0.085000 ;
-      RECT 0.000000  2.635000 7.820000 2.805000 ;
-      RECT 0.090000  1.455000 0.425000 2.295000 ;
-      RECT 0.090000  2.295000 4.265000 2.465000 ;
-      RECT 0.095000  0.255000 0.425000 0.725000 ;
-      RECT 0.095000  0.725000 2.025000 0.905000 ;
-      RECT 0.595000  0.085000 0.765000 0.555000 ;
-      RECT 0.935000  0.255000 1.265000 0.725000 ;
-      RECT 0.975000  1.795000 1.225000 2.295000 ;
-      RECT 1.435000  0.085000 1.605000 0.555000 ;
-      RECT 1.775000  0.255000 3.785000 0.475000 ;
-      RECT 1.775000  0.475000 2.025000 0.725000 ;
-      RECT 1.815000  1.795000 2.065000 2.295000 ;
-      RECT 2.655000  1.795000 2.905000 2.295000 ;
-      RECT 3.495000  1.455000 7.625000 1.625000 ;
-      RECT 3.495000  1.625000 4.265000 2.295000 ;
-      RECT 3.975000  0.255000 5.985000 0.475000 ;
-      RECT 4.435000  1.795000 4.685000 2.635000 ;
-      RECT 4.855000  1.625000 5.105000 2.465000 ;
-      RECT 5.275000  1.795000 5.525000 2.635000 ;
-      RECT 5.695000  1.625000 5.945000 2.465000 ;
-      RECT 5.735000  0.475000 5.985000 0.725000 ;
-      RECT 5.735000  0.725000 7.665000 0.905000 ;
-      RECT 6.115000  1.795000 6.365000 2.635000 ;
-      RECT 6.155000  0.085000 6.325000 0.555000 ;
-      RECT 6.495000  0.255000 6.825000 0.725000 ;
-      RECT 6.535000  1.625000 6.785000 2.465000 ;
-      RECT 6.955000  1.795000 7.205000 2.635000 ;
-      RECT 6.995000  0.085000 7.165000 0.555000 ;
-      RECT 7.335000  0.255000 7.665000 0.725000 ;
-      RECT 7.375000  1.625000 7.625000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a22oi_4
-MACRO sky130_fd_sc_hd__a22oi_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a22oi_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.490000 0.675000 1.700000 1.075000 ;
-        RECT 1.490000 1.075000 1.840000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.010000 0.995000 2.335000 1.325000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.765000 1.075000 1.240000 1.275000 ;
-        RECT 0.990000 0.675000 1.240000 1.075000 ;
-    END
-  END B1
-  PIN B2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.125000 0.765000 0.575000 1.275000 ;
-    END
-  END B2
-  PIN Y
-    ANTENNADIFFAREA  0.858000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 1.445000 1.840000 1.495000 ;
-        RECT 0.095000 1.495000 2.675000 1.625000 ;
-        RECT 0.095000 1.625000 0.425000 2.295000 ;
-        RECT 0.095000 2.295000 1.265000 2.465000 ;
-        RECT 0.820000 0.255000 2.125000 0.505000 ;
-        RECT 0.935000 2.255000 1.265000 2.295000 ;
-        RECT 1.615000 1.625000 2.675000 1.665000 ;
-        RECT 1.945000 0.505000 2.125000 0.655000 ;
-        RECT 1.945000 0.655000 2.675000 0.825000 ;
-        RECT 2.505000 0.825000 2.675000 1.495000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.095000  0.085000 0.545000 0.595000 ;
-      RECT 0.595000  1.795000 1.475000 1.835000 ;
-      RECT 0.595000  1.835000 2.125000 2.035000 ;
-      RECT 0.595000  2.035000 1.210000 2.085000 ;
-      RECT 0.595000  2.085000 0.825000 2.125000 ;
-      RECT 1.435000  2.255000 1.810000 2.635000 ;
-      RECT 1.955000  2.035000 2.125000 2.165000 ;
-      RECT 2.305000  0.085000 2.635000 0.485000 ;
-      RECT 2.360000  1.855000 2.625000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a22oi_1
-MACRO sky130_fd_sc_hd__tapvpwrvgnd_1
-  CLASS CORE WELLTAP ;
-  FOREIGN sky130_fd_sc_hd__tapvpwrvgnd_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  0.460000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 0.460000 0.240000 ;
-      LAYER pwell ;
-        RECT 0.145000 0.320000 0.315000 0.845000 ;
-    END
-  END VGND
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 0.460000 2.960000 ;
-      LAYER nwell ;
-        RECT -0.190000 1.305000 0.650000 2.910000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 0.460000 0.085000 ;
-      RECT 0.000000  2.635000 0.460000 2.805000 ;
-      RECT 0.085000  0.085000 0.375000 0.810000 ;
-      RECT 0.085000  1.470000 0.375000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-  END
-END sky130_fd_sc_hd__tapvpwrvgnd_1
-MACRO sky130_fd_sc_hd__lpflow_inputiso0n_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__lpflow_inputiso0n_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.300000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.100000 1.075000 0.775000 1.325000 ;
-        RECT 0.100000 1.325000 0.365000 1.685000 ;
-    END
-  END A
-  PIN SLEEP_B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.995000 1.075000 1.335000 1.325000 ;
-    END
-  END SLEEP_B
-  PIN X
-    ANTENNADIFFAREA  0.657000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.655000 0.255000 2.215000 0.545000 ;
-        RECT 1.755000 1.915000 2.215000 2.465000 ;
-        RECT 1.965000 0.545000 2.215000 1.915000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.300000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.490000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.300000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.300000 0.085000 ;
-      RECT 0.000000  2.635000 2.300000 2.805000 ;
-      RECT 0.285000  0.355000 0.615000 0.715000 ;
-      RECT 0.285000  0.715000 1.675000 0.905000 ;
-      RECT 0.285000  1.965000 0.565000 2.635000 ;
-      RECT 0.735000  1.575000 1.675000 1.745000 ;
-      RECT 0.735000  1.745000 1.035000 2.295000 ;
-      RECT 1.235000  0.085000 1.485000 0.545000 ;
-      RECT 1.235000  1.915000 1.565000 2.635000 ;
-      RECT 1.505000  0.905000 1.675000 0.995000 ;
-      RECT 1.505000  0.995000 1.795000 1.325000 ;
-      RECT 1.505000  1.325000 1.675000 1.575000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-  END
-END sky130_fd_sc_hd__lpflow_inputiso0n_1
-MACRO sky130_fd_sc_hd__or4bb_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__or4bb_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.600000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.640000 0.995000 3.295000 1.325000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.505000 2.125000 3.145000 2.455000 ;
-    END
-  END B
-  PIN C_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.430000 0.995000 0.780000 1.695000 ;
-    END
-  END C_N
-  PIN D_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.950000 0.995000 1.240000 1.325000 ;
-    END
-  END D_N
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.805000 0.415000 4.080000 0.760000 ;
-        RECT 3.805000 1.495000 4.080000 2.465000 ;
-        RECT 3.910000 0.760000 4.080000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.600000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.790000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.600000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.600000 0.085000 ;
-      RECT 0.000000  2.635000 4.600000 2.805000 ;
-      RECT 0.085000  0.450000 0.405000 0.825000 ;
-      RECT 0.085000  0.825000 0.260000 1.865000 ;
-      RECT 0.085000  1.865000 1.940000 2.035000 ;
-      RECT 0.085000  2.035000 0.345000 2.455000 ;
-      RECT 0.515000  2.205000 0.845000 2.635000 ;
-      RECT 0.660000  0.085000 0.830000 0.825000 ;
-      RECT 0.995000  1.525000 1.600000 1.695000 ;
-      RECT 1.080000  0.450000 1.250000 0.655000 ;
-      RECT 1.080000  0.655000 1.600000 0.825000 ;
-      RECT 1.410000  0.825000 1.600000 1.075000 ;
-      RECT 1.410000  1.075000 1.855000 1.245000 ;
-      RECT 1.410000  1.245000 1.600000 1.525000 ;
-      RECT 1.495000  0.085000 1.850000 0.485000 ;
-      RECT 1.535000  2.205000 2.280000 2.375000 ;
-      RECT 1.770000  1.415000 2.420000 1.585000 ;
-      RECT 1.770000  1.585000 1.940000 1.865000 ;
-      RECT 2.025000  0.305000 2.195000 0.655000 ;
-      RECT 2.025000  0.655000 3.635000 0.825000 ;
-      RECT 2.110000  1.785000 3.145000 1.955000 ;
-      RECT 2.110000  1.955000 2.280000 2.205000 ;
-      RECT 2.250000  0.995000 2.420000 1.415000 ;
-      RECT 2.395000  0.085000 2.725000 0.485000 ;
-      RECT 2.895000  0.305000 3.065000 0.655000 ;
-      RECT 2.975000  1.495000 3.635000 1.665000 ;
-      RECT 2.975000  1.665000 3.145000 1.785000 ;
-      RECT 3.235000  0.085000 3.615000 0.485000 ;
-      RECT 3.315000  1.835000 3.595000 2.635000 ;
-      RECT 3.465000  0.825000 3.635000 0.995000 ;
-      RECT 3.465000  0.995000 3.740000 1.325000 ;
-      RECT 3.465000  1.325000 3.635000 1.495000 ;
-      RECT 4.250000  0.085000 4.420000 1.025000 ;
-      RECT 4.250000  1.440000 4.420000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-  END
-END sky130_fd_sc_hd__or4bb_2
-MACRO sky130_fd_sc_hd__or4bb_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__or4bb_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.520000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.235000 0.995000 3.405000 1.445000 ;
-        RECT 3.235000 1.445000 3.670000 1.615000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.675000 0.995000 3.005000 1.450000 ;
-        RECT 2.795000 1.450000 3.005000 1.785000 ;
-        RECT 2.795000 1.785000 3.115000 2.375000 ;
-    END
-  END B
-  PIN C_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.425000 0.995000 0.775000 1.695000 ;
-    END
-  END C_N
-  PIN D_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.945000 0.995000 1.235000 1.325000 ;
-    END
-  END D_N
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.875000 1.455000 5.435000 1.625000 ;
-        RECT 3.875000 1.625000 4.125000 2.465000 ;
-        RECT 3.915000 0.255000 4.165000 0.725000 ;
-        RECT 3.915000 0.725000 5.435000 0.905000 ;
-        RECT 4.675000 0.255000 5.005000 0.725000 ;
-        RECT 4.715000 1.625000 4.965000 2.465000 ;
-        RECT 5.205000 0.905000 5.435000 1.455000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.520000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.710000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.520000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.520000 0.085000 ;
-      RECT 0.000000  2.635000 5.520000 2.805000 ;
-      RECT 0.085000  0.450000 0.400000 0.825000 ;
-      RECT 0.085000  0.825000 0.255000 1.865000 ;
-      RECT 0.085000  1.865000 1.295000 2.035000 ;
-      RECT 0.085000  2.035000 0.345000 2.455000 ;
-      RECT 0.515000  2.205000 0.845000 2.635000 ;
-      RECT 0.655000  0.085000 0.825000 0.825000 ;
-      RECT 0.990000  1.525000 1.595000 1.695000 ;
-      RECT 1.075000  0.450000 1.245000 0.655000 ;
-      RECT 1.075000  0.655000 1.595000 0.825000 ;
-      RECT 1.125000  2.035000 1.295000 2.295000 ;
-      RECT 1.125000  2.295000 2.445000 2.465000 ;
-      RECT 1.405000  0.825000 1.595000 0.995000 ;
-      RECT 1.405000  0.995000 1.695000 1.325000 ;
-      RECT 1.405000  1.325000 1.595000 1.525000 ;
-      RECT 1.510000  1.955000 2.105000 2.125000 ;
-      RECT 1.515000  0.085000 1.845000 0.480000 ;
-      RECT 1.935000  0.655000 3.745000 0.825000 ;
-      RECT 1.935000  0.825000 2.105000 1.955000 ;
-      RECT 2.095000  0.305000 2.265000 0.655000 ;
-      RECT 2.275000  0.995000 2.445000 2.295000 ;
-      RECT 2.465000  0.085000 2.795000 0.485000 ;
-      RECT 2.965000  0.305000 3.135000 0.655000 ;
-      RECT 3.355000  0.085000 3.735000 0.485000 ;
-      RECT 3.400000  1.795000 3.650000 2.635000 ;
-      RECT 3.575000  0.825000 3.745000 1.075000 ;
-      RECT 3.575000  1.075000 5.035000 1.245000 ;
-      RECT 4.295000  1.795000 4.545000 2.635000 ;
-      RECT 4.335000  0.085000 4.505000 0.555000 ;
-      RECT 5.135000  1.795000 5.385000 2.635000 ;
-      RECT 5.175000  0.085000 5.345000 0.555000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-  END
-END sky130_fd_sc_hd__or4bb_4
-MACRO sky130_fd_sc_hd__or4bb_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__or4bb_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.615000 0.995000 3.270000 1.325000 ;
-    END
-  END A
-  PIN B
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.480000 2.125000 3.120000 2.455000 ;
-    END
-  END B
-  PIN C_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.425000 0.995000 0.775000 1.695000 ;
-    END
-  END C_N
-  PIN D_N
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.945000 0.995000 1.235000 1.325000 ;
-    END
-  END D_N
-  PIN X
-    ANTENNADIFFAREA  0.453750 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.780000 0.415000 4.055000 0.760000 ;
-        RECT 3.780000 1.495000 4.055000 2.465000 ;
-        RECT 3.885000 0.760000 4.055000 1.495000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.085000  0.450000 0.400000 0.825000 ;
-      RECT 0.085000  0.825000 0.255000 1.865000 ;
-      RECT 0.085000  1.865000 1.915000 2.035000 ;
-      RECT 0.085000  2.035000 0.345000 2.455000 ;
-      RECT 0.515000  2.205000 0.845000 2.635000 ;
-      RECT 0.655000  0.085000 0.825000 0.825000 ;
-      RECT 0.990000  1.525000 1.575000 1.695000 ;
-      RECT 1.075000  0.450000 1.245000 0.655000 ;
-      RECT 1.075000  0.655000 1.575000 0.825000 ;
-      RECT 1.405000  0.825000 1.575000 1.075000 ;
-      RECT 1.405000  1.075000 1.830000 1.245000 ;
-      RECT 1.405000  1.245000 1.575000 1.525000 ;
-      RECT 1.470000  0.085000 1.845000 0.485000 ;
-      RECT 1.510000  2.205000 2.255000 2.375000 ;
-      RECT 1.745000  1.415000 2.395000 1.585000 ;
-      RECT 1.745000  1.585000 1.915000 1.865000 ;
-      RECT 2.015000  0.305000 2.185000 0.655000 ;
-      RECT 2.015000  0.655000 3.610000 0.825000 ;
-      RECT 2.085000  1.785000 3.120000 1.955000 ;
-      RECT 2.085000  1.955000 2.255000 2.205000 ;
-      RECT 2.225000  0.995000 2.395000 1.415000 ;
-      RECT 2.370000  0.085000 2.700000 0.485000 ;
-      RECT 2.870000  0.305000 3.040000 0.655000 ;
-      RECT 2.950000  1.495000 3.610000 1.665000 ;
-      RECT 2.950000  1.665000 3.120000 1.785000 ;
-      RECT 3.210000  0.085000 3.590000 0.485000 ;
-      RECT 3.290000  1.835000 3.570000 2.635000 ;
-      RECT 3.440000  0.825000 3.610000 0.995000 ;
-      RECT 3.440000  0.995000 3.715000 1.325000 ;
-      RECT 3.440000  1.325000 3.610000 1.495000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__or4bb_1
-MACRO sky130_fd_sc_hd__mux2_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__mux2_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A0
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.815000 0.765000 2.445000 1.280000 ;
-        RECT 2.275000 1.280000 2.445000 1.315000 ;
-        RECT 2.275000 1.315000 3.090000 1.625000 ;
-    END
-  END A0
-  PIN A1
-    ANTENNAGATEAREA  0.159000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.625000 0.735000 3.090000 1.025000 ;
-        RECT 2.900000 0.420000 3.090000 0.735000 ;
-    END
-  END A1
-  PIN S
-    ANTENNAGATEAREA  0.318000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.360000 0.755000 3.550000 1.625000 ;
-    END
-  END S
-  PIN X
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.515000 0.255000 0.765000 0.750000 ;
-        RECT 0.515000 0.750000 0.685000 1.595000 ;
-        RECT 0.515000 1.595000 0.825000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.090000  0.085000 0.345000 0.885000 ;
-      RECT 0.090000  1.495000 0.345000 2.635000 ;
-      RECT 0.855000  0.995000 1.165000 1.325000 ;
-      RECT 0.935000  0.085000 1.265000 0.465000 ;
-      RECT 0.995000  0.635000 1.605000 0.805000 ;
-      RECT 0.995000  0.805000 1.165000 0.995000 ;
-      RECT 0.995000  1.325000 1.165000 1.835000 ;
-      RECT 0.995000  1.835000 1.655000 2.005000 ;
-      RECT 1.025000  2.175000 1.315000 2.635000 ;
-      RECT 1.335000  0.995000 1.505000 1.495000 ;
-      RECT 1.335000  1.495000 1.995000 1.665000 ;
-      RECT 1.435000  0.295000 2.730000 0.465000 ;
-      RECT 1.435000  0.465000 1.605000 0.635000 ;
-      RECT 1.485000  2.005000 1.655000 2.255000 ;
-      RECT 1.485000  2.255000 2.795000 2.425000 ;
-      RECT 1.825000  1.665000 1.995000 1.835000 ;
-      RECT 1.825000  1.835000 4.050000 2.005000 ;
-      RECT 3.325000  2.175000 3.545000 2.635000 ;
-      RECT 3.350000  0.085000 3.550000 0.585000 ;
-      RECT 3.715000  2.005000 4.050000 2.465000 ;
-      RECT 3.720000  0.255000 4.050000 1.835000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__mux2_2
-MACRO sky130_fd_sc_hd__mux2_8
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__mux2_8 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  9.660000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A0
-    ANTENNAGATEAREA  0.492000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.180000 0.645000 6.895000 0.815000 ;
-        RECT 5.180000 0.815000 5.350000 1.325000 ;
-        RECT 5.305000 0.425000 5.890000 0.645000 ;
-        RECT 6.725000 0.815000 6.895000 0.995000 ;
-        RECT 6.725000 0.995000 7.195000 1.165000 ;
-        RECT 7.025000 1.165000 7.195000 1.325000 ;
-    END
-  END A0
-  PIN A1
-    ANTENNAGATEAREA  0.492000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.290000 1.105000 4.475000 1.275000 ;
-        RECT 4.305000 0.995000 4.475000 1.105000 ;
-        RECT 4.305000 1.275000 4.475000 1.325000 ;
-      LAYER mcon ;
-        RECT 4.290000 1.105000 4.460000 1.275000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 7.960000 0.995000 8.245000 1.325000 ;
-      LAYER mcon ;
-        RECT 7.960000 1.105000 8.130000 1.275000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 4.230000 1.075000 4.520000 1.120000 ;
-        RECT 4.230000 1.120000 8.190000 1.260000 ;
-        RECT 4.230000 1.260000 4.520000 1.305000 ;
-        RECT 7.900000 1.075000 8.190000 1.120000 ;
-        RECT 7.900000 1.260000 8.190000 1.305000 ;
-    END
-  END A1
-  PIN S
-    ANTENNAGATEAREA  0.739500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.795000 0.995000 3.965000 1.495000 ;
-        RECT 3.795000 1.495000 6.035000 1.665000 ;
-        RECT 5.670000 0.995000 6.035000 1.495000 ;
-      LAYER mcon ;
-        RECT 5.670000 1.445000 5.840000 1.615000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 9.215000 0.995000 9.510000 1.615000 ;
-      LAYER mcon ;
-        RECT 9.340000 1.445000 9.510000 1.615000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 5.610000 1.415000 5.900000 1.460000 ;
-        RECT 5.610000 1.460000 9.570000 1.600000 ;
-        RECT 5.610000 1.600000 5.900000 1.645000 ;
-        RECT 9.280000 1.415000 9.570000 1.460000 ;
-        RECT 9.280000 1.600000 9.570000 1.645000 ;
-    END
-  END S
-  PIN X
-    ANTENNADIFFAREA  1.782000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.595000 0.255000 0.765000 0.635000 ;
-        RECT 0.595000 0.635000 3.285000 0.805000 ;
-        RECT 0.595000 0.805000 0.815000 1.575000 ;
-        RECT 0.595000 1.575000 3.285000 1.745000 ;
-        RECT 0.595000 1.745000 0.765000 2.465000 ;
-        RECT 1.435000 0.295000 1.605000 0.635000 ;
-        RECT 1.435000 1.745000 1.605000 2.465000 ;
-        RECT 2.275000 0.255000 2.445000 0.635000 ;
-        RECT 2.275000 1.745000 2.445000 2.465000 ;
-        RECT 3.115000 0.295000 3.285000 0.635000 ;
-        RECT 3.115000 1.745000 3.285000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 9.660000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 9.850000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 9.660000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 9.660000 0.085000 ;
-      RECT 0.000000  2.635000 9.660000 2.805000 ;
-      RECT 0.090000  0.085000 0.425000 0.465000 ;
-      RECT 0.090000  1.915000 0.425000 2.635000 ;
-      RECT 0.935000  0.085000 1.265000 0.465000 ;
-      RECT 0.935000  1.915000 1.265000 2.635000 ;
-      RECT 0.985000  1.075000 3.625000 1.245000 ;
-      RECT 1.775000  0.085000 2.105000 0.465000 ;
-      RECT 1.775000  1.915000 2.105000 2.635000 ;
-      RECT 2.615000  0.085000 2.945000 0.465000 ;
-      RECT 2.615000  1.915000 2.945000 2.635000 ;
-      RECT 3.455000  0.085000 3.785000 0.465000 ;
-      RECT 3.455000  0.635000 4.920000 0.805000 ;
-      RECT 3.455000  0.805000 3.625000 1.075000 ;
-      RECT 3.455000  1.245000 3.625000 1.835000 ;
-      RECT 3.455000  1.835000 8.225000 2.005000 ;
-      RECT 3.455000  2.255000 3.785000 2.635000 ;
-      RECT 3.955000  0.295000 5.125000 0.465000 ;
-      RECT 3.955000  2.255000 5.905000 2.425000 ;
-      RECT 4.750000  0.805000 4.920000 0.935000 ;
-      RECT 6.060000  0.085000 6.390000 0.465000 ;
-      RECT 6.075000  2.175000 6.245000 2.635000 ;
-      RECT 6.345000  0.995000 6.515000 1.495000 ;
-      RECT 6.345000  1.495000 8.855000 1.665000 ;
-      RECT 6.480000  2.255000 8.645000 2.425000 ;
-      RECT 6.575000  0.295000 7.865000 0.465000 ;
-      RECT 7.115000  0.635000 7.670000 0.805000 ;
-      RECT 7.500000  0.805000 7.670000 0.935000 ;
-      RECT 8.685000  0.645000 9.485000 0.815000 ;
-      RECT 8.685000  0.815000 8.855000 1.495000 ;
-      RECT 8.685000  1.665000 8.855000 1.915000 ;
-      RECT 8.685000  1.915000 9.485000 2.085000 ;
-      RECT 8.815000  0.085000 9.145000 0.465000 ;
-      RECT 8.815000  2.255000 9.145000 2.635000 ;
-      RECT 9.315000  0.295000 9.485000 0.645000 ;
-      RECT 9.315000  1.795000 9.485000 1.915000 ;
-      RECT 9.315000  2.085000 9.485000 2.465000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 4.750000  0.765000 4.920000 0.935000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.500000  0.765000 7.670000 0.935000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-      RECT 7.965000 -0.085000 8.135000 0.085000 ;
-      RECT 7.965000  2.635000 8.135000 2.805000 ;
-      RECT 8.425000 -0.085000 8.595000 0.085000 ;
-      RECT 8.425000  2.635000 8.595000 2.805000 ;
-      RECT 8.885000 -0.085000 9.055000 0.085000 ;
-      RECT 8.885000  2.635000 9.055000 2.805000 ;
-      RECT 9.345000 -0.085000 9.515000 0.085000 ;
-      RECT 9.345000  2.635000 9.515000 2.805000 ;
-    LAYER met1 ;
-      RECT 4.690000 0.735000 4.980000 0.780000 ;
-      RECT 4.690000 0.780000 7.730000 0.920000 ;
-      RECT 4.690000 0.920000 4.980000 0.965000 ;
-      RECT 7.440000 0.735000 7.730000 0.780000 ;
-      RECT 7.440000 0.920000 7.730000 0.965000 ;
-  END
-END sky130_fd_sc_hd__mux2_8
-MACRO sky130_fd_sc_hd__mux2_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__mux2_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.140000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A0
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.990000 0.255000 2.265000 1.415000 ;
-    END
-  END A0
-  PIN A1
-    ANTENNAGATEAREA  0.126000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.615000 0.815000 1.785000 1.615000 ;
-        RECT 1.615000 1.615000 2.625000 1.785000 ;
-        RECT 2.435000 0.255000 2.625000 1.615000 ;
-    END
-  END A1
-  PIN S
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.910000 0.995000 1.105000 1.325000 ;
-        RECT 0.935000 1.325000 1.105000 2.295000 ;
-        RECT 0.935000 2.295000 2.965000 2.465000 ;
-        RECT 2.795000 1.440000 3.545000 1.630000 ;
-        RECT 2.795000 1.630000 2.965000 2.295000 ;
-    END
-  END S
-  PIN X
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 0.255000 0.345000 0.825000 ;
-        RECT 0.090000 0.825000 0.260000 1.495000 ;
-        RECT 0.090000 1.495000 0.425000 2.465000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.140000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.420000 -0.085000 0.590000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.330000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.140000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.140000 0.085000 ;
-      RECT 0.000000  2.635000 4.140000 2.805000 ;
-      RECT 0.430000  0.995000 0.685000 1.325000 ;
-      RECT 0.515000  0.085000 0.845000 0.485000 ;
-      RECT 0.515000  0.655000 1.445000 0.825000 ;
-      RECT 0.515000  0.825000 0.685000 0.995000 ;
-      RECT 0.595000  1.495000 0.765000 2.635000 ;
-      RECT 1.270000  0.255000 1.800000 0.620000 ;
-      RECT 1.270000  0.620000 1.445000 0.655000 ;
-      RECT 1.275000  0.825000 1.445000 1.955000 ;
-      RECT 1.275000  1.955000 2.400000 2.125000 ;
-      RECT 2.805000  0.085000 3.315000 0.620000 ;
-      RECT 2.825000  0.895000 4.055000 1.065000 ;
-      RECT 3.135000  1.875000 3.305000 2.635000 ;
-      RECT 3.535000  0.290000 3.780000 0.895000 ;
-      RECT 3.540000  1.875000 4.055000 2.285000 ;
-      RECT 3.715000  1.065000 4.055000 1.875000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-  END
-END sky130_fd_sc_hd__mux2_1
-MACRO sky130_fd_sc_hd__mux2_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__mux2_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  5.520000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A0
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.480000 0.995000 1.750000 1.615000 ;
-    END
-  END A0
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.965000 0.995000 2.435000 1.325000 ;
-    END
-  END A1
-  PIN S
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.430000 0.995000 0.740000 1.325000 ;
-        RECT 0.570000 0.635000 2.850000 0.805000 ;
-        RECT 0.570000 0.805000 0.740000 0.995000 ;
-        RECT 2.680000 0.805000 2.850000 0.995000 ;
-        RECT 2.680000 0.995000 3.395000 1.325000 ;
-    END
-  END S
-  PIN X
-    ANTENNADIFFAREA  0.891000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.915000 0.255000 4.085000 0.635000 ;
-        RECT 3.915000 0.635000 5.430000 0.805000 ;
-        RECT 3.915000 1.575000 5.430000 1.745000 ;
-        RECT 3.915000 1.745000 4.085000 2.465000 ;
-        RECT 4.755000 0.255000 4.925000 0.635000 ;
-        RECT 4.755000 1.745000 4.925000 2.465000 ;
-        RECT 5.200000 0.805000 5.430000 1.575000 ;
-    END
-  END X
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 5.520000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 5.710000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 5.520000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 5.520000 0.085000 ;
-      RECT 0.000000  2.635000 5.520000 2.805000 ;
-      RECT 0.090000  0.295000 0.345000 0.625000 ;
-      RECT 0.090000  0.625000 0.260000 1.495000 ;
-      RECT 0.090000  1.495000 1.080000 1.665000 ;
-      RECT 0.090000  1.665000 0.345000 2.465000 ;
-      RECT 0.515000  0.085000 0.845000 0.465000 ;
-      RECT 0.515000  1.835000 0.820000 2.635000 ;
-      RECT 0.910000  0.995000 1.080000 1.495000 ;
-      RECT 0.990000  1.935000 1.340000 2.275000 ;
-      RECT 0.990000  2.275000 2.770000 2.445000 ;
-      RECT 1.530000  1.935000 3.245000 2.105000 ;
-      RECT 1.975000  0.295000 3.230000 0.465000 ;
-      RECT 1.980000  1.595000 3.735000 1.765000 ;
-      RECT 3.060000  0.465000 3.230000 0.655000 ;
-      RECT 3.060000  0.655000 3.735000 0.825000 ;
-      RECT 3.075000  2.105000 3.245000 2.465000 ;
-      RECT 3.415000  0.085000 3.745000 0.465000 ;
-      RECT 3.415000  2.255000 3.745000 2.635000 ;
-      RECT 3.565000  0.825000 3.735000 1.075000 ;
-      RECT 3.565000  1.075000 5.030000 1.245000 ;
-      RECT 3.565000  1.245000 3.735000 1.595000 ;
-      RECT 3.565000  1.765000 3.735000 1.785000 ;
-      RECT 4.255000  0.085000 4.585000 0.465000 ;
-      RECT 4.255000  1.915000 4.585000 2.635000 ;
-      RECT 5.095000  0.085000 5.425000 0.465000 ;
-      RECT 5.095000  1.915000 5.425000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-  END
-END sky130_fd_sc_hd__mux2_4
-MACRO sky130_fd_sc_hd__sdfrbp_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sdfrbp_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  12.88000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.144000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.735000 1.355000 3.120000 1.785000 ;
-        RECT 2.865000 1.785000 3.120000 2.465000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.429000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 11.140000 0.265000 11.400000 0.795000 ;
-        RECT 11.140000 1.460000 11.400000 2.325000 ;
-        RECT 11.150000 1.445000 11.400000 1.460000 ;
-        RECT 11.190000 0.795000 11.400000 1.445000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.340600 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 12.510000 1.560000 12.780000 2.465000 ;
-        RECT 12.520000 0.255000 12.780000 0.760000 ;
-        RECT 12.600000 0.760000 12.780000 1.560000 ;
-    END
-  END Q_N
-  PIN RESET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.505000 0.765000 7.035000 1.045000 ;
-      LAYER mcon ;
-        RECT 6.865000 0.765000 7.035000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 9.525000 1.065000 10.115000 1.275000 ;
-        RECT 9.825000 0.635000 10.115000 1.065000 ;
-      LAYER mcon ;
-        RECT 9.690000 1.105000  9.860000 1.275000 ;
-        RECT 9.945000 0.765000 10.115000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 6.445000 0.735000  7.095000 0.780000 ;
-        RECT 6.445000 0.780000 10.175000 0.920000 ;
-        RECT 6.445000 0.920000  7.095000 0.965000 ;
-        RECT 9.630000 0.920000 10.175000 0.965000 ;
-        RECT 9.630000 0.965000  9.920000 1.305000 ;
-        RECT 9.885000 0.735000 10.175000 0.780000 ;
-    END
-  END RESET_B
-  PIN SCD
-    ANTENNAGATEAREA  0.156600 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.020000 0.285000 4.275000 0.710000 ;
-        RECT 4.020000 0.710000 4.395000 1.700000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.435000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.465000 1.985000 1.730000 2.465000 ;
-        RECT 1.485000 1.070000 1.730000 1.985000 ;
-    END
-  END SCE
-  PIN CLK
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.140000 0.975000 0.490000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 12.880000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.215000 -0.010000 0.235000 0.015000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000  1.970000 1.425000 ;
-        RECT -0.190000 1.425000 13.070000 2.910000 ;
-        RECT  4.405000 1.305000 13.070000 1.425000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 12.880000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 12.880000 0.085000 ;
-      RECT  0.000000  2.635000 12.880000 2.805000 ;
-      RECT  0.090000  1.795000  0.865000 1.965000 ;
-      RECT  0.090000  1.965000  0.345000 2.465000 ;
-      RECT  0.095000  0.345000  0.345000 0.635000 ;
-      RECT  0.095000  0.635000  0.835000 0.805000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.530000  2.135000  0.860000 2.635000 ;
-      RECT  0.660000  0.805000  0.835000 0.995000 ;
-      RECT  0.660000  0.995000  0.975000 1.325000 ;
-      RECT  0.660000  1.325000  0.865000 1.795000 ;
-      RECT  1.015000  0.345000  1.315000 0.675000 ;
-      RECT  1.035000  1.730000  1.315000 1.900000 ;
-      RECT  1.035000  1.900000  1.205000 2.465000 ;
-      RECT  1.145000  0.675000  1.315000 1.730000 ;
-      RECT  1.535000  0.395000  1.705000 0.730000 ;
-      RECT  1.535000  0.730000  2.225000 0.900000 ;
-      RECT  1.875000  0.085000  2.205000 0.560000 ;
-      RECT  1.900000  2.055000  2.150000 2.400000 ;
-      RECT  1.980000  1.260000  2.470000 1.455000 ;
-      RECT  1.980000  1.455000  2.150000 2.055000 ;
-      RECT  2.055000  0.900000  2.225000 0.995000 ;
-      RECT  2.055000  0.995000  3.085000 1.185000 ;
-      RECT  2.055000  1.185000  2.470000 1.260000 ;
-      RECT  2.320000  2.040000  2.490000 2.635000 ;
-      RECT  2.395000  0.085000  2.725000 0.825000 ;
-      RECT  2.915000  0.255000  3.850000 0.425000 ;
-      RECT  2.915000  0.425000  3.085000 0.995000 ;
-      RECT  3.255000  0.675000  3.425000 1.015000 ;
-      RECT  3.255000  1.015000  3.460000 1.185000 ;
-      RECT  3.290000  1.185000  3.460000 1.935000 ;
-      RECT  3.290000  1.935000  5.075000 2.105000 ;
-      RECT  3.460000  2.105000  3.630000 2.465000 ;
-      RECT  3.680000  0.425000  3.850000 1.685000 ;
-      RECT  4.300000  2.275000  4.630000 2.635000 ;
-      RECT  4.445000  0.085000  4.775000 0.540000 ;
-      RECT  4.565000  0.715000  5.145000 0.895000 ;
-      RECT  4.565000  0.895000  4.735000 1.935000 ;
-      RECT  4.905000  1.065000  5.075000 1.395000 ;
-      RECT  4.905000  2.105000  5.075000 2.185000 ;
-      RECT  4.905000  2.185000  5.275000 2.435000 ;
-      RECT  4.975000  0.335000  5.315000 0.505000 ;
-      RECT  4.975000  0.505000  5.145000 0.715000 ;
-      RECT  5.245000  1.575000  5.495000 1.955000 ;
-      RECT  5.325000  0.705000  5.975000 1.035000 ;
-      RECT  5.325000  1.035000  5.495000 1.575000 ;
-      RECT  5.470000  2.135000  5.835000 2.465000 ;
-      RECT  5.485000  0.305000  6.335000 0.475000 ;
-      RECT  5.665000  1.215000  7.375000 1.385000 ;
-      RECT  5.665000  1.385000  5.835000 2.135000 ;
-      RECT  6.005000  1.935000  7.165000 2.105000 ;
-      RECT  6.005000  2.105000  6.175000 2.375000 ;
-      RECT  6.165000  0.475000  6.335000 1.215000 ;
-      RECT  6.285000  1.595000  7.715000 1.765000 ;
-      RECT  6.410000  2.355000  6.740000 2.635000 ;
-      RECT  6.915000  0.085000  7.245000 0.545000 ;
-      RECT  6.995000  2.105000  7.165000 2.375000 ;
-      RECT  7.205000  1.005000  7.375000 1.215000 ;
-      RECT  7.375000  2.175000  7.745000 2.635000 ;
-      RECT  7.455000  0.275000  7.785000 0.445000 ;
-      RECT  7.455000  0.445000  7.715000 0.835000 ;
-      RECT  7.455000  1.765000  7.715000 1.835000 ;
-      RECT  7.455000  1.835000  8.140000 2.005000 ;
-      RECT  7.545000  0.835000  7.715000 1.595000 ;
-      RECT  7.885000  0.705000  8.095000 1.495000 ;
-      RECT  7.885000  1.495000  8.520000 1.655000 ;
-      RECT  7.885000  1.655000  8.870000 1.665000 ;
-      RECT  7.970000  2.005000  8.140000 2.465000 ;
-      RECT  8.005000  0.255000  8.915000 0.535000 ;
-      RECT  8.310000  1.665000  8.870000 1.935000 ;
-      RECT  8.310000  1.935000  8.840000 1.955000 ;
-      RECT  8.320000  2.125000  9.190000 2.465000 ;
-      RECT  8.405000  0.920000  8.575000 1.325000 ;
-      RECT  8.745000  0.535000  8.915000 1.315000 ;
-      RECT  8.745000  1.315000  9.210000 1.485000 ;
-      RECT  9.015000  2.035000  9.210000 2.115000 ;
-      RECT  9.015000  2.115000  9.190000 2.125000 ;
-      RECT  9.040000  1.485000  9.210000 1.575000 ;
-      RECT  9.040000  1.575000 10.205000 1.745000 ;
-      RECT  9.040000  1.745000  9.210000 2.035000 ;
-      RECT  9.085000  0.085000  9.255000 0.525000 ;
-      RECT  9.125000  0.695000  9.655000 0.865000 ;
-      RECT  9.125000  0.865000  9.295000 1.145000 ;
-      RECT  9.360000  2.195000  9.610000 2.635000 ;
-      RECT  9.485000  0.295000 10.515000 0.465000 ;
-      RECT  9.485000  0.465000  9.655000 0.695000 ;
-      RECT  9.780000  1.915000 10.545000 2.085000 ;
-      RECT  9.780000  2.085000  9.950000 2.375000 ;
-      RECT 10.120000  2.255000 10.450000 2.635000 ;
-      RECT 10.345000  0.465000 10.515000 0.995000 ;
-      RECT 10.345000  0.995000 11.020000 1.295000 ;
-      RECT 10.375000  1.295000 11.020000 1.325000 ;
-      RECT 10.375000  1.325000 10.545000 1.915000 ;
-      RECT 10.720000  0.085000 10.890000 0.545000 ;
-      RECT 10.720000  1.495000 10.970000 2.635000 ;
-      RECT 11.650000  1.535000 12.325000 1.705000 ;
-      RECT 11.650000  1.705000 11.830000 2.465000 ;
-      RECT 11.660000  0.255000 11.830000 0.635000 ;
-      RECT 11.660000  0.635000 12.325000 0.805000 ;
-      RECT 12.010000  0.085000 12.340000 0.465000 ;
-      RECT 12.010000  1.875000 12.340000 2.635000 ;
-      RECT 12.155000  0.805000 12.325000 1.060000 ;
-      RECT 12.155000  1.060000 12.430000 1.390000 ;
-      RECT 12.155000  1.390000 12.325000 1.535000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.805000  1.105000  0.975000 1.275000 ;
-      RECT  1.035000  1.785000  1.205000 1.955000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  4.905000  1.105000  5.075000 1.275000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.325000  1.785000  5.495000 1.955000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.405000  1.105000  8.575000 1.275000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.445000  1.785000  8.615000 1.955000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-      RECT 12.105000  2.635000 12.275000 2.805000 ;
-      RECT 12.565000 -0.085000 12.735000 0.085000 ;
-      RECT 12.565000  2.635000 12.735000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.745000 1.075000 1.035000 1.120000 ;
-      RECT 0.745000 1.120000 8.635000 1.260000 ;
-      RECT 0.745000 1.260000 1.035000 1.305000 ;
-      RECT 0.970000 1.755000 1.270000 1.800000 ;
-      RECT 0.970000 1.800000 8.675000 1.940000 ;
-      RECT 0.970000 1.940000 1.270000 1.985000 ;
-      RECT 4.845000 1.075000 5.135000 1.120000 ;
-      RECT 4.845000 1.260000 5.135000 1.305000 ;
-      RECT 5.265000 1.755000 5.555000 1.800000 ;
-      RECT 5.265000 1.940000 5.555000 1.985000 ;
-      RECT 8.345000 1.075000 8.635000 1.120000 ;
-      RECT 8.345000 1.260000 8.635000 1.305000 ;
-      RECT 8.385000 1.755000 8.675000 1.800000 ;
-      RECT 8.385000 1.940000 8.675000 1.985000 ;
-  END
-END sky130_fd_sc_hd__sdfrbp_1
-MACRO sky130_fd_sc_hd__sdfrbp_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__sdfrbp_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  13.34000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN D
-    ANTENNAGATEAREA  0.144000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.735000 1.355000 3.120000 1.785000 ;
-        RECT 2.865000 1.785000 3.120000 2.465000 ;
-    END
-  END D
-  PIN Q
-    ANTENNADIFFAREA  0.511500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 11.575000 0.265000 11.925000 1.695000 ;
-    END
-  END Q
-  PIN Q_N
-    ANTENNADIFFAREA  0.445500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 12.435000 1.535000 12.825000 2.080000 ;
-        RECT 12.445000 0.310000 12.825000 0.825000 ;
-        RECT 12.525000 2.080000 12.825000 2.465000 ;
-        RECT 12.655000 0.825000 12.825000 1.535000 ;
-    END
-  END Q_N
-  PIN RESET_B
-    ANTENNAGATEAREA  0.252000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.505000 0.765000 7.035000 1.045000 ;
-      LAYER mcon ;
-        RECT 6.865000 0.765000 7.035000 0.935000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 9.525000 1.065000 10.115000 1.275000 ;
-        RECT 9.825000 0.635000 10.115000 1.065000 ;
-      LAYER mcon ;
-        RECT 9.690000 1.105000  9.860000 1.275000 ;
-        RECT 9.945000 0.765000 10.115000 0.935000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 6.445000 0.735000  7.095000 0.780000 ;
-        RECT 6.445000 0.780000 10.175000 0.920000 ;
-        RECT 6.445000 0.920000  7.095000 0.965000 ;
-        RECT 9.630000 0.920000 10.175000 0.965000 ;
-        RECT 9.630000 0.965000  9.920000 1.305000 ;
-        RECT 9.885000 0.735000 10.175000 0.780000 ;
-    END
-  END RESET_B
-  PIN SCD
-    ANTENNAGATEAREA  0.156600 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.020000 0.285000 4.275000 0.710000 ;
-        RECT 4.020000 0.710000 4.395000 1.700000 ;
-    END
-  END SCD
-  PIN SCE
-    ANTENNAGATEAREA  0.435000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.465000 1.985000 1.730000 2.465000 ;
-        RECT 1.485000 1.070000 1.730000 1.985000 ;
-    END
-  END SCE
-  PIN CLK
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE CLOCK ;
-    PORT
-      LAYER li1 ;
-        RECT 0.140000 0.975000 0.490000 1.625000 ;
-    END
-  END CLK
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 13.340000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.215000 -0.010000 0.235000 0.015000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000  1.970000 1.425000 ;
-        RECT -0.190000 1.425000 13.530000 2.910000 ;
-        RECT  4.405000 1.305000 13.530000 1.425000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 13.340000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT  0.000000 -0.085000 13.340000 0.085000 ;
-      RECT  0.000000  2.635000 13.340000 2.805000 ;
-      RECT  0.090000  1.795000  0.865000 1.965000 ;
-      RECT  0.090000  1.965000  0.345000 2.465000 ;
-      RECT  0.095000  0.345000  0.345000 0.635000 ;
-      RECT  0.095000  0.635000  0.835000 0.805000 ;
-      RECT  0.515000  0.085000  0.845000 0.465000 ;
-      RECT  0.530000  2.135000  0.860000 2.635000 ;
-      RECT  0.660000  0.805000  0.835000 0.995000 ;
-      RECT  0.660000  0.995000  0.975000 1.325000 ;
-      RECT  0.660000  1.325000  0.865000 1.795000 ;
-      RECT  1.015000  0.345000  1.315000 0.675000 ;
-      RECT  1.035000  1.730000  1.315000 1.900000 ;
-      RECT  1.035000  1.900000  1.205000 2.465000 ;
-      RECT  1.145000  0.675000  1.315000 1.730000 ;
-      RECT  1.535000  0.395000  1.705000 0.730000 ;
-      RECT  1.535000  0.730000  2.225000 0.900000 ;
-      RECT  1.875000  0.085000  2.205000 0.560000 ;
-      RECT  1.900000  2.055000  2.150000 2.400000 ;
-      RECT  1.980000  1.260000  2.470000 1.455000 ;
-      RECT  1.980000  1.455000  2.150000 2.055000 ;
-      RECT  2.055000  0.900000  2.225000 0.995000 ;
-      RECT  2.055000  0.995000  3.085000 1.185000 ;
-      RECT  2.055000  1.185000  2.470000 1.260000 ;
-      RECT  2.320000  2.040000  2.490000 2.635000 ;
-      RECT  2.395000  0.085000  2.725000 0.825000 ;
-      RECT  2.915000  0.255000  3.850000 0.425000 ;
-      RECT  2.915000  0.425000  3.085000 0.995000 ;
-      RECT  3.255000  0.675000  3.425000 1.015000 ;
-      RECT  3.255000  1.015000  3.460000 1.185000 ;
-      RECT  3.290000  1.185000  3.460000 1.935000 ;
-      RECT  3.290000  1.935000  5.075000 2.105000 ;
-      RECT  3.460000  2.105000  3.630000 2.465000 ;
-      RECT  3.680000  0.425000  3.850000 1.685000 ;
-      RECT  4.300000  2.275000  4.630000 2.635000 ;
-      RECT  4.445000  0.085000  4.775000 0.540000 ;
-      RECT  4.565000  0.715000  5.145000 0.895000 ;
-      RECT  4.565000  0.895000  4.735000 1.935000 ;
-      RECT  4.905000  1.065000  5.075000 1.395000 ;
-      RECT  4.905000  2.105000  5.075000 2.185000 ;
-      RECT  4.905000  2.185000  5.275000 2.435000 ;
-      RECT  4.975000  0.335000  5.315000 0.505000 ;
-      RECT  4.975000  0.505000  5.145000 0.715000 ;
-      RECT  5.245000  1.575000  5.495000 1.955000 ;
-      RECT  5.325000  0.705000  5.975000 1.035000 ;
-      RECT  5.325000  1.035000  5.495000 1.575000 ;
-      RECT  5.470000  2.135000  5.835000 2.465000 ;
-      RECT  5.485000  0.305000  6.335000 0.475000 ;
-      RECT  5.665000  1.215000  7.375000 1.385000 ;
-      RECT  5.665000  1.385000  5.835000 2.135000 ;
-      RECT  6.005000  1.935000  7.165000 2.105000 ;
-      RECT  6.005000  2.105000  6.175000 2.375000 ;
-      RECT  6.165000  0.475000  6.335000 1.215000 ;
-      RECT  6.285000  1.595000  7.715000 1.765000 ;
-      RECT  6.410000  2.355000  6.740000 2.635000 ;
-      RECT  6.915000  0.085000  7.245000 0.545000 ;
-      RECT  6.995000  2.105000  7.165000 2.375000 ;
-      RECT  7.205000  1.005000  7.375000 1.215000 ;
-      RECT  7.375000  2.175000  7.745000 2.635000 ;
-      RECT  7.455000  0.275000  7.785000 0.445000 ;
-      RECT  7.455000  0.445000  7.715000 0.835000 ;
-      RECT  7.455000  1.765000  7.715000 1.835000 ;
-      RECT  7.455000  1.835000  8.140000 2.005000 ;
-      RECT  7.545000  0.835000  7.715000 1.595000 ;
-      RECT  7.885000  0.705000  8.095000 1.495000 ;
-      RECT  7.885000  1.495000  8.520000 1.655000 ;
-      RECT  7.885000  1.655000  8.870000 1.665000 ;
-      RECT  7.970000  2.005000  8.140000 2.465000 ;
-      RECT  8.005000  0.255000  8.915000 0.535000 ;
-      RECT  8.310000  1.665000  8.870000 1.935000 ;
-      RECT  8.310000  1.935000  8.840000 1.955000 ;
-      RECT  8.320000  2.125000  9.190000 2.465000 ;
-      RECT  8.405000  0.920000  8.575000 1.325000 ;
-      RECT  8.745000  0.535000  8.915000 1.315000 ;
-      RECT  8.745000  1.315000  9.210000 1.485000 ;
-      RECT  9.015000  2.035000  9.210000 2.115000 ;
-      RECT  9.015000  2.115000  9.190000 2.125000 ;
-      RECT  9.040000  1.485000  9.210000 1.575000 ;
-      RECT  9.040000  1.575000 10.205000 1.745000 ;
-      RECT  9.040000  1.745000  9.210000 2.035000 ;
-      RECT  9.085000  0.085000  9.255000 0.525000 ;
-      RECT  9.125000  0.695000  9.655000 0.865000 ;
-      RECT  9.125000  0.865000  9.295000 1.145000 ;
-      RECT  9.360000  2.195000  9.610000 2.635000 ;
-      RECT  9.485000  0.295000 10.515000 0.465000 ;
-      RECT  9.485000  0.465000  9.655000 0.695000 ;
-      RECT  9.780000  1.915000 10.545000 2.085000 ;
-      RECT  9.780000  2.085000  9.950000 2.375000 ;
-      RECT 10.120000  2.255000 10.450000 2.635000 ;
-      RECT 10.345000  0.465000 10.515000 1.055000 ;
-      RECT 10.345000  1.055000 11.060000 1.295000 ;
-      RECT 10.375000  1.295000 11.060000 1.325000 ;
-      RECT 10.375000  1.325000 10.545000 1.915000 ;
-      RECT 10.715000  0.345000 10.885000 0.715000 ;
-      RECT 10.715000  0.715000 11.405000 0.885000 ;
-      RECT 10.715000  1.795000 11.405000 1.865000 ;
-      RECT 10.715000  1.865000 12.265000 2.035000 ;
-      RECT 10.715000  2.035000 10.890000 2.465000 ;
-      RECT 11.090000  0.085000 11.365000 0.545000 ;
-      RECT 11.090000  2.205000 11.420000 2.635000 ;
-      RECT 11.230000  0.885000 11.405000 1.795000 ;
-      RECT 11.550000  2.035000 12.265000 2.085000 ;
-      RECT 12.025000  2.255000 12.355000 2.635000 ;
-      RECT 12.095000  0.995000 12.485000 1.325000 ;
-      RECT 12.095000  1.325000 12.265000 1.865000 ;
-      RECT 12.105000  0.085000 12.275000 0.825000 ;
-      RECT 12.995000  0.085000 13.165000 0.930000 ;
-      RECT 12.995000  1.495000 13.245000 2.635000 ;
-    LAYER mcon ;
-      RECT  0.145000 -0.085000  0.315000 0.085000 ;
-      RECT  0.145000  2.635000  0.315000 2.805000 ;
-      RECT  0.605000 -0.085000  0.775000 0.085000 ;
-      RECT  0.605000  2.635000  0.775000 2.805000 ;
-      RECT  0.805000  1.105000  0.975000 1.275000 ;
-      RECT  1.035000  1.785000  1.205000 1.955000 ;
-      RECT  1.065000 -0.085000  1.235000 0.085000 ;
-      RECT  1.065000  2.635000  1.235000 2.805000 ;
-      RECT  1.525000 -0.085000  1.695000 0.085000 ;
-      RECT  1.525000  2.635000  1.695000 2.805000 ;
-      RECT  1.985000 -0.085000  2.155000 0.085000 ;
-      RECT  1.985000  2.635000  2.155000 2.805000 ;
-      RECT  2.445000 -0.085000  2.615000 0.085000 ;
-      RECT  2.445000  2.635000  2.615000 2.805000 ;
-      RECT  2.905000 -0.085000  3.075000 0.085000 ;
-      RECT  2.905000  2.635000  3.075000 2.805000 ;
-      RECT  3.365000 -0.085000  3.535000 0.085000 ;
-      RECT  3.365000  2.635000  3.535000 2.805000 ;
-      RECT  3.825000 -0.085000  3.995000 0.085000 ;
-      RECT  3.825000  2.635000  3.995000 2.805000 ;
-      RECT  4.285000 -0.085000  4.455000 0.085000 ;
-      RECT  4.285000  2.635000  4.455000 2.805000 ;
-      RECT  4.745000 -0.085000  4.915000 0.085000 ;
-      RECT  4.745000  2.635000  4.915000 2.805000 ;
-      RECT  4.905000  1.105000  5.075000 1.275000 ;
-      RECT  5.205000 -0.085000  5.375000 0.085000 ;
-      RECT  5.205000  2.635000  5.375000 2.805000 ;
-      RECT  5.325000  1.785000  5.495000 1.955000 ;
-      RECT  5.665000 -0.085000  5.835000 0.085000 ;
-      RECT  5.665000  2.635000  5.835000 2.805000 ;
-      RECT  6.125000 -0.085000  6.295000 0.085000 ;
-      RECT  6.125000  2.635000  6.295000 2.805000 ;
-      RECT  6.585000 -0.085000  6.755000 0.085000 ;
-      RECT  6.585000  2.635000  6.755000 2.805000 ;
-      RECT  7.045000 -0.085000  7.215000 0.085000 ;
-      RECT  7.045000  2.635000  7.215000 2.805000 ;
-      RECT  7.505000 -0.085000  7.675000 0.085000 ;
-      RECT  7.505000  2.635000  7.675000 2.805000 ;
-      RECT  7.965000 -0.085000  8.135000 0.085000 ;
-      RECT  7.965000  2.635000  8.135000 2.805000 ;
-      RECT  8.405000  1.105000  8.575000 1.275000 ;
-      RECT  8.425000 -0.085000  8.595000 0.085000 ;
-      RECT  8.425000  2.635000  8.595000 2.805000 ;
-      RECT  8.445000  1.785000  8.615000 1.955000 ;
-      RECT  8.885000 -0.085000  9.055000 0.085000 ;
-      RECT  8.885000  2.635000  9.055000 2.805000 ;
-      RECT  9.345000 -0.085000  9.515000 0.085000 ;
-      RECT  9.345000  2.635000  9.515000 2.805000 ;
-      RECT  9.805000 -0.085000  9.975000 0.085000 ;
-      RECT  9.805000  2.635000  9.975000 2.805000 ;
-      RECT 10.265000 -0.085000 10.435000 0.085000 ;
-      RECT 10.265000  2.635000 10.435000 2.805000 ;
-      RECT 10.725000 -0.085000 10.895000 0.085000 ;
-      RECT 10.725000  2.635000 10.895000 2.805000 ;
-      RECT 11.185000 -0.085000 11.355000 0.085000 ;
-      RECT 11.185000  2.635000 11.355000 2.805000 ;
-      RECT 11.645000 -0.085000 11.815000 0.085000 ;
-      RECT 11.645000  2.635000 11.815000 2.805000 ;
-      RECT 12.105000 -0.085000 12.275000 0.085000 ;
-      RECT 12.105000  2.635000 12.275000 2.805000 ;
-      RECT 12.565000 -0.085000 12.735000 0.085000 ;
-      RECT 12.565000  2.635000 12.735000 2.805000 ;
-      RECT 13.025000 -0.085000 13.195000 0.085000 ;
-      RECT 13.025000  2.635000 13.195000 2.805000 ;
-    LAYER met1 ;
-      RECT 0.745000 1.075000 1.035000 1.120000 ;
-      RECT 0.745000 1.120000 8.635000 1.260000 ;
-      RECT 0.745000 1.260000 1.035000 1.305000 ;
-      RECT 0.970000 1.755000 1.270000 1.800000 ;
-      RECT 0.970000 1.800000 8.675000 1.940000 ;
-      RECT 0.970000 1.940000 1.270000 1.985000 ;
-      RECT 4.845000 1.075000 5.135000 1.120000 ;
-      RECT 4.845000 1.260000 5.135000 1.305000 ;
-      RECT 5.265000 1.755000 5.555000 1.800000 ;
-      RECT 5.265000 1.940000 5.555000 1.985000 ;
-      RECT 8.345000 1.075000 8.635000 1.120000 ;
-      RECT 8.345000 1.260000 8.635000 1.305000 ;
-      RECT 8.385000 1.755000 8.675000 1.800000 ;
-      RECT 8.385000 1.940000 8.675000 1.985000 ;
-  END
-END sky130_fd_sc_hd__sdfrbp_2
-MACRO sky130_fd_sc_hd__a211oi_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a211oi_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.600000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.370000 1.035000 3.080000 1.285000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.740000 1.035000 4.500000 1.285000 ;
-        RECT 4.175000 1.285000 4.500000 1.655000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.035000 1.035000 1.785000 1.285000 ;
-        RECT 1.035000 1.285000 1.255000 1.615000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.100000 0.995000 0.405000 1.615000 ;
-    END
-  END C1
-  PIN Y
-    ANTENNADIFFAREA  0.826000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.575000 0.255000 0.835000 0.655000 ;
-        RECT 0.575000 0.655000 3.145000 0.855000 ;
-        RECT 0.575000 0.855000 0.855000 1.785000 ;
-        RECT 0.575000 1.785000 0.905000 2.105000 ;
-        RECT 1.505000 0.285000 1.695000 0.655000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.600000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.125000 -0.085000 0.295000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.790000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.600000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.600000 0.085000 ;
-      RECT 0.000000  2.635000 4.600000 2.805000 ;
-      RECT 0.145000  0.085000 0.395000 0.815000 ;
-      RECT 0.145000  1.785000 0.405000 2.285000 ;
-      RECT 0.145000  2.285000 2.215000 2.455000 ;
-      RECT 1.005000  0.085000 1.335000 0.475000 ;
-      RECT 1.075000  1.785000 1.265000 2.255000 ;
-      RECT 1.075000  2.255000 2.215000 2.285000 ;
-      RECT 1.435000  1.455000 3.975000 1.655000 ;
-      RECT 1.435000  1.655000 1.765000 2.075000 ;
-      RECT 1.865000  0.085000 2.195000 0.475000 ;
-      RECT 1.935000  1.835000 2.215000 2.255000 ;
-      RECT 2.385000  0.265000 3.495000 0.475000 ;
-      RECT 2.435000  1.835000 2.665000 2.635000 ;
-      RECT 2.845000  1.655000 3.115000 2.465000 ;
-      RECT 3.295000  1.835000 3.525000 2.635000 ;
-      RECT 3.325000  0.475000 3.495000 0.635000 ;
-      RECT 3.325000  0.635000 4.435000 0.855000 ;
-      RECT 3.675000  0.085000 4.005000 0.455000 ;
-      RECT 3.705000  1.655000 3.975000 2.465000 ;
-      RECT 4.155000  1.835000 4.385000 2.635000 ;
-      RECT 4.185000  0.265000 4.435000 0.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a211oi_2
-MACRO sky130_fd_sc_hd__a211oi_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a211oi_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.360000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.655000 1.075000 3.005000 1.245000 ;
-        RECT 1.660000 1.035000 3.005000 1.075000 ;
-        RECT 1.660000 1.245000 3.005000 1.275000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.100000 1.035000 1.385000 1.445000 ;
-        RECT 0.100000 1.445000 3.575000 1.625000 ;
-        RECT 3.245000 1.035000 3.575000 1.445000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.745000 1.035000 4.755000 1.275000 ;
-        RECT 3.745000 1.275000 4.460000 1.615000 ;
-      LAYER mcon ;
-        RECT 3.830000 1.445000 4.000000 1.615000 ;
-    END
-    PORT
-      LAYER li1 ;
-        RECT 6.590000 0.995000 6.935000 1.325000 ;
-        RECT 6.590000 1.325000 6.760000 1.615000 ;
-      LAYER mcon ;
-        RECT 6.590000 1.445000 6.760000 1.615000 ;
-    END
-    PORT
-      LAYER met1 ;
-        RECT 3.770000 1.415000 4.060000 1.460000 ;
-        RECT 3.770000 1.460000 6.820000 1.600000 ;
-        RECT 3.770000 1.600000 4.060000 1.645000 ;
-        RECT 6.530000 1.415000 6.820000 1.460000 ;
-        RECT 6.530000 1.600000 6.820000 1.645000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 5.000000 1.035000 6.350000 1.275000 ;
-        RECT 6.130000 1.275000 6.350000 1.695000 ;
-    END
-  END C1
-  PIN Y
-    ANTENNADIFFAREA  1.685000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.775000 0.675000 3.330000 0.695000 ;
-        RECT 1.775000 0.695000 7.275000 0.825000 ;
-        RECT 1.775000 0.825000 6.355000 0.865000 ;
-        RECT 3.875000 0.255000 4.195000 0.615000 ;
-        RECT 3.875000 0.615000 5.045000 0.625000 ;
-        RECT 3.875000 0.625000 7.275000 0.695000 ;
-        RECT 4.875000 0.255000 5.045000 0.615000 ;
-        RECT 5.170000 1.865000 7.275000 2.085000 ;
-        RECT 5.715000 0.255000 5.885000 0.615000 ;
-        RECT 5.715000 0.615000 7.275000 0.625000 ;
-        RECT 6.930000 1.495000 7.275000 1.865000 ;
-        RECT 7.105000 0.825000 7.275000 1.495000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.360000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 7.550000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.360000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.360000 0.085000 ;
-      RECT 0.000000  2.635000 7.360000 2.805000 ;
-      RECT 0.095000  0.085000 0.395000 0.585000 ;
-      RECT 0.095000  1.795000 3.705000 2.085000 ;
-      RECT 0.095000  2.085000 0.345000 2.465000 ;
-      RECT 0.515000  2.255000 0.845000 2.635000 ;
-      RECT 0.565000  0.530000 0.775000 0.695000 ;
-      RECT 0.565000  0.695000 1.605000 0.865000 ;
-      RECT 0.950000  0.085000 1.185000 0.525000 ;
-      RECT 1.015000  2.085000 3.705000 2.105000 ;
-      RECT 1.015000  2.105000 1.185000 2.465000 ;
-      RECT 1.355000  0.255000 3.365000 0.505000 ;
-      RECT 1.355000  0.505000 1.605000 0.695000 ;
-      RECT 1.355000  2.275000 1.685000 2.635000 ;
-      RECT 1.855000  2.105000 2.025000 2.465000 ;
-      RECT 2.195000  2.275000 2.525000 2.635000 ;
-      RECT 2.695000  2.105000 2.865000 2.465000 ;
-      RECT 3.035000  2.275000 3.365000 2.635000 ;
-      RECT 3.535000  0.085000 3.705000 0.525000 ;
-      RECT 3.535000  2.105000 3.705000 2.255000 ;
-      RECT 3.535000  2.255000 7.270000 2.465000 ;
-      RECT 3.875000  1.785000 4.910000 2.085000 ;
-      RECT 4.365000  0.085000 4.695000 0.445000 ;
-      RECT 4.630000  1.445000 5.960000 1.695000 ;
-      RECT 4.630000  1.695000 4.910000 1.785000 ;
-      RECT 5.215000  0.085000 5.545000 0.445000 ;
-      RECT 6.055000  0.085000 6.385000 0.445000 ;
-      RECT 6.915000  0.085000 7.270000 0.445000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a211oi_4
-MACRO sky130_fd_sc_hd__a211oi_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__a211oi_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.605000 0.265000 0.855000 0.995000 ;
-        RECT 0.605000 0.995000 1.245000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.095000 0.765000 0.435000 1.325000 ;
-    END
-  END A2
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.425000 0.995000 1.755000 1.325000 ;
-        RECT 1.525000 1.325000 1.755000 2.455000 ;
-    END
-  END B1
-  PIN C1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.935000 0.995000 2.235000 1.615000 ;
-    END
-  END C1
-  PIN Y
-    ANTENNADIFFAREA  0.619250 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.180000 0.265000 1.365000 0.625000 ;
-        RECT 1.180000 0.625000 2.660000 0.815000 ;
-        RECT 1.935000 1.785000 2.660000 2.455000 ;
-        RECT 2.055000 0.265000 2.280000 0.625000 ;
-        RECT 2.445000 0.815000 2.660000 1.785000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.085000  0.085000 0.425000 0.595000 ;
-      RECT 0.250000  1.525000 1.355000 1.725000 ;
-      RECT 0.250000  1.725000 0.500000 2.455000 ;
-      RECT 0.670000  1.905000 1.000000 2.635000 ;
-      RECT 1.170000  1.725000 1.355000 2.455000 ;
-      RECT 1.545000  0.085000 1.875000 0.455000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__a211oi_1
-MACRO sky130_fd_sc_hd__o31ai_1
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o31ai_1 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  2.760000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 1.075000 0.440000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.610000 1.075000 1.055000 2.465000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.225000 1.075000 1.700000 1.325000 ;
-        RECT 1.460000 1.325000 1.700000 2.405000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.247500 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.330000 0.995000 2.675000 1.325000 ;
-    END
-  END B1
-  PIN Y
-    ANTENNADIFFAREA  1.006000 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.945000 0.260000 2.675000 0.825000 ;
-        RECT 1.945000 0.825000 2.160000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 2.760000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 2.950000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 2.760000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 2.760000 0.085000 ;
-      RECT 0.000000  2.635000 2.760000 2.805000 ;
-      RECT 0.090000  1.495000 0.440000 2.635000 ;
-      RECT 0.175000  0.085000 0.345000 0.905000 ;
-      RECT 0.515000  0.255000 0.845000 0.735000 ;
-      RECT 0.515000  0.735000 1.700000 0.905000 ;
-      RECT 1.015000  0.085000 1.185000 0.565000 ;
-      RECT 1.370000  0.255000 1.700000 0.735000 ;
-      RECT 2.330000  1.495000 2.675000 2.635000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o31ai_1
-MACRO sky130_fd_sc_hd__o31ai_2
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o31ai_2 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  4.600000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 1.055000 1.240000 1.325000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.410000 1.055000 2.220000 1.325000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.390000 1.055000 3.205000 1.325000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.495000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 4.175000 0.755000 4.515000 1.325000 ;
-    END
-  END B1
-  PIN Y
-    ANTENNADIFFAREA  1.063500 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 2.335000 1.495000 4.515000 1.665000 ;
-        RECT 2.335000 1.665000 2.665000 2.125000 ;
-        RECT 3.175000 1.665000 3.505000 2.465000 ;
-        RECT 3.675000 0.595000 4.005000 1.495000 ;
-        RECT 4.175000 1.665000 4.515000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 4.600000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.150000 -0.085000 0.320000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 4.790000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 4.600000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 4.600000 0.085000 ;
-      RECT 0.000000  2.635000 4.600000 2.805000 ;
-      RECT 0.090000  0.255000 0.445000 0.715000 ;
-      RECT 0.090000  0.715000 3.505000 0.885000 ;
-      RECT 0.090000  1.495000 2.125000 1.665000 ;
-      RECT 0.090000  1.665000 0.445000 2.465000 ;
-      RECT 0.615000  0.085000 0.785000 0.545000 ;
-      RECT 0.615000  1.835000 0.785000 2.635000 ;
-      RECT 0.955000  0.255000 1.285000 0.715000 ;
-      RECT 0.955000  1.665000 1.285000 2.465000 ;
-      RECT 1.455000  0.085000 1.965000 0.545000 ;
-      RECT 1.455000  1.835000 1.625000 2.295000 ;
-      RECT 1.455000  2.295000 3.005000 2.465000 ;
-      RECT 1.795000  1.665000 2.125000 2.125000 ;
-      RECT 2.175000  0.255000 2.505000 0.715000 ;
-      RECT 2.675000  0.085000 3.005000 0.545000 ;
-      RECT 2.835000  1.835000 3.005000 2.295000 ;
-      RECT 3.175000  0.255000 4.515000 0.425000 ;
-      RECT 3.175000  0.425000 3.505000 0.715000 ;
-      RECT 3.675000  1.835000 4.005000 2.635000 ;
-      RECT 4.175000  0.425000 4.515000 0.585000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o31ai_2
-MACRO sky130_fd_sc_hd__o31ai_4
-  CLASS CORE ;
-  FOREIGN sky130_fd_sc_hd__o31ai_4 ;
-  ORIGIN  0.000000  0.000000 ;
-  SIZE  7.820000 BY  2.720000 ;
-  SYMMETRY X Y R90 ;
-  SITE unithd ;
-  PIN A1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 0.090000 1.055000 1.780000 1.425000 ;
-    END
-  END A1
-  PIN A2
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 1.950000 1.055000 3.605000 1.425000 ;
-    END
-  END A2
-  PIN A3
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.775000 1.055000 5.940000 1.275000 ;
-    END
-  END A3
-  PIN B1
-    ANTENNAGATEAREA  0.990000 ;
-    DIRECTION INPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 6.465000 1.055000 7.735000 1.275000 ;
-    END
-  END B1
-  PIN Y
-    ANTENNADIFFAREA  1.683800 ;
-    DIRECTION OUTPUT ;
-    USE SIGNAL ;
-    PORT
-      LAYER li1 ;
-        RECT 3.775000 1.445000 7.735000 1.695000 ;
-        RECT 5.770000 1.695000 5.940000 2.465000 ;
-        RECT 6.110000 0.645000 7.280000 0.885000 ;
-        RECT 6.110000 0.885000 6.295000 1.445000 ;
-        RECT 6.610000 1.695000 6.780000 2.465000 ;
-        RECT 7.450000 1.695000 7.735000 2.465000 ;
-    END
-  END Y
-  PIN VGND
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE GROUND ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 -0.240000 7.820000 0.240000 ;
-    END
-  END VGND
-  PIN VNB
-    DIRECTION INOUT ;
-    USE GROUND ;
-    PORT
-      LAYER pwell ;
-        RECT 0.145000 -0.085000 0.315000 0.085000 ;
-    END
-  END VNB
-  PIN VPB
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER nwell ;
-        RECT -0.190000 1.305000 8.010000 2.910000 ;
-    END
-  END VPB
-  PIN VPWR
-    DIRECTION INOUT ;
-    SHAPE ABUTMENT ;
-    USE POWER ;
-    PORT
-      LAYER met1 ;
-        RECT 0.000000 2.480000 7.820000 2.960000 ;
-    END
-  END VPWR
-  OBS
-    LAYER li1 ;
-      RECT 0.000000 -0.085000 7.820000 0.085000 ;
-      RECT 0.000000  2.635000 7.820000 2.805000 ;
-      RECT 0.090000  0.255000 0.445000 0.715000 ;
-      RECT 0.090000  0.715000 5.940000 0.885000 ;
-      RECT 0.090000  1.595000 2.125000 1.895000 ;
-      RECT 0.090000  1.895000 0.445000 2.465000 ;
-      RECT 0.615000  0.085000 0.785000 0.545000 ;
-      RECT 0.615000  2.065000 0.785000 2.635000 ;
-      RECT 0.955000  0.255000 1.285000 0.715000 ;
-      RECT 0.955000  1.895000 1.285000 2.465000 ;
-      RECT 1.455000  0.085000 1.625000 0.545000 ;
-      RECT 1.455000  2.065000 1.625000 2.635000 ;
-      RECT 1.795000  0.255000 2.125000 0.715000 ;
-      RECT 1.795000  1.895000 2.125000 2.205000 ;
-      RECT 1.795000  2.205000 3.885000 2.465000 ;
-      RECT 2.295000  0.085000 2.465000 0.545000 ;
-      RECT 2.295000  1.595000 3.605000 1.765000 ;
-      RECT 2.295000  1.765000 2.465000 2.035000 ;
-      RECT 2.635000  0.255000 2.965000 0.715000 ;
-      RECT 2.635000  1.935000 2.965000 2.205000 ;
-      RECT 3.135000  0.085000 3.305000 0.545000 ;
-      RECT 3.135000  1.765000 3.605000 1.865000 ;
-      RECT 3.135000  1.865000 5.600000 2.035000 ;
-      RECT 3.475000  0.255000 3.805000 0.715000 ;
-      RECT 3.995000  0.085000 4.640000 0.545000 ;
-      RECT 4.080000  2.035000 5.600000 2.465000 ;
-      RECT 4.810000  0.395000 4.980000 0.715000 ;
-      RECT 5.150000  0.085000 5.600000 0.545000 ;
-      RECT 5.770000  0.255000 7.735000 0.475000 ;
-      RECT 5.770000  0.475000 5.940000 0.715000 ;
-      RECT 6.110000  1.890000 6.440000 2.635000 ;
-      RECT 6.950000  1.890000 7.280000 2.635000 ;
-      RECT 7.450000  0.475000 7.735000 0.885000 ;
-    LAYER mcon ;
-      RECT 0.145000 -0.085000 0.315000 0.085000 ;
-      RECT 0.145000  2.635000 0.315000 2.805000 ;
-      RECT 0.605000 -0.085000 0.775000 0.085000 ;
-      RECT 0.605000  2.635000 0.775000 2.805000 ;
-      RECT 1.065000 -0.085000 1.235000 0.085000 ;
-      RECT 1.065000  2.635000 1.235000 2.805000 ;
-      RECT 1.525000 -0.085000 1.695000 0.085000 ;
-      RECT 1.525000  2.635000 1.695000 2.805000 ;
-      RECT 1.985000 -0.085000 2.155000 0.085000 ;
-      RECT 1.985000  2.635000 2.155000 2.805000 ;
-      RECT 2.445000 -0.085000 2.615000 0.085000 ;
-      RECT 2.445000  2.635000 2.615000 2.805000 ;
-      RECT 2.905000 -0.085000 3.075000 0.085000 ;
-      RECT 2.905000  2.635000 3.075000 2.805000 ;
-      RECT 3.365000 -0.085000 3.535000 0.085000 ;
-      RECT 3.365000  2.635000 3.535000 2.805000 ;
-      RECT 3.825000 -0.085000 3.995000 0.085000 ;
-      RECT 3.825000  2.635000 3.995000 2.805000 ;
-      RECT 4.285000 -0.085000 4.455000 0.085000 ;
-      RECT 4.285000  2.635000 4.455000 2.805000 ;
-      RECT 4.745000 -0.085000 4.915000 0.085000 ;
-      RECT 4.745000  2.635000 4.915000 2.805000 ;
-      RECT 5.205000 -0.085000 5.375000 0.085000 ;
-      RECT 5.205000  2.635000 5.375000 2.805000 ;
-      RECT 5.665000 -0.085000 5.835000 0.085000 ;
-      RECT 5.665000  2.635000 5.835000 2.805000 ;
-      RECT 6.125000 -0.085000 6.295000 0.085000 ;
-      RECT 6.125000  2.635000 6.295000 2.805000 ;
-      RECT 6.585000 -0.085000 6.755000 0.085000 ;
-      RECT 6.585000  2.635000 6.755000 2.805000 ;
-      RECT 7.045000 -0.085000 7.215000 0.085000 ;
-      RECT 7.045000  2.635000 7.215000 2.805000 ;
-      RECT 7.505000 -0.085000 7.675000 0.085000 ;
-      RECT 7.505000  2.635000 7.675000 2.805000 ;
-  END
-END sky130_fd_sc_hd__o31ai_4
-MACRO sky130_ef_sc_hd__fakediode_2
-  CLASS CORE SPACER ;
-  FOREIGN sky130_ef_sc_hd__fakediode_2 ;
-  ORIGIN 0.000 0.000 ;
-  SIZE 0.920 BY 2.720 ;
-  PIN DIODE
-    PORT
-      LAYER li1 ;
-        RECT 0.085 0.255 0.835 2.465 ;
-    END
-  END DIODE
-  PIN VGND
-    USE GROUND ;
-    PORT
-      LAYER li1 ;
-        RECT 0.000 -0.085 0.920 0.085 ;
-      LAYER mcon ;
-        RECT 0.145 -0.085 0.315 0.085 ;
-        RECT 0.605 -0.085 0.775 0.085 ;
-      LAYER met1 ;
-        RECT 0.000 -0.240 0.920 0.240 ;
-    END
-  END VGND
-  PIN VPWR
-    USE POWER ;
-    PORT
-      LAYER li1 ;
-        RECT 0.000 2.635 0.920 2.805 ;
-      LAYER mcon ;
-        RECT 0.145 2.635 0.315 2.805 ;
-        RECT 0.605 2.635 0.775 2.805 ;
-      LAYER met1 ;
-        RECT 0.000 2.480 0.920 2.960 ;
-    END
-  END VPWR
-  PIN VPB
-    PORT
-      LAYER nwell ;
-        RECT -0.190 1.305 1.110 2.910 ;
-    END
-  END VPB
-  PIN VNB
-    PORT
-      LAYER pwell ;
-        RECT 0.145 -0.085 0.315 0.085 ;
-    END
-  END VNB
-END sky130_ef_sc_hd__fakediode_2
-MACRO user_proj_example
-  CLASS BLOCK ;
-  FOREIGN user_proj_example ;
-  ORIGIN 0.000 0.000 ;
-  SIZE 598.760 BY 600.000 ;
-  PIN io_in[0]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 1.860 597.600 2.140 600.000 ;
-    END
-  END io_in[0]
-  PIN io_in[10]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 159.640 597.600 159.920 600.000 ;
-    END
-  END io_in[10]
-  PIN io_in[11]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 175.280 597.600 175.560 600.000 ;
-    END
-  END io_in[11]
-  PIN io_in[12]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 190.920 597.600 191.200 600.000 ;
-    END
-  END io_in[12]
-  PIN io_in[13]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 207.020 597.600 207.300 600.000 ;
-    END
-  END io_in[13]
-  PIN io_in[14]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 222.660 597.600 222.940 600.000 ;
-    END
-  END io_in[14]
-  PIN io_in[15]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 238.300 597.600 238.580 600.000 ;
-    END
-  END io_in[15]
-  PIN io_in[16]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 254.400 597.600 254.680 600.000 ;
-    END
-  END io_in[16]
-  PIN io_in[17]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 270.040 597.600 270.320 600.000 ;
-    END
-  END io_in[17]
-  PIN io_in[18]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 285.680 597.600 285.960 600.000 ;
-    END
-  END io_in[18]
-  PIN io_in[19]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 301.780 597.600 302.060 600.000 ;
-    END
-  END io_in[19]
-  PIN io_in[1]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 17.500 597.600 17.780 600.000 ;
-    END
-  END io_in[1]
-  PIN io_in[20]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 317.420 597.600 317.700 600.000 ;
-    END
-  END io_in[20]
-  PIN io_in[21]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 333.060 597.600 333.340 600.000 ;
-    END
-  END io_in[21]
-  PIN io_in[22]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 348.700 597.600 348.980 600.000 ;
-    END
-  END io_in[22]
-  PIN io_in[23]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 364.800 597.600 365.080 600.000 ;
-    END
-  END io_in[23]
-  PIN io_in[24]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 380.440 597.600 380.720 600.000 ;
-    END
-  END io_in[24]
-  PIN io_in[25]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 396.080 597.600 396.360 600.000 ;
-    END
-  END io_in[25]
-  PIN io_in[26]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 412.180 597.600 412.460 600.000 ;
-    END
-  END io_in[26]
-  PIN io_in[27]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 427.820 597.600 428.100 600.000 ;
-    END
-  END io_in[27]
-  PIN io_in[28]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 443.460 597.600 443.740 600.000 ;
-    END
-  END io_in[28]
-  PIN io_in[29]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 459.560 597.600 459.840 600.000 ;
-    END
-  END io_in[29]
-  PIN io_in[2]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 33.140 597.600 33.420 600.000 ;
-    END
-  END io_in[2]
-  PIN io_in[30]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 475.200 597.600 475.480 600.000 ;
-    END
-  END io_in[30]
-  PIN io_in[31]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 490.840 597.600 491.120 600.000 ;
-    END
-  END io_in[31]
-  PIN io_in[32]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 506.940 597.600 507.220 600.000 ;
-    END
-  END io_in[32]
-  PIN io_in[33]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 522.580 597.600 522.860 600.000 ;
-    END
-  END io_in[33]
-  PIN io_in[34]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 538.220 597.600 538.500 600.000 ;
-    END
-  END io_in[34]
-  PIN io_in[35]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 554.320 597.600 554.600 600.000 ;
-    END
-  END io_in[35]
-  PIN io_in[36]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 569.960 597.600 570.240 600.000 ;
-    END
-  END io_in[36]
-  PIN io_in[37]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 585.600 597.600 585.880 600.000 ;
-    END
-  END io_in[37]
-  PIN io_in[3]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 48.780 597.600 49.060 600.000 ;
-    END
-  END io_in[3]
-  PIN io_in[4]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 64.880 597.600 65.160 600.000 ;
-    END
-  END io_in[4]
-  PIN io_in[5]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 80.520 597.600 80.800 600.000 ;
-    END
-  END io_in[5]
-  PIN io_in[6]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 96.160 597.600 96.440 600.000 ;
-    END
-  END io_in[6]
-  PIN io_in[7]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 112.260 597.600 112.540 600.000 ;
-    END
-  END io_in[7]
-  PIN io_in[8]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 127.900 597.600 128.180 600.000 ;
-    END
-  END io_in[8]
-  PIN io_in[9]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 143.540 597.600 143.820 600.000 ;
-    END
-  END io_in[9]
-  PIN io_oeb[0]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 6.920 597.600 7.200 600.000 ;
-    END
-  END io_oeb[0]
-  PIN io_oeb[10]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 164.700 597.600 164.980 600.000 ;
-    END
-  END io_oeb[10]
-  PIN io_oeb[11]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 180.340 597.600 180.620 600.000 ;
-    END
-  END io_oeb[11]
-  PIN io_oeb[12]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 196.440 597.600 196.720 600.000 ;
-    END
-  END io_oeb[12]
-  PIN io_oeb[13]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 212.080 597.600 212.360 600.000 ;
-    END
-  END io_oeb[13]
-  PIN io_oeb[14]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 227.720 597.600 228.000 600.000 ;
-    END
-  END io_oeb[14]
-  PIN io_oeb[15]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 243.820 597.600 244.100 600.000 ;
-    END
-  END io_oeb[15]
-  PIN io_oeb[16]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 259.460 597.600 259.740 600.000 ;
-    END
-  END io_oeb[16]
-  PIN io_oeb[17]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 275.100 597.600 275.380 600.000 ;
-    END
-  END io_oeb[17]
-  PIN io_oeb[18]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 291.200 597.600 291.480 600.000 ;
-    END
-  END io_oeb[18]
-  PIN io_oeb[19]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 306.840 597.600 307.120 600.000 ;
-    END
-  END io_oeb[19]
-  PIN io_oeb[1]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 22.560 597.600 22.840 600.000 ;
-    END
-  END io_oeb[1]
-  PIN io_oeb[20]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 322.480 597.600 322.760 600.000 ;
-    END
-  END io_oeb[20]
-  PIN io_oeb[21]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 338.580 597.600 338.860 600.000 ;
-    END
-  END io_oeb[21]
-  PIN io_oeb[22]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 354.220 597.600 354.500 600.000 ;
-    END
-  END io_oeb[22]
-  PIN io_oeb[23]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 369.860 597.600 370.140 600.000 ;
-    END
-  END io_oeb[23]
-  PIN io_oeb[24]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 385.960 597.600 386.240 600.000 ;
-    END
-  END io_oeb[24]
-  PIN io_oeb[25]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 401.600 597.600 401.880 600.000 ;
-    END
-  END io_oeb[25]
-  PIN io_oeb[26]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 417.240 597.600 417.520 600.000 ;
-    END
-  END io_oeb[26]
-  PIN io_oeb[27]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 432.880 597.600 433.160 600.000 ;
-    END
-  END io_oeb[27]
-  PIN io_oeb[28]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 448.980 597.600 449.260 600.000 ;
-    END
-  END io_oeb[28]
-  PIN io_oeb[29]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 464.620 597.600 464.900 600.000 ;
-    END
-  END io_oeb[29]
-  PIN io_oeb[2]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 38.660 597.600 38.940 600.000 ;
-    END
-  END io_oeb[2]
-  PIN io_oeb[30]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 480.260 597.600 480.540 600.000 ;
-    END
-  END io_oeb[30]
-  PIN io_oeb[31]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 496.360 597.600 496.640 600.000 ;
-    END
-  END io_oeb[31]
-  PIN io_oeb[32]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 512.000 597.600 512.280 600.000 ;
-    END
-  END io_oeb[32]
-  PIN io_oeb[33]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 527.640 597.600 527.920 600.000 ;
-    END
-  END io_oeb[33]
-  PIN io_oeb[34]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 543.740 597.600 544.020 600.000 ;
-    END
-  END io_oeb[34]
-  PIN io_oeb[35]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 559.380 597.600 559.660 600.000 ;
-    END
-  END io_oeb[35]
-  PIN io_oeb[36]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 575.020 597.600 575.300 600.000 ;
-    END
-  END io_oeb[36]
-  PIN io_oeb[37]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 591.120 597.600 591.400 600.000 ;
-    END
-  END io_oeb[37]
-  PIN io_oeb[3]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 54.300 597.600 54.580 600.000 ;
-    END
-  END io_oeb[3]
-  PIN io_oeb[4]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 69.940 597.600 70.220 600.000 ;
-    END
-  END io_oeb[4]
-  PIN io_oeb[5]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 86.040 597.600 86.320 600.000 ;
-    END
-  END io_oeb[5]
-  PIN io_oeb[6]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 101.680 597.600 101.960 600.000 ;
-    END
-  END io_oeb[6]
-  PIN io_oeb[7]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 117.320 597.600 117.600 600.000 ;
-    END
-  END io_oeb[7]
-  PIN io_oeb[8]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 132.960 597.600 133.240 600.000 ;
-    END
-  END io_oeb[8]
-  PIN io_oeb[9]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 149.060 597.600 149.340 600.000 ;
-    END
-  END io_oeb[9]
-  PIN io_out[0]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 11.980 597.600 12.260 600.000 ;
-    END
-  END io_out[0]
-  PIN io_out[10]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 170.220 597.600 170.500 600.000 ;
-    END
-  END io_out[10]
-  PIN io_out[11]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 185.860 597.600 186.140 600.000 ;
-    END
-  END io_out[11]
-  PIN io_out[12]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 201.500 597.600 201.780 600.000 ;
-    END
-  END io_out[12]
-  PIN io_out[13]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 217.140 597.600 217.420 600.000 ;
-    END
-  END io_out[13]
-  PIN io_out[14]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 233.240 597.600 233.520 600.000 ;
-    END
-  END io_out[14]
-  PIN io_out[15]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 248.880 597.600 249.160 600.000 ;
-    END
-  END io_out[15]
-  PIN io_out[16]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 264.520 597.600 264.800 600.000 ;
-    END
-  END io_out[16]
-  PIN io_out[17]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 280.620 597.600 280.900 600.000 ;
-    END
-  END io_out[17]
-  PIN io_out[18]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 296.260 597.600 296.540 600.000 ;
-    END
-  END io_out[18]
-  PIN io_out[19]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 311.900 597.600 312.180 600.000 ;
-    END
-  END io_out[19]
-  PIN io_out[1]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 28.080 597.600 28.360 600.000 ;
-    END
-  END io_out[1]
-  PIN io_out[20]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 328.000 597.600 328.280 600.000 ;
-    END
-  END io_out[20]
-  PIN io_out[21]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 343.640 597.600 343.920 600.000 ;
-    END
-  END io_out[21]
-  PIN io_out[22]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 359.280 597.600 359.560 600.000 ;
-    END
-  END io_out[22]
-  PIN io_out[23]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 375.380 597.600 375.660 600.000 ;
-    END
-  END io_out[23]
-  PIN io_out[24]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 391.020 597.600 391.300 600.000 ;
-    END
-  END io_out[24]
-  PIN io_out[25]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 406.660 597.600 406.940 600.000 ;
-    END
-  END io_out[25]
-  PIN io_out[26]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 422.760 597.600 423.040 600.000 ;
-    END
-  END io_out[26]
-  PIN io_out[27]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 438.400 597.600 438.680 600.000 ;
-    END
-  END io_out[27]
-  PIN io_out[28]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 454.040 597.600 454.320 600.000 ;
-    END
-  END io_out[28]
-  PIN io_out[29]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 470.140 597.600 470.420 600.000 ;
-    END
-  END io_out[29]
-  PIN io_out[2]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 43.720 597.600 44.000 600.000 ;
-    END
-  END io_out[2]
-  PIN io_out[30]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 485.780 597.600 486.060 600.000 ;
-    END
-  END io_out[30]
-  PIN io_out[31]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 501.420 597.600 501.700 600.000 ;
-    END
-  END io_out[31]
-  PIN io_out[32]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 517.060 597.600 517.340 600.000 ;
-    END
-  END io_out[32]
-  PIN io_out[33]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 533.160 597.600 533.440 600.000 ;
-    END
-  END io_out[33]
-  PIN io_out[34]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 548.800 597.600 549.080 600.000 ;
-    END
-  END io_out[34]
-  PIN io_out[35]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 564.440 597.600 564.720 600.000 ;
-    END
-  END io_out[35]
-  PIN io_out[36]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 580.540 597.600 580.820 600.000 ;
-    END
-  END io_out[36]
-  PIN io_out[37]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 596.180 597.600 596.460 600.000 ;
-    END
-  END io_out[37]
-  PIN io_out[3]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 59.360 597.600 59.640 600.000 ;
-    END
-  END io_out[3]
-  PIN io_out[4]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 75.460 597.600 75.740 600.000 ;
-    END
-  END io_out[4]
-  PIN io_out[5]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 91.100 597.600 91.380 600.000 ;
-    END
-  END io_out[5]
-  PIN io_out[6]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 106.740 597.600 107.020 600.000 ;
-    END
-  END io_out[6]
-  PIN io_out[7]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 122.840 597.600 123.120 600.000 ;
-    END
-  END io_out[7]
-  PIN io_out[8]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 138.480 597.600 138.760 600.000 ;
-    END
-  END io_out[8]
-  PIN io_out[9]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 154.120 597.600 154.400 600.000 ;
-    END
-  END io_out[9]
-  PIN la_data_in[0]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 129.740 0.000 130.020 2.400 ;
-    END
-  END la_data_in[0]
-  PIN la_data_in[100]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 496.820 0.000 497.100 2.400 ;
-    END
-  END la_data_in[100]
-  PIN la_data_in[101]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 500.500 0.000 500.780 2.400 ;
-    END
-  END la_data_in[101]
-  PIN la_data_in[102]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 504.180 0.000 504.460 2.400 ;
-    END
-  END la_data_in[102]
-  PIN la_data_in[103]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 507.860 0.000 508.140 2.400 ;
-    END
-  END la_data_in[103]
-  PIN la_data_in[104]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 511.540 0.000 511.820 2.400 ;
-    END
-  END la_data_in[104]
-  PIN la_data_in[105]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 515.220 0.000 515.500 2.400 ;
-    END
-  END la_data_in[105]
-  PIN la_data_in[106]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 518.900 0.000 519.180 2.400 ;
-    END
-  END la_data_in[106]
-  PIN la_data_in[107]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 522.580 0.000 522.860 2.400 ;
-    END
-  END la_data_in[107]
-  PIN la_data_in[108]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 526.260 0.000 526.540 2.400 ;
-    END
-  END la_data_in[108]
-  PIN la_data_in[109]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 529.940 0.000 530.220 2.400 ;
-    END
-  END la_data_in[109]
-  PIN la_data_in[10]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 166.080 0.000 166.360 2.400 ;
-    END
-  END la_data_in[10]
-  PIN la_data_in[110]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 533.620 0.000 533.900 2.400 ;
-    END
-  END la_data_in[110]
-  PIN la_data_in[111]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 537.300 0.000 537.580 2.400 ;
-    END
-  END la_data_in[111]
-  PIN la_data_in[112]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 540.980 0.000 541.260 2.400 ;
-    END
-  END la_data_in[112]
-  PIN la_data_in[113]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 544.660 0.000 544.940 2.400 ;
-    END
-  END la_data_in[113]
-  PIN la_data_in[114]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 548.340 0.000 548.620 2.400 ;
-    END
-  END la_data_in[114]
-  PIN la_data_in[115]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 552.020 0.000 552.300 2.400 ;
-    END
-  END la_data_in[115]
-  PIN la_data_in[116]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 555.700 0.000 555.980 2.400 ;
-    END
-  END la_data_in[116]
-  PIN la_data_in[117]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 559.380 0.000 559.660 2.400 ;
-    END
-  END la_data_in[117]
-  PIN la_data_in[118]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 563.060 0.000 563.340 2.400 ;
-    END
-  END la_data_in[118]
-  PIN la_data_in[119]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 566.740 0.000 567.020 2.400 ;
-    END
-  END la_data_in[119]
-  PIN la_data_in[11]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 169.760 0.000 170.040 2.400 ;
-    END
-  END la_data_in[11]
-  PIN la_data_in[120]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 570.420 0.000 570.700 2.400 ;
-    END
-  END la_data_in[120]
-  PIN la_data_in[121]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 574.100 0.000 574.380 2.400 ;
-    END
-  END la_data_in[121]
-  PIN la_data_in[122]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 577.780 0.000 578.060 2.400 ;
-    END
-  END la_data_in[122]
-  PIN la_data_in[123]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 581.460 0.000 581.740 2.400 ;
-    END
-  END la_data_in[123]
-  PIN la_data_in[124]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 585.140 0.000 585.420 2.400 ;
-    END
-  END la_data_in[124]
-  PIN la_data_in[125]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 588.820 0.000 589.100 2.400 ;
-    END
-  END la_data_in[125]
-  PIN la_data_in[126]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 592.500 0.000 592.780 2.400 ;
-    END
-  END la_data_in[126]
-  PIN la_data_in[127]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 596.180 0.000 596.460 2.400 ;
-    END
-  END la_data_in[127]
-  PIN la_data_in[12]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 173.440 0.000 173.720 2.400 ;
-    END
-  END la_data_in[12]
-  PIN la_data_in[13]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 177.120 0.000 177.400 2.400 ;
-    END
-  END la_data_in[13]
-  PIN la_data_in[14]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 180.800 0.000 181.080 2.400 ;
-    END
-  END la_data_in[14]
-  PIN la_data_in[15]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 184.480 0.000 184.760 2.400 ;
-    END
-  END la_data_in[15]
-  PIN la_data_in[16]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 188.160 0.000 188.440 2.400 ;
-    END
-  END la_data_in[16]
-  PIN la_data_in[17]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 191.840 0.000 192.120 2.400 ;
-    END
-  END la_data_in[17]
-  PIN la_data_in[18]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 195.520 0.000 195.800 2.400 ;
-    END
-  END la_data_in[18]
-  PIN la_data_in[19]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 199.200 0.000 199.480 2.400 ;
-    END
-  END la_data_in[19]
-  PIN la_data_in[1]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 133.420 0.000 133.700 2.400 ;
-    END
-  END la_data_in[1]
-  PIN la_data_in[20]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 202.880 0.000 203.160 2.400 ;
-    END
-  END la_data_in[20]
-  PIN la_data_in[21]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 206.560 0.000 206.840 2.400 ;
-    END
-  END la_data_in[21]
-  PIN la_data_in[22]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 210.240 0.000 210.520 2.400 ;
-    END
-  END la_data_in[22]
-  PIN la_data_in[23]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 213.920 0.000 214.200 2.400 ;
-    END
-  END la_data_in[23]
-  PIN la_data_in[24]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 217.600 0.000 217.880 2.400 ;
-    END
-  END la_data_in[24]
-  PIN la_data_in[25]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 221.280 0.000 221.560 2.400 ;
-    END
-  END la_data_in[25]
-  PIN la_data_in[26]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 224.960 0.000 225.240 2.400 ;
-    END
-  END la_data_in[26]
-  PIN la_data_in[27]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 228.640 0.000 228.920 2.400 ;
-    END
-  END la_data_in[27]
-  PIN la_data_in[28]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 232.320 0.000 232.600 2.400 ;
-    END
-  END la_data_in[28]
-  PIN la_data_in[29]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 236.000 0.000 236.280 2.400 ;
-    END
-  END la_data_in[29]
-  PIN la_data_in[2]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 137.100 0.000 137.380 2.400 ;
-    END
-  END la_data_in[2]
-  PIN la_data_in[30]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 239.680 0.000 239.960 2.400 ;
-    END
-  END la_data_in[30]
-  PIN la_data_in[31]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 243.360 0.000 243.640 2.400 ;
-    END
-  END la_data_in[31]
-  PIN la_data_in[32]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 247.040 0.000 247.320 2.400 ;
-    END
-  END la_data_in[32]
-  PIN la_data_in[33]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 250.720 0.000 251.000 2.400 ;
-    END
-  END la_data_in[33]
-  PIN la_data_in[34]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 254.400 0.000 254.680 2.400 ;
-    END
-  END la_data_in[34]
-  PIN la_data_in[35]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 258.080 0.000 258.360 2.400 ;
-    END
-  END la_data_in[35]
-  PIN la_data_in[36]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 261.760 0.000 262.040 2.400 ;
-    END
-  END la_data_in[36]
-  PIN la_data_in[37]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 265.440 0.000 265.720 2.400 ;
-    END
-  END la_data_in[37]
-  PIN la_data_in[38]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 269.120 0.000 269.400 2.400 ;
-    END
-  END la_data_in[38]
-  PIN la_data_in[39]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 272.800 0.000 273.080 2.400 ;
-    END
-  END la_data_in[39]
-  PIN la_data_in[3]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 140.780 0.000 141.060 2.400 ;
-    END
-  END la_data_in[3]
-  PIN la_data_in[40]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 276.480 0.000 276.760 2.400 ;
-    END
-  END la_data_in[40]
-  PIN la_data_in[41]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 280.160 0.000 280.440 2.400 ;
-    END
-  END la_data_in[41]
-  PIN la_data_in[42]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 283.840 0.000 284.120 2.400 ;
-    END
-  END la_data_in[42]
-  PIN la_data_in[43]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 287.520 0.000 287.800 2.400 ;
-    END
-  END la_data_in[43]
-  PIN la_data_in[44]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 291.200 0.000 291.480 2.400 ;
-    END
-  END la_data_in[44]
-  PIN la_data_in[45]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 294.880 0.000 295.160 2.400 ;
-    END
-  END la_data_in[45]
-  PIN la_data_in[46]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 298.560 0.000 298.840 2.400 ;
-    END
-  END la_data_in[46]
-  PIN la_data_in[47]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 302.240 0.000 302.520 2.400 ;
-    END
-  END la_data_in[47]
-  PIN la_data_in[48]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 305.920 0.000 306.200 2.400 ;
-    END
-  END la_data_in[48]
-  PIN la_data_in[49]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 309.600 0.000 309.880 2.400 ;
-    END
-  END la_data_in[49]
-  PIN la_data_in[4]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 144.460 0.000 144.740 2.400 ;
-    END
-  END la_data_in[4]
-  PIN la_data_in[50]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 313.280 0.000 313.560 2.400 ;
-    END
-  END la_data_in[50]
-  PIN la_data_in[51]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 316.960 0.000 317.240 2.400 ;
-    END
-  END la_data_in[51]
-  PIN la_data_in[52]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 320.640 0.000 320.920 2.400 ;
-    END
-  END la_data_in[52]
-  PIN la_data_in[53]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 324.320 0.000 324.600 2.400 ;
-    END
-  END la_data_in[53]
-  PIN la_data_in[54]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 328.000 0.000 328.280 2.400 ;
-    END
-  END la_data_in[54]
-  PIN la_data_in[55]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 331.680 0.000 331.960 2.400 ;
-    END
-  END la_data_in[55]
-  PIN la_data_in[56]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 335.360 0.000 335.640 2.400 ;
-    END
-  END la_data_in[56]
-  PIN la_data_in[57]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 339.040 0.000 339.320 2.400 ;
-    END
-  END la_data_in[57]
-  PIN la_data_in[58]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 342.720 0.000 343.000 2.400 ;
-    END
-  END la_data_in[58]
-  PIN la_data_in[59]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 346.400 0.000 346.680 2.400 ;
-    END
-  END la_data_in[59]
-  PIN la_data_in[5]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 148.140 0.000 148.420 2.400 ;
-    END
-  END la_data_in[5]
-  PIN la_data_in[60]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 350.080 0.000 350.360 2.400 ;
-    END
-  END la_data_in[60]
-  PIN la_data_in[61]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 353.760 0.000 354.040 2.400 ;
-    END
-  END la_data_in[61]
-  PIN la_data_in[62]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 357.440 0.000 357.720 2.400 ;
-    END
-  END la_data_in[62]
-  PIN la_data_in[63]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 361.120 0.000 361.400 2.400 ;
-    END
-  END la_data_in[63]
-  PIN la_data_in[64]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 364.800 0.000 365.080 2.400 ;
-    END
-  END la_data_in[64]
-  PIN la_data_in[65]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 368.480 0.000 368.760 2.400 ;
-    END
-  END la_data_in[65]
-  PIN la_data_in[66]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 372.160 0.000 372.440 2.400 ;
-    END
-  END la_data_in[66]
-  PIN la_data_in[67]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 375.380 0.000 375.660 2.400 ;
-    END
-  END la_data_in[67]
-  PIN la_data_in[68]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 379.060 0.000 379.340 2.400 ;
-    END
-  END la_data_in[68]
-  PIN la_data_in[69]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 382.740 0.000 383.020 2.400 ;
-    END
-  END la_data_in[69]
-  PIN la_data_in[6]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 151.360 0.000 151.640 2.400 ;
-    END
-  END la_data_in[6]
-  PIN la_data_in[70]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 386.420 0.000 386.700 2.400 ;
-    END
-  END la_data_in[70]
-  PIN la_data_in[71]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 390.100 0.000 390.380 2.400 ;
-    END
-  END la_data_in[71]
-  PIN la_data_in[72]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 393.780 0.000 394.060 2.400 ;
-    END
-  END la_data_in[72]
-  PIN la_data_in[73]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 397.460 0.000 397.740 2.400 ;
-    END
-  END la_data_in[73]
-  PIN la_data_in[74]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 401.140 0.000 401.420 2.400 ;
-    END
-  END la_data_in[74]
-  PIN la_data_in[75]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 404.820 0.000 405.100 2.400 ;
-    END
-  END la_data_in[75]
-  PIN la_data_in[76]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 408.500 0.000 408.780 2.400 ;
-    END
-  END la_data_in[76]
-  PIN la_data_in[77]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 412.180 0.000 412.460 2.400 ;
-    END
-  END la_data_in[77]
-  PIN la_data_in[78]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 415.860 0.000 416.140 2.400 ;
-    END
-  END la_data_in[78]
-  PIN la_data_in[79]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 419.540 0.000 419.820 2.400 ;
-    END
-  END la_data_in[79]
-  PIN la_data_in[7]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 155.040 0.000 155.320 2.400 ;
-    END
-  END la_data_in[7]
-  PIN la_data_in[80]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 423.220 0.000 423.500 2.400 ;
-    END
-  END la_data_in[80]
-  PIN la_data_in[81]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 426.900 0.000 427.180 2.400 ;
-    END
-  END la_data_in[81]
-  PIN la_data_in[82]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 430.580 0.000 430.860 2.400 ;
-    END
-  END la_data_in[82]
-  PIN la_data_in[83]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 434.260 0.000 434.540 2.400 ;
-    END
-  END la_data_in[83]
-  PIN la_data_in[84]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 437.940 0.000 438.220 2.400 ;
-    END
-  END la_data_in[84]
-  PIN la_data_in[85]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 441.620 0.000 441.900 2.400 ;
-    END
-  END la_data_in[85]
-  PIN la_data_in[86]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 445.300 0.000 445.580 2.400 ;
-    END
-  END la_data_in[86]
-  PIN la_data_in[87]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 448.980 0.000 449.260 2.400 ;
-    END
-  END la_data_in[87]
-  PIN la_data_in[88]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 452.660 0.000 452.940 2.400 ;
-    END
-  END la_data_in[88]
-  PIN la_data_in[89]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 456.340 0.000 456.620 2.400 ;
-    END
-  END la_data_in[89]
-  PIN la_data_in[8]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 158.720 0.000 159.000 2.400 ;
-    END
-  END la_data_in[8]
-  PIN la_data_in[90]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 460.020 0.000 460.300 2.400 ;
-    END
-  END la_data_in[90]
-  PIN la_data_in[91]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 463.700 0.000 463.980 2.400 ;
-    END
-  END la_data_in[91]
-  PIN la_data_in[92]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 467.380 0.000 467.660 2.400 ;
-    END
-  END la_data_in[92]
-  PIN la_data_in[93]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 471.060 0.000 471.340 2.400 ;
-    END
-  END la_data_in[93]
-  PIN la_data_in[94]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 474.740 0.000 475.020 2.400 ;
-    END
-  END la_data_in[94]
-  PIN la_data_in[95]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 478.420 0.000 478.700 2.400 ;
-    END
-  END la_data_in[95]
-  PIN la_data_in[96]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 482.100 0.000 482.380 2.400 ;
-    END
-  END la_data_in[96]
-  PIN la_data_in[97]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 485.780 0.000 486.060 2.400 ;
-    END
-  END la_data_in[97]
-  PIN la_data_in[98]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 489.460 0.000 489.740 2.400 ;
-    END
-  END la_data_in[98]
-  PIN la_data_in[99]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 493.140 0.000 493.420 2.400 ;
-    END
-  END la_data_in[99]
-  PIN la_data_in[9]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 162.400 0.000 162.680 2.400 ;
-    END
-  END la_data_in[9]
-  PIN la_data_out[0]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 130.660 0.000 130.940 2.400 ;
-    END
-  END la_data_out[0]
-  PIN la_data_out[100]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 498.200 0.000 498.480 2.400 ;
-    END
-  END la_data_out[100]
-  PIN la_data_out[101]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 501.880 0.000 502.160 2.400 ;
-    END
-  END la_data_out[101]
-  PIN la_data_out[102]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 505.560 0.000 505.840 2.400 ;
-    END
-  END la_data_out[102]
-  PIN la_data_out[103]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 509.240 0.000 509.520 2.400 ;
-    END
-  END la_data_out[103]
-  PIN la_data_out[104]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 512.920 0.000 513.200 2.400 ;
-    END
-  END la_data_out[104]
-  PIN la_data_out[105]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 516.600 0.000 516.880 2.400 ;
-    END
-  END la_data_out[105]
-  PIN la_data_out[106]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 520.280 0.000 520.560 2.400 ;
-    END
-  END la_data_out[106]
-  PIN la_data_out[107]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 523.960 0.000 524.240 2.400 ;
-    END
-  END la_data_out[107]
-  PIN la_data_out[108]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 527.180 0.000 527.460 2.400 ;
-    END
-  END la_data_out[108]
-  PIN la_data_out[109]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 530.860 0.000 531.140 2.400 ;
-    END
-  END la_data_out[109]
-  PIN la_data_out[10]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 167.460 0.000 167.740 2.400 ;
-    END
-  END la_data_out[10]
-  PIN la_data_out[110]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 534.540 0.000 534.820 2.400 ;
-    END
-  END la_data_out[110]
-  PIN la_data_out[111]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 538.220 0.000 538.500 2.400 ;
-    END
-  END la_data_out[111]
-  PIN la_data_out[112]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 541.900 0.000 542.180 2.400 ;
-    END
-  END la_data_out[112]
-  PIN la_data_out[113]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 545.580 0.000 545.860 2.400 ;
-    END
-  END la_data_out[113]
-  PIN la_data_out[114]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 549.260 0.000 549.540 2.400 ;
-    END
-  END la_data_out[114]
-  PIN la_data_out[115]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 552.940 0.000 553.220 2.400 ;
-    END
-  END la_data_out[115]
-  PIN la_data_out[116]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 556.620 0.000 556.900 2.400 ;
-    END
-  END la_data_out[116]
-  PIN la_data_out[117]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 560.300 0.000 560.580 2.400 ;
-    END
-  END la_data_out[117]
-  PIN la_data_out[118]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 563.980 0.000 564.260 2.400 ;
-    END
-  END la_data_out[118]
-  PIN la_data_out[119]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 567.660 0.000 567.940 2.400 ;
-    END
-  END la_data_out[119]
-  PIN la_data_out[11]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 171.140 0.000 171.420 2.400 ;
-    END
-  END la_data_out[11]
-  PIN la_data_out[120]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 571.340 0.000 571.620 2.400 ;
-    END
-  END la_data_out[120]
-  PIN la_data_out[121]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 575.020 0.000 575.300 2.400 ;
-    END
-  END la_data_out[121]
-  PIN la_data_out[122]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 578.700 0.000 578.980 2.400 ;
-    END
-  END la_data_out[122]
-  PIN la_data_out[123]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 582.380 0.000 582.660 2.400 ;
-    END
-  END la_data_out[123]
-  PIN la_data_out[124]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 586.060 0.000 586.340 2.400 ;
-    END
-  END la_data_out[124]
-  PIN la_data_out[125]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 589.740 0.000 590.020 2.400 ;
-    END
-  END la_data_out[125]
-  PIN la_data_out[126]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 593.420 0.000 593.700 2.400 ;
-    END
-  END la_data_out[126]
-  PIN la_data_out[127]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 597.100 0.000 597.380 2.400 ;
-    END
-  END la_data_out[127]
-  PIN la_data_out[12]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 174.820 0.000 175.100 2.400 ;
-    END
-  END la_data_out[12]
-  PIN la_data_out[13]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 178.500 0.000 178.780 2.400 ;
-    END
-  END la_data_out[13]
-  PIN la_data_out[14]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 182.180 0.000 182.460 2.400 ;
-    END
-  END la_data_out[14]
-  PIN la_data_out[15]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 185.860 0.000 186.140 2.400 ;
-    END
-  END la_data_out[15]
-  PIN la_data_out[16]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 189.540 0.000 189.820 2.400 ;
-    END
-  END la_data_out[16]
-  PIN la_data_out[17]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 193.220 0.000 193.500 2.400 ;
-    END
-  END la_data_out[17]
-  PIN la_data_out[18]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 196.900 0.000 197.180 2.400 ;
-    END
-  END la_data_out[18]
-  PIN la_data_out[19]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 200.580 0.000 200.860 2.400 ;
-    END
-  END la_data_out[19]
-  PIN la_data_out[1]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 134.340 0.000 134.620 2.400 ;
-    END
-  END la_data_out[1]
-  PIN la_data_out[20]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 204.260 0.000 204.540 2.400 ;
-    END
-  END la_data_out[20]
-  PIN la_data_out[21]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 207.940 0.000 208.220 2.400 ;
-    END
-  END la_data_out[21]
-  PIN la_data_out[22]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 211.620 0.000 211.900 2.400 ;
-    END
-  END la_data_out[22]
-  PIN la_data_out[23]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 215.300 0.000 215.580 2.400 ;
-    END
-  END la_data_out[23]
-  PIN la_data_out[24]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 218.980 0.000 219.260 2.400 ;
-    END
-  END la_data_out[24]
-  PIN la_data_out[25]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 222.660 0.000 222.940 2.400 ;
-    END
-  END la_data_out[25]
-  PIN la_data_out[26]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 226.340 0.000 226.620 2.400 ;
-    END
-  END la_data_out[26]
-  PIN la_data_out[27]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 230.020 0.000 230.300 2.400 ;
-    END
-  END la_data_out[27]
-  PIN la_data_out[28]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 233.700 0.000 233.980 2.400 ;
-    END
-  END la_data_out[28]
-  PIN la_data_out[29]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 237.380 0.000 237.660 2.400 ;
-    END
-  END la_data_out[29]
-  PIN la_data_out[2]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 138.020 0.000 138.300 2.400 ;
-    END
-  END la_data_out[2]
-  PIN la_data_out[30]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 241.060 0.000 241.340 2.400 ;
-    END
-  END la_data_out[30]
-  PIN la_data_out[31]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 244.740 0.000 245.020 2.400 ;
-    END
-  END la_data_out[31]
-  PIN la_data_out[32]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 248.420 0.000 248.700 2.400 ;
-    END
-  END la_data_out[32]
-  PIN la_data_out[33]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 252.100 0.000 252.380 2.400 ;
-    END
-  END la_data_out[33]
-  PIN la_data_out[34]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 255.780 0.000 256.060 2.400 ;
-    END
-  END la_data_out[34]
-  PIN la_data_out[35]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 259.460 0.000 259.740 2.400 ;
-    END
-  END la_data_out[35]
-  PIN la_data_out[36]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 263.140 0.000 263.420 2.400 ;
-    END
-  END la_data_out[36]
-  PIN la_data_out[37]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 266.820 0.000 267.100 2.400 ;
-    END
-  END la_data_out[37]
-  PIN la_data_out[38]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 270.500 0.000 270.780 2.400 ;
-    END
-  END la_data_out[38]
-  PIN la_data_out[39]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 274.180 0.000 274.460 2.400 ;
-    END
-  END la_data_out[39]
-  PIN la_data_out[3]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 141.700 0.000 141.980 2.400 ;
-    END
-  END la_data_out[3]
-  PIN la_data_out[40]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 277.860 0.000 278.140 2.400 ;
-    END
-  END la_data_out[40]
-  PIN la_data_out[41]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 281.540 0.000 281.820 2.400 ;
-    END
-  END la_data_out[41]
-  PIN la_data_out[42]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 285.220 0.000 285.500 2.400 ;
-    END
-  END la_data_out[42]
-  PIN la_data_out[43]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 288.900 0.000 289.180 2.400 ;
-    END
-  END la_data_out[43]
-  PIN la_data_out[44]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 292.580 0.000 292.860 2.400 ;
-    END
-  END la_data_out[44]
-  PIN la_data_out[45]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 296.260 0.000 296.540 2.400 ;
-    END
-  END la_data_out[45]
-  PIN la_data_out[46]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 299.940 0.000 300.220 2.400 ;
-    END
-  END la_data_out[46]
-  PIN la_data_out[47]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 303.160 0.000 303.440 2.400 ;
-    END
-  END la_data_out[47]
-  PIN la_data_out[48]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 306.840 0.000 307.120 2.400 ;
-    END
-  END la_data_out[48]
-  PIN la_data_out[49]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 310.520 0.000 310.800 2.400 ;
-    END
-  END la_data_out[49]
-  PIN la_data_out[4]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 145.380 0.000 145.660 2.400 ;
-    END
-  END la_data_out[4]
-  PIN la_data_out[50]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 314.200 0.000 314.480 2.400 ;
-    END
-  END la_data_out[50]
-  PIN la_data_out[51]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 317.880 0.000 318.160 2.400 ;
-    END
-  END la_data_out[51]
-  PIN la_data_out[52]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 321.560 0.000 321.840 2.400 ;
-    END
-  END la_data_out[52]
-  PIN la_data_out[53]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 325.240 0.000 325.520 2.400 ;
-    END
-  END la_data_out[53]
-  PIN la_data_out[54]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 328.920 0.000 329.200 2.400 ;
-    END
-  END la_data_out[54]
-  PIN la_data_out[55]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 332.600 0.000 332.880 2.400 ;
-    END
-  END la_data_out[55]
-  PIN la_data_out[56]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 336.280 0.000 336.560 2.400 ;
-    END
-  END la_data_out[56]
-  PIN la_data_out[57]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 339.960 0.000 340.240 2.400 ;
-    END
-  END la_data_out[57]
-  PIN la_data_out[58]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 343.640 0.000 343.920 2.400 ;
-    END
-  END la_data_out[58]
-  PIN la_data_out[59]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 347.320 0.000 347.600 2.400 ;
-    END
-  END la_data_out[59]
-  PIN la_data_out[5]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 149.060 0.000 149.340 2.400 ;
-    END
-  END la_data_out[5]
-  PIN la_data_out[60]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 351.000 0.000 351.280 2.400 ;
-    END
-  END la_data_out[60]
-  PIN la_data_out[61]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 354.680 0.000 354.960 2.400 ;
-    END
-  END la_data_out[61]
-  PIN la_data_out[62]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 358.360 0.000 358.640 2.400 ;
-    END
-  END la_data_out[62]
-  PIN la_data_out[63]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 362.040 0.000 362.320 2.400 ;
-    END
-  END la_data_out[63]
-  PIN la_data_out[64]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 365.720 0.000 366.000 2.400 ;
-    END
-  END la_data_out[64]
-  PIN la_data_out[65]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 369.400 0.000 369.680 2.400 ;
-    END
-  END la_data_out[65]
-  PIN la_data_out[66]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 373.080 0.000 373.360 2.400 ;
-    END
-  END la_data_out[66]
-  PIN la_data_out[67]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 376.760 0.000 377.040 2.400 ;
-    END
-  END la_data_out[67]
-  PIN la_data_out[68]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 380.440 0.000 380.720 2.400 ;
-    END
-  END la_data_out[68]
-  PIN la_data_out[69]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 384.120 0.000 384.400 2.400 ;
-    END
-  END la_data_out[69]
-  PIN la_data_out[6]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 152.740 0.000 153.020 2.400 ;
-    END
-  END la_data_out[6]
-  PIN la_data_out[70]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 387.800 0.000 388.080 2.400 ;
-    END
-  END la_data_out[70]
-  PIN la_data_out[71]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 391.480 0.000 391.760 2.400 ;
-    END
-  END la_data_out[71]
-  PIN la_data_out[72]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 395.160 0.000 395.440 2.400 ;
-    END
-  END la_data_out[72]
-  PIN la_data_out[73]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 398.840 0.000 399.120 2.400 ;
-    END
-  END la_data_out[73]
-  PIN la_data_out[74]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 402.520 0.000 402.800 2.400 ;
-    END
-  END la_data_out[74]
-  PIN la_data_out[75]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 406.200 0.000 406.480 2.400 ;
-    END
-  END la_data_out[75]
-  PIN la_data_out[76]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 409.880 0.000 410.160 2.400 ;
-    END
-  END la_data_out[76]
-  PIN la_data_out[77]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 413.560 0.000 413.840 2.400 ;
-    END
-  END la_data_out[77]
-  PIN la_data_out[78]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 417.240 0.000 417.520 2.400 ;
-    END
-  END la_data_out[78]
-  PIN la_data_out[79]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 420.920 0.000 421.200 2.400 ;
-    END
-  END la_data_out[79]
-  PIN la_data_out[7]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 156.420 0.000 156.700 2.400 ;
-    END
-  END la_data_out[7]
-  PIN la_data_out[80]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 424.600 0.000 424.880 2.400 ;
-    END
-  END la_data_out[80]
-  PIN la_data_out[81]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 428.280 0.000 428.560 2.400 ;
-    END
-  END la_data_out[81]
-  PIN la_data_out[82]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 431.960 0.000 432.240 2.400 ;
-    END
-  END la_data_out[82]
-  PIN la_data_out[83]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 435.640 0.000 435.920 2.400 ;
-    END
-  END la_data_out[83]
-  PIN la_data_out[84]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 439.320 0.000 439.600 2.400 ;
-    END
-  END la_data_out[84]
-  PIN la_data_out[85]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 443.000 0.000 443.280 2.400 ;
-    END
-  END la_data_out[85]
-  PIN la_data_out[86]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 446.680 0.000 446.960 2.400 ;
-    END
-  END la_data_out[86]
-  PIN la_data_out[87]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 450.360 0.000 450.640 2.400 ;
-    END
-  END la_data_out[87]
-  PIN la_data_out[88]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 454.040 0.000 454.320 2.400 ;
-    END
-  END la_data_out[88]
-  PIN la_data_out[89]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 457.720 0.000 458.000 2.400 ;
-    END
-  END la_data_out[89]
-  PIN la_data_out[8]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 160.100 0.000 160.380 2.400 ;
-    END
-  END la_data_out[8]
-  PIN la_data_out[90]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 461.400 0.000 461.680 2.400 ;
-    END
-  END la_data_out[90]
-  PIN la_data_out[91]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 465.080 0.000 465.360 2.400 ;
-    END
-  END la_data_out[91]
-  PIN la_data_out[92]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 468.760 0.000 469.040 2.400 ;
-    END
-  END la_data_out[92]
-  PIN la_data_out[93]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 472.440 0.000 472.720 2.400 ;
-    END
-  END la_data_out[93]
-  PIN la_data_out[94]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 476.120 0.000 476.400 2.400 ;
-    END
-  END la_data_out[94]
-  PIN la_data_out[95]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 479.800 0.000 480.080 2.400 ;
-    END
-  END la_data_out[95]
-  PIN la_data_out[96]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 483.480 0.000 483.760 2.400 ;
-    END
-  END la_data_out[96]
-  PIN la_data_out[97]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 487.160 0.000 487.440 2.400 ;
-    END
-  END la_data_out[97]
-  PIN la_data_out[98]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 490.840 0.000 491.120 2.400 ;
-    END
-  END la_data_out[98]
-  PIN la_data_out[99]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 494.520 0.000 494.800 2.400 ;
-    END
-  END la_data_out[99]
-  PIN la_data_out[9]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 163.780 0.000 164.060 2.400 ;
-    END
-  END la_data_out[9]
-  PIN la_oen[0]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 132.040 0.000 132.320 2.400 ;
-    END
-  END la_oen[0]
-  PIN la_oen[100]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 499.120 0.000 499.400 2.400 ;
-    END
-  END la_oen[100]
-  PIN la_oen[101]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 502.800 0.000 503.080 2.400 ;
-    END
-  END la_oen[101]
-  PIN la_oen[102]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 506.480 0.000 506.760 2.400 ;
-    END
-  END la_oen[102]
-  PIN la_oen[103]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 510.160 0.000 510.440 2.400 ;
-    END
-  END la_oen[103]
-  PIN la_oen[104]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 513.840 0.000 514.120 2.400 ;
-    END
-  END la_oen[104]
-  PIN la_oen[105]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 517.520 0.000 517.800 2.400 ;
-    END
-  END la_oen[105]
-  PIN la_oen[106]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 521.200 0.000 521.480 2.400 ;
-    END
-  END la_oen[106]
-  PIN la_oen[107]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 524.880 0.000 525.160 2.400 ;
-    END
-  END la_oen[107]
-  PIN la_oen[108]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 528.560 0.000 528.840 2.400 ;
-    END
-  END la_oen[108]
-  PIN la_oen[109]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 532.240 0.000 532.520 2.400 ;
-    END
-  END la_oen[109]
-  PIN la_oen[10]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 168.840 0.000 169.120 2.400 ;
-    END
-  END la_oen[10]
-  PIN la_oen[110]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 535.920 0.000 536.200 2.400 ;
-    END
-  END la_oen[110]
-  PIN la_oen[111]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 539.600 0.000 539.880 2.400 ;
-    END
-  END la_oen[111]
-  PIN la_oen[112]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 543.280 0.000 543.560 2.400 ;
-    END
-  END la_oen[112]
-  PIN la_oen[113]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 546.960 0.000 547.240 2.400 ;
-    END
-  END la_oen[113]
-  PIN la_oen[114]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 550.640 0.000 550.920 2.400 ;
-    END
-  END la_oen[114]
-  PIN la_oen[115]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 554.320 0.000 554.600 2.400 ;
-    END
-  END la_oen[115]
-  PIN la_oen[116]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 558.000 0.000 558.280 2.400 ;
-    END
-  END la_oen[116]
-  PIN la_oen[117]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 561.680 0.000 561.960 2.400 ;
-    END
-  END la_oen[117]
-  PIN la_oen[118]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 565.360 0.000 565.640 2.400 ;
-    END
-  END la_oen[118]
-  PIN la_oen[119]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 569.040 0.000 569.320 2.400 ;
-    END
-  END la_oen[119]
-  PIN la_oen[11]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 172.520 0.000 172.800 2.400 ;
-    END
-  END la_oen[11]
-  PIN la_oen[120]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 572.720 0.000 573.000 2.400 ;
-    END
-  END la_oen[120]
-  PIN la_oen[121]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 576.400 0.000 576.680 2.400 ;
-    END
-  END la_oen[121]
-  PIN la_oen[122]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 580.080 0.000 580.360 2.400 ;
-    END
-  END la_oen[122]
-  PIN la_oen[123]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 583.760 0.000 584.040 2.400 ;
-    END
-  END la_oen[123]
-  PIN la_oen[124]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 587.440 0.000 587.720 2.400 ;
-    END
-  END la_oen[124]
-  PIN la_oen[125]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 591.120 0.000 591.400 2.400 ;
-    END
-  END la_oen[125]
-  PIN la_oen[126]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 594.800 0.000 595.080 2.400 ;
-    END
-  END la_oen[126]
-  PIN la_oen[127]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 598.480 0.000 598.760 2.400 ;
-    END
-  END la_oen[127]
-  PIN la_oen[12]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 176.200 0.000 176.480 2.400 ;
-    END
-  END la_oen[12]
-  PIN la_oen[13]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 179.880 0.000 180.160 2.400 ;
-    END
-  END la_oen[13]
-  PIN la_oen[14]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 183.560 0.000 183.840 2.400 ;
-    END
-  END la_oen[14]
-  PIN la_oen[15]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 187.240 0.000 187.520 2.400 ;
-    END
-  END la_oen[15]
-  PIN la_oen[16]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 190.920 0.000 191.200 2.400 ;
-    END
-  END la_oen[16]
-  PIN la_oen[17]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 194.600 0.000 194.880 2.400 ;
-    END
-  END la_oen[17]
-  PIN la_oen[18]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 198.280 0.000 198.560 2.400 ;
-    END
-  END la_oen[18]
-  PIN la_oen[19]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 201.960 0.000 202.240 2.400 ;
-    END
-  END la_oen[19]
-  PIN la_oen[1]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 135.720 0.000 136.000 2.400 ;
-    END
-  END la_oen[1]
-  PIN la_oen[20]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 205.640 0.000 205.920 2.400 ;
-    END
-  END la_oen[20]
-  PIN la_oen[21]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 209.320 0.000 209.600 2.400 ;
-    END
-  END la_oen[21]
-  PIN la_oen[22]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 213.000 0.000 213.280 2.400 ;
-    END
-  END la_oen[22]
-  PIN la_oen[23]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 216.680 0.000 216.960 2.400 ;
-    END
-  END la_oen[23]
-  PIN la_oen[24]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 220.360 0.000 220.640 2.400 ;
-    END
-  END la_oen[24]
-  PIN la_oen[25]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 224.040 0.000 224.320 2.400 ;
-    END
-  END la_oen[25]
-  PIN la_oen[26]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 227.260 0.000 227.540 2.400 ;
-    END
-  END la_oen[26]
-  PIN la_oen[27]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 230.940 0.000 231.220 2.400 ;
-    END
-  END la_oen[27]
-  PIN la_oen[28]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 234.620 0.000 234.900 2.400 ;
-    END
-  END la_oen[28]
-  PIN la_oen[29]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 238.300 0.000 238.580 2.400 ;
-    END
-  END la_oen[29]
-  PIN la_oen[2]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 139.400 0.000 139.680 2.400 ;
-    END
-  END la_oen[2]
-  PIN la_oen[30]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 241.980 0.000 242.260 2.400 ;
-    END
-  END la_oen[30]
-  PIN la_oen[31]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 245.660 0.000 245.940 2.400 ;
-    END
-  END la_oen[31]
-  PIN la_oen[32]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 249.340 0.000 249.620 2.400 ;
-    END
-  END la_oen[32]
-  PIN la_oen[33]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 253.020 0.000 253.300 2.400 ;
-    END
-  END la_oen[33]
-  PIN la_oen[34]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 256.700 0.000 256.980 2.400 ;
-    END
-  END la_oen[34]
-  PIN la_oen[35]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 260.380 0.000 260.660 2.400 ;
-    END
-  END la_oen[35]
-  PIN la_oen[36]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 264.060 0.000 264.340 2.400 ;
-    END
-  END la_oen[36]
-  PIN la_oen[37]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 267.740 0.000 268.020 2.400 ;
-    END
-  END la_oen[37]
-  PIN la_oen[38]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 271.420 0.000 271.700 2.400 ;
-    END
-  END la_oen[38]
-  PIN la_oen[39]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 275.100 0.000 275.380 2.400 ;
-    END
-  END la_oen[39]
-  PIN la_oen[3]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 143.080 0.000 143.360 2.400 ;
-    END
-  END la_oen[3]
-  PIN la_oen[40]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 278.780 0.000 279.060 2.400 ;
-    END
-  END la_oen[40]
-  PIN la_oen[41]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 282.460 0.000 282.740 2.400 ;
-    END
-  END la_oen[41]
-  PIN la_oen[42]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 286.140 0.000 286.420 2.400 ;
-    END
-  END la_oen[42]
-  PIN la_oen[43]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 289.820 0.000 290.100 2.400 ;
-    END
-  END la_oen[43]
-  PIN la_oen[44]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 293.500 0.000 293.780 2.400 ;
-    END
-  END la_oen[44]
-  PIN la_oen[45]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 297.180 0.000 297.460 2.400 ;
-    END
-  END la_oen[45]
-  PIN la_oen[46]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 300.860 0.000 301.140 2.400 ;
-    END
-  END la_oen[46]
-  PIN la_oen[47]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 304.540 0.000 304.820 2.400 ;
-    END
-  END la_oen[47]
-  PIN la_oen[48]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 308.220 0.000 308.500 2.400 ;
-    END
-  END la_oen[48]
-  PIN la_oen[49]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 311.900 0.000 312.180 2.400 ;
-    END
-  END la_oen[49]
-  PIN la_oen[4]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 146.760 0.000 147.040 2.400 ;
-    END
-  END la_oen[4]
-  PIN la_oen[50]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 315.580 0.000 315.860 2.400 ;
-    END
-  END la_oen[50]
-  PIN la_oen[51]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 319.260 0.000 319.540 2.400 ;
-    END
-  END la_oen[51]
-  PIN la_oen[52]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 322.940 0.000 323.220 2.400 ;
-    END
-  END la_oen[52]
-  PIN la_oen[53]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 326.620 0.000 326.900 2.400 ;
-    END
-  END la_oen[53]
-  PIN la_oen[54]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 330.300 0.000 330.580 2.400 ;
-    END
-  END la_oen[54]
-  PIN la_oen[55]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 333.980 0.000 334.260 2.400 ;
-    END
-  END la_oen[55]
-  PIN la_oen[56]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 337.660 0.000 337.940 2.400 ;
-    END
-  END la_oen[56]
-  PIN la_oen[57]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 341.340 0.000 341.620 2.400 ;
-    END
-  END la_oen[57]
-  PIN la_oen[58]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 345.020 0.000 345.300 2.400 ;
-    END
-  END la_oen[58]
-  PIN la_oen[59]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 348.700 0.000 348.980 2.400 ;
-    END
-  END la_oen[59]
-  PIN la_oen[5]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 150.440 0.000 150.720 2.400 ;
-    END
-  END la_oen[5]
-  PIN la_oen[60]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 352.380 0.000 352.660 2.400 ;
-    END
-  END la_oen[60]
-  PIN la_oen[61]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 356.060 0.000 356.340 2.400 ;
-    END
-  END la_oen[61]
-  PIN la_oen[62]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 359.740 0.000 360.020 2.400 ;
-    END
-  END la_oen[62]
-  PIN la_oen[63]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 363.420 0.000 363.700 2.400 ;
-    END
-  END la_oen[63]
-  PIN la_oen[64]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 367.100 0.000 367.380 2.400 ;
-    END
-  END la_oen[64]
-  PIN la_oen[65]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 370.780 0.000 371.060 2.400 ;
-    END
-  END la_oen[65]
-  PIN la_oen[66]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 374.460 0.000 374.740 2.400 ;
-    END
-  END la_oen[66]
-  PIN la_oen[67]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 378.140 0.000 378.420 2.400 ;
-    END
-  END la_oen[67]
-  PIN la_oen[68]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 381.820 0.000 382.100 2.400 ;
-    END
-  END la_oen[68]
-  PIN la_oen[69]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 385.500 0.000 385.780 2.400 ;
-    END
-  END la_oen[69]
-  PIN la_oen[6]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 154.120 0.000 154.400 2.400 ;
-    END
-  END la_oen[6]
-  PIN la_oen[70]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 389.180 0.000 389.460 2.400 ;
-    END
-  END la_oen[70]
-  PIN la_oen[71]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 392.860 0.000 393.140 2.400 ;
-    END
-  END la_oen[71]
-  PIN la_oen[72]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 396.540 0.000 396.820 2.400 ;
-    END
-  END la_oen[72]
-  PIN la_oen[73]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 400.220 0.000 400.500 2.400 ;
-    END
-  END la_oen[73]
-  PIN la_oen[74]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 403.900 0.000 404.180 2.400 ;
-    END
-  END la_oen[74]
-  PIN la_oen[75]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 407.580 0.000 407.860 2.400 ;
-    END
-  END la_oen[75]
-  PIN la_oen[76]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 411.260 0.000 411.540 2.400 ;
-    END
-  END la_oen[76]
-  PIN la_oen[77]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 414.940 0.000 415.220 2.400 ;
-    END
-  END la_oen[77]
-  PIN la_oen[78]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 418.620 0.000 418.900 2.400 ;
-    END
-  END la_oen[78]
-  PIN la_oen[79]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 422.300 0.000 422.580 2.400 ;
-    END
-  END la_oen[79]
-  PIN la_oen[7]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 157.800 0.000 158.080 2.400 ;
-    END
-  END la_oen[7]
-  PIN la_oen[80]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 425.980 0.000 426.260 2.400 ;
-    END
-  END la_oen[80]
-  PIN la_oen[81]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 429.660 0.000 429.940 2.400 ;
-    END
-  END la_oen[81]
-  PIN la_oen[82]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 433.340 0.000 433.620 2.400 ;
-    END
-  END la_oen[82]
-  PIN la_oen[83]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 437.020 0.000 437.300 2.400 ;
-    END
-  END la_oen[83]
-  PIN la_oen[84]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 440.700 0.000 440.980 2.400 ;
-    END
-  END la_oen[84]
-  PIN la_oen[85]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 444.380 0.000 444.660 2.400 ;
-    END
-  END la_oen[85]
-  PIN la_oen[86]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 448.060 0.000 448.340 2.400 ;
-    END
-  END la_oen[86]
-  PIN la_oen[87]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 451.280 0.000 451.560 2.400 ;
-    END
-  END la_oen[87]
-  PIN la_oen[88]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 454.960 0.000 455.240 2.400 ;
-    END
-  END la_oen[88]
-  PIN la_oen[89]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 458.640 0.000 458.920 2.400 ;
-    END
-  END la_oen[89]
-  PIN la_oen[8]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 161.480 0.000 161.760 2.400 ;
-    END
-  END la_oen[8]
-  PIN la_oen[90]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 462.320 0.000 462.600 2.400 ;
-    END
-  END la_oen[90]
-  PIN la_oen[91]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 466.000 0.000 466.280 2.400 ;
-    END
-  END la_oen[91]
-  PIN la_oen[92]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 469.680 0.000 469.960 2.400 ;
-    END
-  END la_oen[92]
-  PIN la_oen[93]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 473.360 0.000 473.640 2.400 ;
-    END
-  END la_oen[93]
-  PIN la_oen[94]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 477.040 0.000 477.320 2.400 ;
-    END
-  END la_oen[94]
-  PIN la_oen[95]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 480.720 0.000 481.000 2.400 ;
-    END
-  END la_oen[95]
-  PIN la_oen[96]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 484.400 0.000 484.680 2.400 ;
-    END
-  END la_oen[96]
-  PIN la_oen[97]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 488.080 0.000 488.360 2.400 ;
-    END
-  END la_oen[97]
-  PIN la_oen[98]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 491.760 0.000 492.040 2.400 ;
-    END
-  END la_oen[98]
-  PIN la_oen[99]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 495.440 0.000 495.720 2.400 ;
-    END
-  END la_oen[99]
-  PIN la_oen[9]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 165.160 0.000 165.440 2.400 ;
-    END
-  END la_oen[9]
-  PIN wb_clk_i
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 0.020 0.000 0.300 2.400 ;
-    END
-  END wb_clk_i
-  PIN wb_rst_i
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 0.940 0.000 1.220 2.400 ;
-    END
-  END wb_rst_i
-  PIN wbs_ack_o
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 2.320 0.000 2.600 2.400 ;
-    END
-  END wbs_ack_o
-  PIN wbs_adr_i[0]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 6.920 0.000 7.200 2.400 ;
-    END
-  END wbs_adr_i[0]
-  PIN wbs_adr_i[10]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 48.780 0.000 49.060 2.400 ;
-    END
-  END wbs_adr_i[10]
-  PIN wbs_adr_i[11]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 52.460 0.000 52.740 2.400 ;
-    END
-  END wbs_adr_i[11]
-  PIN wbs_adr_i[12]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 56.140 0.000 56.420 2.400 ;
-    END
-  END wbs_adr_i[12]
-  PIN wbs_adr_i[13]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 59.820 0.000 60.100 2.400 ;
-    END
-  END wbs_adr_i[13]
-  PIN wbs_adr_i[14]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 63.500 0.000 63.780 2.400 ;
-    END
-  END wbs_adr_i[14]
-  PIN wbs_adr_i[15]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 67.180 0.000 67.460 2.400 ;
-    END
-  END wbs_adr_i[15]
-  PIN wbs_adr_i[16]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 70.860 0.000 71.140 2.400 ;
-    END
-  END wbs_adr_i[16]
-  PIN wbs_adr_i[17]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 74.540 0.000 74.820 2.400 ;
-    END
-  END wbs_adr_i[17]
-  PIN wbs_adr_i[18]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 78.220 0.000 78.500 2.400 ;
-    END
-  END wbs_adr_i[18]
-  PIN wbs_adr_i[19]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 81.900 0.000 82.180 2.400 ;
-    END
-  END wbs_adr_i[19]
-  PIN wbs_adr_i[1]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 11.980 0.000 12.260 2.400 ;
-    END
-  END wbs_adr_i[1]
-  PIN wbs_adr_i[20]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 85.580 0.000 85.860 2.400 ;
-    END
-  END wbs_adr_i[20]
-  PIN wbs_adr_i[21]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 89.260 0.000 89.540 2.400 ;
-    END
-  END wbs_adr_i[21]
-  PIN wbs_adr_i[22]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 92.940 0.000 93.220 2.400 ;
-    END
-  END wbs_adr_i[22]
-  PIN wbs_adr_i[23]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 96.620 0.000 96.900 2.400 ;
-    END
-  END wbs_adr_i[23]
-  PIN wbs_adr_i[24]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 100.300 0.000 100.580 2.400 ;
-    END
-  END wbs_adr_i[24]
-  PIN wbs_adr_i[25]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 103.980 0.000 104.260 2.400 ;
-    END
-  END wbs_adr_i[25]
-  PIN wbs_adr_i[26]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 107.660 0.000 107.940 2.400 ;
-    END
-  END wbs_adr_i[26]
-  PIN wbs_adr_i[27]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 111.340 0.000 111.620 2.400 ;
-    END
-  END wbs_adr_i[27]
-  PIN wbs_adr_i[28]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 115.020 0.000 115.300 2.400 ;
-    END
-  END wbs_adr_i[28]
-  PIN wbs_adr_i[29]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 118.700 0.000 118.980 2.400 ;
-    END
-  END wbs_adr_i[29]
-  PIN wbs_adr_i[2]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 17.040 0.000 17.320 2.400 ;
-    END
-  END wbs_adr_i[2]
-  PIN wbs_adr_i[30]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 122.380 0.000 122.660 2.400 ;
-    END
-  END wbs_adr_i[30]
-  PIN wbs_adr_i[31]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 126.060 0.000 126.340 2.400 ;
-    END
-  END wbs_adr_i[31]
-  PIN wbs_adr_i[3]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 21.640 0.000 21.920 2.400 ;
-    END
-  END wbs_adr_i[3]
-  PIN wbs_adr_i[4]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 26.700 0.000 26.980 2.400 ;
-    END
-  END wbs_adr_i[4]
-  PIN wbs_adr_i[5]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 30.380 0.000 30.660 2.400 ;
-    END
-  END wbs_adr_i[5]
-  PIN wbs_adr_i[6]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 34.060 0.000 34.340 2.400 ;
-    END
-  END wbs_adr_i[6]
-  PIN wbs_adr_i[7]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 37.740 0.000 38.020 2.400 ;
-    END
-  END wbs_adr_i[7]
-  PIN wbs_adr_i[8]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 41.420 0.000 41.700 2.400 ;
-    END
-  END wbs_adr_i[8]
-  PIN wbs_adr_i[9]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 45.100 0.000 45.380 2.400 ;
-    END
-  END wbs_adr_i[9]
-  PIN wbs_cyc_i
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 3.240 0.000 3.520 2.400 ;
-    END
-  END wbs_cyc_i
-  PIN wbs_dat_i[0]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 8.300 0.000 8.580 2.400 ;
-    END
-  END wbs_dat_i[0]
-  PIN wbs_dat_i[10]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 50.160 0.000 50.440 2.400 ;
-    END
-  END wbs_dat_i[10]
-  PIN wbs_dat_i[11]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 53.840 0.000 54.120 2.400 ;
-    END
-  END wbs_dat_i[11]
-  PIN wbs_dat_i[12]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 57.520 0.000 57.800 2.400 ;
-    END
-  END wbs_dat_i[12]
-  PIN wbs_dat_i[13]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 61.200 0.000 61.480 2.400 ;
-    END
-  END wbs_dat_i[13]
-  PIN wbs_dat_i[14]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 64.880 0.000 65.160 2.400 ;
-    END
-  END wbs_dat_i[14]
-  PIN wbs_dat_i[15]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 68.560 0.000 68.840 2.400 ;
-    END
-  END wbs_dat_i[15]
-  PIN wbs_dat_i[16]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 72.240 0.000 72.520 2.400 ;
-    END
-  END wbs_dat_i[16]
-  PIN wbs_dat_i[17]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 75.460 0.000 75.740 2.400 ;
-    END
-  END wbs_dat_i[17]
-  PIN wbs_dat_i[18]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 79.140 0.000 79.420 2.400 ;
-    END
-  END wbs_dat_i[18]
-  PIN wbs_dat_i[19]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 82.820 0.000 83.100 2.400 ;
-    END
-  END wbs_dat_i[19]
-  PIN wbs_dat_i[1]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 13.360 0.000 13.640 2.400 ;
-    END
-  END wbs_dat_i[1]
-  PIN wbs_dat_i[20]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 86.500 0.000 86.780 2.400 ;
-    END
-  END wbs_dat_i[20]
-  PIN wbs_dat_i[21]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 90.180 0.000 90.460 2.400 ;
-    END
-  END wbs_dat_i[21]
-  PIN wbs_dat_i[22]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 93.860 0.000 94.140 2.400 ;
-    END
-  END wbs_dat_i[22]
-  PIN wbs_dat_i[23]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 97.540 0.000 97.820 2.400 ;
-    END
-  END wbs_dat_i[23]
-  PIN wbs_dat_i[24]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 101.220 0.000 101.500 2.400 ;
-    END
-  END wbs_dat_i[24]
-  PIN wbs_dat_i[25]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 104.900 0.000 105.180 2.400 ;
-    END
-  END wbs_dat_i[25]
-  PIN wbs_dat_i[26]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 108.580 0.000 108.860 2.400 ;
-    END
-  END wbs_dat_i[26]
-  PIN wbs_dat_i[27]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 112.260 0.000 112.540 2.400 ;
-    END
-  END wbs_dat_i[27]
-  PIN wbs_dat_i[28]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 115.940 0.000 116.220 2.400 ;
-    END
-  END wbs_dat_i[28]
-  PIN wbs_dat_i[29]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 119.620 0.000 119.900 2.400 ;
-    END
-  END wbs_dat_i[29]
-  PIN wbs_dat_i[2]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 17.960 0.000 18.240 2.400 ;
-    END
-  END wbs_dat_i[2]
-  PIN wbs_dat_i[30]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 123.300 0.000 123.580 2.400 ;
-    END
-  END wbs_dat_i[30]
-  PIN wbs_dat_i[31]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 126.980 0.000 127.260 2.400 ;
-    END
-  END wbs_dat_i[31]
-  PIN wbs_dat_i[3]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 23.020 0.000 23.300 2.400 ;
-    END
-  END wbs_dat_i[3]
-  PIN wbs_dat_i[4]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 28.080 0.000 28.360 2.400 ;
-    END
-  END wbs_dat_i[4]
-  PIN wbs_dat_i[5]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 31.760 0.000 32.040 2.400 ;
-    END
-  END wbs_dat_i[5]
-  PIN wbs_dat_i[6]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 35.440 0.000 35.720 2.400 ;
-    END
-  END wbs_dat_i[6]
-  PIN wbs_dat_i[7]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 39.120 0.000 39.400 2.400 ;
-    END
-  END wbs_dat_i[7]
-  PIN wbs_dat_i[8]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 42.800 0.000 43.080 2.400 ;
-    END
-  END wbs_dat_i[8]
-  PIN wbs_dat_i[9]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 46.480 0.000 46.760 2.400 ;
-    END
-  END wbs_dat_i[9]
-  PIN wbs_dat_o[0]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 9.680 0.000 9.960 2.400 ;
-    END
-  END wbs_dat_o[0]
-  PIN wbs_dat_o[10]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 51.080 0.000 51.360 2.400 ;
-    END
-  END wbs_dat_o[10]
-  PIN wbs_dat_o[11]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 54.760 0.000 55.040 2.400 ;
-    END
-  END wbs_dat_o[11]
-  PIN wbs_dat_o[12]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 58.440 0.000 58.720 2.400 ;
-    END
-  END wbs_dat_o[12]
-  PIN wbs_dat_o[13]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 62.120 0.000 62.400 2.400 ;
-    END
-  END wbs_dat_o[13]
-  PIN wbs_dat_o[14]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 65.800 0.000 66.080 2.400 ;
-    END
-  END wbs_dat_o[14]
-  PIN wbs_dat_o[15]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 69.480 0.000 69.760 2.400 ;
-    END
-  END wbs_dat_o[15]
-  PIN wbs_dat_o[16]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 73.160 0.000 73.440 2.400 ;
-    END
-  END wbs_dat_o[16]
-  PIN wbs_dat_o[17]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 76.840 0.000 77.120 2.400 ;
-    END
-  END wbs_dat_o[17]
-  PIN wbs_dat_o[18]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 80.520 0.000 80.800 2.400 ;
-    END
-  END wbs_dat_o[18]
-  PIN wbs_dat_o[19]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 84.200 0.000 84.480 2.400 ;
-    END
-  END wbs_dat_o[19]
-  PIN wbs_dat_o[1]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 14.280 0.000 14.560 2.400 ;
-    END
-  END wbs_dat_o[1]
-  PIN wbs_dat_o[20]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 87.880 0.000 88.160 2.400 ;
-    END
-  END wbs_dat_o[20]
-  PIN wbs_dat_o[21]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 91.560 0.000 91.840 2.400 ;
-    END
-  END wbs_dat_o[21]
-  PIN wbs_dat_o[22]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 95.240 0.000 95.520 2.400 ;
-    END
-  END wbs_dat_o[22]
-  PIN wbs_dat_o[23]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 98.920 0.000 99.200 2.400 ;
-    END
-  END wbs_dat_o[23]
-  PIN wbs_dat_o[24]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 102.600 0.000 102.880 2.400 ;
-    END
-  END wbs_dat_o[24]
-  PIN wbs_dat_o[25]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 106.280 0.000 106.560 2.400 ;
-    END
-  END wbs_dat_o[25]
-  PIN wbs_dat_o[26]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 109.960 0.000 110.240 2.400 ;
-    END
-  END wbs_dat_o[26]
-  PIN wbs_dat_o[27]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 113.640 0.000 113.920 2.400 ;
-    END
-  END wbs_dat_o[27]
-  PIN wbs_dat_o[28]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 117.320 0.000 117.600 2.400 ;
-    END
-  END wbs_dat_o[28]
-  PIN wbs_dat_o[29]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 121.000 0.000 121.280 2.400 ;
-    END
-  END wbs_dat_o[29]
-  PIN wbs_dat_o[2]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 19.340 0.000 19.620 2.400 ;
-    END
-  END wbs_dat_o[2]
-  PIN wbs_dat_o[30]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 124.680 0.000 124.960 2.400 ;
-    END
-  END wbs_dat_o[30]
-  PIN wbs_dat_o[31]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 128.360 0.000 128.640 2.400 ;
-    END
-  END wbs_dat_o[31]
-  PIN wbs_dat_o[3]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 24.400 0.000 24.680 2.400 ;
-    END
-  END wbs_dat_o[3]
-  PIN wbs_dat_o[4]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 29.000 0.000 29.280 2.400 ;
-    END
-  END wbs_dat_o[4]
-  PIN wbs_dat_o[5]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 32.680 0.000 32.960 2.400 ;
-    END
-  END wbs_dat_o[5]
-  PIN wbs_dat_o[6]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 36.360 0.000 36.640 2.400 ;
-    END
-  END wbs_dat_o[6]
-  PIN wbs_dat_o[7]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 40.040 0.000 40.320 2.400 ;
-    END
-  END wbs_dat_o[7]
-  PIN wbs_dat_o[8]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 43.720 0.000 44.000 2.400 ;
-    END
-  END wbs_dat_o[8]
-  PIN wbs_dat_o[9]
-    DIRECTION OUTPUT TRISTATE ;
-    PORT
-      LAYER met2 ;
-        RECT 47.400 0.000 47.680 2.400 ;
-    END
-  END wbs_dat_o[9]
-  PIN wbs_sel_i[0]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 10.600 0.000 10.880 2.400 ;
-    END
-  END wbs_sel_i[0]
-  PIN wbs_sel_i[1]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 15.660 0.000 15.940 2.400 ;
-    END
-  END wbs_sel_i[1]
-  PIN wbs_sel_i[2]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 20.720 0.000 21.000 2.400 ;
-    END
-  END wbs_sel_i[2]
-  PIN wbs_sel_i[3]
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 25.320 0.000 25.600 2.400 ;
-    END
-  END wbs_sel_i[3]
-  PIN wbs_stb_i
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 4.620 0.000 4.900 2.400 ;
-    END
-  END wbs_stb_i
-  PIN wbs_we_i
-    DIRECTION INPUT ;
-    PORT
-      LAYER met2 ;
-        RECT 6.000 0.000 6.280 2.400 ;
-    END
-  END wbs_we_i
-  PIN VPWR
-    DIRECTION INPUT ;
-    USE POWER ;
-    PORT
-      LAYER met4 ;
-        RECT 20.510 10.640 22.110 587.760 ;
-    END
-  END VPWR
-  PIN VGND
-    DIRECTION INPUT ;
-    USE GROUND ;
-    PORT
-      LAYER met4 ;
-        RECT 97.310 10.640 98.910 587.760 ;
-    END
-  END VGND
-  OBS
-      LAYER li1 ;
-        RECT 4.990 6.545 593.790 587.605 ;
-      LAYER met1 ;
-        RECT 0.000 5.140 597.400 587.760 ;
-      LAYER met2 ;
-        RECT 0.030 597.320 1.580 597.600 ;
-        RECT 2.420 597.320 6.640 597.600 ;
-        RECT 7.480 597.320 11.700 597.600 ;
-        RECT 12.540 597.320 17.220 597.600 ;
-        RECT 18.060 597.320 22.280 597.600 ;
-        RECT 23.120 597.320 27.800 597.600 ;
-        RECT 28.640 597.320 32.860 597.600 ;
-        RECT 33.700 597.320 38.380 597.600 ;
-        RECT 39.220 597.320 43.440 597.600 ;
-        RECT 44.280 597.320 48.500 597.600 ;
-        RECT 49.340 597.320 54.020 597.600 ;
-        RECT 54.860 597.320 59.080 597.600 ;
-        RECT 59.920 597.320 64.600 597.600 ;
-        RECT 65.440 597.320 69.660 597.600 ;
-        RECT 70.500 597.320 75.180 597.600 ;
-        RECT 76.020 597.320 80.240 597.600 ;
-        RECT 81.080 597.320 85.760 597.600 ;
-        RECT 86.600 597.320 90.820 597.600 ;
-        RECT 91.660 597.320 95.880 597.600 ;
-        RECT 96.720 597.320 101.400 597.600 ;
-        RECT 102.240 597.320 106.460 597.600 ;
-        RECT 107.300 597.320 111.980 597.600 ;
-        RECT 112.820 597.320 117.040 597.600 ;
-        RECT 117.880 597.320 122.560 597.600 ;
-        RECT 123.400 597.320 127.620 597.600 ;
-        RECT 128.460 597.320 132.680 597.600 ;
-        RECT 133.520 597.320 138.200 597.600 ;
-        RECT 139.040 597.320 143.260 597.600 ;
-        RECT 144.100 597.320 148.780 597.600 ;
-        RECT 149.620 597.320 153.840 597.600 ;
-        RECT 154.680 597.320 159.360 597.600 ;
-        RECT 160.200 597.320 164.420 597.600 ;
-        RECT 165.260 597.320 169.940 597.600 ;
-        RECT 170.780 597.320 175.000 597.600 ;
-        RECT 175.840 597.320 180.060 597.600 ;
-        RECT 180.900 597.320 185.580 597.600 ;
-        RECT 186.420 597.320 190.640 597.600 ;
-        RECT 191.480 597.320 196.160 597.600 ;
-        RECT 197.000 597.320 201.220 597.600 ;
-        RECT 202.060 597.320 206.740 597.600 ;
-        RECT 207.580 597.320 211.800 597.600 ;
-        RECT 212.640 597.320 216.860 597.600 ;
-        RECT 217.700 597.320 222.380 597.600 ;
-        RECT 223.220 597.320 227.440 597.600 ;
-        RECT 228.280 597.320 232.960 597.600 ;
-        RECT 233.800 597.320 238.020 597.600 ;
-        RECT 238.860 597.320 243.540 597.600 ;
-        RECT 244.380 597.320 248.600 597.600 ;
-        RECT 249.440 597.320 254.120 597.600 ;
-        RECT 254.960 597.320 259.180 597.600 ;
-        RECT 260.020 597.320 264.240 597.600 ;
-        RECT 265.080 597.320 269.760 597.600 ;
-        RECT 270.600 597.320 274.820 597.600 ;
-        RECT 275.660 597.320 280.340 597.600 ;
-        RECT 281.180 597.320 285.400 597.600 ;
-        RECT 286.240 597.320 290.920 597.600 ;
-        RECT 291.760 597.320 295.980 597.600 ;
-        RECT 296.820 597.320 301.500 597.600 ;
-        RECT 302.340 597.320 306.560 597.600 ;
-        RECT 307.400 597.320 311.620 597.600 ;
-        RECT 312.460 597.320 317.140 597.600 ;
-        RECT 317.980 597.320 322.200 597.600 ;
-        RECT 323.040 597.320 327.720 597.600 ;
-        RECT 328.560 597.320 332.780 597.600 ;
-        RECT 333.620 597.320 338.300 597.600 ;
-        RECT 339.140 597.320 343.360 597.600 ;
-        RECT 344.200 597.320 348.420 597.600 ;
-        RECT 349.260 597.320 353.940 597.600 ;
-        RECT 354.780 597.320 359.000 597.600 ;
-        RECT 359.840 597.320 364.520 597.600 ;
-        RECT 365.360 597.320 369.580 597.600 ;
-        RECT 370.420 597.320 375.100 597.600 ;
-        RECT 375.940 597.320 380.160 597.600 ;
-        RECT 381.000 597.320 385.680 597.600 ;
-        RECT 386.520 597.320 390.740 597.600 ;
-        RECT 391.580 597.320 395.800 597.600 ;
-        RECT 396.640 597.320 401.320 597.600 ;
-        RECT 402.160 597.320 406.380 597.600 ;
-        RECT 407.220 597.320 411.900 597.600 ;
-        RECT 412.740 597.320 416.960 597.600 ;
-        RECT 417.800 597.320 422.480 597.600 ;
-        RECT 423.320 597.320 427.540 597.600 ;
-        RECT 428.380 597.320 432.600 597.600 ;
-        RECT 433.440 597.320 438.120 597.600 ;
-        RECT 438.960 597.320 443.180 597.600 ;
-        RECT 444.020 597.320 448.700 597.600 ;
-        RECT 449.540 597.320 453.760 597.600 ;
-        RECT 454.600 597.320 459.280 597.600 ;
-        RECT 460.120 597.320 464.340 597.600 ;
-        RECT 465.180 597.320 469.860 597.600 ;
-        RECT 470.700 597.320 474.920 597.600 ;
-        RECT 475.760 597.320 479.980 597.600 ;
-        RECT 480.820 597.320 485.500 597.600 ;
-        RECT 486.340 597.320 490.560 597.600 ;
-        RECT 491.400 597.320 496.080 597.600 ;
-        RECT 496.920 597.320 501.140 597.600 ;
-        RECT 501.980 597.320 506.660 597.600 ;
-        RECT 507.500 597.320 511.720 597.600 ;
-        RECT 512.560 597.320 516.780 597.600 ;
-        RECT 517.620 597.320 522.300 597.600 ;
-        RECT 523.140 597.320 527.360 597.600 ;
-        RECT 528.200 597.320 532.880 597.600 ;
-        RECT 533.720 597.320 537.940 597.600 ;
-        RECT 538.780 597.320 543.460 597.600 ;
-        RECT 544.300 597.320 548.520 597.600 ;
-        RECT 549.360 597.320 554.040 597.600 ;
-        RECT 554.880 597.320 559.100 597.600 ;
-        RECT 559.940 597.320 564.160 597.600 ;
-        RECT 565.000 597.320 569.680 597.600 ;
-        RECT 570.520 597.320 574.740 597.600 ;
-        RECT 575.580 597.320 580.260 597.600 ;
-        RECT 581.100 597.320 585.320 597.600 ;
-        RECT 586.160 597.320 590.840 597.600 ;
-        RECT 591.680 597.320 595.900 597.600 ;
-        RECT 596.740 597.320 597.370 597.600 ;
-        RECT 0.030 2.680 597.370 597.320 ;
-        RECT 0.580 2.400 0.660 2.680 ;
-        RECT 1.500 2.400 2.040 2.680 ;
-        RECT 2.880 2.400 2.960 2.680 ;
-        RECT 3.800 2.400 4.340 2.680 ;
-        RECT 5.180 2.400 5.720 2.680 ;
-        RECT 6.560 2.400 6.640 2.680 ;
-        RECT 7.480 2.400 8.020 2.680 ;
-        RECT 8.860 2.400 9.400 2.680 ;
-        RECT 10.240 2.400 10.320 2.680 ;
-        RECT 11.160 2.400 11.700 2.680 ;
-        RECT 12.540 2.400 13.080 2.680 ;
-        RECT 13.920 2.400 14.000 2.680 ;
-        RECT 14.840 2.400 15.380 2.680 ;
-        RECT 16.220 2.400 16.760 2.680 ;
-        RECT 17.600 2.400 17.680 2.680 ;
-        RECT 18.520 2.400 19.060 2.680 ;
-        RECT 19.900 2.400 20.440 2.680 ;
-        RECT 21.280 2.400 21.360 2.680 ;
-        RECT 22.200 2.400 22.740 2.680 ;
-        RECT 23.580 2.400 24.120 2.680 ;
-        RECT 24.960 2.400 25.040 2.680 ;
-        RECT 25.880 2.400 26.420 2.680 ;
-        RECT 27.260 2.400 27.800 2.680 ;
-        RECT 28.640 2.400 28.720 2.680 ;
-        RECT 29.560 2.400 30.100 2.680 ;
-        RECT 30.940 2.400 31.480 2.680 ;
-        RECT 32.320 2.400 32.400 2.680 ;
-        RECT 33.240 2.400 33.780 2.680 ;
-        RECT 34.620 2.400 35.160 2.680 ;
-        RECT 36.000 2.400 36.080 2.680 ;
-        RECT 36.920 2.400 37.460 2.680 ;
-        RECT 38.300 2.400 38.840 2.680 ;
-        RECT 39.680 2.400 39.760 2.680 ;
-        RECT 40.600 2.400 41.140 2.680 ;
-        RECT 41.980 2.400 42.520 2.680 ;
-        RECT 43.360 2.400 43.440 2.680 ;
-        RECT 44.280 2.400 44.820 2.680 ;
-        RECT 45.660 2.400 46.200 2.680 ;
-        RECT 47.040 2.400 47.120 2.680 ;
-        RECT 47.960 2.400 48.500 2.680 ;
-        RECT 49.340 2.400 49.880 2.680 ;
-        RECT 50.720 2.400 50.800 2.680 ;
-        RECT 51.640 2.400 52.180 2.680 ;
-        RECT 53.020 2.400 53.560 2.680 ;
-        RECT 54.400 2.400 54.480 2.680 ;
-        RECT 55.320 2.400 55.860 2.680 ;
-        RECT 56.700 2.400 57.240 2.680 ;
-        RECT 58.080 2.400 58.160 2.680 ;
-        RECT 59.000 2.400 59.540 2.680 ;
-        RECT 60.380 2.400 60.920 2.680 ;
-        RECT 61.760 2.400 61.840 2.680 ;
-        RECT 62.680 2.400 63.220 2.680 ;
-        RECT 64.060 2.400 64.600 2.680 ;
-        RECT 65.440 2.400 65.520 2.680 ;
-        RECT 66.360 2.400 66.900 2.680 ;
-        RECT 67.740 2.400 68.280 2.680 ;
-        RECT 69.120 2.400 69.200 2.680 ;
-        RECT 70.040 2.400 70.580 2.680 ;
-        RECT 71.420 2.400 71.960 2.680 ;
-        RECT 72.800 2.400 72.880 2.680 ;
-        RECT 73.720 2.400 74.260 2.680 ;
-        RECT 75.100 2.400 75.180 2.680 ;
-        RECT 76.020 2.400 76.560 2.680 ;
-        RECT 77.400 2.400 77.940 2.680 ;
-        RECT 78.780 2.400 78.860 2.680 ;
-        RECT 79.700 2.400 80.240 2.680 ;
-        RECT 81.080 2.400 81.620 2.680 ;
-        RECT 82.460 2.400 82.540 2.680 ;
-        RECT 83.380 2.400 83.920 2.680 ;
-        RECT 84.760 2.400 85.300 2.680 ;
-        RECT 86.140 2.400 86.220 2.680 ;
-        RECT 87.060 2.400 87.600 2.680 ;
-        RECT 88.440 2.400 88.980 2.680 ;
-        RECT 89.820 2.400 89.900 2.680 ;
-        RECT 90.740 2.400 91.280 2.680 ;
-        RECT 92.120 2.400 92.660 2.680 ;
-        RECT 93.500 2.400 93.580 2.680 ;
-        RECT 94.420 2.400 94.960 2.680 ;
-        RECT 95.800 2.400 96.340 2.680 ;
-        RECT 97.180 2.400 97.260 2.680 ;
-        RECT 98.100 2.400 98.640 2.680 ;
-        RECT 99.480 2.400 100.020 2.680 ;
-        RECT 100.860 2.400 100.940 2.680 ;
-        RECT 101.780 2.400 102.320 2.680 ;
-        RECT 103.160 2.400 103.700 2.680 ;
-        RECT 104.540 2.400 104.620 2.680 ;
-        RECT 105.460 2.400 106.000 2.680 ;
-        RECT 106.840 2.400 107.380 2.680 ;
-        RECT 108.220 2.400 108.300 2.680 ;
-        RECT 109.140 2.400 109.680 2.680 ;
-        RECT 110.520 2.400 111.060 2.680 ;
-        RECT 111.900 2.400 111.980 2.680 ;
-        RECT 112.820 2.400 113.360 2.680 ;
-        RECT 114.200 2.400 114.740 2.680 ;
-        RECT 115.580 2.400 115.660 2.680 ;
-        RECT 116.500 2.400 117.040 2.680 ;
-        RECT 117.880 2.400 118.420 2.680 ;
-        RECT 119.260 2.400 119.340 2.680 ;
-        RECT 120.180 2.400 120.720 2.680 ;
-        RECT 121.560 2.400 122.100 2.680 ;
-        RECT 122.940 2.400 123.020 2.680 ;
-        RECT 123.860 2.400 124.400 2.680 ;
-        RECT 125.240 2.400 125.780 2.680 ;
-        RECT 126.620 2.400 126.700 2.680 ;
-        RECT 127.540 2.400 128.080 2.680 ;
-        RECT 128.920 2.400 129.460 2.680 ;
-        RECT 130.300 2.400 130.380 2.680 ;
-        RECT 131.220 2.400 131.760 2.680 ;
-        RECT 132.600 2.400 133.140 2.680 ;
-        RECT 133.980 2.400 134.060 2.680 ;
-        RECT 134.900 2.400 135.440 2.680 ;
-        RECT 136.280 2.400 136.820 2.680 ;
-        RECT 137.660 2.400 137.740 2.680 ;
-        RECT 138.580 2.400 139.120 2.680 ;
-        RECT 139.960 2.400 140.500 2.680 ;
-        RECT 141.340 2.400 141.420 2.680 ;
-        RECT 142.260 2.400 142.800 2.680 ;
-        RECT 143.640 2.400 144.180 2.680 ;
-        RECT 145.020 2.400 145.100 2.680 ;
-        RECT 145.940 2.400 146.480 2.680 ;
-        RECT 147.320 2.400 147.860 2.680 ;
-        RECT 148.700 2.400 148.780 2.680 ;
-        RECT 149.620 2.400 150.160 2.680 ;
-        RECT 151.000 2.400 151.080 2.680 ;
-        RECT 151.920 2.400 152.460 2.680 ;
-        RECT 153.300 2.400 153.840 2.680 ;
-        RECT 154.680 2.400 154.760 2.680 ;
-        RECT 155.600 2.400 156.140 2.680 ;
-        RECT 156.980 2.400 157.520 2.680 ;
-        RECT 158.360 2.400 158.440 2.680 ;
-        RECT 159.280 2.400 159.820 2.680 ;
-        RECT 160.660 2.400 161.200 2.680 ;
-        RECT 162.040 2.400 162.120 2.680 ;
-        RECT 162.960 2.400 163.500 2.680 ;
-        RECT 164.340 2.400 164.880 2.680 ;
-        RECT 165.720 2.400 165.800 2.680 ;
-        RECT 166.640 2.400 167.180 2.680 ;
-        RECT 168.020 2.400 168.560 2.680 ;
-        RECT 169.400 2.400 169.480 2.680 ;
-        RECT 170.320 2.400 170.860 2.680 ;
-        RECT 171.700 2.400 172.240 2.680 ;
-        RECT 173.080 2.400 173.160 2.680 ;
-        RECT 174.000 2.400 174.540 2.680 ;
-        RECT 175.380 2.400 175.920 2.680 ;
-        RECT 176.760 2.400 176.840 2.680 ;
-        RECT 177.680 2.400 178.220 2.680 ;
-        RECT 179.060 2.400 179.600 2.680 ;
-        RECT 180.440 2.400 180.520 2.680 ;
-        RECT 181.360 2.400 181.900 2.680 ;
-        RECT 182.740 2.400 183.280 2.680 ;
-        RECT 184.120 2.400 184.200 2.680 ;
-        RECT 185.040 2.400 185.580 2.680 ;
-        RECT 186.420 2.400 186.960 2.680 ;
-        RECT 187.800 2.400 187.880 2.680 ;
-        RECT 188.720 2.400 189.260 2.680 ;
-        RECT 190.100 2.400 190.640 2.680 ;
-        RECT 191.480 2.400 191.560 2.680 ;
-        RECT 192.400 2.400 192.940 2.680 ;
-        RECT 193.780 2.400 194.320 2.680 ;
-        RECT 195.160 2.400 195.240 2.680 ;
-        RECT 196.080 2.400 196.620 2.680 ;
-        RECT 197.460 2.400 198.000 2.680 ;
-        RECT 198.840 2.400 198.920 2.680 ;
-        RECT 199.760 2.400 200.300 2.680 ;
-        RECT 201.140 2.400 201.680 2.680 ;
-        RECT 202.520 2.400 202.600 2.680 ;
-        RECT 203.440 2.400 203.980 2.680 ;
-        RECT 204.820 2.400 205.360 2.680 ;
-        RECT 206.200 2.400 206.280 2.680 ;
-        RECT 207.120 2.400 207.660 2.680 ;
-        RECT 208.500 2.400 209.040 2.680 ;
-        RECT 209.880 2.400 209.960 2.680 ;
-        RECT 210.800 2.400 211.340 2.680 ;
-        RECT 212.180 2.400 212.720 2.680 ;
-        RECT 213.560 2.400 213.640 2.680 ;
-        RECT 214.480 2.400 215.020 2.680 ;
-        RECT 215.860 2.400 216.400 2.680 ;
-        RECT 217.240 2.400 217.320 2.680 ;
-        RECT 218.160 2.400 218.700 2.680 ;
-        RECT 219.540 2.400 220.080 2.680 ;
-        RECT 220.920 2.400 221.000 2.680 ;
-        RECT 221.840 2.400 222.380 2.680 ;
-        RECT 223.220 2.400 223.760 2.680 ;
-        RECT 224.600 2.400 224.680 2.680 ;
-        RECT 225.520 2.400 226.060 2.680 ;
-        RECT 226.900 2.400 226.980 2.680 ;
-        RECT 227.820 2.400 228.360 2.680 ;
-        RECT 229.200 2.400 229.740 2.680 ;
-        RECT 230.580 2.400 230.660 2.680 ;
-        RECT 231.500 2.400 232.040 2.680 ;
-        RECT 232.880 2.400 233.420 2.680 ;
-        RECT 234.260 2.400 234.340 2.680 ;
-        RECT 235.180 2.400 235.720 2.680 ;
-        RECT 236.560 2.400 237.100 2.680 ;
-        RECT 237.940 2.400 238.020 2.680 ;
-        RECT 238.860 2.400 239.400 2.680 ;
-        RECT 240.240 2.400 240.780 2.680 ;
-        RECT 241.620 2.400 241.700 2.680 ;
-        RECT 242.540 2.400 243.080 2.680 ;
-        RECT 243.920 2.400 244.460 2.680 ;
-        RECT 245.300 2.400 245.380 2.680 ;
-        RECT 246.220 2.400 246.760 2.680 ;
-        RECT 247.600 2.400 248.140 2.680 ;
-        RECT 248.980 2.400 249.060 2.680 ;
-        RECT 249.900 2.400 250.440 2.680 ;
-        RECT 251.280 2.400 251.820 2.680 ;
-        RECT 252.660 2.400 252.740 2.680 ;
-        RECT 253.580 2.400 254.120 2.680 ;
-        RECT 254.960 2.400 255.500 2.680 ;
-        RECT 256.340 2.400 256.420 2.680 ;
-        RECT 257.260 2.400 257.800 2.680 ;
-        RECT 258.640 2.400 259.180 2.680 ;
-        RECT 260.020 2.400 260.100 2.680 ;
-        RECT 260.940 2.400 261.480 2.680 ;
-        RECT 262.320 2.400 262.860 2.680 ;
-        RECT 263.700 2.400 263.780 2.680 ;
-        RECT 264.620 2.400 265.160 2.680 ;
-        RECT 266.000 2.400 266.540 2.680 ;
-        RECT 267.380 2.400 267.460 2.680 ;
-        RECT 268.300 2.400 268.840 2.680 ;
-        RECT 269.680 2.400 270.220 2.680 ;
-        RECT 271.060 2.400 271.140 2.680 ;
-        RECT 271.980 2.400 272.520 2.680 ;
-        RECT 273.360 2.400 273.900 2.680 ;
-        RECT 274.740 2.400 274.820 2.680 ;
-        RECT 275.660 2.400 276.200 2.680 ;
-        RECT 277.040 2.400 277.580 2.680 ;
-        RECT 278.420 2.400 278.500 2.680 ;
-        RECT 279.340 2.400 279.880 2.680 ;
-        RECT 280.720 2.400 281.260 2.680 ;
-        RECT 282.100 2.400 282.180 2.680 ;
-        RECT 283.020 2.400 283.560 2.680 ;
-        RECT 284.400 2.400 284.940 2.680 ;
-        RECT 285.780 2.400 285.860 2.680 ;
-        RECT 286.700 2.400 287.240 2.680 ;
-        RECT 288.080 2.400 288.620 2.680 ;
-        RECT 289.460 2.400 289.540 2.680 ;
-        RECT 290.380 2.400 290.920 2.680 ;
-        RECT 291.760 2.400 292.300 2.680 ;
-        RECT 293.140 2.400 293.220 2.680 ;
-        RECT 294.060 2.400 294.600 2.680 ;
-        RECT 295.440 2.400 295.980 2.680 ;
-        RECT 296.820 2.400 296.900 2.680 ;
-        RECT 297.740 2.400 298.280 2.680 ;
-        RECT 299.120 2.400 299.660 2.680 ;
-        RECT 300.500 2.400 300.580 2.680 ;
-        RECT 301.420 2.400 301.960 2.680 ;
-        RECT 302.800 2.400 302.880 2.680 ;
-        RECT 303.720 2.400 304.260 2.680 ;
-        RECT 305.100 2.400 305.640 2.680 ;
-        RECT 306.480 2.400 306.560 2.680 ;
-        RECT 307.400 2.400 307.940 2.680 ;
-        RECT 308.780 2.400 309.320 2.680 ;
-        RECT 310.160 2.400 310.240 2.680 ;
-        RECT 311.080 2.400 311.620 2.680 ;
-        RECT 312.460 2.400 313.000 2.680 ;
-        RECT 313.840 2.400 313.920 2.680 ;
-        RECT 314.760 2.400 315.300 2.680 ;
-        RECT 316.140 2.400 316.680 2.680 ;
-        RECT 317.520 2.400 317.600 2.680 ;
-        RECT 318.440 2.400 318.980 2.680 ;
-        RECT 319.820 2.400 320.360 2.680 ;
-        RECT 321.200 2.400 321.280 2.680 ;
-        RECT 322.120 2.400 322.660 2.680 ;
-        RECT 323.500 2.400 324.040 2.680 ;
-        RECT 324.880 2.400 324.960 2.680 ;
-        RECT 325.800 2.400 326.340 2.680 ;
-        RECT 327.180 2.400 327.720 2.680 ;
-        RECT 328.560 2.400 328.640 2.680 ;
-        RECT 329.480 2.400 330.020 2.680 ;
-        RECT 330.860 2.400 331.400 2.680 ;
-        RECT 332.240 2.400 332.320 2.680 ;
-        RECT 333.160 2.400 333.700 2.680 ;
-        RECT 334.540 2.400 335.080 2.680 ;
-        RECT 335.920 2.400 336.000 2.680 ;
-        RECT 336.840 2.400 337.380 2.680 ;
-        RECT 338.220 2.400 338.760 2.680 ;
-        RECT 339.600 2.400 339.680 2.680 ;
-        RECT 340.520 2.400 341.060 2.680 ;
-        RECT 341.900 2.400 342.440 2.680 ;
-        RECT 343.280 2.400 343.360 2.680 ;
-        RECT 344.200 2.400 344.740 2.680 ;
-        RECT 345.580 2.400 346.120 2.680 ;
-        RECT 346.960 2.400 347.040 2.680 ;
-        RECT 347.880 2.400 348.420 2.680 ;
-        RECT 349.260 2.400 349.800 2.680 ;
-        RECT 350.640 2.400 350.720 2.680 ;
-        RECT 351.560 2.400 352.100 2.680 ;
-        RECT 352.940 2.400 353.480 2.680 ;
-        RECT 354.320 2.400 354.400 2.680 ;
-        RECT 355.240 2.400 355.780 2.680 ;
-        RECT 356.620 2.400 357.160 2.680 ;
-        RECT 358.000 2.400 358.080 2.680 ;
-        RECT 358.920 2.400 359.460 2.680 ;
-        RECT 360.300 2.400 360.840 2.680 ;
-        RECT 361.680 2.400 361.760 2.680 ;
-        RECT 362.600 2.400 363.140 2.680 ;
-        RECT 363.980 2.400 364.520 2.680 ;
-        RECT 365.360 2.400 365.440 2.680 ;
-        RECT 366.280 2.400 366.820 2.680 ;
-        RECT 367.660 2.400 368.200 2.680 ;
-        RECT 369.040 2.400 369.120 2.680 ;
-        RECT 369.960 2.400 370.500 2.680 ;
-        RECT 371.340 2.400 371.880 2.680 ;
-        RECT 372.720 2.400 372.800 2.680 ;
-        RECT 373.640 2.400 374.180 2.680 ;
-        RECT 375.020 2.400 375.100 2.680 ;
-        RECT 375.940 2.400 376.480 2.680 ;
-        RECT 377.320 2.400 377.860 2.680 ;
-        RECT 378.700 2.400 378.780 2.680 ;
-        RECT 379.620 2.400 380.160 2.680 ;
-        RECT 381.000 2.400 381.540 2.680 ;
-        RECT 382.380 2.400 382.460 2.680 ;
-        RECT 383.300 2.400 383.840 2.680 ;
-        RECT 384.680 2.400 385.220 2.680 ;
-        RECT 386.060 2.400 386.140 2.680 ;
-        RECT 386.980 2.400 387.520 2.680 ;
-        RECT 388.360 2.400 388.900 2.680 ;
-        RECT 389.740 2.400 389.820 2.680 ;
-        RECT 390.660 2.400 391.200 2.680 ;
-        RECT 392.040 2.400 392.580 2.680 ;
-        RECT 393.420 2.400 393.500 2.680 ;
-        RECT 394.340 2.400 394.880 2.680 ;
-        RECT 395.720 2.400 396.260 2.680 ;
-        RECT 397.100 2.400 397.180 2.680 ;
-        RECT 398.020 2.400 398.560 2.680 ;
-        RECT 399.400 2.400 399.940 2.680 ;
-        RECT 400.780 2.400 400.860 2.680 ;
-        RECT 401.700 2.400 402.240 2.680 ;
-        RECT 403.080 2.400 403.620 2.680 ;
-        RECT 404.460 2.400 404.540 2.680 ;
-        RECT 405.380 2.400 405.920 2.680 ;
-        RECT 406.760 2.400 407.300 2.680 ;
-        RECT 408.140 2.400 408.220 2.680 ;
-        RECT 409.060 2.400 409.600 2.680 ;
-        RECT 410.440 2.400 410.980 2.680 ;
-        RECT 411.820 2.400 411.900 2.680 ;
-        RECT 412.740 2.400 413.280 2.680 ;
-        RECT 414.120 2.400 414.660 2.680 ;
-        RECT 415.500 2.400 415.580 2.680 ;
-        RECT 416.420 2.400 416.960 2.680 ;
-        RECT 417.800 2.400 418.340 2.680 ;
-        RECT 419.180 2.400 419.260 2.680 ;
-        RECT 420.100 2.400 420.640 2.680 ;
-        RECT 421.480 2.400 422.020 2.680 ;
-        RECT 422.860 2.400 422.940 2.680 ;
-        RECT 423.780 2.400 424.320 2.680 ;
-        RECT 425.160 2.400 425.700 2.680 ;
-        RECT 426.540 2.400 426.620 2.680 ;
-        RECT 427.460 2.400 428.000 2.680 ;
-        RECT 428.840 2.400 429.380 2.680 ;
-        RECT 430.220 2.400 430.300 2.680 ;
-        RECT 431.140 2.400 431.680 2.680 ;
-        RECT 432.520 2.400 433.060 2.680 ;
-        RECT 433.900 2.400 433.980 2.680 ;
-        RECT 434.820 2.400 435.360 2.680 ;
-        RECT 436.200 2.400 436.740 2.680 ;
-        RECT 437.580 2.400 437.660 2.680 ;
-        RECT 438.500 2.400 439.040 2.680 ;
-        RECT 439.880 2.400 440.420 2.680 ;
-        RECT 441.260 2.400 441.340 2.680 ;
-        RECT 442.180 2.400 442.720 2.680 ;
-        RECT 443.560 2.400 444.100 2.680 ;
-        RECT 444.940 2.400 445.020 2.680 ;
-        RECT 445.860 2.400 446.400 2.680 ;
-        RECT 447.240 2.400 447.780 2.680 ;
-        RECT 448.620 2.400 448.700 2.680 ;
-        RECT 449.540 2.400 450.080 2.680 ;
-        RECT 450.920 2.400 451.000 2.680 ;
-        RECT 451.840 2.400 452.380 2.680 ;
-        RECT 453.220 2.400 453.760 2.680 ;
-        RECT 454.600 2.400 454.680 2.680 ;
-        RECT 455.520 2.400 456.060 2.680 ;
-        RECT 456.900 2.400 457.440 2.680 ;
-        RECT 458.280 2.400 458.360 2.680 ;
-        RECT 459.200 2.400 459.740 2.680 ;
-        RECT 460.580 2.400 461.120 2.680 ;
-        RECT 461.960 2.400 462.040 2.680 ;
-        RECT 462.880 2.400 463.420 2.680 ;
-        RECT 464.260 2.400 464.800 2.680 ;
-        RECT 465.640 2.400 465.720 2.680 ;
-        RECT 466.560 2.400 467.100 2.680 ;
-        RECT 467.940 2.400 468.480 2.680 ;
-        RECT 469.320 2.400 469.400 2.680 ;
-        RECT 470.240 2.400 470.780 2.680 ;
-        RECT 471.620 2.400 472.160 2.680 ;
-        RECT 473.000 2.400 473.080 2.680 ;
-        RECT 473.920 2.400 474.460 2.680 ;
-        RECT 475.300 2.400 475.840 2.680 ;
-        RECT 476.680 2.400 476.760 2.680 ;
-        RECT 477.600 2.400 478.140 2.680 ;
-        RECT 478.980 2.400 479.520 2.680 ;
-        RECT 480.360 2.400 480.440 2.680 ;
-        RECT 481.280 2.400 481.820 2.680 ;
-        RECT 482.660 2.400 483.200 2.680 ;
-        RECT 484.040 2.400 484.120 2.680 ;
-        RECT 484.960 2.400 485.500 2.680 ;
-        RECT 486.340 2.400 486.880 2.680 ;
-        RECT 487.720 2.400 487.800 2.680 ;
-        RECT 488.640 2.400 489.180 2.680 ;
-        RECT 490.020 2.400 490.560 2.680 ;
-        RECT 491.400 2.400 491.480 2.680 ;
-        RECT 492.320 2.400 492.860 2.680 ;
-        RECT 493.700 2.400 494.240 2.680 ;
-        RECT 495.080 2.400 495.160 2.680 ;
-        RECT 496.000 2.400 496.540 2.680 ;
-        RECT 497.380 2.400 497.920 2.680 ;
-        RECT 498.760 2.400 498.840 2.680 ;
-        RECT 499.680 2.400 500.220 2.680 ;
-        RECT 501.060 2.400 501.600 2.680 ;
-        RECT 502.440 2.400 502.520 2.680 ;
-        RECT 503.360 2.400 503.900 2.680 ;
-        RECT 504.740 2.400 505.280 2.680 ;
-        RECT 506.120 2.400 506.200 2.680 ;
-        RECT 507.040 2.400 507.580 2.680 ;
-        RECT 508.420 2.400 508.960 2.680 ;
-        RECT 509.800 2.400 509.880 2.680 ;
-        RECT 510.720 2.400 511.260 2.680 ;
-        RECT 512.100 2.400 512.640 2.680 ;
-        RECT 513.480 2.400 513.560 2.680 ;
-        RECT 514.400 2.400 514.940 2.680 ;
-        RECT 515.780 2.400 516.320 2.680 ;
-        RECT 517.160 2.400 517.240 2.680 ;
-        RECT 518.080 2.400 518.620 2.680 ;
-        RECT 519.460 2.400 520.000 2.680 ;
-        RECT 520.840 2.400 520.920 2.680 ;
-        RECT 521.760 2.400 522.300 2.680 ;
-        RECT 523.140 2.400 523.680 2.680 ;
-        RECT 524.520 2.400 524.600 2.680 ;
-        RECT 525.440 2.400 525.980 2.680 ;
-        RECT 526.820 2.400 526.900 2.680 ;
-        RECT 527.740 2.400 528.280 2.680 ;
-        RECT 529.120 2.400 529.660 2.680 ;
-        RECT 530.500 2.400 530.580 2.680 ;
-        RECT 531.420 2.400 531.960 2.680 ;
-        RECT 532.800 2.400 533.340 2.680 ;
-        RECT 534.180 2.400 534.260 2.680 ;
-        RECT 535.100 2.400 535.640 2.680 ;
-        RECT 536.480 2.400 537.020 2.680 ;
-        RECT 537.860 2.400 537.940 2.680 ;
-        RECT 538.780 2.400 539.320 2.680 ;
-        RECT 540.160 2.400 540.700 2.680 ;
-        RECT 541.540 2.400 541.620 2.680 ;
-        RECT 542.460 2.400 543.000 2.680 ;
-        RECT 543.840 2.400 544.380 2.680 ;
-        RECT 545.220 2.400 545.300 2.680 ;
-        RECT 546.140 2.400 546.680 2.680 ;
-        RECT 547.520 2.400 548.060 2.680 ;
-        RECT 548.900 2.400 548.980 2.680 ;
-        RECT 549.820 2.400 550.360 2.680 ;
-        RECT 551.200 2.400 551.740 2.680 ;
-        RECT 552.580 2.400 552.660 2.680 ;
-        RECT 553.500 2.400 554.040 2.680 ;
-        RECT 554.880 2.400 555.420 2.680 ;
-        RECT 556.260 2.400 556.340 2.680 ;
-        RECT 557.180 2.400 557.720 2.680 ;
-        RECT 558.560 2.400 559.100 2.680 ;
-        RECT 559.940 2.400 560.020 2.680 ;
-        RECT 560.860 2.400 561.400 2.680 ;
-        RECT 562.240 2.400 562.780 2.680 ;
-        RECT 563.620 2.400 563.700 2.680 ;
-        RECT 564.540 2.400 565.080 2.680 ;
-        RECT 565.920 2.400 566.460 2.680 ;
-        RECT 567.300 2.400 567.380 2.680 ;
-        RECT 568.220 2.400 568.760 2.680 ;
-        RECT 569.600 2.400 570.140 2.680 ;
-        RECT 570.980 2.400 571.060 2.680 ;
-        RECT 571.900 2.400 572.440 2.680 ;
-        RECT 573.280 2.400 573.820 2.680 ;
-        RECT 574.660 2.400 574.740 2.680 ;
-        RECT 575.580 2.400 576.120 2.680 ;
-        RECT 576.960 2.400 577.500 2.680 ;
-        RECT 578.340 2.400 578.420 2.680 ;
-        RECT 579.260 2.400 579.800 2.680 ;
-        RECT 580.640 2.400 581.180 2.680 ;
-        RECT 582.020 2.400 582.100 2.680 ;
-        RECT 582.940 2.400 583.480 2.680 ;
-        RECT 584.320 2.400 584.860 2.680 ;
-        RECT 585.700 2.400 585.780 2.680 ;
-        RECT 586.620 2.400 587.160 2.680 ;
-        RECT 588.000 2.400 588.540 2.680 ;
-        RECT 589.380 2.400 589.460 2.680 ;
-        RECT 590.300 2.400 590.840 2.680 ;
-        RECT 591.680 2.400 592.220 2.680 ;
-        RECT 593.060 2.400 593.140 2.680 ;
-        RECT 593.980 2.400 594.520 2.680 ;
-        RECT 595.360 2.400 595.900 2.680 ;
-        RECT 596.740 2.400 596.820 2.680 ;
-      LAYER met3 ;
-        RECT 0.915 9.695 559.710 587.685 ;
-      LAYER met4 ;
-        RECT 134.085 9.695 559.710 587.760 ;
-  END
-END user_proj_example
-MACRO obs_core_obs
-   CLASS BLOCK ;
-   FOREIGN obs_core_obs ;
-   ORIGIN 0.000 0.000 ;
-   SIZE 2915.2 BY 3515.2 ;
-   OBS
-        LAYER met1 ;
-             RECT 0.000 0.000 2915.2 3515.2 ;
-        LAYER met2 ;
-             RECT 0.000 0.000 2915.2 3515.2 ;
-        LAYER met3 ;
-             RECT 0.000 0.000 2915.2 3515.2 ;
-        LAYER met4 ;
-             RECT 0.000 0.000 2915.2 3515.2 ;
-        LAYER met5 ;
-             RECT 0.000 0.000 2915.2 3515.2 ;
-   END
- END obs_core_obs
-END LIBRARY
\ No newline at end of file
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/placement/merged_unpadded.lef b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/placement/merged_unpadded.lef
deleted file mode 120000
index c48782e..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/placement/merged_unpadded.lef
+++ /dev/null
@@ -1 +0,0 @@
-../../tmp/merged_unpadded.lef
\ No newline at end of file
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/routing/merged_unpadded.lef b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/routing/merged_unpadded.lef
deleted file mode 120000
index c48782e..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/routing/merged_unpadded.lef
+++ /dev/null
@@ -1 +0,0 @@
-../../tmp/merged_unpadded.lef
\ No newline at end of file
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/synthesis/hierarchy.dot b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/synthesis/hierarchy.dot
deleted file mode 100644
index 38c548b..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/synthesis/hierarchy.dot
+++ /dev/null
@@ -1,56 +0,0 @@
-digraph "user_project_wrapper" {
-label="user_project_wrapper";
-rankdir="LR";
-remincross=true;
-n1 [ shape=diamond, label="vssd2", color="black", fontcolor="black" ];
-n2 [ shape=diamond, label="vssd1", color="black", fontcolor="black" ];
-n3 [ shape=diamond, label="vccd2", color="black", fontcolor="black" ];
-n4 [ shape=diamond, label="vccd1", color="black", fontcolor="black" ];
-n5 [ shape=diamond, label="vssa2", color="black", fontcolor="black" ];
-n6 [ shape=diamond, label="vssa1", color="black", fontcolor="black" ];
-n7 [ shape=diamond, label="vdda2", color="black", fontcolor="black" ];
-n8 [ shape=diamond, label="vdda1", color="black", fontcolor="black" ];
-n9 [ shape=octagon, label="user_clock2", color="black", fontcolor="black" ];
-n10 [ shape=octagon, label="analog_io", color="black", fontcolor="black" ];
-n11 [ shape=octagon, label="io_oeb", color="black", fontcolor="black" ];
-n12 [ shape=octagon, label="io_out", color="black", fontcolor="black" ];
-n13 [ shape=octagon, label="io_in", color="black", fontcolor="black" ];
-n14 [ shape=octagon, label="la_oen", color="black", fontcolor="black" ];
-n15 [ shape=octagon, label="la_data_out", color="black", fontcolor="black" ];
-n16 [ shape=octagon, label="la_data_in", color="black", fontcolor="black" ];
-n17 [ shape=octagon, label="wbs_dat_o", color="black", fontcolor="black" ];
-n18 [ shape=octagon, label="wbs_ack_o", color="black", fontcolor="black" ];
-n19 [ shape=octagon, label="wbs_adr_i", color="black", fontcolor="black" ];
-n20 [ shape=octagon, label="wbs_dat_i", color="black", fontcolor="black" ];
-n21 [ shape=octagon, label="wbs_sel_i", color="black", fontcolor="black" ];
-n22 [ shape=octagon, label="wbs_we_i", color="black", fontcolor="black" ];
-n23 [ shape=octagon, label="wbs_cyc_i", color="black", fontcolor="black" ];
-n24 [ shape=octagon, label="wbs_stb_i", color="black", fontcolor="black" ];
-n25 [ shape=octagon, label="wb_rst_i", color="black", fontcolor="black" ];
-n26 [ shape=octagon, label="wb_clk_i", color="black", fontcolor="black" ];
-c27 [ shape=record, label="{{<p13> io_in|<p16> la_data_in|<p14> la_oen|<p26> wb_clk_i|<p25> wb_rst_i|<p19> wbs_adr_i|<p23> wbs_cyc_i|<p20> wbs_dat_i|<p21> wbs_sel_i|<p24> wbs_stb_i|<p22> wbs_we_i}|mprj\nuser_proj_example|{<p11> io_oeb|<p12> io_out|<p15> la_data_out|<p4> vccd1|<p3> vccd2|<p8> vdda1|<p7> vdda2|<p6> vssa1|<p5> vssa2|<p2> vssd1|<p1> vssd2|<p18> wbs_ack_o|<p17> wbs_dat_o}}" ];
-c27:p1:e -> n1:w [color="black", label=""];
-c27:p11:e -> n11:w [color="black", style="setlinewidth(3)", label=""];
-c27:p12:e -> n12:w [color="black", style="setlinewidth(3)", label=""];
-n13:e -> c27:p13:w [color="black", style="setlinewidth(3)", label=""];
-n14:e -> c27:p14:w [color="black", style="setlinewidth(3)", label=""];
-c27:p15:e -> n15:w [color="black", style="setlinewidth(3)", label=""];
-n16:e -> c27:p16:w [color="black", style="setlinewidth(3)", label=""];
-c27:p17:e -> n17:w [color="black", style="setlinewidth(3)", label=""];
-c27:p18:e -> n18:w [color="black", label=""];
-n19:e -> c27:p19:w [color="black", style="setlinewidth(3)", label=""];
-c27:p2:e -> n2:w [color="black", label=""];
-n20:e -> c27:p20:w [color="black", style="setlinewidth(3)", label=""];
-n21:e -> c27:p21:w [color="black", style="setlinewidth(3)", label=""];
-n22:e -> c27:p22:w [color="black", label=""];
-n23:e -> c27:p23:w [color="black", label=""];
-n24:e -> c27:p24:w [color="black", label=""];
-n25:e -> c27:p25:w [color="black", label=""];
-n26:e -> c27:p26:w [color="black", label=""];
-c27:p3:e -> n3:w [color="black", label=""];
-c27:p4:e -> n4:w [color="black", label=""];
-c27:p5:e -> n5:w [color="black", label=""];
-c27:p6:e -> n6:w [color="black", label=""];
-c27:p7:e -> n7:w [color="black", label=""];
-c27:p8:e -> n8:w [color="black", label=""];
-}
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/synthesis/merged_unpadded.lef b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/synthesis/merged_unpadded.lef
deleted file mode 120000
index c48782e..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/synthesis/merged_unpadded.lef
+++ /dev/null
@@ -1 +0,0 @@
-../../tmp/merged_unpadded.lef
\ No newline at end of file
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/tracks_copy.info b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/tracks_copy.info
deleted file mode 100644
index 948dbd2..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/tracks_copy.info
+++ /dev/null
@@ -1,12 +0,0 @@
-li1 X 0.23 0.46
-li1 Y 0.17 0.34
-met1 X 0.17 0.34
-met1 Y 0.17 0.34
-met2 X 0.23 0.46
-met2 Y 0.23 0.46
-met3 X 0.34 0.68
-met3 Y 0.34 0.68
-met4 X 0.46 0.92
-met4 Y 0.46 0.92
-met5 X 1.70 3.40
-met5 Y 1.70 3.40
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/trimmed.lib b/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/trimmed.lib
deleted file mode 100644
index ecf56dc..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/tmp/trimmed.lib
+++ /dev/null
@@ -1,20123 +0,0 @@
-library ("sky130_fd_sc_hd__tt_025C_1v80") {
-    define(def_sim_opt,library,string);
-    define(default_arc_mode,library,string);
-    define(default_constraint_arc_mode,library,string);
-    define(driver_model,library,string);
-    define(leakage_sim_opt,library,string);
-    define(min_pulse_width_mode,library,string);
-    define(simulator,library,string);
-    define(switching_power_split_model,library,string);
-    define(sim_opt,timing,string);
-    define(violation_delay_degrade_pct,timing,string);
-    technology("cmos");
-    delay_model : "table_lookup";
-    bus_naming_style : "%s[%d]";
-    time_unit : "1ns";
-    voltage_unit : "1V";
-    leakage_power_unit : "1nW";
-    current_unit : "1mA";
-    pulling_resistance_unit : "1kohm";
-    capacitive_load_unit(1.0000000000, "pf");
-    revision : 1.0000000000;
-    default_cell_leakage_power : 0.0000000000;
-    default_fanout_load : 0.0000000000;
-    default_inout_pin_cap : 0.0000000000;
-    default_input_pin_cap : 0.0000000000;
-    default_max_transition : 1.5000000000;
-    default_output_pin_cap : 0.0000000000;
-    default_arc_mode : "worst_edges";
-    default_constraint_arc_mode : "worst";
-    default_leakage_power_density : 0.0000000000;
-    default_operating_conditions : "tt_025C_1v80";
-    operating_conditions ("tt_025C_1v80") {
-        voltage : 1.8000000000;
-        process : 1.0000000000;
-        temperature : 25.000000000;
-        tree_type : "balanced_tree";
-    }
-    power_lut_template ("power_inputs_1") {
-        variable_1 : "input_transition_time";
-        index_1("1, 2, 3, 4, 5, 6, 7");
-    }
-    power_lut_template ("power_outputs_1") {
-        variable_1 : "input_transition_time";
-        variable_2 : "total_output_net_capacitance";
-        index_1("1, 2, 3, 4, 5, 6, 7");
-        index_2("1, 2, 3, 4, 5, 6, 7");
-    }
-    lu_table_template ("constraint_3_0_1") {
-        variable_1 : "related_pin_transition";
-        index_1("1, 2, 3");
-    }
-    lu_table_template ("del_1_7_7") {
-        variable_1 : "input_net_transition";
-        variable_2 : "total_output_net_capacitance";
-        index_1("1, 2, 3, 4, 5, 6, 7");
-        index_2("1, 2, 3, 4, 5, 6, 7");
-    }
-    lu_table_template ("driver_waveform_template") {
-        variable_1 : "input_net_transition";
-        variable_2 : "normalized_voltage";
-        index_1("1, 2");
-        index_2("1, 2");
-    }
-    lu_table_template ("vio_3_3_1") {
-        variable_1 : "related_pin_transition";
-        variable_2 : "constrained_pin_transition";
-        index_1("1, 2, 3");
-        index_2("1, 2, 3");
-    }
-    normalized_driver_waveform ("driver_waveform_template") {
-        index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224745000, 0.2823108000, 0.5000000000, 0.6507428000, 1.5000000000");
-        index_2("0.0000000000, 0.5000000000, 1.0000000000");
-        driver_waveform_name : "ramp";
-        values("0.0000000000, 0.0083333333, 0.0166666670", \
-            "0.0000000000, 0.0192088180, 0.0384176350", \
-            "0.0000000000, 0.0442774400, 0.0885548810", \
-            "0.0000000000, 0.1020620700, 0.2041241500", \
-            "0.0000000000, 0.2352590100, 0.4705180100", \
-            "0.0000000000, 0.4166666700, 0.8333333300", \
-            "0.0000000000, 0.5422856800, 1.0845714000", \
-            "0.0000000000, 1.2500000000, 2.5000000000");
-    }
-    library_features("report_delay_calculation");
-    voltage_map("VSS", 0.0000000000);
-    voltage_map("KAPWR", 1.8000000000);
-    voltage_map("LOWLVPWR", 1.8000000000);
-    voltage_map("VGND", 0.0000000000);
-    voltage_map("VNB", 0.0000000000);
-    voltage_map("VPB", 1.8000000000);
-    voltage_map("VPWR", 1.8000000000);
-    voltage_map("VPWRIN", 1.8000000000);
-    driver_model : "ramp";
-    in_place_swap_mode : "match_footprint";
-    input_threshold_pct_fall : 50.000000000;
-    input_threshold_pct_rise : 50.000000000;
-    min_pulse_width_mode : "max";
-    nom_process : 1.0000000000;
-    nom_temperature : 25.000000000;
-    nom_voltage : 1.8000000000;
-    output_threshold_pct_fall : 50.000000000;
-    output_threshold_pct_rise : 50.000000000;
-    simulation : "true";
-    slew_derate_from_library : 1.0000000000;
-    slew_lower_threshold_pct_fall : 20.000000000;
-    slew_lower_threshold_pct_rise : 20.000000000;
-    slew_upper_threshold_pct_fall : 80.000000000;
-    slew_upper_threshold_pct_rise : 80.000000000;
-    switching_power_split_model : "true";
-
-/* removed sky130_fd_sc_hd__a2111o_1 */
-
-/* removed sky130_fd_sc_hd__a2111o_2 */
-
-    cell ("sky130_fd_sc_hd__a2111o_4") {
-        leakage_power () {
-            value : 0.0054313000;
-            when : "!A1&!A2&!B1&!C1&D1";
-        }
-        leakage_power () {
-            value : 0.0118340000;
-            when : "!A1&!A2&!B1&!C1&!D1";
-        }
-        leakage_power () {
-            value : 0.0250712000;
-            when : "!A1&!A2&!B1&C1&D1";
-        }
-        leakage_power () {
-            value : 0.0370519000;
-            when : "!A1&!A2&!B1&C1&!D1";
-        }
-        leakage_power () {
-            value : 0.0252806000;
-            when : "!A1&!A2&B1&!C1&D1";
-        }
-        leakage_power () {
-            value : 0.0467636000;
-            when : "!A1&!A2&B1&!C1&!D1";
-        }
-        leakage_power () {
-            value : 0.0250182000;
-            when : "!A1&!A2&B1&C1&D1";
-        }
-        leakage_power () {
-            value : 0.0353823000;
-            when : "!A1&!A2&B1&C1&!D1";
-        }
-        leakage_power () {
-            value : 0.0054324000;
-            when : "!A1&A2&!B1&!C1&D1";
-        }
-        leakage_power () {
-            value : 0.0084080000;
-            when : "!A1&A2&!B1&!C1&!D1";
-        }
-        leakage_power () {
-            value : 0.0020969000;
-            when : "!A1&A2&!B1&C1&D1";
-        }
-        leakage_power () {
-            value : 0.0042066000;
-            when : "!A1&A2&!B1&C1&!D1";
-        }
-        leakage_power () {
-            value : 0.0020850000;
-            when : "!A1&A2&B1&!C1&D1";
-        }
-        leakage_power () {
-            value : 0.0040078000;
-            when : "!A1&A2&B1&!C1&!D1";
-        }
-        leakage_power () {
-            value : 0.0019724000;
-            when : "!A1&A2&B1&C1&D1";
-        }
-        leakage_power () {
-            value : 0.0020893000;
-            when : "!A1&A2&B1&C1&!D1";
-        }
-        leakage_power () {
-            value : 0.0054327000;
-            when : "A1&!A2&!B1&!C1&D1";
-        }
-        leakage_power () {
-            value : 0.0081938000;
-            when : "A1&!A2&!B1&!C1&!D1";
-        }
-        leakage_power () {
-            value : 0.0020969000;
-            when : "A1&!A2&!B1&C1&D1";
-        }
-        leakage_power () {
-            value : 0.0042066000;
-            when : "A1&!A2&!B1&C1&!D1";
-        }
-        leakage_power () {
-            value : 0.0020855000;
-            when : "A1&!A2&B1&!C1&D1";
-        }
-        leakage_power () {
-            value : 0.0040078000;
-            when : "A1&!A2&B1&!C1&!D1";
-        }
-        leakage_power () {
-            value : 0.0019724000;
-            when : "A1&!A2&B1&C1&D1";
-        }
-        leakage_power () {
-            value : 0.0020893000;
-            when : "A1&!A2&B1&C1&!D1";
-        }
-        leakage_power () {
-            value : 0.0022713000;
-            when : "A1&A2&!B1&!C1&D1";
-        }
-        leakage_power () {
-            value : 0.0057660000;
-            when : "A1&A2&!B1&!C1&!D1";
-        }
-        leakage_power () {
-            value : 0.0020096000;
-            when : "A1&A2&!B1&C1&D1";
-        }
-        leakage_power () {
-            value : 0.0022608000;
-            when : "A1&A2&!B1&C1&!D1";
-        }
-        leakage_power () {
-            value : 0.0020059000;
-            when : "A1&A2&B1&!C1&D1";
-        }
-        leakage_power () {
-            value : 0.0022651000;
-            when : "A1&A2&B1&!C1&!D1";
-        }
-        leakage_power () {
-            value : 0.0019466000;
-            when : "A1&A2&B1&C1&D1";
-        }
-        leakage_power () {
-            value : 0.0020131000;
-            when : "A1&A2&B1&C1&!D1";
-        }
-        area : 21.270400000;
-        cell_footprint : "sky130_fd_sc_hd__a2111o";
-        cell_leakage_power : 0.0091485880;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("A1") {
-            capacitance : 0.0042960000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0041120000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0091843000, 0.0091789000, 0.0091663000, 0.0091665000, 0.0091668000, 0.0091678000, 0.0091699000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.007162600, -0.007171300, -0.007191300, -0.007177900, -0.007146600, -0.007074600, -0.006908600");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0044800000;
-        }
-        pin ("A2") {
-            capacitance : 0.0044660000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0041920000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0082704000, 0.0082618000, 0.0082421000, 0.0082419000, 0.0082416000, 0.0082410000, 0.0082394000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.008197000, -0.008192400, -0.008181700, -0.008185200, -0.008193000, -0.008211000, -0.008252500");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0047410000;
-        }
-        pin ("B1") {
-            capacitance : 0.0044630000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0041550000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0098281000, 0.0098192000, 0.0097987000, 0.0097977000, 0.0097954000, 0.0097899000, 0.0097776000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.006882700, -0.006935400, -0.007057100, -0.007089600, -0.007164600, -0.007337600, -0.007736200");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0047720000;
-        }
-        pin ("C1") {
-            capacitance : 0.0043980000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0040410000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0082912000, 0.0082878000, 0.0082801000, 0.0082801000, 0.0082800000, 0.0082797000, 0.0082794000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.007180700, -0.007315800, -0.007627400, -0.007641400, -0.007673600, -0.007748000, -0.007919200");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0047560000;
-        }
-        pin ("D1") {
-            capacitance : 0.0043130000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0039250000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0050873000, 0.0050847000, 0.0050786000, 0.0051019000, 0.0051558000, 0.0052800000, 0.0055661000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.003587000, -0.003579200, -0.003561200, -0.003562600, -0.003566000, -0.003573400, -0.003590700");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0047000000;
-        }
-        pin ("X") {
-            direction : "output";
-            function : "(A1&A2) | (B1) | (C1) | (D1)";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000");
-                    values("0.0370431000, 0.0350998000, 0.0298243000, 0.0150922000, -0.036520400, -0.217091800, -0.812282800", \
-                        "0.0367890000, 0.0348262000, 0.0295256000, 0.0147655000, -0.036743700, -0.217342500, -0.812414000", \
-                        "0.0362817000, 0.0343347000, 0.0294153000, 0.0142798000, -0.037335900, -0.217877000, -0.813066500", \
-                        "0.0357731000, 0.0337640000, 0.0284689000, 0.0135311000, -0.037964700, -0.218513900, -0.813542400", \
-                        "0.0353114000, 0.0333889000, 0.0279938000, 0.0130309000, -0.038514600, -0.219067600, -0.814099500", \
-                        "0.0352202000, 0.0333423000, 0.0279620000, 0.0130431000, -0.038681400, -0.219343000, -0.814368300", \
-                        "0.0452960000, 0.0431922000, 0.0369365000, 0.0182128000, -0.039937300, -0.219501700, -0.814312600");
-                }
-                related_pin : "A1";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000");
-                    values("0.0378468000, 0.0397922000, 0.0460762000, 0.0655750000, 0.1247708000, 0.3103613000, 0.8999013000", \
-                        "0.0376934000, 0.0396198000, 0.0458719000, 0.0653036000, 0.1246188000, 0.3096418000, 0.8987992000", \
-                        "0.0373458000, 0.0393472000, 0.0454998000, 0.0652333000, 0.1244342000, 0.3097854000, 0.8992468000", \
-                        "0.0370942000, 0.0389606000, 0.0452460000, 0.0648849000, 0.1240305000, 0.3095824000, 0.8990234000", \
-                        "0.0372350000, 0.0391143000, 0.0453372000, 0.0644245000, 0.1233493000, 0.3090626000, 0.8991017000", \
-                        "0.0394021000, 0.0411684000, 0.0468009000, 0.0647048000, 0.1231621000, 0.3078197000, 0.8988902000", \
-                        "0.0426433000, 0.0443353000, 0.0507613000, 0.0687756000, 0.1264536000, 0.3101726000, 0.9000545000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000");
-                    values("0.0430825000, 0.0410898000, 0.0356724000, 0.0207536000, -0.030850200, -0.212074400, -0.807594500", \
-                        "0.0428761000, 0.0409369000, 0.0356206000, 0.0205269000, -0.031111400, -0.212207700, -0.807715600", \
-                        "0.0429502000, 0.0409991000, 0.0355622000, 0.0205369000, -0.031380900, -0.212536500, -0.808055200", \
-                        "0.0422856000, 0.0402780000, 0.0349615000, 0.0199373000, -0.031757300, -0.212838400, -0.808388900", \
-                        "0.0419281000, 0.0399258000, 0.0346136000, 0.0196177000, -0.032231300, -0.213198500, -0.808576300", \
-                        "0.0420237000, 0.0400077000, 0.0346329000, 0.0195910000, -0.032394200, -0.213382800, -0.808744500", \
-                        "0.0505946000, 0.0484930000, 0.0421522000, 0.0236464000, -0.033142100, -0.213344500, -0.808521500");
-                }
-                related_pin : "A2";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000");
-                    values("0.0386293000, 0.0405579000, 0.0468313000, 0.0663775000, 0.1255400000, 0.3104193000, 0.9002008000", \
-                        "0.0385139000, 0.0404205000, 0.0466813000, 0.0660757000, 0.1253177000, 0.3103760000, 0.9032879000", \
-                        "0.0382459000, 0.0401523000, 0.0463403000, 0.0658774000, 0.1249757000, 0.3102738000, 0.8995112000", \
-                        "0.0379147000, 0.0398002000, 0.0461112000, 0.0657450000, 0.1247783000, 0.3101180000, 0.8991192000", \
-                        "0.0381898000, 0.0401605000, 0.0463096000, 0.0654483000, 0.1246343000, 0.3093500000, 0.9016978000", \
-                        "0.0407860000, 0.0425737000, 0.0482729000, 0.0664494000, 0.1243317000, 0.3090972000, 0.8991015000", \
-                        "0.0428108000, 0.0445661000, 0.0501175000, 0.0682025000, 0.1256943000, 0.3105553000, 0.8986520000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000");
-                    values("0.0375007000, 0.0355553000, 0.0301077000, 0.0150807000, -0.036826500, -0.217752300, -0.813069700", \
-                        "0.0371931000, 0.0351892000, 0.0297945000, 0.0146990000, -0.037153800, -0.218033200, -0.813376800", \
-                        "0.0364590000, 0.0344844000, 0.0291999000, 0.0140888000, -0.037576400, -0.218423100, -0.813661800", \
-                        "0.0364061000, 0.0345024000, 0.0290023000, 0.0139636000, -0.037957900, -0.218864200, -0.814116700", \
-                        "0.0357090000, 0.0336994000, 0.0285635000, 0.0134469000, -0.038382900, -0.219294300, -0.814451900", \
-                        "0.0359428000, 0.0339137000, 0.0285238000, 0.0133868000, -0.038598800, -0.219374400, -0.814446700", \
-                        "0.0447162000, 0.0425787000, 0.0361847000, 0.0171906000, -0.039157400, -0.219265700, -0.814324700");
-                }
-                related_pin : "B1";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000");
-                    values("0.0349719000, 0.0369699000, 0.0433398000, 0.0626883000, 0.1218261000, 0.3080500000, 0.9002615000", \
-                        "0.0349867000, 0.0369790000, 0.0433233000, 0.0627094000, 0.1218535000, 0.3064868000, 0.9003501000", \
-                        "0.0350080000, 0.0370468000, 0.0433456000, 0.0627084000, 0.1217315000, 0.3068702000, 0.8973238000", \
-                        "0.0348630000, 0.0368340000, 0.0430164000, 0.0621766000, 0.1211635000, 0.3065484000, 0.8970224000", \
-                        "0.0349607000, 0.0368107000, 0.0428607000, 0.0617782000, 0.1205394000, 0.3060511000, 0.8967277000", \
-                        "0.0365306000, 0.0383188000, 0.0440415000, 0.0624877000, 0.1204079000, 0.3049592000, 0.8957521000", \
-                        "0.0398922000, 0.0415317000, 0.0471981000, 0.0652671000, 0.1235346000, 0.3080686000, 0.8946060000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000");
-                    values("0.0339570000, 0.0320178000, 0.0266484000, 0.0115754000, -0.040186400, -0.220844200, -0.815726300", \
-                        "0.0336898000, 0.0317046000, 0.0263997000, 0.0113350000, -0.040451100, -0.221031300, -0.816046100", \
-                        "0.0332202000, 0.0312859000, 0.0259727000, 0.0110177000, -0.040813600, -0.221501200, -0.816475500", \
-                        "0.0329918000, 0.0310144000, 0.0256891000, 0.0103988000, -0.041220300, -0.221940700, -0.816927100", \
-                        "0.0323432000, 0.0304357000, 0.0251113000, 0.0101349000, -0.041610500, -0.222288800, -0.817293700", \
-                        "0.0325139000, 0.0305673000, 0.0254898000, 0.0105536000, -0.041687100, -0.222482700, -0.817374100", \
-                        "0.0415562000, 0.0393891000, 0.0329247000, 0.0137850000, -0.042334500, -0.222350300, -0.816796900");
-                }
-                related_pin : "C1";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000");
-                    values("0.0330249000, 0.0350280000, 0.0412725000, 0.0604756000, 0.1194725000, 0.3047105000, 0.8936072000", \
-                        "0.0331587000, 0.0351213000, 0.0413815000, 0.0606113000, 0.1195693000, 0.3059467000, 0.8948953000", \
-                        "0.0334022000, 0.0354031000, 0.0416408000, 0.0608067000, 0.1197893000, 0.3051785000, 0.8938583000", \
-                        "0.0331790000, 0.0351000000, 0.0412594000, 0.0602795000, 0.1192456000, 0.3048228000, 0.8982117000", \
-                        "0.0331629000, 0.0350317000, 0.0410159000, 0.0598460000, 0.1184984000, 0.3043212000, 0.8968101000", \
-                        "0.0342229000, 0.0360229000, 0.0417321000, 0.0602359000, 0.1182571000, 0.3030233000, 0.8962260000", \
-                        "0.0370409000, 0.0387308000, 0.0442581000, 0.0623741000, 0.1206597000, 0.3050319000, 0.8916636000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000");
-                    values("0.0322863000, 0.0302956000, 0.0247789000, 0.0100184000, -0.041787400, -0.222339900, -0.817159700", \
-                        "0.0318474000, 0.0299104000, 0.0245896000, 0.0095267000, -0.042122100, -0.222570300, -0.817504600", \
-                        "0.0314484000, 0.0294694000, 0.0240673000, 0.0092043000, -0.042559000, -0.223050700, -0.817878500", \
-                        "0.0309156000, 0.0289601000, 0.0236288000, 0.0086595000, -0.043047700, -0.223584000, -0.818279800", \
-                        "0.0307047000, 0.0287053000, 0.0233329000, 0.0083716000, -0.043375900, -0.223912100, -0.818570100", \
-                        "0.0314190000, 0.0294362000, 0.0239977000, 0.0096901000, -0.043000300, -0.223324000, -0.818131500", \
-                        "0.0445028000, 0.0422378000, 0.0356596000, 0.0166719000, -0.041675300, -0.221951000, -0.816626100");
-                }
-                related_pin : "D1";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000");
-                    values("0.0252292000, 0.0272266000, 0.0334461000, 0.0527404000, 0.1116176000, 0.2968349000, 0.8877106000", \
-                        "0.0252576000, 0.0272529000, 0.0334966000, 0.0527502000, 0.1117030000, 0.2978237000, 0.8875495000", \
-                        "0.0251661000, 0.0271509000, 0.0333350000, 0.0525200000, 0.1114021000, 0.2961668000, 0.8867464000", \
-                        "0.0249880000, 0.0269113000, 0.0329497000, 0.0519505000, 0.1108438000, 0.2959818000, 0.8864121000", \
-                        "0.0250726000, 0.0268820000, 0.0327630000, 0.0514884000, 0.1100945000, 0.2956256000, 0.8861587000", \
-                        "0.0262419000, 0.0280199000, 0.0337328000, 0.0522248000, 0.1098933000, 0.2945102000, 0.8862561000", \
-                        "0.0287704000, 0.0304465000, 0.0359998000, 0.0539533000, 0.1123027000, 0.2962995000, 0.8839073000");
-                }
-            }
-            max_capacitance : 0.5355930000;
-            max_transition : 1.4995610000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
-                    values("0.4115941000, 0.4175033000, 0.4329213000, 0.4673878000, 0.5380981000, 0.6840060000, 1.0310978000", \
-                        "0.4148444000, 0.4208039000, 0.4362171000, 0.4709618000, 0.5413902000, 0.6874268000, 1.0344630000", \
-                        "0.4240364000, 0.4299260000, 0.4452283000, 0.4797683000, 0.5504759000, 0.6966396000, 1.0435759000", \
-                        "0.4476691000, 0.4535678000, 0.4689522000, 0.5034552000, 0.5735579000, 0.7200097000, 1.0671127000", \
-                        "0.5006885000, 0.5065925000, 0.5217816000, 0.5565836000, 0.6268304000, 0.7731911000, 1.1202571000", \
-                        "0.6093019000, 0.6151657000, 0.6306063000, 0.6652667000, 0.7359207000, 0.8822514000, 1.2292292000", \
-                        "0.7955708000, 0.8019144000, 0.8185901000, 0.8554488000, 0.9303637000, 1.0832956000, 1.4359871000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
-                    values("0.1155489000, 0.1203134000, 0.1330129000, 0.1638583000, 0.2420998000, 0.4686905000, 1.1810698000", \
-                        "0.1197018000, 0.1245155000, 0.1371148000, 0.1679199000, 0.2461037000, 0.4734624000, 1.1838098000", \
-                        "0.1298974000, 0.1346235000, 0.1471999000, 0.1780507000, 0.2561422000, 0.4826625000, 1.1942837000", \
-                        "0.1552305000, 0.1598858000, 0.1723547000, 0.2029143000, 0.2805815000, 0.5071073000, 1.2191946000", \
-                        "0.2088519000, 0.2136007000, 0.2262018000, 0.2565188000, 0.3336759000, 0.5596335000, 1.2697078000", \
-                        "0.2817954000, 0.2870962000, 0.3011684000, 0.3331898000, 0.4110781000, 0.6367311000, 1.3472002000", \
-                        "0.3553420000, 0.3623765000, 0.3793410000, 0.4170586000, 0.4976368000, 0.7214785000, 1.4311019000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
-                    values("0.0480871000, 0.0516464000, 0.0612945000, 0.0840995000, 0.1367413000, 0.2717778000, 0.6683779000", \
-                        "0.0481557000, 0.0516889000, 0.0614237000, 0.0847249000, 0.1370753000, 0.2719025000, 0.6696127000", \
-                        "0.0480849000, 0.0516376000, 0.0618651000, 0.0850511000, 0.1367214000, 0.2718762000, 0.6681152000", \
-                        "0.0484118000, 0.0516246000, 0.0613440000, 0.0837803000, 0.1372669000, 0.2714812000, 0.6689925000", \
-                        "0.0480523000, 0.0516124000, 0.0615392000, 0.0837779000, 0.1376039000, 0.2717303000, 0.6693000000", \
-                        "0.0490720000, 0.0526121000, 0.0624202000, 0.0847987000, 0.1371412000, 0.2716447000, 0.6694921000", \
-                        "0.0553412000, 0.0590917000, 0.0689578000, 0.0936347000, 0.1485734000, 0.2816013000, 0.6740966000");
-                }
-                related_pin : "A1";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
-                    values("0.0273855000, 0.0310724000, 0.0420489000, 0.0728962000, 0.1688231000, 0.4833386000, 1.4953184000", \
-                        "0.0272884000, 0.0311188000, 0.0419613000, 0.0727831000, 0.1688928000, 0.4824634000, 1.4977052000", \
-                        "0.0272541000, 0.0309167000, 0.0417322000, 0.0725854000, 0.1684801000, 0.4832154000, 1.4979696000", \
-                        "0.0267420000, 0.0305250000, 0.0410955000, 0.0719168000, 0.1681389000, 0.4830277000, 1.4957076000", \
-                        "0.0280348000, 0.0315696000, 0.0420309000, 0.0721543000, 0.1672298000, 0.4825285000, 1.4988765000", \
-                        "0.0348975000, 0.0384332000, 0.0479786000, 0.0764804000, 0.1690848000, 0.4812143000, 1.4975465000", \
-                        "0.0479628000, 0.0516827000, 0.0633244000, 0.0906918000, 0.1740881000, 0.4832356000, 1.4952820000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
-                    values("0.4448634000, 0.4512119000, 0.4675791000, 0.5036939000, 0.5755290000, 0.7225767000, 1.0703615000", \
-                        "0.4490507000, 0.4554687000, 0.4718586000, 0.5078594000, 0.5790171000, 0.7264089000, 1.0745699000", \
-                        "0.4605443000, 0.4668559000, 0.4831666000, 0.5192426000, 0.5912253000, 0.7383091000, 1.0861898000", \
-                        "0.4862011000, 0.4924626000, 0.5089066000, 0.5448581000, 0.6169412000, 0.7642657000, 1.1119535000", \
-                        "0.5399827000, 0.5462258000, 0.5627007000, 0.5985560000, 0.6706983000, 0.8172709000, 1.1653039000", \
-                        "0.6470642000, 0.6534038000, 0.6698226000, 0.7058856000, 0.7780186000, 0.9253514000, 1.2731071000", \
-                        "0.8370855000, 0.8436525000, 0.8609594000, 0.8997531000, 0.9754298000, 1.1281145000, 1.4803317000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
-                    values("0.1199637000, 0.1247155000, 0.1373762000, 0.1682281000, 0.2462877000, 0.4729104000, 1.1819367000", \
-                        "0.1241539000, 0.1289057000, 0.1415068000, 0.1722762000, 0.2502615000, 0.4772293000, 1.1889939000", \
-                        "0.1324321000, 0.1371600000, 0.1497774000, 0.1804953000, 0.2584480000, 0.4847056000, 1.1967375000", \
-                        "0.1514974000, 0.1561837000, 0.1687262000, 0.1993471000, 0.2770071000, 0.5034633000, 1.2155169000", \
-                        "0.1912431000, 0.1960713000, 0.2087525000, 0.2394528000, 0.3169678000, 0.5434870000, 1.2539781000", \
-                        "0.2526128000, 0.2578559000, 0.2716620000, 0.3036127000, 0.3821604000, 0.6080910000, 1.3173027000", \
-                        "0.3203928000, 0.3270385000, 0.3436597000, 0.3798863000, 0.4608751000, 0.6867595000, 1.3958078000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
-                    values("0.0521519000, 0.0558590000, 0.0660838000, 0.0878332000, 0.1402059000, 0.2737608000, 0.6712583000", \
-                        "0.0522502000, 0.0559862000, 0.0656455000, 0.0877887000, 0.1404759000, 0.2738836000, 0.6711888000", \
-                        "0.0521065000, 0.0560804000, 0.0655479000, 0.0875770000, 0.1398165000, 0.2735885000, 0.6712502000", \
-                        "0.0520543000, 0.0559837000, 0.0659450000, 0.0879500000, 0.1398222000, 0.2738454000, 0.6711001000", \
-                        "0.0523931000, 0.0560042000, 0.0658888000, 0.0879303000, 0.1397945000, 0.2738825000, 0.6716265000", \
-                        "0.0521955000, 0.0559536000, 0.0659648000, 0.0881366000, 0.1395719000, 0.2737408000, 0.6711478000", \
-                        "0.0587509000, 0.0625227000, 0.0724000000, 0.0956862000, 0.1485478000, 0.2804633000, 0.6757898000");
-                }
-                related_pin : "A2";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
-                    values("0.0274192000, 0.0312213000, 0.0417866000, 0.0727488000, 0.1686087000, 0.4828856000, 1.4990658000", \
-                        "0.0272715000, 0.0310303000, 0.0418953000, 0.0726723000, 0.1687138000, 0.4825555000, 1.4995613000", \
-                        "0.0271192000, 0.0308935000, 0.0418020000, 0.0725791000, 0.1686530000, 0.4832557000, 1.4957356000", \
-                        "0.0268580000, 0.0306139000, 0.0412676000, 0.0722630000, 0.1683329000, 0.4828969000, 1.4979197000", \
-                        "0.0280082000, 0.0318064000, 0.0425007000, 0.0724488000, 0.1677774000, 0.4821093000, 1.4954128000", \
-                        "0.0325901000, 0.0362795000, 0.0469310000, 0.0761606000, 0.1697543000, 0.4818549000, 1.4991328000", \
-                        "0.0420402000, 0.0461143000, 0.0574055000, 0.0856753000, 0.1740334000, 0.4838551000, 1.4935611000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
-                    values("0.4260579000, 0.4323966000, 0.4487163000, 0.4847882000, 0.5568040000, 0.7041508000, 1.0520426000", \
-                        "0.4282617000, 0.4345690000, 0.4510168000, 0.4871672000, 0.5591589000, 0.7066823000, 1.0537284000", \
-                        "0.4369451000, 0.4429887000, 0.4595819000, 0.4955998000, 0.5668102000, 0.7142840000, 1.0627386000", \
-                        "0.4596542000, 0.4659618000, 0.4822875000, 0.5183359000, 0.5903281000, 0.7377422000, 1.0856083000", \
-                        "0.5117728000, 0.5180855000, 0.5344215000, 0.5704623000, 0.6424428000, 0.7897626000, 1.1376965000", \
-                        "0.6238796000, 0.6301412000, 0.6466553000, 0.6827395000, 0.7548828000, 0.9021879000, 1.2504355000", \
-                        "0.8321034000, 0.8390568000, 0.8569158000, 0.8965711000, 0.9740722000, 1.1284773000, 1.4812391000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
-                    values("0.0744878000, 0.0780968000, 0.0880337000, 0.1137975000, 0.1859537000, 0.4089993000, 1.1182703000", \
-                        "0.0791558000, 0.0827642000, 0.0926919000, 0.1184921000, 0.1904914000, 0.4135318000, 1.1226089000", \
-                        "0.0904908000, 0.0941425000, 0.1039857000, 0.1296799000, 0.2018417000, 0.4249385000, 1.1320305000", \
-                        "0.1163552000, 0.1199349000, 0.1296344000, 0.1550484000, 0.2270181000, 0.4503184000, 1.1575541000", \
-                        "0.1573870000, 0.1614313000, 0.1720743000, 0.1983847000, 0.2703751000, 0.4939892000, 1.2012703000", \
-                        "0.2039934000, 0.2091821000, 0.2223291000, 0.2515462000, 0.3244359000, 0.5472220000, 1.2577883000", \
-                        "0.2351040000, 0.2418778000, 0.2591554000, 0.2960127000, 0.3734746000, 0.5964407000, 1.3030648000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
-                    values("0.0521095000, 0.0560787000, 0.0655455000, 0.0875655000, 0.1397707000, 0.2737905000, 0.6710662000", \
-                        "0.0520471000, 0.0558503000, 0.0655094000, 0.0877114000, 0.1398383000, 0.2732096000, 0.6715268000", \
-                        "0.0523024000, 0.0562556000, 0.0654785000, 0.0877994000, 0.1406029000, 0.2739475000, 0.6711502000", \
-                        "0.0521535000, 0.0562051000, 0.0655470000, 0.0875649000, 0.1398186000, 0.2737783000, 0.6709121000", \
-                        "0.0520456000, 0.0558053000, 0.0655826000, 0.0876098000, 0.1400015000, 0.2735221000, 0.6717790000", \
-                        "0.0526572000, 0.0562642000, 0.0665058000, 0.0883732000, 0.1397664000, 0.2737919000, 0.6701952000", \
-                        "0.0612598000, 0.0651435000, 0.0760417000, 0.0999324000, 0.1529886000, 0.2828456000, 0.6786085000");
-                }
-                related_pin : "B1";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
-                    values("0.0198037000, 0.0228179000, 0.0321572000, 0.0615093000, 0.1586521000, 0.4756767000, 1.4944943000", \
-                        "0.0198198000, 0.0227999000, 0.0320844000, 0.0614283000, 0.1587177000, 0.4750618000, 1.4944143000", \
-                        "0.0196803000, 0.0227173000, 0.0319789000, 0.0614144000, 0.1588066000, 0.4758108000, 1.4921978000", \
-                        "0.0198888000, 0.0228547000, 0.0320599000, 0.0612261000, 0.1584023000, 0.4750961000, 1.4939916000", \
-                        "0.0244362000, 0.0273059000, 0.0356048000, 0.0633472000, 0.1585914000, 0.4758947000, 1.4939372000", \
-                        "0.0328225000, 0.0356976000, 0.0440039000, 0.0693326000, 0.1609359000, 0.4749983000, 1.4905308000", \
-                        "0.0457933000, 0.0496005000, 0.0595614000, 0.0846516000, 0.1670669000, 0.4785687000, 1.4910705000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
-                    values("0.3879921000, 0.3943458000, 0.4106597000, 0.4468968000, 0.5187451000, 0.6655091000, 1.0134882000", \
-                        "0.3900253000, 0.3964577000, 0.4128411000, 0.4488208000, 0.5211057000, 0.6675859000, 1.0158707000", \
-                        "0.3977841000, 0.4041448000, 0.4204827000, 0.4566127000, 0.5285767000, 0.6752152000, 1.0232879000", \
-                        "0.4202141000, 0.4265851000, 0.4429844000, 0.4790262000, 0.5511084000, 0.6985656000, 1.0456881000", \
-                        "0.4746845000, 0.4809805000, 0.4970734000, 0.5333782000, 0.6050709000, 0.7525278000, 1.1007366000", \
-                        "0.6023234000, 0.6092777000, 0.6250918000, 0.6615928000, 0.7330948000, 0.8810347000, 1.2288970000", \
-                        "0.8467784000, 0.8538470000, 0.8722110000, 0.9126857000, 0.9906848000, 1.1456123000, 1.4953718000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
-                    values("0.0754043000, 0.0790623000, 0.0890920000, 0.1149562000, 0.1867036000, 0.4089906000, 1.1156904000", \
-                        "0.0800322000, 0.0836774000, 0.0937203000, 0.1196167000, 0.1915537000, 0.4139878000, 1.1215527000", \
-                        "0.0911567000, 0.0948162000, 0.1048271000, 0.1306075000, 0.2025511000, 0.4249992000, 1.1313385000", \
-                        "0.1160362000, 0.1196838000, 0.1296467000, 0.1553387000, 0.2271078000, 0.4498247000, 1.1587681000", \
-                        "0.1545259000, 0.1586868000, 0.1695713000, 0.1963022000, 0.2684931000, 0.4912278000, 1.1989528000", \
-                        "0.1962747000, 0.2016587000, 0.2149924000, 0.2449023000, 0.3182509000, 0.5409259000, 1.2504535000", \
-                        "0.2192432000, 0.2262179000, 0.2439352000, 0.2818392000, 0.3606363000, 0.5835799000, 1.2902825000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
-                    values("0.0522805000, 0.0556485000, 0.0660161000, 0.0885238000, 0.1411741000, 0.2740345000, 0.6720995000", \
-                        "0.0524252000, 0.0557000000, 0.0657058000, 0.0878135000, 0.1398764000, 0.2742993000, 0.6709960000", \
-                        "0.0522849000, 0.0557163000, 0.0657158000, 0.0891508000, 0.1393863000, 0.2734984000, 0.6710753000", \
-                        "0.0518516000, 0.0557694000, 0.0663125000, 0.0892823000, 0.1398731000, 0.2736480000, 0.6717066000", \
-                        "0.0524370000, 0.0562503000, 0.0654116000, 0.0879080000, 0.1403088000, 0.2739899000, 0.6715009000", \
-                        "0.0530770000, 0.0562914000, 0.0663907000, 0.0891292000, 0.1402306000, 0.2738280000, 0.6709798000", \
-                        "0.0647640000, 0.0687660000, 0.0796031000, 0.1022917000, 0.1538639000, 0.2835343000, 0.6787885000");
-                }
-                related_pin : "C1";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
-                    values("0.0198304000, 0.0228452000, 0.0322018000, 0.0613072000, 0.1581851000, 0.4761771000, 1.4924715000", \
-                        "0.0197899000, 0.0228318000, 0.0321057000, 0.0613059000, 0.1579673000, 0.4764470000, 1.4944048000", \
-                        "0.0198202000, 0.0228212000, 0.0321892000, 0.0612604000, 0.1581291000, 0.4763507000, 1.4922742000", \
-                        "0.0202291000, 0.0232366000, 0.0324482000, 0.0614150000, 0.1582107000, 0.4763656000, 1.4957900000", \
-                        "0.0245618000, 0.0276892000, 0.0362075000, 0.0639145000, 0.1587554000, 0.4760822000, 1.4920548000", \
-                        "0.0336128000, 0.0368419000, 0.0449341000, 0.0705546000, 0.1614461000, 0.4754817000, 1.4926511000", \
-                        "0.0474977000, 0.0512071000, 0.0619531000, 0.0867678000, 0.1686170000, 0.4782090000, 1.4910144000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
-                    values("0.3110585000, 0.3172504000, 0.3336273000, 0.3695194000, 0.4417545000, 0.5892191000, 0.9374352000", \
-                        "0.3127800000, 0.3191797000, 0.3355941000, 0.3715809000, 0.4434412000, 0.5912803000, 0.9391263000", \
-                        "0.3194079000, 0.3257943000, 0.3417628000, 0.3779203000, 0.4502843000, 0.5976453000, 0.9457015000", \
-                        "0.3398970000, 0.3462114000, 0.3626021000, 0.3984869000, 0.4708007000, 0.6182709000, 0.9662278000", \
-                        "0.3990611000, 0.4053723000, 0.4217635000, 0.4578828000, 0.5298072000, 0.6774880000, 1.0256809000", \
-                        "0.5436333000, 0.5497073000, 0.5654677000, 0.6000526000, 0.6718743000, 0.8174607000, 1.1653948000", \
-                        "0.8114407000, 0.8187266000, 0.8383094000, 0.8796452000, 0.9572032000, 1.1066177000, 1.4560900000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
-                    values("0.0688532000, 0.0725058000, 0.0825234000, 0.1084050000, 0.1800581000, 0.4019365000, 1.1124712000", \
-                        "0.0736062000, 0.0772628000, 0.0872979000, 0.1131922000, 0.1847907000, 0.4072825000, 1.1152105000", \
-                        "0.0848911000, 0.0885370000, 0.0985153000, 0.1243479000, 0.1961611000, 0.4180276000, 1.1246665000", \
-                        "0.1089366000, 0.1126230000, 0.1226063000, 0.1483408000, 0.2201861000, 0.4426174000, 1.1491034000", \
-                        "0.1438876000, 0.1482606000, 0.1593990000, 0.1863978000, 0.2587674000, 0.4815920000, 1.1883340000", \
-                        "0.1806834000, 0.1862489000, 0.2003267000, 0.2311591000, 0.3051920000, 0.5278019000, 1.2380603000", \
-                        "0.1983939000, 0.2056802000, 0.2244082000, 0.2643278000, 0.3449503000, 0.5675256000, 1.2747871000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
-                    values("0.0518893000, 0.0559179000, 0.0660463000, 0.0886613000, 0.1407176000, 0.2736480000, 0.6717947000", \
-                        "0.0522783000, 0.0557100000, 0.0657644000, 0.0877981000, 0.1399779000, 0.2737548000, 0.6703403000", \
-                        "0.0521889000, 0.0560053000, 0.0652399000, 0.0882672000, 0.1394243000, 0.2731839000, 0.6710925000", \
-                        "0.0522055000, 0.0560829000, 0.0654860000, 0.0882540000, 0.1400610000, 0.2735360000, 0.6720643000", \
-                        "0.0519813000, 0.0557948000, 0.0661301000, 0.0885273000, 0.1411355000, 0.2733639000, 0.6714394000", \
-                        "0.0511529000, 0.0546518000, 0.0645173000, 0.0868458000, 0.1389177000, 0.2746212000, 0.6718032000", \
-                        "0.0711283000, 0.0753986000, 0.0852996000, 0.1070884000, 0.1529148000, 0.2806175000, 0.6777284000");
-                }
-                related_pin : "D1";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
-                    values("0.0194558000, 0.0224630000, 0.0317362000, 0.0608019000, 0.1576397000, 0.4758674000, 1.4979532000", \
-                        "0.0194579000, 0.0224621000, 0.0318045000, 0.0609183000, 0.1578312000, 0.4765524000, 1.4965551000", \
-                        "0.0194551000, 0.0225120000, 0.0317885000, 0.0610427000, 0.1578143000, 0.4752955000, 1.4956085000", \
-                        "0.0204835000, 0.0235030000, 0.0325711000, 0.0614602000, 0.1577602000, 0.4754265000, 1.4950411000", \
-                        "0.0255533000, 0.0283522000, 0.0370597000, 0.0644891000, 0.1585852000, 0.4758463000, 1.4952510000", \
-                        "0.0356467000, 0.0385614000, 0.0471194000, 0.0723618000, 0.1616285000, 0.4752353000, 1.4951923000", \
-                        "0.0506853000, 0.0546160000, 0.0653799000, 0.0908023000, 0.1710229000, 0.4771084000, 1.4912365000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-        }
-    }
-
-/* removed sky130_fd_sc_hd__a2111oi_0 */
-
-/* removed sky130_fd_sc_hd__a2111oi_1 */
-
-/* removed sky130_fd_sc_hd__a2111oi_2 */
-
-    cell ("sky130_fd_sc_hd__a2111oi_4") {
-        leakage_power () {
-            value : 0.0040425000;
-            when : "!A1&!A2&!B1&!C1&D1";
-        }
-        leakage_power () {
-            value : 0.0065744000;
-            when : "!A1&!A2&!B1&!C1&!D1";
-        }
-        leakage_power () {
-            value : 0.0002915000;
-            when : "!A1&!A2&!B1&C1&D1";
-        }
-        leakage_power () {
-            value : 0.0026514000;
-            when : "!A1&!A2&!B1&C1&!D1";
-        }
-        leakage_power () {
-            value : 0.0002728000;
-            when : "!A1&!A2&B1&!C1&D1";
-        }
-        leakage_power () {
-            value : 0.0023693000;
-            when : "!A1&!A2&B1&!C1&!D1";
-        }
-        leakage_power () {
-            value : 0.0001528000;
-            when : "!A1&!A2&B1&C1&D1";
-        }
-        leakage_power () {
-            value : 0.0002870000;
-            when : "!A1&!A2&B1&C1&!D1";
-        }
-        leakage_power () {
-            value : 0.0040425000;
-            when : "!A1&A2&!B1&!C1&D1";
-        }
-        leakage_power () {
-            value : 0.0083402000;
-            when : "!A1&A2&!B1&!C1&!D1";
-        }
-        leakage_power () {
-            value : 0.0002915000;
-            when : "!A1&A2&!B1&C1&D1";
-        }
-        leakage_power () {
-            value : 0.0026514000;
-            when : "!A1&A2&!B1&C1&!D1";
-        }
-        leakage_power () {
-            value : 0.0002729000;
-            when : "!A1&A2&B1&!C1&D1";
-        }
-        leakage_power () {
-            value : 0.0023693000;
-            when : "!A1&A2&B1&!C1&!D1";
-        }
-        leakage_power () {
-            value : 0.0001528000;
-            when : "!A1&A2&B1&C1&D1";
-        }
-        leakage_power () {
-            value : 0.0002870000;
-            when : "!A1&A2&B1&C1&!D1";
-        }
-        leakage_power () {
-            value : 0.0040425000;
-            when : "A1&!A2&!B1&!C1&D1";
-        }
-        leakage_power () {
-            value : 0.0079083000;
-            when : "A1&!A2&!B1&!C1&!D1";
-        }
-        leakage_power () {
-            value : 0.0002915000;
-            when : "A1&!A2&!B1&C1&D1";
-        }
-        leakage_power () {
-            value : 0.0026514000;
-            when : "A1&!A2&!B1&C1&!D1";
-        }
-        leakage_power () {
-            value : 0.0002732000;
-            when : "A1&!A2&B1&!C1&D1";
-        }
-        leakage_power () {
-            value : 0.0023693000;
-            when : "A1&!A2&B1&!C1&!D1";
-        }
-        leakage_power () {
-            value : 0.0001528000;
-            when : "A1&!A2&B1&C1&D1";
-        }
-        leakage_power () {
-            value : 0.0002870000;
-            when : "A1&!A2&B1&C1&!D1";
-        }
-        leakage_power () {
-            value : 0.0003745000;
-            when : "A1&A2&!B1&!C1&D1";
-        }
-        leakage_power () {
-            value : 0.0033081000;
-            when : "A1&A2&!B1&!C1&!D1";
-        }
-        leakage_power () {
-            value : 0.0001722000;
-            when : "A1&A2&!B1&C1&D1";
-        }
-        leakage_power () {
-            value : 0.0003826000;
-            when : "A1&A2&!B1&C1&!D1";
-        }
-        leakage_power () {
-            value : 0.0003510000;
-            when : "A1&A2&B1&!C1&D1";
-        }
-        leakage_power () {
-            value : 0.0003984000;
-            when : "A1&A2&B1&!C1&!D1";
-        }
-        leakage_power () {
-            value : 0.0001269000;
-            when : "A1&A2&B1&C1&D1";
-        }
-        leakage_power () {
-            value : 0.0001883000;
-            when : "A1&A2&B1&C1&!D1";
-        }
-        area : 27.526400000;
-        cell_footprint : "sky130_fd_sc_hd__a2111oi";
-        cell_leakage_power : 0.0018227260;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("A1") {
-            capacitance : 0.0084230000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0081000000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0181535000, 0.0181564000, 0.0181631000, 0.0181659000, 0.0181724000, 0.0181874000, 0.0182220000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.012960300, -0.012981500, -0.013030400, -0.012991600, -0.012902200, -0.012696100, -0.012221000");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0087470000;
-        }
-        pin ("A2") {
-            capacitance : 0.0087170000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0082760000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0160182000, 0.0160123000, 0.0159988000, 0.0160009000, 0.0160057000, 0.0160169000, 0.0160426000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.015953900, -0.015947400, -0.015932600, -0.015932900, -0.015933400, -0.015934800, -0.015937800");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0091580000;
-        }
-        pin ("B1") {
-            capacitance : 0.0084530000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0078790000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0230492000, 0.0230424000, 0.0230267000, 0.0230259000, 0.0230242000, 0.0230203000, 0.0230112000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.013304300, -0.013419500, -0.013685000, -0.013751400, -0.013904400, -0.014257100, -0.015070100");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0090270000;
-        }
-        pin ("C1") {
-            capacitance : 0.0083860000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0077220000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0168871000, 0.0168843000, 0.0168780000, 0.0168853000, 0.0169020000, 0.0169407000, 0.0170297000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.014006800, -0.014278900, -0.014906100, -0.014936600, -0.015006900, -0.015169100, -0.015542900");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0090500000;
-        }
-        pin ("D1") {
-            capacitance : 0.0084560000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0076860000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0070130000, 0.0070113000, 0.0070073000, 0.0070235000, 0.0070607000, 0.0071464000, 0.0073440000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.006827100, -0.006833300, -0.006847400, -0.006844500, -0.006837800, -0.006822400, -0.006786900");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0092270000;
-        }
-        pin ("Y") {
-            direction : "output";
-            function : "(!A1&!B1&!C1&!D1) | (!A2&!B1&!C1&!D1)";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000");
-                    values("0.0154412000, 0.0142968000, 0.0114620000, 0.0045753000, -0.012214800, -0.053262200, -0.153626400", \
-                        "0.0156108000, 0.0144557000, 0.0116597000, 0.0048107000, -0.011933100, -0.052933500, -0.153281000", \
-                        "0.0159635000, 0.0148388000, 0.0120726000, 0.0052760000, -0.011343700, -0.052240000, -0.152489400", \
-                        "0.0153489000, 0.0142404000, 0.0115016000, 0.0048894000, -0.011578100, -0.052281200, -0.152381200", \
-                        "0.0157784000, 0.0144773000, 0.0116643000, 0.0048014000, -0.011945800, -0.052381000, -0.152246700", \
-                        "0.0164497000, 0.0152845000, 0.0124526000, 0.0055693000, -0.011202500, -0.051692100, -0.152190100", \
-                        "0.0205497000, 0.0193247000, 0.0163903000, 0.0092023000, -0.007958400, -0.048913700, -0.150010600");
-                }
-                related_pin : "C1";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000");
-                    values("0.0484968000, 0.0496914000, 0.0525959000, 0.0596357000, 0.0766356000, 0.1175880000, 0.2169141000", \
-                        "0.0478011000, 0.0490473000, 0.0519275000, 0.0590651000, 0.0761616000, 0.1172805000, 0.2168211000", \
-                        "0.0470342000, 0.0482874000, 0.0511632000, 0.0582242000, 0.0753521000, 0.1167293000, 0.2165900000", \
-                        "0.0463877000, 0.0476024000, 0.0504947000, 0.0575168000, 0.0744937000, 0.1160013000, 0.2159778000", \
-                        "0.0458935000, 0.0470801000, 0.0499501000, 0.0568360000, 0.0738097000, 0.1150032000, 0.2148958000", \
-                        "0.0457446000, 0.0469101000, 0.0497468000, 0.0567781000, 0.0737387000, 0.1146090000, 0.2141973000", \
-                        "0.0466716000, 0.0478551000, 0.0506132000, 0.0574564000, 0.0741223000, 0.1148746000, 0.2147139000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000");
-                    values("0.0015452000, 0.0004271000, -0.002322300, -0.009175100, -0.026136200, -0.067514200, -0.168168100", \
-                        "0.0011328000, 4.400000e-05, -0.002652400, -0.009370000, -0.026121800, -0.067280800, -0.167789400", \
-                        "0.0004780000, -0.000602300, -0.003261400, -0.009832100, -0.026250400, -0.067116900, -0.167454100", \
-                        "-0.000247100, -0.001371600, -0.003942500, -0.010485900, -0.026778600, -0.067221700, -0.167206000", \
-                        "3.720000e-05, -0.001039900, -0.003729300, -0.010306400, -0.026946400, -0.067382700, -0.167191700", \
-                        "0.0008333000, -0.000335900, -0.003156200, -0.009790900, -0.026536200, -0.067238000, -0.167188200", \
-                        "0.0051264000, 0.0038580000, 0.0007854000, -0.006590700, -0.023712200, -0.065107300, -0.165455100");
-                }
-                related_pin : "D1";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000");
-                    values("0.0486991000, 0.0499202000, 0.0528926000, 0.0601570000, 0.0773388000, 0.1184517000, 0.2181123000", \
-                        "0.0478388000, 0.0490782000, 0.0520754000, 0.0593483000, 0.0765048000, 0.1179640000, 0.2178546000", \
-                        "0.0471685000, 0.0483604000, 0.0513619000, 0.0583555000, 0.0756045000, 0.1171491000, 0.2175230000", \
-                        "0.0466519000, 0.0478513000, 0.0505773000, 0.0576021000, 0.0747497000, 0.1163281000, 0.2165555000", \
-                        "0.0465485000, 0.0476917000, 0.0505014000, 0.0574066000, 0.0742342000, 0.1153859000, 0.2155768000", \
-                        "0.0489098000, 0.0500399000, 0.0527647000, 0.0596385000, 0.0751609000, 0.1158525000, 0.2150199000", \
-                        "0.0525890000, 0.0536673000, 0.0563581000, 0.0629656000, 0.0791350000, 0.1186919000, 0.2170144000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000");
-                    values("0.0289515000, 0.0277852000, 0.0249500000, 0.0180320000, 0.0012009000, -0.039767000, -0.139385700", \
-                        "0.0285124000, 0.0273514000, 0.0245357000, 0.0176653000, 0.0008155000, -0.040185300, -0.139800800", \
-                        "0.0279871000, 0.0268194000, 0.0240089000, 0.0170815000, 0.0002887000, -0.040656100, -0.140330800", \
-                        "0.0272963000, 0.0261262000, 0.0234167000, 0.0165357000, -0.000334000, -0.041277100, -0.140924100", \
-                        "0.0266524000, 0.0255056000, 0.0227632000, 0.0160802000, -0.000779200, -0.041662900, -0.141221100", \
-                        "0.0259030000, 0.0247516000, 0.0219209000, 0.0149855000, -0.001405000, -0.042280500, -0.141511300", \
-                        "0.0298226000, 0.0286787000, 0.0258159000, 0.0189346000, 0.0023602000, -0.039554900, -0.140887900");
-                }
-                related_pin : "A1";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000");
-                    values("0.0541483000, 0.0553531000, 0.0582765000, 0.0652318000, 0.0821347000, 0.1230572000, 0.2225209000", \
-                        "0.0533846000, 0.0546481000, 0.0575590000, 0.0648152000, 0.0817813000, 0.1228017000, 0.2235682000", \
-                        "0.0523511000, 0.0535825000, 0.0565565000, 0.0637449000, 0.0810308000, 0.1224125000, 0.2222211000", \
-                        "0.0516390000, 0.0528933000, 0.0558649000, 0.0629471000, 0.0800360000, 0.1216244000, 0.2217404000", \
-                        "0.0510761000, 0.0522727000, 0.0552109000, 0.0621918000, 0.0791393000, 0.1205729000, 0.2212182000", \
-                        "0.0509793000, 0.0521479000, 0.0549833000, 0.0619257000, 0.0787943000, 0.1203100000, 0.2199191000", \
-                        "0.0507873000, 0.0519839000, 0.0548267000, 0.0619069000, 0.0789040000, 0.1193148000, 0.2197019000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000");
-                    values("0.0315479000, 0.0303827000, 0.0275664000, 0.0206151000, 0.0037727000, -0.037177900, -0.136825400", \
-                        "0.0310447000, 0.0298852000, 0.0270705000, 0.0201761000, 0.0033141000, -0.037660700, -0.137256900", \
-                        "0.0304988000, 0.0293362000, 0.0265010000, 0.0195944000, 0.0027592000, -0.038243600, -0.137869100", \
-                        "0.0299641000, 0.0288264000, 0.0260037000, 0.0191485000, 0.0022765000, -0.038758900, -0.138429900", \
-                        "0.0295944000, 0.0284363000, 0.0256246000, 0.0188127000, 0.0021027000, -0.038885900, -0.138508100", \
-                        "0.0290952000, 0.0278977000, 0.0251905000, 0.0183363000, 0.0014346000, -0.039280700, -0.138986200", \
-                        "0.0321254000, 0.0309306000, 0.0280252000, 0.0211643000, 0.0039671000, -0.037644700, -0.138626300");
-                }
-                related_pin : "A2";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000");
-                    values("0.0688265000, 0.0699564000, 0.0728051000, 0.0797369000, 0.0965005000, 0.1373680000, 0.2367023000", \
-                        "0.0682578000, 0.0694514000, 0.0723245000, 0.0792632000, 0.0960643000, 0.1369882000, 0.2363721000", \
-                        "0.0676682000, 0.0687894000, 0.0717129000, 0.0787108000, 0.0955975000, 0.1366311000, 0.2360693000", \
-                        "0.0670096000, 0.0682062000, 0.0711050000, 0.0781153000, 0.0950286000, 0.1361706000, 0.2358075000", \
-                        "0.0664946000, 0.0676989000, 0.0705179000, 0.0775102000, 0.0944155000, 0.1355356000, 0.2353233000", \
-                        "0.0662482000, 0.0674313000, 0.0702900000, 0.0772253000, 0.0940702000, 0.1350269000, 0.2347841000", \
-                        "0.0661768000, 0.0673355000, 0.0702512000, 0.0772227000, 0.0940449000, 0.1349469000, 0.2346230000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000");
-                    values("0.0204779000, 0.0192959000, 0.0164681000, 0.0095953000, -0.007221300, -0.048055700, -0.148067600", \
-                        "0.0204909000, 0.0193328000, 0.0164881000, 0.0095890000, -0.007169800, -0.048023200, -0.148019400", \
-                        "0.0204836000, 0.0193243000, 0.0165165000, 0.0096892000, -0.007101200, -0.047928100, -0.147890000", \
-                        "0.0198848000, 0.0187425000, 0.0159410000, 0.0091291000, -0.007504600, -0.048182600, -0.148114300", \
-                        "0.0194189000, 0.0182738000, 0.0154896000, 0.0087082000, -0.007607800, -0.048240700, -0.148060500", \
-                        "0.0209419000, 0.0198013000, 0.0169821000, 0.0100759000, -0.006809300, -0.047973500, -0.148038700", \
-                        "0.0254725000, 0.0242618000, 0.0213377000, 0.0142095000, -0.002861800, -0.044357600, -0.145506800");
-                }
-                related_pin : "B1";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000");
-                    values("0.0519259000, 0.0531336000, 0.0559949000, 0.0630608000, 0.0799414000, 0.1208429000, 0.2203961000", \
-                        "0.0512559000, 0.0524645000, 0.0554056000, 0.0624154000, 0.0794814000, 0.1205470000, 0.2200400000", \
-                        "0.0504344000, 0.0516079000, 0.0545148000, 0.0616494000, 0.0787614000, 0.1200581000, 0.2197832000", \
-                        "0.0497831000, 0.0509902000, 0.0538733000, 0.0608974000, 0.0779379000, 0.1192651000, 0.2192973000", \
-                        "0.0492809000, 0.0504344000, 0.0532595000, 0.0602150000, 0.0771750000, 0.1183517000, 0.2182724000", \
-                        "0.0490579000, 0.0501926000, 0.0530133000, 0.0599999000, 0.0767595000, 0.1178181000, 0.2176222000", \
-                        "0.0492126000, 0.0503577000, 0.0532131000, 0.0601983000, 0.0770146000, 0.1180387000, 0.2176914000");
-                }
-            }
-            max_capacitance : 0.1052960000;
-            max_transition : 1.5000270000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
-                    values("0.0345311000, 0.0362170000, 0.0401163000, 0.0492228000, 0.0699652000, 0.1162848000, 0.2204881000", \
-                        "0.0391834000, 0.0408276000, 0.0446358000, 0.0536352000, 0.0741424000, 0.1202989000, 0.2244269000", \
-                        "0.0508691000, 0.0523195000, 0.0558915000, 0.0644440000, 0.0844361000, 0.1300924000, 0.2343200000", \
-                        "0.0750916000, 0.0769049000, 0.0814274000, 0.0911035000, 0.1098633000, 0.1541844000, 0.2569184000", \
-                        "0.1069953000, 0.1095456000, 0.1155179000, 0.1287897000, 0.1562429000, 0.2092952000, 0.3121709000", \
-                        "0.1412854000, 0.1449778000, 0.1536307000, 0.1732886000, 0.2126793000, 0.2908991000, 0.4304660000", \
-                        "0.1510325000, 0.1565964000, 0.1693347000, 0.1979827000, 0.2581545000, 0.3761315000, 0.5872233000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
-                    values("0.2353509000, 0.2421938000, 0.2593210000, 0.2997171000, 0.3959593000, 0.6277714000, 1.1920388000", \
-                        "0.2374875000, 0.2448798000, 0.2617631000, 0.3030369000, 0.4001110000, 0.6331309000, 1.2056589000", \
-                        "0.2469594000, 0.2538633000, 0.2706934000, 0.3126838000, 0.4109569000, 0.6453312000, 1.2115007000", \
-                        "0.2739980000, 0.2809072000, 0.2976949000, 0.3388343000, 0.4371444000, 0.6715829000, 1.2395489000", \
-                        "0.3329486000, 0.3396131000, 0.3564089000, 0.3962631000, 0.4929899000, 0.7288193000, 1.2980973000", \
-                        "0.4419191000, 0.4504237000, 0.4679979000, 0.5120699000, 0.6105537000, 0.8458660000, 1.4118720000", \
-                        "0.6256540000, 0.6349273000, 0.6568119000, 0.7089941000, 0.8250341000, 1.0835384000, 1.6564784000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
-                    values("0.0479751000, 0.0499923000, 0.0548804000, 0.0664691000, 0.0934509000, 0.1554311000, 0.3004395000", \
-                        "0.0466602000, 0.0487171000, 0.0537314000, 0.0655752000, 0.0927113000, 0.1549011000, 0.3002123000", \
-                        "0.0467610000, 0.0485734000, 0.0530461000, 0.0641601000, 0.0910385000, 0.1537764000, 0.2996791000", \
-                        "0.0583282000, 0.0599124000, 0.0640868000, 0.0728720000, 0.0954204000, 0.1530548000, 0.2989613000", \
-                        "0.0821621000, 0.0842941000, 0.0893949000, 0.1013961000, 0.1244672000, 0.1749544000, 0.3038638000", \
-                        "0.1286859000, 0.1315295000, 0.1380450000, 0.1526260000, 0.1838950000, 0.2450402000, 0.3696662000", \
-                        "0.2102025000, 0.2144825000, 0.2245761000, 0.2465321000, 0.2917096000, 0.3766607000, 0.5332474000");
-                }
-                related_pin : "A1";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
-                    values("0.1508708000, 0.1597921000, 0.1808156000, 0.2332620000, 0.3611033000, 0.6716003000, 1.4267170000", \
-                        "0.1506659000, 0.1595097000, 0.1815766000, 0.2337034000, 0.3611288000, 0.6712646000, 1.4398693000", \
-                        "0.1512074000, 0.1602650000, 0.1815984000, 0.2334239000, 0.3610691000, 0.6716039000, 1.4266620000", \
-                        "0.1515202000, 0.1600124000, 0.1813181000, 0.2342364000, 0.3613007000, 0.6717157000, 1.4268736000", \
-                        "0.1526616000, 0.1614800000, 0.1818437000, 0.2342612000, 0.3610091000, 0.6717903000, 1.4315611000", \
-                        "0.1716144000, 0.1799262000, 0.1997020000, 0.2479943000, 0.3694374000, 0.6741422000, 1.4273401000", \
-                        "0.2187338000, 0.2274530000, 0.2480916000, 0.3001059000, 0.4239132000, 0.7153298000, 1.4421089000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
-                    values("0.0405000000, 0.0420575000, 0.0458598000, 0.0548314000, 0.0753532000, 0.1217469000, 0.2262497000", \
-                        "0.0449303000, 0.0465725000, 0.0503719000, 0.0593207000, 0.0797776000, 0.1256937000, 0.2299401000", \
-                        "0.0542539000, 0.0557999000, 0.0594117000, 0.0680994000, 0.0883877000, 0.1342769000, 0.2385359000", \
-                        "0.0728919000, 0.0746480000, 0.0788332000, 0.0880391000, 0.1080755000, 0.1531723000, 0.2572513000", \
-                        "0.1019200000, 0.1040840000, 0.1092008000, 0.1209501000, 0.1455240000, 0.1957236000, 0.3008409000", \
-                        "0.1359729000, 0.1391698000, 0.1470820000, 0.1631693000, 0.1981414000, 0.2665399000, 0.3913713000", \
-                        "0.1519715000, 0.1567375000, 0.1679287000, 0.1924280000, 0.2458690000, 0.3476654000, 0.5282499000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
-                    values("0.2878613000, 0.2947414000, 0.3112910000, 0.3508005000, 0.4477843000, 0.6812966000, 1.2480867000", \
-                        "0.2905748000, 0.2976880000, 0.3146083000, 0.3547770000, 0.4517767000, 0.6854425000, 1.2526180000", \
-                        "0.3011534000, 0.3079187000, 0.3254424000, 0.3659690000, 0.4634438000, 0.6977926000, 1.2654783000", \
-                        "0.3273903000, 0.3345845000, 0.3516507000, 0.3921476000, 0.4897137000, 0.7249425000, 1.2942378000", \
-                        "0.3834274000, 0.3908038000, 0.4071529000, 0.4478085000, 0.5450220000, 0.7798123000, 1.3494686000", \
-                        "0.4892964000, 0.4966734000, 0.5145891000, 0.5571964000, 0.6547243000, 0.8890880000, 1.4579685000", \
-                        "0.6661491000, 0.6744327000, 0.6950243000, 0.7445721000, 0.8564838000, 1.1096198000, 1.6831935000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
-                    values("0.0471845000, 0.0492456000, 0.0541498000, 0.0658212000, 0.0930451000, 0.1548477000, 0.3000765000", \
-                        "0.0465059000, 0.0485105000, 0.0534301000, 0.0651971000, 0.0922532000, 0.1544742000, 0.2999120000", \
-                        "0.0464123000, 0.0482705000, 0.0530192000, 0.0643399000, 0.0914166000, 0.1539336000, 0.2994428000", \
-                        "0.0534027000, 0.0551640000, 0.0591529000, 0.0690326000, 0.0935084000, 0.1535018000, 0.2990981000", \
-                        "0.0726287000, 0.0743250000, 0.0787967000, 0.0889706000, 0.1117746000, 0.1652872000, 0.3016546000", \
-                        "0.1116540000, 0.1137762000, 0.1194919000, 0.1312315000, 0.1572027000, 0.2148929000, 0.3385614000", \
-                        "0.1828975000, 0.1858125000, 0.1929121000, 0.2092859000, 0.2435306000, 0.3140454000, 0.4543378000");
-                }
-                related_pin : "A2";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
-                    values("0.1972737000, 0.2066901000, 0.2275939000, 0.2811552000, 0.4089064000, 0.7213269000, 1.4796754000", \
-                        "0.1981734000, 0.2071144000, 0.2279522000, 0.2803881000, 0.4091268000, 0.7212038000, 1.4788198000", \
-                        "0.1976336000, 0.2069356000, 0.2289198000, 0.2803909000, 0.4091096000, 0.7211629000, 1.4793199000", \
-                        "0.1982738000, 0.2072247000, 0.2279003000, 0.2818620000, 0.4091894000, 0.7236458000, 1.4809260000", \
-                        "0.1986605000, 0.2073942000, 0.2291516000, 0.2805238000, 0.4104062000, 0.7212222000, 1.4843994000", \
-                        "0.2129944000, 0.2212571000, 0.2415320000, 0.2915272000, 0.4158711000, 0.7219157000, 1.4820536000", \
-                        "0.2574702000, 0.2667840000, 0.2891973000, 0.3402587000, 0.4665422000, 0.7595592000, 1.4964138000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
-                    values("0.0232670000, 0.0243025000, 0.0266350000, 0.0322352000, 0.0449255000, 0.0733494000, 0.1381523000", \
-                        "0.0283898000, 0.0293405000, 0.0316671000, 0.0371043000, 0.0496123000, 0.0779726000, 0.1427368000", \
-                        "0.0405032000, 0.0415034000, 0.0438087000, 0.0489537000, 0.0609012000, 0.0888826000, 0.1535724000", \
-                        "0.0589413000, 0.0603612000, 0.0636477000, 0.0706609000, 0.0856236000, 0.1143309000, 0.1784337000", \
-                        "0.0806831000, 0.0827108000, 0.0874930000, 0.0981776000, 0.1204393000, 0.1627615000, 0.2373349000", \
-                        "0.0955709000, 0.0986887000, 0.1060581000, 0.1230955000, 0.1575317000, 0.2225474000, 0.3363409000", \
-                        "0.0789200000, 0.0837265000, 0.0950041000, 0.1206165000, 0.1731621000, 0.2744872000, 0.4519131000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
-                    values("0.2667752000, 0.2738141000, 0.2906936000, 0.3311000000, 0.4282533000, 0.6625288000, 1.2301800000", \
-                        "0.2682914000, 0.2753814000, 0.2924381000, 0.3332392000, 0.4312493000, 0.6659610000, 1.2338021000", \
-                        "0.2765708000, 0.2836507000, 0.3006388000, 0.3412621000, 0.4399291000, 0.6757598000, 1.2447140000", \
-                        "0.3003460000, 0.3075450000, 0.3245714000, 0.3646288000, 0.4631864000, 0.6993614000, 1.2694898000", \
-                        "0.3549939000, 0.3615327000, 0.3783913000, 0.4190482000, 0.5168930000, 0.7521273000, 1.3223395000", \
-                        "0.4627497000, 0.4705041000, 0.4891456000, 0.5332484000, 0.6327103000, 0.8673931000, 1.4367217000", \
-                        "0.6489581000, 0.6584707000, 0.6817360000, 0.7367960000, 0.8570201000, 1.1208520000, 1.6973067000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
-                    values("0.0329807000, 0.0340119000, 0.0365923000, 0.0429887000, 0.0582021000, 0.0948677000, 0.1833936000", \
-                        "0.0322481000, 0.0332538000, 0.0357524000, 0.0421622000, 0.0577219000, 0.0945554000, 0.1832448000", \
-                        "0.0353979000, 0.0362211000, 0.0382993000, 0.0437550000, 0.0576510000, 0.0939929000, 0.1830313000", \
-                        "0.0493811000, 0.0502387000, 0.0522775000, 0.0574417000, 0.0684667000, 0.0991059000, 0.1826755000", \
-                        "0.0763466000, 0.0775570000, 0.0805006000, 0.0868476000, 0.1016157000, 0.1319510000, 0.2017943000", \
-                        "0.1253554000, 0.1271295000, 0.1312685000, 0.1406856000, 0.1610254000, 0.2027025000, 0.2817965000", \
-                        "0.2124326000, 0.2151707000, 0.2217000000, 0.2357070000, 0.2675837000, 0.3285233000, 0.4412154000");
-                }
-                related_pin : "B1";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
-                    values("0.1971377000, 0.2066019000, 0.2274341000, 0.2815671000, 0.4091720000, 0.7236763000, 1.4843411000", \
-                        "0.1975099000, 0.2060431000, 0.2284339000, 0.2804759000, 0.4089257000, 0.7211686000, 1.4794209000", \
-                        "0.1972916000, 0.2067462000, 0.2275980000, 0.2814789000, 0.4090635000, 0.7213468000, 1.4797065000", \
-                        "0.1983215000, 0.2072287000, 0.2278241000, 0.2810769000, 0.4089680000, 0.7213614000, 1.4799418000", \
-                        "0.1988496000, 0.2079437000, 0.2296270000, 0.2821194000, 0.4089692000, 0.7212198000, 1.4793403000", \
-                        "0.2260729000, 0.2344829000, 0.2533418000, 0.3018118000, 0.4227037000, 0.7234812000, 1.4816286000", \
-                        "0.2962616000, 0.3052713000, 0.3268635000, 0.3777011000, 0.4974418000, 0.7796483000, 1.5000274000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
-                    values("0.0220852000, 0.0229603000, 0.0249152000, 0.0295701000, 0.0401644000, 0.0646455000, 0.1227497000", \
-                        "0.0268757000, 0.0277287000, 0.0296794000, 0.0341841000, 0.0447651000, 0.0692749000, 0.1273291000", \
-                        "0.0376513000, 0.0385949000, 0.0407761000, 0.0452970000, 0.0556801000, 0.0801295000, 0.1382348000", \
-                        "0.0520796000, 0.0534712000, 0.0567037000, 0.0638392000, 0.0785802000, 0.1053140000, 0.1633206000", \
-                        "0.0658946000, 0.0685094000, 0.0733797000, 0.0844150000, 0.1069312000, 0.1485652000, 0.2213156000", \
-                        "0.0682692000, 0.0716558000, 0.0795310000, 0.0966298000, 0.1325048000, 0.1977530000, 0.3109825000", \
-                        "0.0273170000, 0.0325441000, 0.0447534000, 0.0720278000, 0.1289483000, 0.2317970000, 0.4095903000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
-                    values("0.2219286000, 0.2290668000, 0.2460704000, 0.2867501000, 0.3843346000, 0.6185715000, 1.1875927000", \
-                        "0.2226666000, 0.2298478000, 0.2469787000, 0.2883983000, 0.3865844000, 0.6218995000, 1.1913367000", \
-                        "0.2300187000, 0.2374273000, 0.2546996000, 0.2956104000, 0.3941402000, 0.6302543000, 1.1997092000", \
-                        "0.2535664000, 0.2607474000, 0.2778995000, 0.3183240000, 0.4165167000, 0.6527250000, 1.2232957000", \
-                        "0.3096253000, 0.3165056000, 0.3335860000, 0.3736652000, 0.4713296000, 0.7066211000, 1.2767906000", \
-                        "0.4248784000, 0.4332623000, 0.4526021000, 0.4996460000, 0.6023835000, 0.8373432000, 1.4065799000", \
-                        "0.6288982000, 0.6403791000, 0.6673723000, 0.7282684000, 0.8605415000, 1.1333692000, 1.7141745000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
-                    values("0.0235072000, 0.0244522000, 0.0267772000, 0.0324765000, 0.0461254000, 0.0788893000, 0.1587961000", \
-                        "0.0230648000, 0.0239901000, 0.0263055000, 0.0321228000, 0.0459675000, 0.0788547000, 0.1587321000", \
-                        "0.0267489000, 0.0275068000, 0.0295301000, 0.0344719000, 0.0468945000, 0.0787328000, 0.1588029000", \
-                        "0.0409663000, 0.0418514000, 0.0439628000, 0.0488515000, 0.0595327000, 0.0859656000, 0.1597907000", \
-                        "0.0672613000, 0.0681978000, 0.0711002000, 0.0777111000, 0.0918629000, 0.1210741000, 0.1823092000", \
-                        "0.1139782000, 0.1156944000, 0.1199971000, 0.1301762000, 0.1506145000, 0.1915803000, 0.2661135000", \
-                        "0.2005359000, 0.2033587000, 0.2099698000, 0.2247250000, 0.2553599000, 0.3165200000, 0.4233003000");
-                }
-                related_pin : "C1";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
-                    values("0.1973544000, 0.2066313000, 0.2274880000, 0.2802686000, 0.4090384000, 0.7213601000, 1.4808271000", \
-                        "0.1976940000, 0.2068784000, 0.2275930000, 0.2804606000, 0.4104909000, 0.7236591000, 1.4814060000", \
-                        "0.1977378000, 0.2068543000, 0.2282967000, 0.2801768000, 0.4091568000, 0.7211325000, 1.4818939000", \
-                        "0.1975237000, 0.2067706000, 0.2275449000, 0.2805006000, 0.4089464000, 0.7217061000, 1.4789646000", \
-                        "0.2014467000, 0.2097801000, 0.2302731000, 0.2822721000, 0.4091510000, 0.7214947000, 1.4791811000", \
-                        "0.2393933000, 0.2466926000, 0.2654157000, 0.3115244000, 0.4282701000, 0.7277478000, 1.4790151000", \
-                        "0.3310665000, 0.3402099000, 0.3616438000, 0.4143988000, 0.5365574000, 0.7995751000, 1.4965519000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
-                    values("0.0161569000, 0.0168754000, 0.0185615000, 0.0225092000, 0.0317548000, 0.0535000000, 0.1060772000", \
-                        "0.0208282000, 0.0215484000, 0.0232680000, 0.0271944000, 0.0364622000, 0.0583377000, 0.1109989000", \
-                        "0.0287182000, 0.0298258000, 0.0323080000, 0.0376217000, 0.0475032000, 0.0694245000, 0.1220420000", \
-                        "0.0374615000, 0.0391427000, 0.0429541000, 0.0511946000, 0.0670931000, 0.0950021000, 0.1474669000", \
-                        "0.0425844000, 0.0452832000, 0.0514007000, 0.0643833000, 0.0901437000, 0.1331765000, 0.2054551000", \
-                        "0.0311951000, 0.0354964000, 0.0455826000, 0.0658835000, 0.1062681000, 0.1761996000, 0.2884404000", \
-                        "-0.031164200, -0.024276700, -0.008511000, 0.0246778000, 0.0891399000, 0.2004354000, 0.3805528000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
-                    values("0.1418014000, 0.1488970000, 0.1666060000, 0.2081663000, 0.3070887000, 0.5426214000, 1.1112122000", \
-                        "0.1422476000, 0.1495284000, 0.1664453000, 0.2089141000, 0.3080244000, 0.5446540000, 1.1139785000", \
-                        "0.1499350000, 0.1567758000, 0.1742202000, 0.2148991000, 0.3141047000, 0.5513657000, 1.1222427000", \
-                        "0.1739214000, 0.1806494000, 0.1965225000, 0.2370693000, 0.3352521000, 0.5719791000, 1.1437113000", \
-                        "0.2394765000, 0.2452138000, 0.2599680000, 0.2974057000, 0.3936158000, 0.6287223000, 1.1991291000", \
-                        "0.3730779000, 0.3813429000, 0.4003889000, 0.4440176000, 0.5407672000, 0.7692256000, 1.3351927000", \
-                        "0.5882214000, 0.6000276000, 0.6282198000, 0.6927974000, 0.8300472000, 1.1012853000, 1.6584791000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
-                    values("0.0124167000, 0.0133210000, 0.0156505000, 0.0212962000, 0.0346389000, 0.0648213000, 0.1362390000", \
-                        "0.0130989000, 0.0139303000, 0.0160849000, 0.0213875000, 0.0346828000, 0.0648147000, 0.1363119000", \
-                        "0.0202272000, 0.0209297000, 0.0226240000, 0.0262580000, 0.0372059000, 0.0651689000, 0.1362677000", \
-                        "0.0355992000, 0.0364339000, 0.0382776000, 0.0427766000, 0.0529490000, 0.0748193000, 0.1380495000", \
-                        "0.0630573000, 0.0640997000, 0.0666878000, 0.0726953000, 0.0855752000, 0.1125876000, 0.1644155000", \
-                        "0.1125305000, 0.1139477000, 0.1170297000, 0.1258133000, 0.1442521000, 0.1814121000, 0.2527015000", \
-                        "0.2038305000, 0.2059747000, 0.2109711000, 0.2237230000, 0.2505027000, 0.3069522000, 0.4068186000");
-                }
-                related_pin : "D1";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
-                    values("0.1919651000, 0.2016277000, 0.2244716000, 0.2791912000, 0.4096578000, 0.7233397000, 1.4844077000", \
-                        "0.1910709000, 0.2002506000, 0.2231019000, 0.2776904000, 0.4090987000, 0.7207641000, 1.4816465000", \
-                        "0.1888859000, 0.1987328000, 0.2215779000, 0.2768189000, 0.4086638000, 0.7211313000, 1.4800223000", \
-                        "0.1833146000, 0.1924057000, 0.2161689000, 0.2741539000, 0.4066425000, 0.7217537000, 1.4788292000", \
-                        "0.1906062000, 0.1993470000, 0.2192240000, 0.2719825000, 0.4005863000, 0.7208312000, 1.4794048000", \
-                        "0.2387513000, 0.2487646000, 0.2724144000, 0.3177764000, 0.4288639000, 0.7217739000, 1.4794257000", \
-                        "0.3233296000, 0.3346813000, 0.3616530000, 0.4236127000, 0.5560842000, 0.8235763000, 1.4995479000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-        }
-    }
-
-/* removed sky130_fd_sc_hd__a211o_1 */
-
-/* removed sky130_fd_sc_hd__a211o_2 */
-
-    cell ("sky130_fd_sc_hd__a211o_4") {
-        leakage_power () {
-            value : 0.0033710000;
-            when : "!A1&!A2&!B1&C1";
-        }
-        leakage_power () {
-            value : 0.0098061000;
-            when : "!A1&!A2&!B1&!C1";
-        }
-        leakage_power () {
-            value : 0.0021701000;
-            when : "!A1&!A2&B1&C1";
-        }
-        leakage_power () {
-            value : 0.0036611000;
-            when : "!A1&!A2&B1&!C1";
-        }
-        leakage_power () {
-            value : 0.0033711000;
-            when : "!A1&A2&!B1&C1";
-        }
-        leakage_power () {
-            value : 0.0107815000;
-            when : "!A1&A2&!B1&!C1";
-        }
-        leakage_power () {
-            value : 0.0021701000;
-            when : "!A1&A2&B1&C1";
-        }
-        leakage_power () {
-            value : 0.0036611000;
-            when : "!A1&A2&B1&!C1";
-        }
-        leakage_power () {
-            value : 0.0033720000;
-            when : "A1&!A2&!B1&C1";
-        }
-        leakage_power () {
-            value : 0.0104498000;
-            when : "A1&!A2&!B1&!C1";
-        }
-        leakage_power () {
-            value : 0.0021701000;
-            when : "A1&!A2&B1&C1";
-        }
-        leakage_power () {
-            value : 0.0036611000;
-            when : "A1&!A2&B1&!C1";
-        }
-        leakage_power () {
-            value : 0.0022448000;
-            when : "A1&A2&!B1&C1";
-        }
-        leakage_power () {
-            value : 0.0044171000;
-            when : "A1&A2&!B1&!C1";
-        }
-        leakage_power () {
-            value : 0.0021068000;
-            when : "A1&A2&B1&C1";
-        }
-        leakage_power () {
-            value : 0.0022659000;
-            when : "A1&A2&B1&!C1";
-        }
-        area : 17.516800000;
-        cell_footprint : "sky130_fd_sc_hd__a211o";
-        cell_leakage_power : 0.0043549920;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("A1") {
-            capacitance : 0.0043620000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0041770000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0087844000, 0.0087905000, 0.0088045000, 0.0088028000, 0.0087988000, 0.0087896000, 0.0087685000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.006940900, -0.006947100, -0.006961200, -0.006948800, -0.006920300, -0.006854500, -0.006703000");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0045470000;
-        }
-        pin ("A2") {
-            capacitance : 0.0047860000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0045620000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0082288000, 0.0082254000, 0.0082177000, 0.0082178000, 0.0082181000, 0.0082188000, 0.0082204000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.008218100, -0.008216500, -0.008212700, -0.008214200, -0.008217700, -0.008225700, -0.008244200");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0050090000;
-        }
-        pin ("B1") {
-            capacitance : 0.0048560000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0045050000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0077562000, 0.0077565000, 0.0077572000, 0.0077598000, 0.0077660000, 0.0077802000, 0.0078129000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.006955100, -0.007100300, -0.007435000, -0.007444000, -0.007464600, -0.007512200, -0.007621800");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0052080000;
-        }
-        pin ("C1") {
-            capacitance : 0.0044290000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0040860000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0051831000, 0.0051775000, 0.0051647000, 0.0051795000, 0.0052138000, 0.0052927000, 0.0054745000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.003443200, -0.003448300, -0.003460100, -0.003463300, -0.003470500, -0.003487100, -0.003525300");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0047710000;
-        }
-        pin ("X") {
-            direction : "output";
-            function : "(A1&A2) | (B1) | (C1)";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016109980, 0.0051906300, 0.0167241900, 0.0538852700, 0.1736182000, 0.5593970000");
-                    values("0.0322366000, 0.0302823000, 0.0248279000, 0.0094306000, -0.044650200, -0.234971500, -0.859179000", \
-                        "0.0319452000, 0.0299994000, 0.0245276000, 0.0091660000, -0.044943400, -0.235196200, -0.859442000", \
-                        "0.0315587000, 0.0295940000, 0.0239852000, 0.0086813000, -0.045427100, -0.235671300, -0.859908600", \
-                        "0.0311294000, 0.0292645000, 0.0237727000, 0.0082858000, -0.045830700, -0.236155700, -0.860359000", \
-                        "0.0307593000, 0.0288234000, 0.0232542000, 0.0078733000, -0.046392500, -0.236565900, -0.860871800", \
-                        "0.0309979000, 0.0289888000, 0.0233394000, 0.0077548000, -0.046513300, -0.236773700, -0.860912000", \
-                        "0.0412584000, 0.0391754000, 0.0327867000, 0.0137215000, -0.046081700, -0.236869900, -0.860900800");
-                }
-                related_pin : "A1";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016109980, 0.0051906300, 0.0167241900, 0.0538852700, 0.1736182000, 0.5593970000");
-                    values("0.0358321000, 0.0378453000, 0.0442643000, 0.0643075000, 0.1255932000, 0.3187886000, 0.9371956000", \
-                        "0.0357061000, 0.0376208000, 0.0440536000, 0.0640619000, 0.1254495000, 0.3180666000, 0.9355197000", \
-                        "0.0354528000, 0.0374393000, 0.0438628000, 0.0638494000, 0.1251442000, 0.3179808000, 0.9362197000", \
-                        "0.0353372000, 0.0373293000, 0.0436037000, 0.0634959000, 0.1245722000, 0.3174247000, 0.9382001000", \
-                        "0.0355908000, 0.0375132000, 0.0438121000, 0.0632414000, 0.1236452000, 0.3173482000, 0.9357538000", \
-                        "0.0372837000, 0.0390865000, 0.0449146000, 0.0636648000, 0.1235029000, 0.3155146000, 0.9375613000", \
-                        "0.0402401000, 0.0419649000, 0.0476608000, 0.0664073000, 0.1247814000, 0.3172446000, 0.9333955000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016109980, 0.0051906300, 0.0167241900, 0.0538852700, 0.1736182000, 0.5593970000");
-                    values("0.0388081000, 0.0368478000, 0.0312879000, 0.0161034000, -0.038226000, -0.228689200, -0.852861800", \
-                        "0.0388851000, 0.0369405000, 0.0313777000, 0.0159348000, -0.038461100, -0.228928900, -0.853078500", \
-                        "0.0383306000, 0.0363692000, 0.0308024000, 0.0155273000, -0.038716000, -0.229167500, -0.853331100", \
-                        "0.0379444000, 0.0360708000, 0.0305727000, 0.0151858000, -0.039098500, -0.229377900, -0.853531500", \
-                        "0.0377875000, 0.0359094000, 0.0303897000, 0.0149368000, -0.039406400, -0.229906900, -0.853854800", \
-                        "0.0379917000, 0.0359354000, 0.0302192000, 0.0149090000, -0.039456600, -0.229981000, -0.853991400", \
-                        "0.0487253000, 0.0466167000, 0.0402500000, 0.0211483000, -0.039033300, -0.230110400, -0.854027500");
-                }
-                related_pin : "A2";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016109980, 0.0051906300, 0.0167241900, 0.0538852700, 0.1736182000, 0.5593970000");
-                    values("0.0371342000, 0.0391607000, 0.0454953000, 0.0655306000, 0.1268459000, 0.3195929000, 0.9371482000", \
-                        "0.0369710000, 0.0389137000, 0.0452903000, 0.0653077000, 0.1265614000, 0.3195008000, 0.9380592000", \
-                        "0.0366122000, 0.0385979000, 0.0450162000, 0.0650067000, 0.1262577000, 0.3190832000, 0.9372443000", \
-                        "0.0366085000, 0.0385489000, 0.0449533000, 0.0648352000, 0.1258742000, 0.3188115000, 0.9371064000", \
-                        "0.0363940000, 0.0383395000, 0.0445965000, 0.0639752000, 0.1252599000, 0.3184400000, 0.9358991000", \
-                        "0.0378470000, 0.0396619000, 0.0455784000, 0.0645139000, 0.1244030000, 0.3170427000, 0.9361182000", \
-                        "0.0406575000, 0.0423947000, 0.0480541000, 0.0667897000, 0.1269176000, 0.3188760000, 0.9337865000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016109980, 0.0051906300, 0.0167241900, 0.0538852700, 0.1736182000, 0.5593970000");
-                    values("0.0349991000, 0.0330485000, 0.0276174000, 0.0120792000, -0.042267600, -0.232769200, -0.856923300", \
-                        "0.0343230000, 0.0323731000, 0.0268161000, 0.0115481000, -0.042652000, -0.233088400, -0.857250100", \
-                        "0.0341569000, 0.0320580000, 0.0264899000, 0.0111268000, -0.043202400, -0.233605800, -0.857673400", \
-                        "0.0335394000, 0.0316242000, 0.0259706000, 0.0106103000, -0.043722200, -0.233975800, -0.858125300", \
-                        "0.0331254000, 0.0312136000, 0.0256728000, 0.0102231000, -0.044139600, -0.234454100, -0.858492100", \
-                        "0.0336789000, 0.0315251000, 0.0257275000, 0.0100326000, -0.044434100, -0.234676700, -0.858709800", \
-                        "0.0455546000, 0.0433790000, 0.0368587000, 0.0174462000, -0.043330400, -0.234337100, -0.858160300");
-                }
-                related_pin : "B1";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016109980, 0.0051906300, 0.0167241900, 0.0538852700, 0.1736182000, 0.5593970000");
-                    values("0.0323189000, 0.0342946000, 0.0405127000, 0.0597846000, 0.1197641000, 0.3115664000, 0.9287061000", \
-                        "0.0323618000, 0.0343690000, 0.0405841000, 0.0598435000, 0.1198887000, 0.3112516000, 0.9297896000", \
-                        "0.0325377000, 0.0345110000, 0.0407503000, 0.0599526000, 0.1200496000, 0.3115101000, 0.9300385000", \
-                        "0.0322009000, 0.0341291000, 0.0402289000, 0.0593853000, 0.1195764000, 0.3118091000, 0.9315314000", \
-                        "0.0323330000, 0.0341207000, 0.0399170000, 0.0589817000, 0.1188819000, 0.3107754000, 0.9295041000", \
-                        "0.0332604000, 0.0350398000, 0.0408480000, 0.0596606000, 0.1189334000, 0.3101296000, 0.9279700000", \
-                        "0.0363276000, 0.0379671000, 0.0436678000, 0.0619664000, 0.1220409000, 0.3137059000, 0.9283750000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016109980, 0.0051906300, 0.0167241900, 0.0538852700, 0.1736182000, 0.5593970000");
-                    values("0.0322925000, 0.0304839000, 0.0250289000, 0.0096642000, -0.044693000, -0.235137200, -0.859213300", \
-                        "0.0321046000, 0.0301830000, 0.0246468000, 0.0092171000, -0.045114200, -0.235483700, -0.859573500", \
-                        "0.0314025000, 0.0295666000, 0.0239825000, 0.0086047000, -0.045600900, -0.235943100, -0.860154200", \
-                        "0.0310072000, 0.0290426000, 0.0235712000, 0.0081655000, -0.046094100, -0.236508200, -0.860599100", \
-                        "0.0307371000, 0.0288258000, 0.0233036000, 0.0079065000, -0.046508900, -0.236944400, -0.860823800", \
-                        "0.0326261000, 0.0306511000, 0.0247789000, 0.0089381000, -0.045710200, -0.235805200, -0.859761700", \
-                        "0.0464652000, 0.0442177000, 0.0376299000, 0.0180290000, -0.042715500, -0.234164800, -0.858128000");
-                }
-                related_pin : "C1";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016109980, 0.0051906300, 0.0167241900, 0.0538852700, 0.1736182000, 0.5593970000");
-                    values("0.0257314000, 0.0277222000, 0.0341068000, 0.0535940000, 0.1139127000, 0.3059156000, 0.9201938000", \
-                        "0.0257258000, 0.0277341000, 0.0340505000, 0.0535849000, 0.1139353000, 0.3060225000, 0.9220799000", \
-                        "0.0255962000, 0.0275840000, 0.0338497000, 0.0533008000, 0.1136834000, 0.3075420000, 0.9204270000", \
-                        "0.0252476000, 0.0271591000, 0.0333280000, 0.0525872000, 0.1130867000, 0.3060837000, 0.9242634000", \
-                        "0.0254932000, 0.0273017000, 0.0330918000, 0.0521805000, 0.1122592000, 0.3050259000, 0.9238082000", \
-                        "0.0264709000, 0.0282508000, 0.0340303000, 0.0529474000, 0.1122653000, 0.3039954000, 0.9239495000", \
-                        "0.0295100000, 0.0310320000, 0.0365463000, 0.0549085000, 0.1150527000, 0.3068675000, 0.9211552000");
-                }
-            }
-            max_capacitance : 0.5593970000;
-            max_transition : 1.5038380000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
-                    values("0.2856875000, 0.2907152000, 0.3038002000, 0.3336763000, 0.3953710000, 0.5298653000, 0.8767365000", \
-                        "0.2897101000, 0.2946884000, 0.3077783000, 0.3376397000, 0.3994204000, 0.5339537000, 0.8809217000", \
-                        "0.3008832000, 0.3057412000, 0.3187812000, 0.3486222000, 0.4104058000, 0.5449607000, 0.8919538000", \
-                        "0.3276211000, 0.3325058000, 0.3455298000, 0.3752545000, 0.4370445000, 0.5715335000, 0.9184025000", \
-                        "0.3844702000, 0.3894142000, 0.4023747000, 0.4322060000, 0.4941514000, 0.6286189000, 0.9756872000", \
-                        "0.4989101000, 0.5040336000, 0.5174861000, 0.5479965000, 0.6104372000, 0.7455878000, 1.0928406000", \
-                        "0.7011541000, 0.7067448000, 0.7214533000, 0.7547786000, 0.8237358000, 0.9665440000, 1.3192777000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
-                    values("0.0870568000, 0.0909593000, 0.1015945000, 0.1287866000, 0.2019244000, 0.4245159000, 1.1333072000", \
-                        "0.0911613000, 0.0950457000, 0.1056855000, 0.1328349000, 0.2061697000, 0.4291782000, 1.1391758000", \
-                        "0.1014643000, 0.1053385000, 0.1159254000, 0.1429754000, 0.2162203000, 0.4393680000, 1.1498124000", \
-                        "0.1258936000, 0.1297045000, 0.1401067000, 0.1667886000, 0.2394457000, 0.4618272000, 1.1707414000", \
-                        "0.1675881000, 0.1715945000, 0.1822803000, 0.2091956000, 0.2820890000, 0.5046221000, 1.2133975000", \
-                        "0.2166980000, 0.2216004000, 0.2339987000, 0.2619284000, 0.3352502000, 0.5576050000, 1.2678381000", \
-                        "0.2512288000, 0.2576838000, 0.2737073000, 0.3085520000, 0.3832113000, 0.6056036000, 1.3137018000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
-                    values("0.0395493000, 0.0425731000, 0.0509027000, 0.0713082000, 0.1206289000, 0.2545721000, 0.6867869000", \
-                        "0.0396083000, 0.0426168000, 0.0509258000, 0.0713888000, 0.1205623000, 0.2545313000, 0.6859112000", \
-                        "0.0398908000, 0.0427884000, 0.0510599000, 0.0713834000, 0.1203893000, 0.2543065000, 0.6861525000", \
-                        "0.0398880000, 0.0429261000, 0.0512620000, 0.0707105000, 0.1204592000, 0.2544822000, 0.6868598000", \
-                        "0.0396150000, 0.0425976000, 0.0515378000, 0.0712927000, 0.1202607000, 0.2538110000, 0.6868153000", \
-                        "0.0425603000, 0.0454232000, 0.0535827000, 0.0737196000, 0.1226405000, 0.2554626000, 0.6854725000", \
-                        "0.0504605000, 0.0537204000, 0.0627603000, 0.0837482000, 0.1352099000, 0.2684315000, 0.6920695000");
-                }
-                related_pin : "A1";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
-                    values("0.0221420000, 0.0253788000, 0.0350325000, 0.0644038000, 0.1599166000, 0.4763629000, 1.5006503000", \
-                        "0.0220745000, 0.0254608000, 0.0349854000, 0.0643152000, 0.1599054000, 0.4763859000, 1.5018790000", \
-                        "0.0219934000, 0.0253035000, 0.0348202000, 0.0641945000, 0.1599498000, 0.4771170000, 1.5007886000", \
-                        "0.0215959000, 0.0247730000, 0.0345702000, 0.0639018000, 0.1595833000, 0.4769025000, 1.5004827000", \
-                        "0.0244742000, 0.0276922000, 0.0368462000, 0.0652750000, 0.1600285000, 0.4757880000, 1.5009133000", \
-                        "0.0316981000, 0.0348820000, 0.0434008000, 0.0701915000, 0.1619774000, 0.4755160000, 1.4978976000", \
-                        "0.0439959000, 0.0479741000, 0.0582612000, 0.0830331000, 0.1675975000, 0.4780273000, 1.4994200000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
-                    values("0.2947404000, 0.2998014000, 0.3130372000, 0.3423936000, 0.4029793000, 0.5342904000, 0.8785426000", \
-                        "0.2995128000, 0.3045240000, 0.3178222000, 0.3473564000, 0.4078730000, 0.5391741000, 0.8834115000", \
-                        "0.3119515000, 0.3170078000, 0.3302422000, 0.3598966000, 0.4202167000, 0.5515271000, 0.8957877000", \
-                        "0.3400740000, 0.3451296000, 0.3584006000, 0.3879574000, 0.4487248000, 0.5794059000, 0.9239829000", \
-                        "0.3979464000, 0.4030038000, 0.4161824000, 0.4456933000, 0.5062855000, 0.6377213000, 0.9820627000", \
-                        "0.5136720000, 0.5189028000, 0.5325164000, 0.5624919000, 0.6238005000, 0.7553355000, 1.0994171000", \
-                        "0.7155839000, 0.7213721000, 0.7364562000, 0.7699874000, 0.8371058000, 0.9762655000, 1.3252539000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
-                    values("0.0919621000, 0.0958728000, 0.1065032000, 0.1336478000, 0.2068716000, 0.4290899000, 1.1368835000", \
-                        "0.0962468000, 0.1000905000, 0.1107211000, 0.1378956000, 0.2111282000, 0.4341549000, 1.1422930000", \
-                        "0.1055451000, 0.1094313000, 0.1200296000, 0.1471154000, 0.2203695000, 0.4434668000, 1.1538521000", \
-                        "0.1270807000, 0.1309170000, 0.1413932000, 0.1682033000, 0.2411985000, 0.4642995000, 1.1746963000", \
-                        "0.1663586000, 0.1704235000, 0.1813711000, 0.2087338000, 0.2812732000, 0.5037598000, 1.2114370000", \
-                        "0.2193578000, 0.2241095000, 0.2363330000, 0.2653826000, 0.3386695000, 0.5607617000, 1.2726694000", \
-                        "0.2660851000, 0.2721988000, 0.2877465000, 0.3218159000, 0.3981853000, 0.6208490000, 1.3280050000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
-                    values("0.0399153000, 0.0429451000, 0.0511477000, 0.0711413000, 0.1178846000, 0.2498336000, 0.6840482000", \
-                        "0.0399771000, 0.0428513000, 0.0509184000, 0.0709225000, 0.1171143000, 0.2496866000, 0.6836586000", \
-                        "0.0399164000, 0.0429465000, 0.0511406000, 0.0698012000, 0.1178867000, 0.2498139000, 0.6840331000", \
-                        "0.0402358000, 0.0432961000, 0.0509044000, 0.0707649000, 0.1171320000, 0.2495772000, 0.6822868000", \
-                        "0.0402614000, 0.0434810000, 0.0517350000, 0.0701564000, 0.1182133000, 0.2501686000, 0.6821884000", \
-                        "0.0424804000, 0.0454344000, 0.0532435000, 0.0721925000, 0.1191672000, 0.2503546000, 0.6847166000", \
-                        "0.0505379000, 0.0537991000, 0.0625121000, 0.0828114000, 0.1314729000, 0.2618848000, 0.6877049000");
-                }
-                related_pin : "A2";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
-                    values("0.0220719000, 0.0253359000, 0.0350170000, 0.0643221000, 0.1601706000, 0.4768894000, 1.5016929000", \
-                        "0.0221197000, 0.0254232000, 0.0350479000, 0.0642975000, 0.1602991000, 0.4761465000, 1.4995321000", \
-                        "0.0220779000, 0.0253586000, 0.0349067000, 0.0642437000, 0.1601298000, 0.4771194000, 1.5007138000", \
-                        "0.0218905000, 0.0251249000, 0.0347010000, 0.0640408000, 0.1600192000, 0.4770311000, 1.4992503000", \
-                        "0.0240649000, 0.0272985000, 0.0365176000, 0.0653659000, 0.1598889000, 0.4767225000, 1.5018399000", \
-                        "0.0296268000, 0.0327797000, 0.0424360000, 0.0693618000, 0.1619229000, 0.4759914000, 1.5004781000", \
-                        "0.0406895000, 0.0445766000, 0.0545610000, 0.0810396000, 0.1661865000, 0.4784668000, 1.5000430000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
-                    values("0.2751185000, 0.2801948000, 0.2934522000, 0.3230257000, 0.3836559000, 0.5148733000, 0.8591881000", \
-                        "0.2780202000, 0.2830934000, 0.2963526000, 0.3260194000, 0.3863829000, 0.5177151000, 0.8620067000", \
-                        "0.2874797000, 0.2926251000, 0.3058590000, 0.3354859000, 0.3962107000, 0.5270501000, 0.8709657000", \
-                        "0.3118258000, 0.3168998000, 0.3301195000, 0.3596421000, 0.4204821000, 0.5513047000, 0.8959385000", \
-                        "0.3696403000, 0.3747238000, 0.3879025000, 0.4173840000, 0.4781049000, 0.6093613000, 0.9539698000", \
-                        "0.4976659000, 0.5028265000, 0.5167283000, 0.5473300000, 0.6087907000, 0.7403285000, 1.0845896000", \
-                        "0.7277179000, 0.7338088000, 0.7498294000, 0.7850099000, 0.8541070000, 0.9945134000, 1.3446726000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
-                    values("0.0641276000, 0.0673347000, 0.0762717000, 0.1001156000, 0.1695035000, 0.3894394000, 1.0988571000", \
-                        "0.0688170000, 0.0720353000, 0.0809709000, 0.1048315000, 0.1743784000, 0.3942491000, 1.1016815000", \
-                        "0.0797849000, 0.0830214000, 0.0919498000, 0.1157029000, 0.1853582000, 0.4053166000, 1.1126370000", \
-                        "0.1037092000, 0.1069615000, 0.1159059000, 0.1397282000, 0.2092109000, 0.4295811000, 1.1371222000", \
-                        "0.1370553000, 0.1409217000, 0.1509485000, 0.1759518000, 0.2458683000, 0.4665454000, 1.1737853000", \
-                        "0.1705527000, 0.1755878000, 0.1882528000, 0.2166583000, 0.2876653000, 0.5090986000, 1.2178673000", \
-                        "0.1813109000, 0.1880057000, 0.2048736000, 0.2412281000, 0.3174280000, 0.5380643000, 1.2436114000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
-                    values("0.0399733000, 0.0430311000, 0.0515516000, 0.0707541000, 0.1168975000, 0.2496567000, 0.6836965000", \
-                        "0.0399176000, 0.0429511000, 0.0511648000, 0.0698079000, 0.1178293000, 0.2495296000, 0.6838731000", \
-                        "0.0399188000, 0.0428882000, 0.0511631000, 0.0701808000, 0.1176901000, 0.2501305000, 0.6841683000", \
-                        "0.0402234000, 0.0428939000, 0.0510426000, 0.0698359000, 0.1174895000, 0.2496598000, 0.6823333000", \
-                        "0.0402486000, 0.0429500000, 0.0513386000, 0.0699309000, 0.1172787000, 0.2491601000, 0.6838548000", \
-                        "0.0439201000, 0.0470331000, 0.0549710000, 0.0739028000, 0.1199637000, 0.2519704000, 0.6843851000", \
-                        "0.0565186000, 0.0599273000, 0.0683941000, 0.0887207000, 0.1354372000, 0.2633751000, 0.6901671000");
-                }
-                related_pin : "B1";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
-                    values("0.0178087000, 0.0206291000, 0.0295061000, 0.0585200000, 0.1556262000, 0.4741025000, 1.5011904000", \
-                        "0.0178121000, 0.0206827000, 0.0295447000, 0.0585504000, 0.1557912000, 0.4733520000, 1.4977766000", \
-                        "0.0178160000, 0.0205849000, 0.0295516000, 0.0584993000, 0.1556400000, 0.4735015000, 1.4974745000", \
-                        "0.0188272000, 0.0215192000, 0.0301720000, 0.0587197000, 0.1557986000, 0.4737341000, 1.4975263000", \
-                        "0.0238424000, 0.0263464000, 0.0344322000, 0.0612809000, 0.1559380000, 0.4734331000, 1.4968941000", \
-                        "0.0333320000, 0.0358511000, 0.0438703000, 0.0681013000, 0.1579896000, 0.4735695000, 1.4999765000", \
-                        "0.0466599000, 0.0505911000, 0.0605936000, 0.0844909000, 0.1650072000, 0.4759026000, 1.4929707000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
-                    values("0.2345037000, 0.2395532000, 0.2529028000, 0.2824408000, 0.3429973000, 0.4743609000, 0.8186568000", \
-                        "0.2368269000, 0.2419679000, 0.2552630000, 0.2848683000, 0.3455166000, 0.4770112000, 0.8209336000", \
-                        "0.2447472000, 0.2498002000, 0.2628241000, 0.2924909000, 0.3529225000, 0.4842410000, 0.8286449000", \
-                        "0.2693265000, 0.2743672000, 0.2876051000, 0.3171219000, 0.3777202000, 0.5092421000, 0.8532542000", \
-                        "0.3328171000, 0.3379156000, 0.3511336000, 0.3807395000, 0.4413456000, 0.5728198000, 0.9172853000", \
-                        "0.4810669000, 0.4863471000, 0.5000815000, 0.5302728000, 0.5911433000, 0.7209153000, 1.0649812000", \
-                        "0.7338798000, 0.7403906000, 0.7575583000, 0.7947115000, 0.8641746000, 1.0008790000, 1.3498923000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
-                    values("0.0616369000, 0.0649783000, 0.0742901000, 0.0987160000, 0.1685097000, 0.3881851000, 1.0960394000", \
-                        "0.0662534000, 0.0696063000, 0.0788887000, 0.1034282000, 0.1732460000, 0.3929230000, 1.1139482000", \
-                        "0.0773148000, 0.0806414000, 0.0898821000, 0.1143149000, 0.1843586000, 0.4045158000, 1.1132999000", \
-                        "0.0999440000, 0.1033689000, 0.1127314000, 0.1371624000, 0.2073858000, 0.4283673000, 1.1341664000", \
-                        "0.1301315000, 0.1342994000, 0.1447946000, 0.1705622000, 0.2411686000, 0.4624461000, 1.1686591000", \
-                        "0.1573492000, 0.1627031000, 0.1763241000, 0.2061366000, 0.2780827000, 0.4986991000, 1.2068481000", \
-                        "0.1570861000, 0.1640671000, 0.1822407000, 0.2209569000, 0.2993921000, 0.5195087000, 1.2267019000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
-                    values("0.0400509000, 0.0428737000, 0.0510754000, 0.0709754000, 0.1170794000, 0.2497207000, 0.6840254000", \
-                        "0.0399623000, 0.0430360000, 0.0511577000, 0.0700017000, 0.1172588000, 0.2500031000, 0.6844618000", \
-                        "0.0400599000, 0.0430569000, 0.0509788000, 0.0707152000, 0.1178490000, 0.2493851000, 0.6831913000", \
-                        "0.0399166000, 0.0429725000, 0.0508312000, 0.0707839000, 0.1169752000, 0.2502215000, 0.6842946000", \
-                        "0.0402251000, 0.0430459000, 0.0507812000, 0.0698500000, 0.1171400000, 0.2496105000, 0.6832820000", \
-                        "0.0448358000, 0.0478526000, 0.0564413000, 0.0733712000, 0.1187520000, 0.2518399000, 0.6847739000", \
-                        "0.0637558000, 0.0672903000, 0.0762557000, 0.0966580000, 0.1383432000, 0.2614313000, 0.6891412000");
-                }
-                related_pin : "C1";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
-                    values("0.0182508000, 0.0210669000, 0.0300391000, 0.0588733000, 0.1553422000, 0.4737125000, 1.5009366000", \
-                        "0.0182249000, 0.0210988000, 0.0300378000, 0.0589251000, 0.1558124000, 0.4761699000, 1.5038376000", \
-                        "0.0182313000, 0.0210784000, 0.0300926000, 0.0588997000, 0.1557027000, 0.4761833000, 1.4992977000", \
-                        "0.0198243000, 0.0225180000, 0.0311881000, 0.0594178000, 0.1558606000, 0.4753937000, 1.5033070000", \
-                        "0.0253861000, 0.0279727000, 0.0360848000, 0.0625571000, 0.1564769000, 0.4749359000, 1.5019243000", \
-                        "0.0358669000, 0.0387199000, 0.0467705000, 0.0708261000, 0.1591203000, 0.4737829000, 1.4984619000", \
-                        "0.0502490000, 0.0545919000, 0.0652988000, 0.0899610000, 0.1682293000, 0.4751127000, 1.4962180000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-        }
-    }
-
-/* removed sky130_fd_sc_hd__a211oi_1 */
-
-/* removed sky130_fd_sc_hd__a211oi_2 */
-
-/* removed sky130_fd_sc_hd__a211oi_4 */
-
-/* removed sky130_fd_sc_hd__a21bo_1 */
-
-/* removed sky130_fd_sc_hd__a21bo_2 */
-
-    cell ("sky130_fd_sc_hd__a21bo_4") {
-        leakage_power () {
-            value : 0.0083995000;
-            when : "!A1&!A2&B1_N";
-        }
-        leakage_power () {
-            value : 0.0058546000;
-            when : "!A1&!A2&!B1_N";
-        }
-        leakage_power () {
-            value : 0.0093676000;
-            when : "!A1&A2&B1_N";
-        }
-        leakage_power () {
-            value : 0.0058546000;
-            when : "!A1&A2&!B1_N";
-        }
-        leakage_power () {
-            value : 0.0090397000;
-            when : "A1&!A2&B1_N";
-        }
-        leakage_power () {
-            value : 0.0058546000;
-            when : "A1&!A2&!B1_N";
-        }
-        leakage_power () {
-            value : 0.0075080000;
-            when : "A1&A2&B1_N";
-        }
-        leakage_power () {
-            value : 0.0029896000;
-            when : "A1&A2&!B1_N";
-        }
-        area : 16.265600000;
-        cell_footprint : "sky130_fd_sc_hd__a21bo";
-        cell_leakage_power : 0.0068585080;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("A1") {
-            capacitance : 0.0044110000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0042300000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0075869000, 0.0075855000, 0.0075821000, 0.0075834000, 0.0075866000, 0.0075938000, 0.0076105000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.007591200, -0.007585700, -0.007573200, -0.007575600, -0.007581300, -0.007594300, -0.007624300");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0045930000;
-        }
-        pin ("A2") {
-            capacitance : 0.0047940000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0045620000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0082730000, 0.0082754000, 0.0082810000, 0.0082783000, 0.0082720000, 0.0082576000, 0.0082244000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.008297100, -0.008289300, -0.008271400, -0.008266600, -0.008255700, -0.008230500, -0.008172500");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0050270000;
-        }
-        pin ("B1_N") {
-            capacitance : 0.0023800000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0022560000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0150112000, 0.0148767000, 0.0145667000, 0.0147210000, 0.0150768000, 0.0158968000, 0.0177870000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0045746000, 0.0044631000, 0.0042062000, 0.0043370000, 0.0046384000, 0.0053330000, 0.0069343000");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0025040000;
-        }
-        pin ("X") {
-            direction : "output";
-            function : "(A1&A2) | (!B1_N)";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015677720, 0.0049158190, 0.0154137700, 0.0483305500, 0.1515426000, 0.4751685000");
-                    values("0.0287793000, 0.0271694000, 0.0220928000, 0.0080520000, -0.040900100, -0.206192300, -0.730139000", \
-                        "0.0284898000, 0.0268578000, 0.0217968000, 0.0077329000, -0.041169300, -0.206484400, -0.730406200", \
-                        "0.0280752000, 0.0263928000, 0.0213284000, 0.0072575000, -0.041584400, -0.206885400, -0.730785400", \
-                        "0.0276595000, 0.0260156000, 0.0208948000, 0.0067593000, -0.042059400, -0.207346300, -0.731212700", \
-                        "0.0273730000, 0.0257394000, 0.0205815000, 0.0063134000, -0.042680000, -0.207770100, -0.731619000", \
-                        "0.0274773000, 0.0256214000, 0.0200771000, 0.0051556000, -0.043275500, -0.208016000, -0.731728900", \
-                        "0.0351097000, 0.0331327000, 0.0273658000, 0.0102528000, -0.042476700, -0.208205400, -0.731476800");
-                }
-                related_pin : "A1";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015677720, 0.0049158190, 0.0154137700, 0.0483305500, 0.1515426000, 0.4751685000");
-                    values("0.0333402000, 0.0351655000, 0.0410453000, 0.0590654000, 0.1131127000, 0.2794436000, 0.7979701000", \
-                        "0.0330529000, 0.0349316000, 0.0408465000, 0.0588127000, 0.1128753000, 0.2792588000, 0.7974006000", \
-                        "0.0327559000, 0.0346593000, 0.0405588000, 0.0584922000, 0.1125829000, 0.2790510000, 0.7973509000", \
-                        "0.0326359000, 0.0345006000, 0.0403115000, 0.0580010000, 0.1119062000, 0.2782930000, 0.7969835000", \
-                        "0.0325387000, 0.0343185000, 0.0400873000, 0.0576573000, 0.1112481000, 0.2779141000, 0.7969836000", \
-                        "0.0339698000, 0.0357156000, 0.0412736000, 0.0584154000, 0.1112888000, 0.2773279000, 0.7969349000", \
-                        "0.0366732000, 0.0383493000, 0.0436714000, 0.0606443000, 0.1129905000, 0.2791802000, 0.7965250000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015677720, 0.0049158190, 0.0154137700, 0.0483305500, 0.1515426000, 0.4751685000");
-                    values("0.0339691000, 0.0323885000, 0.0273396000, 0.0131172000, -0.036043700, -0.201253800, -0.725046400", \
-                        "0.0338321000, 0.0321215000, 0.0270626000, 0.0129102000, -0.036236300, -0.201454300, -0.725246400", \
-                        "0.0334752000, 0.0318821000, 0.0268585000, 0.0126001000, -0.036453200, -0.201736100, -0.725527300", \
-                        "0.0331887000, 0.0315567000, 0.0264820000, 0.0123323000, -0.036788900, -0.202035600, -0.725830600", \
-                        "0.0329923000, 0.0313791000, 0.0263054000, 0.0119304000, -0.037213200, -0.202393600, -0.726119300", \
-                        "0.0322166000, 0.0303558000, 0.0250221000, 0.0108796000, -0.037733200, -0.202653200, -0.726238700", \
-                        "0.0405651000, 0.0386272000, 0.0328419000, 0.0157682000, -0.037011600, -0.202233500, -0.725604600");
-                }
-                related_pin : "A2";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015677720, 0.0049158190, 0.0154137700, 0.0483305500, 0.1515426000, 0.4751685000");
-                    values("0.0340306000, 0.0358822000, 0.0418081000, 0.0596959000, 0.1137801000, 0.2801414000, 0.7984925000", \
-                        "0.0337596000, 0.0356374000, 0.0415791000, 0.0594662000, 0.1135741000, 0.2796059000, 0.7982059000", \
-                        "0.0334470000, 0.0353312000, 0.0412274000, 0.0591395000, 0.1132261000, 0.2793379000, 0.7978198000", \
-                        "0.0332315000, 0.0351187000, 0.0408322000, 0.0585830000, 0.1126540000, 0.2789831000, 0.7975082000", \
-                        "0.0330020000, 0.0348102000, 0.0406174000, 0.0581032000, 0.1119671000, 0.2784653000, 0.7973982000", \
-                        "0.0336277000, 0.0354219000, 0.0409976000, 0.0582639000, 0.1113727000, 0.2772210000, 0.7964655000", \
-                        "0.0357862000, 0.0374931000, 0.0428547000, 0.0596898000, 0.1132096000, 0.2791582000, 0.7961487000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015677720, 0.0049158190, 0.0154137700, 0.0483305500, 0.1515426000, 0.4751685000");
-                    values("0.0324016000, 0.0308023000, 0.0257737000, 0.0115719000, -0.037551200, -0.202780300, -0.726471400", \
-                        "0.0322602000, 0.0306923000, 0.0256258000, 0.0114791000, -0.037570200, -0.202772500, -0.726554600", \
-                        "0.0322285000, 0.0306345000, 0.0255468000, 0.0113821000, -0.037686400, -0.202883000, -0.726596400", \
-                        "0.0315412000, 0.0299254000, 0.0249078000, 0.0106471000, -0.038502000, -0.203658200, -0.727305700", \
-                        "0.0308948000, 0.0292294000, 0.0242289000, 0.0099391000, -0.039075400, -0.204335300, -0.727961200", \
-                        "0.0361274000, 0.0343958000, 0.0291395000, 0.0127474000, -0.039511700, -0.204840500, -0.728459500", \
-                        "0.0375030000, 0.0357779000, 0.0305623000, 0.0141698000, -0.038087400, -0.204487200, -0.728510300");
-                }
-                related_pin : "B1_N";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015677720, 0.0049158190, 0.0154137700, 0.0483305500, 0.1515426000, 0.4751685000");
-                    values("0.0296901000, 0.0315954000, 0.0374580000, 0.0551122000, 0.1085342000, 0.2741842000, 0.7926498000", \
-                        "0.0297196000, 0.0316132000, 0.0374604000, 0.0550639000, 0.1084750000, 0.2741530000, 0.7902036000", \
-                        "0.0297005000, 0.0315931000, 0.0374314000, 0.0550478000, 0.1084938000, 0.2740096000, 0.7934591000", \
-                        "0.0293089000, 0.0312025000, 0.0370426000, 0.0546486000, 0.1081160000, 0.2739454000, 0.7894757000", \
-                        "0.0287299000, 0.0306083000, 0.0364361000, 0.0540292000, 0.1074659000, 0.2731660000, 0.7893720000", \
-                        "0.0280174000, 0.0298118000, 0.0353718000, 0.0530533000, 0.1064970000, 0.2720843000, 0.7915000000", \
-                        "0.0287192000, 0.0304776000, 0.0360719000, 0.0533972000, 0.1070237000, 0.2732195000, 0.7892881000");
-                }
-            }
-            max_capacitance : 0.4751690000;
-            max_transition : 1.5028070000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
-                    values("0.1742197000, 0.1780640000, 0.1880849000, 0.2105681000, 0.2566490000, 0.3601659000, 0.6396088000", \
-                        "0.1788503000, 0.1827494000, 0.1927525000, 0.2152181000, 0.2615883000, 0.3648690000, 0.6444100000", \
-                        "0.1910125000, 0.1948932000, 0.2048582000, 0.2272935000, 0.2733362000, 0.3770075000, 0.6564735000", \
-                        "0.2180152000, 0.2219428000, 0.2319173000, 0.2542085000, 0.3003956000, 0.4040419000, 0.6836128000", \
-                        "0.2768746000, 0.2807476000, 0.2907441000, 0.3130421000, 0.3593770000, 0.4629205000, 0.7425008000", \
-                        "0.3892839000, 0.3936184000, 0.4047327000, 0.4292694000, 0.4788290000, 0.5853579000, 0.8656543000", \
-                        "0.5849097000, 0.5899720000, 0.6030840000, 0.6318827000, 0.6886934000, 0.8035936000, 1.0888854000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
-                    values("0.0812039000, 0.0850591000, 0.0954708000, 0.1222509000, 0.1959267000, 0.4189616000, 1.1148638000", \
-                        "0.0851859000, 0.0890557000, 0.0994616000, 0.1262328000, 0.1998155000, 0.4226112000, 1.1168256000", \
-                        "0.0952106000, 0.0991258000, 0.1095270000, 0.1362918000, 0.2100338000, 0.4332018000, 1.1263553000", \
-                        "0.1184577000, 0.1223091000, 0.1326109000, 0.1591327000, 0.2328353000, 0.4556872000, 1.1503005000", \
-                        "0.1542216000, 0.1582682000, 0.1690266000, 0.1961616000, 0.2696312000, 0.4929969000, 1.1881556000", \
-                        "0.1936795000, 0.1986486000, 0.2109398000, 0.2390957000, 0.3128864000, 0.5362993000, 1.2308347000", \
-                        "0.2144158000, 0.2209255000, 0.2368774000, 0.2709901000, 0.3457119000, 0.5685626000, 1.2621847000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
-                    values("0.0267205000, 0.0290676000, 0.0356235000, 0.0515748000, 0.0912549000, 0.2032114000, 0.5688400000", \
-                        "0.0266218000, 0.0290910000, 0.0356017000, 0.0512859000, 0.0910307000, 0.2034048000, 0.5685457000", \
-                        "0.0265148000, 0.0289401000, 0.0353127000, 0.0512335000, 0.0913416000, 0.2034733000, 0.5692317000", \
-                        "0.0265820000, 0.0290210000, 0.0354396000, 0.0511558000, 0.0912500000, 0.2031310000, 0.5689907000", \
-                        "0.0267277000, 0.0292150000, 0.0356133000, 0.0513482000, 0.0912542000, 0.2037851000, 0.5689327000", \
-                        "0.0323046000, 0.0345760000, 0.0415241000, 0.0584577000, 0.0971136000, 0.2075183000, 0.5697293000", \
-                        "0.0441596000, 0.0467431000, 0.0545901000, 0.0718980000, 0.1120691000, 0.2209788000, 0.5733417000");
-                }
-                related_pin : "A1";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
-                    values("0.0209235000, 0.0244787000, 0.0346636000, 0.0657264000, 0.1665099000, 0.4874191000, 1.5000967000", \
-                        "0.0210245000, 0.0244326000, 0.0346178000, 0.0655984000, 0.1660945000, 0.4885085000, 1.4965013000", \
-                        "0.0209917000, 0.0244279000, 0.0345868000, 0.0657684000, 0.1664739000, 0.4883761000, 1.4995049000", \
-                        "0.0211358000, 0.0244984000, 0.0346708000, 0.0658532000, 0.1664428000, 0.4881575000, 1.4963880000", \
-                        "0.0238646000, 0.0272311000, 0.0370887000, 0.0677095000, 0.1669990000, 0.4881817000, 1.4973883000", \
-                        "0.0308081000, 0.0341815000, 0.0437360000, 0.0717744000, 0.1685215000, 0.4884014000, 1.4990746000", \
-                        "0.0431141000, 0.0469133000, 0.0572300000, 0.0839376000, 0.1727752000, 0.4896490000, 1.4983087000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
-                    values("0.1797980000, 0.1836751000, 0.1935369000, 0.2152691000, 0.2600786000, 0.3611437000, 0.6395937000", \
-                        "0.1849727000, 0.1888569000, 0.1987461000, 0.2205022000, 0.2653114000, 0.3663918000, 0.6448422000", \
-                        "0.1978486000, 0.2017258000, 0.2114740000, 0.2332370000, 0.2781423000, 0.3792207000, 0.6575198000", \
-                        "0.2257639000, 0.2296417000, 0.2394864000, 0.2610704000, 0.3058354000, 0.4070586000, 0.6856051000", \
-                        "0.2860129000, 0.2898971000, 0.2997135000, 0.3213620000, 0.3662129000, 0.4676187000, 0.7461193000", \
-                        "0.4026601000, 0.4069690000, 0.4178959000, 0.4417051000, 0.4894851000, 0.5932344000, 0.8721475000", \
-                        "0.5997753000, 0.6050404000, 0.6183561000, 0.6468679000, 0.7019378000, 0.8128681000, 1.0953696000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
-                    values("0.0860955000, 0.0899547000, 0.1003823000, 0.1270803000, 0.2005793000, 0.4234437000, 1.1160106000", \
-                        "0.0902399000, 0.0941002000, 0.1045153000, 0.1313040000, 0.2049885000, 0.4274504000, 1.1218353000", \
-                        "0.0993672000, 0.1032885000, 0.1136900000, 0.1404546000, 0.2141426000, 0.4371498000, 1.1309083000", \
-                        "0.1199406000, 0.1237990000, 0.1341179000, 0.1606593000, 0.2341188000, 0.4571609000, 1.1511158000", \
-                        "0.1552446000, 0.1593357000, 0.1702255000, 0.1974764000, 0.2710906000, 0.4944444000, 1.1901591000", \
-                        "0.1996959000, 0.2045362000, 0.2168433000, 0.2457953000, 0.3201915000, 0.5433026000, 1.2377342000", \
-                        "0.2328524000, 0.2391623000, 0.2548964000, 0.2886088000, 0.3656588000, 0.5890500000, 1.2818829000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
-                    values("0.0264639000, 0.0288509000, 0.0350456000, 0.0497332000, 0.0877827000, 0.2002396000, 0.5658528000", \
-                        "0.0263749000, 0.0286927000, 0.0347541000, 0.0497043000, 0.0877778000, 0.2002828000, 0.5659527000", \
-                        "0.0265453000, 0.0289328000, 0.0351228000, 0.0500041000, 0.0885391000, 0.2005314000, 0.5660239000", \
-                        "0.0262255000, 0.0285650000, 0.0346183000, 0.0501055000, 0.0886324000, 0.2005065000, 0.5667364000", \
-                        "0.0265447000, 0.0286830000, 0.0347922000, 0.0498333000, 0.0885925000, 0.2003341000, 0.5662483000", \
-                        "0.0319563000, 0.0344159000, 0.0405070000, 0.0563036000, 0.0935921000, 0.2035677000, 0.5683297000", \
-                        "0.0437548000, 0.0467956000, 0.0536869000, 0.0703166000, 0.1088737000, 0.2163804000, 0.5726232000");
-                }
-                related_pin : "A2";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
-                    values("0.0209982000, 0.0244754000, 0.0346353000, 0.0658166000, 0.1659043000, 0.4889789000, 1.4998146000", \
-                        "0.0209773000, 0.0244497000, 0.0345894000, 0.0656563000, 0.1664779000, 0.4882972000, 1.4949160000", \
-                        "0.0210344000, 0.0244291000, 0.0345518000, 0.0657166000, 0.1664803000, 0.4879909000, 1.4977577000", \
-                        "0.0210953000, 0.0245372000, 0.0348379000, 0.0659311000, 0.1659517000, 0.4888517000, 1.4979752000", \
-                        "0.0234715000, 0.0269156000, 0.0369016000, 0.0674132000, 0.1670476000, 0.4874444000, 1.4988806000", \
-                        "0.0294439000, 0.0329116000, 0.0424406000, 0.0713575000, 0.1685258000, 0.4873001000, 1.4993654000", \
-                        "0.0400162000, 0.0441677000, 0.0550432000, 0.0825176000, 0.1726244000, 0.4898127000, 1.4936906000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
-                    values("0.1890289000, 0.1928648000, 0.2027495000, 0.2246165000, 0.2694354000, 0.3706662000, 0.6491117000", \
-                        "0.1935168000, 0.1974356000, 0.2073392000, 0.2291864000, 0.2737517000, 0.3749880000, 0.6535471000", \
-                        "0.2037843000, 0.2076755000, 0.2175529000, 0.2392710000, 0.2842107000, 0.3853059000, 0.6635714000", \
-                        "0.2236644000, 0.2275304000, 0.2374358000, 0.2591964000, 0.3041446000, 0.4053242000, 0.6839452000", \
-                        "0.2522212000, 0.2561030000, 0.2659503000, 0.2877348000, 0.3324453000, 0.4336784000, 0.7122307000", \
-                        "0.2842631000, 0.2881492000, 0.2980409000, 0.3198236000, 0.3646624000, 0.4658789000, 0.7446369000", \
-                        "0.3059309000, 0.3098112000, 0.3196793000, 0.3413907000, 0.3860739000, 0.4876290000, 0.7659757000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
-                    values("0.1418139000, 0.1453436000, 0.1549130000, 0.1801688000, 0.2524746000, 0.4737471000, 1.1724953000", \
-                        "0.1469704000, 0.1504676000, 0.1600721000, 0.1853471000, 0.2576038000, 0.4797613000, 1.1711611000", \
-                        "0.1596621000, 0.1631629000, 0.1727294000, 0.1979014000, 0.2702188000, 0.4916235000, 1.1847316000", \
-                        "0.1910541000, 0.1945636000, 0.2041682000, 0.2293195000, 0.3013612000, 0.5227239000, 1.2166573000", \
-                        "0.2587478000, 0.2622743000, 0.2719039000, 0.2970790000, 0.3691051000, 0.5909195000, 1.2907644000", \
-                        "0.3708572000, 0.3745136000, 0.3844050000, 0.4099484000, 0.4823748000, 0.7042895000, 1.3968923000", \
-                        "0.5488578000, 0.5529336000, 0.5636424000, 0.5900229000, 0.6628344000, 0.8847304000, 1.5768501000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
-                    values("0.0265096000, 0.0286590000, 0.0349137000, 0.0498453000, 0.0884511000, 0.2003135000, 0.5678873000", \
-                        "0.0261802000, 0.0285673000, 0.0346230000, 0.0499121000, 0.0886664000, 0.2005183000, 0.5667364000", \
-                        "0.0264940000, 0.0289281000, 0.0350364000, 0.0500665000, 0.0884474000, 0.2004743000, 0.5660328000", \
-                        "0.0265495000, 0.0287951000, 0.0350933000, 0.0499720000, 0.0877874000, 0.2004595000, 0.5672240000", \
-                        "0.0263916000, 0.0287207000, 0.0347942000, 0.0495707000, 0.0885794000, 0.2001880000, 0.5677520000", \
-                        "0.0264334000, 0.0288223000, 0.0348116000, 0.0502055000, 0.0883921000, 0.1997533000, 0.5681511000", \
-                        "0.0268616000, 0.0291927000, 0.0351966000, 0.0501015000, 0.0887583000, 0.2006360000, 0.5673585000");
-                }
-                related_pin : "B1_N";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
-                    values("0.0194658000, 0.0226169000, 0.0322660000, 0.0633327000, 0.1643276000, 0.4868352000, 1.5026870000", \
-                        "0.0194885000, 0.0225686000, 0.0323452000, 0.0632799000, 0.1645645000, 0.4868954000, 1.4960473000", \
-                        "0.0195035000, 0.0226362000, 0.0323479000, 0.0633297000, 0.1643599000, 0.4864986000, 1.5028071000", \
-                        "0.0194972000, 0.0226186000, 0.0323408000, 0.0633526000, 0.1642046000, 0.4860489000, 1.4986129000", \
-                        "0.0198830000, 0.0229833000, 0.0326203000, 0.0634512000, 0.1641609000, 0.4871501000, 1.5012728000", \
-                        "0.0215170000, 0.0245371000, 0.0339653000, 0.0643597000, 0.1648631000, 0.4861204000, 1.5006558000", \
-                        "0.0252759000, 0.0281310000, 0.0371671000, 0.0661540000, 0.1657219000, 0.4864348000, 1.4941253000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-        }
-    }
-
-/* removed sky130_fd_sc_hd__a21boi_0 */
-
-/* removed sky130_fd_sc_hd__a21boi_1 */
-
-/* removed sky130_fd_sc_hd__a21boi_2 */
-
-    cell ("sky130_fd_sc_hd__a21boi_4") {
-        leakage_power () {
-            value : 0.0127454000;
-            when : "!A1&!A2&B1_N";
-        }
-        leakage_power () {
-            value : 0.0041562000;
-            when : "!A1&!A2&!B1_N";
-        }
-        leakage_power () {
-            value : 0.0146596000;
-            when : "!A1&A2&B1_N";
-        }
-        leakage_power () {
-            value : 0.0041568000;
-            when : "!A1&A2&!B1_N";
-        }
-        leakage_power () {
-            value : 0.0138528000;
-            when : "A1&!A2&B1_N";
-        }
-        leakage_power () {
-            value : 0.0041568000;
-            when : "A1&!A2&!B1_N";
-        }
-        leakage_power () {
-            value : 0.0134462000;
-            when : "A1&A2&B1_N";
-        }
-        leakage_power () {
-            value : 0.0008047000;
-            when : "A1&A2&!B1_N";
-        }
-        area : 18.768000000;
-        cell_footprint : "sky130_fd_sc_hd__a21boi";
-        cell_leakage_power : 0.0084973050;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("A1") {
-            capacitance : 0.0085800000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0082320000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0151147000, 0.0150977000, 0.0150585000, 0.0150657000, 0.0150822000, 0.0151202000, 0.0152080000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.015057900, -0.015057100, -0.015055100, -0.015058900, -0.015067700, -0.015087800, -0.015134400");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0089270000;
-        }
-        pin ("A2") {
-            capacitance : 0.0092350000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0087590000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0162072000, 0.0162163000, 0.0162375000, 0.0162370000, 0.0162359000, 0.0162333000, 0.0162274000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.016314700, -0.016287700, -0.016225500, -0.016226000, -0.016227100, -0.016229800, -0.016236000");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0097110000;
-        }
-        pin ("B1_N") {
-            capacitance : 0.0024730000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0023400000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0223864000, 0.0222207000, 0.0218388000, 0.0220458000, 0.0225232000, 0.0236236000, 0.0261601000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0076559000, 0.0075393000, 0.0072705000, 0.0074519000, 0.0078701000, 0.0088341000, 0.0110560000");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0026060000;
-        }
-        pin ("Y") {
-            direction : "output";
-            function : "(!A1&B1_N) | (!A2&B1_N)";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000");
-                    values("0.0179400000, 0.0165514000, 0.0126924000, 0.0021153000, -0.026998700, -0.107292700, -0.328600700", \
-                        "0.0173839000, 0.0160152000, 0.0121979000, 0.0016559000, -0.027356500, -0.107687000, -0.329087900", \
-                        "0.0166422000, 0.0152691000, 0.0114868000, 0.0010903000, -0.027860100, -0.108094200, -0.329416300", \
-                        "0.0157621000, 0.0144430000, 0.0107372000, 0.0004370000, -0.028415600, -0.108500900, -0.329641800", \
-                        "0.0155883000, 0.0142394000, 0.0104373000, 0.0001570000, -0.028857800, -0.108736600, -0.329979100", \
-                        "0.0175168000, 0.0161445000, 0.0123130000, 0.0011070000, -0.028157700, -0.108440400, -0.329641800", \
-                        "0.0218922000, 0.0203808000, 0.0163483000, 0.0051489000, -0.025144600, -0.106767200, -0.329298500");
-                }
-                related_pin : "A1";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000");
-                    values("0.0273046000, 0.0289106000, 0.0331072000, 0.0443030000, 0.0736493000, 0.1535120000, 0.3729338000", \
-                        "0.0264476000, 0.0279858000, 0.0322617000, 0.0435424000, 0.0732495000, 0.1534320000, 0.3724521000", \
-                        "0.0257749000, 0.0272622000, 0.0313671000, 0.0425115000, 0.0725294000, 0.1530507000, 0.3722575000", \
-                        "0.0253493000, 0.0267916000, 0.0307914000, 0.0418194000, 0.0714141000, 0.1531168000, 0.3719285000", \
-                        "0.0249085000, 0.0263533000, 0.0303152000, 0.0410525000, 0.0706078000, 0.1516758000, 0.3733080000", \
-                        "0.0248423000, 0.0262696000, 0.0301605000, 0.0410028000, 0.0702580000, 0.1507261000, 0.3701028000", \
-                        "0.0252941000, 0.0266002000, 0.0302000000, 0.0405826000, 0.0703921000, 0.1507848000, 0.3709306000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000");
-                    values("0.0190111000, 0.0175916000, 0.0137469000, 0.0031532000, -0.025982900, -0.106255400, -0.327576000", \
-                        "0.0184312000, 0.0170459000, 0.0132000000, 0.0026725000, -0.026437900, -0.106709900, -0.328037400", \
-                        "0.0176615000, 0.0162706000, 0.0124773000, 0.0020149000, -0.026958900, -0.107230100, -0.328454100", \
-                        "0.0167216000, 0.0153775000, 0.0117108000, 0.0013952000, -0.027453700, -0.107564100, -0.328757700", \
-                        "0.0168695000, 0.0154749000, 0.0115563000, 0.0008289000, -0.027996100, -0.107678300, -0.328763200", \
-                        "0.0171886000, 0.0157720000, 0.0118579000, 0.0012250000, -0.027946000, -0.108427900, -0.329073600", \
-                        "0.0204439000, 0.0190637000, 0.0149577000, 0.0039080000, -0.025951000, -0.106969600, -0.328960900");
-                }
-                related_pin : "A2";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000");
-                    values("0.0392188000, 0.0406429000, 0.0445632000, 0.0552764000, 0.0846306000, 0.1643358000, 0.3830583000", \
-                        "0.0385065000, 0.0399870000, 0.0439164000, 0.0547324000, 0.0841576000, 0.1642415000, 0.3830464000", \
-                        "0.0377953000, 0.0392322000, 0.0432029000, 0.0540813000, 0.0836967000, 0.1636554000, 0.3827007000", \
-                        "0.0372947000, 0.0386963000, 0.0426544000, 0.0534635000, 0.0829231000, 0.1633219000, 0.3824333000", \
-                        "0.0368807000, 0.0383597000, 0.0422489000, 0.0529334000, 0.0823233000, 0.1626710000, 0.3818196000", \
-                        "0.0367419000, 0.0381453000, 0.0420459000, 0.0529545000, 0.0823381000, 0.1623125000, 0.3815902000", \
-                        "0.0369284000, 0.0382896000, 0.0420317000, 0.0524766000, 0.0825557000, 0.1630147000, 0.3822354000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000");
-                    values("0.0070916000, 0.0058901000, 0.0026881000, -0.006482700, -0.033946400, -0.113440100, -0.334701200", \
-                        "0.0069365000, 0.0058146000, 0.0027242000, -0.006456000, -0.033941500, -0.113475400, -0.334691700", \
-                        "0.0070842000, 0.0059010000, 0.0027158000, -0.006475600, -0.033891300, -0.113361000, -0.334583600", \
-                        "0.0064916000, 0.0052715000, 0.0021617000, -0.007121400, -0.034528700, -0.113888100, -0.335021200", \
-                        "0.0058405000, 0.0046114000, 0.0012343000, -0.008204900, -0.035554600, -0.114636900, -0.335620600", \
-                        "0.0064632000, 0.0050590000, 0.0012327000, -0.009056200, -0.036974500, -0.115571000, -0.336243800", \
-                        "0.0082022000, 0.0067200000, 0.0027048000, -0.008042500, -0.036873500, -0.116404300, -0.336706900");
-                }
-                related_pin : "B1_N";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000");
-                    values("0.0341045000, 0.0355705000, 0.0395931000, 0.0505152000, 0.0801172000, 0.1603017000, 0.3793491000", \
-                        "0.0341334000, 0.0356110000, 0.0396140000, 0.0505361000, 0.0801725000, 0.1602648000, 0.3790861000", \
-                        "0.0341295000, 0.0355994000, 0.0395971000, 0.0505257000, 0.0801092000, 0.1602200000, 0.3791903000", \
-                        "0.0334739000, 0.0349182000, 0.0389011000, 0.0498240000, 0.0793633000, 0.1595830000, 0.3786972000", \
-                        "0.0329132000, 0.0340619000, 0.0380061000, 0.0488583000, 0.0784789000, 0.1588001000, 0.3779502000", \
-                        "0.0328308000, 0.0342285000, 0.0376381000, 0.0483366000, 0.0777601000, 0.1580971000, 0.3773340000", \
-                        "0.0333336000, 0.0347374000, 0.0386818000, 0.0492310000, 0.0783362000, 0.1583211000, 0.3771580000");
-                }
-            }
-            max_capacitance : 0.2151590000;
-            max_transition : 1.4962450000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
-                    values("0.0238817000, 0.0254790000, 0.0296035000, 0.0400575000, 0.0662833000, 0.1340798000, 0.3167884000", \
-                        "0.0279458000, 0.0294956000, 0.0335855000, 0.0440253000, 0.0702183000, 0.1380050000, 0.3207188000", \
-                        "0.0383102000, 0.0399649000, 0.0440668000, 0.0539390000, 0.0799476000, 0.1478165000, 0.3304111000", \
-                        "0.0532786000, 0.0552931000, 0.0611849000, 0.0747607000, 0.1032636000, 0.1708134000, 0.3534842000", \
-                        "0.0677599000, 0.0709785000, 0.0796532000, 0.0996983000, 0.1428810000, 0.2254693000, 0.4078537000", \
-                        "0.0701560000, 0.0751625000, 0.0881232000, 0.1198765000, 0.1859028000, 0.3098934000, 0.5327292000", \
-                        "0.0312895000, 0.0390333000, 0.0596928000, 0.1067486000, 0.2085984000, 0.4017771000, 0.7398683000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
-                    values("0.0811141000, 0.0861230000, 0.0990114000, 0.1329480000, 0.2219588000, 0.4615499000, 1.1244781000", \
-                        "0.0848176000, 0.0897153000, 0.1027063000, 0.1366865000, 0.2263829000, 0.4674799000, 1.1256287000", \
-                        "0.0969788000, 0.1017650000, 0.1143904000, 0.1481545000, 0.2382929000, 0.4802758000, 1.1396184000", \
-                        "0.1252928000, 0.1299292000, 0.1423429000, 0.1754213000, 0.2653191000, 0.5103279000, 1.1693062000", \
-                        "0.1752889000, 0.1810885000, 0.1962617000, 0.2341585000, 0.3240063000, 0.5691193000, 1.2326145000", \
-                        "0.2591884000, 0.2679308000, 0.2890225000, 0.3409481000, 0.4536259000, 0.7031590000, 1.3643427000", \
-                        "0.3952025000, 0.4108039000, 0.4441162000, 0.5251620000, 0.6867892000, 1.0061698000, 1.6841920000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
-                    values("0.0253690000, 0.0269479000, 0.0311615000, 0.0429115000, 0.0755245000, 0.1662289000, 0.4188494000", \
-                        "0.0243668000, 0.0259334000, 0.0303019000, 0.0425242000, 0.0753109000, 0.1663667000, 0.4190571000", \
-                        "0.0275211000, 0.0287484000, 0.0322940000, 0.0429973000, 0.0748660000, 0.1661558000, 0.4185659000", \
-                        "0.0377769000, 0.0398383000, 0.0444560000, 0.0547618000, 0.0815948000, 0.1665225000, 0.4188269000", \
-                        "0.0578871000, 0.0599499000, 0.0663381000, 0.0815559000, 0.1134756000, 0.1872887000, 0.4193249000", \
-                        "0.0930508000, 0.0970399000, 0.1073559000, 0.1299204000, 0.1758854000, 0.2686066000, 0.4652557000", \
-                        "0.1554881000, 0.1618080000, 0.1779811000, 0.2144157000, 0.2849083000, 0.4136971000, 0.6570327000");
-                }
-                related_pin : "A1";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
-                    values("0.0488291000, 0.0548699000, 0.0711473000, 0.1160331000, 0.2376175000, 0.5697740000, 1.4800881000", \
-                        "0.0489013000, 0.0548287000, 0.0713509000, 0.1157709000, 0.2376411000, 0.5703334000, 1.4784131000", \
-                        "0.0490675000, 0.0548439000, 0.0712859000, 0.1159155000, 0.2374366000, 0.5697366000, 1.4777536000", \
-                        "0.0501481000, 0.0561138000, 0.0720648000, 0.1162203000, 0.2374971000, 0.5733127000, 1.4790417000", \
-                        "0.0651333000, 0.0710445000, 0.0863103000, 0.1258202000, 0.2405900000, 0.5706358000, 1.4900124000", \
-                        "0.0982237000, 0.1046037000, 0.1226096000, 0.1660518000, 0.2739540000, 0.5777669000, 1.4818126000", \
-                        "0.1754289000, 0.1836767000, 0.2056811000, 0.2566263000, 0.3752195000, 0.6633920000, 1.4962455000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
-                    values("0.0295888000, 0.0311504000, 0.0352770000, 0.0456890000, 0.0718773000, 0.1397753000, 0.3221623000", \
-                        "0.0337294000, 0.0353096000, 0.0394107000, 0.0498323000, 0.0760530000, 0.1439188000, 0.3264378000", \
-                        "0.0427192000, 0.0443317000, 0.0484122000, 0.0586838000, 0.0848531000, 0.1528284000, 0.3357343000", \
-                        "0.0573435000, 0.0593337000, 0.0645852000, 0.0768526000, 0.1050676000, 0.1731617000, 0.3561144000", \
-                        "0.0754570000, 0.0783919000, 0.0857048000, 0.1030350000, 0.1407480000, 0.2190998000, 0.4038699000", \
-                        "0.0862276000, 0.0908366000, 0.1024084000, 0.1295690000, 0.1870858000, 0.2967673000, 0.5100952000", \
-                        "0.0624235000, 0.0692935000, 0.0877484000, 0.1307685000, 0.2212856000, 0.3933705000, 0.6938073000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
-                    values("0.1054145000, 0.1096106000, 0.1214591000, 0.1525865000, 0.2361999000, 0.4639159000, 1.0918493000", \
-                        "0.1097738000, 0.1140396000, 0.1255658000, 0.1572681000, 0.2413466000, 0.4695277000, 1.0935292000", \
-                        "0.1219303000, 0.1260915000, 0.1379634000, 0.1694633000, 0.2539843000, 0.4830152000, 1.1069742000", \
-                        "0.1493125000, 0.1538333000, 0.1654086000, 0.1968260000, 0.2815466000, 0.5104387000, 1.1350382000", \
-                        "0.2036337000, 0.2087274000, 0.2220837000, 0.2554855000, 0.3398557000, 0.5689532000, 1.1939170000", \
-                        "0.2979302000, 0.3047121000, 0.3221493000, 0.3661334000, 0.4683441000, 0.7034567000, 1.3292749000", \
-                        "0.4523747000, 0.4630311000, 0.4897764000, 0.5556535000, 0.6983525000, 0.9978796000, 1.6437388000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
-                    values("0.0251428000, 0.0266655000, 0.0309679000, 0.0427622000, 0.0753954000, 0.1661905000, 0.4182691000", \
-                        "0.0248176000, 0.0263905000, 0.0307123000, 0.0426286000, 0.0752355000, 0.1660935000, 0.4189382000", \
-                        "0.0261053000, 0.0274862000, 0.0314921000, 0.0428197000, 0.0749918000, 0.1660914000, 0.4182372000", \
-                        "0.0340799000, 0.0354981000, 0.0394395000, 0.0498761000, 0.0785367000, 0.1663884000, 0.4184356000", \
-                        "0.0515194000, 0.0533362000, 0.0580381000, 0.0703700000, 0.0995473000, 0.1782699000, 0.4193900000", \
-                        "0.0843545000, 0.0870453000, 0.0937844000, 0.1108383000, 0.1486705000, 0.2331617000, 0.4473037000", \
-                        "0.1448443000, 0.1489018000, 0.1598868000, 0.1855608000, 0.2415403000, 0.3500465000, 0.5764903000");
-                }
-                related_pin : "A2";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
-                    values("0.0672715000, 0.0730043000, 0.0887345000, 0.1310951000, 0.2466677000, 0.5619774000, 1.4251855000", \
-                        "0.0673329000, 0.0730423000, 0.0887075000, 0.1313065000, 0.2467254000, 0.5608417000, 1.4265582000", \
-                        "0.0673420000, 0.0730662000, 0.0887504000, 0.1311598000, 0.2465849000, 0.5618168000, 1.4223146000", \
-                        "0.0677214000, 0.0733798000, 0.0889237000, 0.1312336000, 0.2465553000, 0.5609436000, 1.4227088000", \
-                        "0.0806480000, 0.0858447000, 0.0998303000, 0.1388296000, 0.2484700000, 0.5612510000, 1.4212885000", \
-                        "0.1132626000, 0.1194871000, 0.1356006000, 0.1773639000, 0.2806888000, 0.5698199000, 1.4257683000", \
-                        "0.1895308000, 0.1972419000, 0.2170841000, 0.2658895000, 0.3811835000, 0.6547575000, 1.4428120000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
-                    values("0.1098076000, 0.1120931000, 0.1173242000, 0.1293472000, 0.1534723000, 0.2030025000, 0.3216991000", \
-                        "0.1145612000, 0.1168307000, 0.1221505000, 0.1340299000, 0.1581827000, 0.2078218000, 0.3265197000", \
-                        "0.1268913000, 0.1291829000, 0.1345055000, 0.1463870000, 0.1703785000, 0.2201632000, 0.3388767000", \
-                        "0.1565518000, 0.1587095000, 0.1640267000, 0.1758910000, 0.2000894000, 0.2497649000, 0.3686033000", \
-                        "0.2238727000, 0.2260697000, 0.2319684000, 0.2439746000, 0.2685291000, 0.3186725000, 0.4377200000", \
-                        "0.3327764000, 0.3356538000, 0.3429247000, 0.3581601000, 0.3873080000, 0.4417023000, 0.5631183000", \
-                        "0.4975669000, 0.5012222000, 0.5102366000, 0.5288139000, 0.5662333000, 0.6311296000, 0.7575770000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
-                    values("0.1227587000, 0.1272081000, 0.1388125000, 0.1708478000, 0.2554687000, 0.4839167000, 1.1081261000", \
-                        "0.1272728000, 0.1316768000, 0.1433067000, 0.1752968000, 0.2600071000, 0.4885434000, 1.1121438000", \
-                        "0.1382430000, 0.1426486000, 0.1542662000, 0.1861169000, 0.2709461000, 0.4995752000, 1.1271158000", \
-                        "0.1626899000, 0.1668723000, 0.1785672000, 0.2101744000, 0.2951376000, 0.5240045000, 1.1481623000", \
-                        "0.2039219000, 0.2076751000, 0.2193135000, 0.2510372000, 0.3349771000, 0.5638474000, 1.1894175000", \
-                        "0.2563413000, 0.2606526000, 0.2714866000, 0.3028125000, 0.3871463000, 0.6158055000, 1.2402183000", \
-                        "0.3109474000, 0.3156089000, 0.3274500000, 0.3588873000, 0.4417121000, 0.6690754000, 1.2934693000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
-                    values("0.0358714000, 0.0365547000, 0.0386107000, 0.0451238000, 0.0639886000, 0.1163940000, 0.2678614000", \
-                        "0.0358513000, 0.0365019000, 0.0386409000, 0.0450492000, 0.0639435000, 0.1166546000, 0.2674008000", \
-                        "0.0358666000, 0.0365547000, 0.0386464000, 0.0451107000, 0.0639291000, 0.1165389000, 0.2675802000", \
-                        "0.0360173000, 0.0366383000, 0.0386762000, 0.0451534000, 0.0639818000, 0.1165105000, 0.2674500000", \
-                        "0.0398601000, 0.0404480000, 0.0422265000, 0.0479167000, 0.0655758000, 0.1172598000, 0.2678958000", \
-                        "0.0565756000, 0.0570135000, 0.0584352000, 0.0635369000, 0.0789186000, 0.1269077000, 0.2717415000", \
-                        "0.0816042000, 0.0820950000, 0.0837891000, 0.0896463000, 0.1053326000, 0.1477050000, 0.2820584000");
-                }
-                related_pin : "B1_N";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
-                    values("0.0669014000, 0.0727075000, 0.0884288000, 0.1311922000, 0.2465967000, 0.5611394000, 1.4245812000", \
-                        "0.0668951000, 0.0727029000, 0.0884316000, 0.1311898000, 0.2466344000, 0.5611989000, 1.4221558000", \
-                        "0.0668983000, 0.0727075000, 0.0884303000, 0.1311174000, 0.2465479000, 0.5614118000, 1.4235002000", \
-                        "0.0668908000, 0.0726760000, 0.0883118000, 0.1310841000, 0.2469304000, 0.5610415000, 1.4207002000", \
-                        "0.0680678000, 0.0739535000, 0.0891616000, 0.1314690000, 0.2466198000, 0.5614177000, 1.4232320000", \
-                        "0.0716922000, 0.0770771000, 0.0925021000, 0.1332862000, 0.2483803000, 0.5613787000, 1.4223859000", \
-                        "0.0841176000, 0.0891081000, 0.1031710000, 0.1404703000, 0.2501944000, 0.5626596000, 1.4244410000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-        }
-    }
-
-/* removed sky130_fd_sc_hd__a21o_1 */
-
-/* removed sky130_fd_sc_hd__a21o_2 */
-
-    cell ("sky130_fd_sc_hd__a21o_4") {
-        leakage_power () {
-            value : 0.0051242000;
-            when : "!A1&!A2&B1";
-        }
-        leakage_power () {
-            value : 0.0078745000;
-            when : "!A1&!A2&!B1";
-        }
-        leakage_power () {
-            value : 0.0051242000;
-            when : "!A1&A2&B1";
-        }
-        leakage_power () {
-            value : 0.0088385000;
-            when : "!A1&A2&!B1";
-        }
-        leakage_power () {
-            value : 0.0051242000;
-            when : "A1&!A2&B1";
-        }
-        leakage_power () {
-            value : 0.0085104000;
-            when : "A1&!A2&!B1";
-        }
-        leakage_power () {
-            value : 0.0022388000;
-            when : "A1&A2&B1";
-        }
-        leakage_power () {
-            value : 0.0042370000;
-            when : "A1&A2&!B1";
-        }
-        area : 15.014400000;
-        cell_footprint : "sky130_fd_sc_hd__a21o";
-        cell_leakage_power : 0.0058839750;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("A1") {
-            capacitance : 0.0044000000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0042160000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0087483000, 0.0087497000, 0.0087528000, 0.0087509000, 0.0087465000, 0.0087363000, 0.0087127000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.006951100, -0.006958200, -0.006974600, -0.006961500, -0.006931400, -0.006862100, -0.006702300");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0045840000;
-        }
-        pin ("A2") {
-            capacitance : 0.0047940000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0045580000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0082731000, 0.0082607000, 0.0082321000, 0.0082300000, 0.0082250000, 0.0082134000, 0.0081868000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.008241200, -0.008240200, -0.008237800, -0.008234200, -0.008225800, -0.008206400, -0.008161600");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0050290000;
-        }
-        pin ("B1") {
-            capacitance : 0.0044150000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0040740000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0041862000, 0.0041764000, 0.0041537000, 0.0041792000, 0.0042380000, 0.0043735000, 0.0046857000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.003477800, -0.003489200, -0.003515600, -0.003515900, -0.003516400, -0.003517600, -0.003520500");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0047560000;
-        }
-        pin ("X") {
-            direction : "output";
-            function : "(A1&A2) | (B1)";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016153630, 0.0052187940, 0.0168604900, 0.0544716200, 0.1759829000, 0.5685523000");
-                    values("0.0274778000, 0.0257842000, 0.0204869000, 0.0050696000, -0.051546200, -0.246660100, -0.882432800", \
-                        "0.0269723000, 0.0253111000, 0.0201012000, 0.0046633000, -0.051776900, -0.246946200, -0.882703100", \
-                        "0.0265437000, 0.0249533000, 0.0197571000, 0.0042438000, -0.052389000, -0.247404700, -0.883153000", \
-                        "0.0262383000, 0.0244738000, 0.0192471000, 0.0037275000, -0.052877300, -0.247824100, -0.883565200", \
-                        "0.0259339000, 0.0241452000, 0.0188981000, 0.0033086000, -0.053353700, -0.248451600, -0.883990600", \
-                        "0.0266870000, 0.0247809000, 0.0188823000, 0.0020311000, -0.053701900, -0.248686400, -0.884101900", \
-                        "0.0341319000, 0.0319583000, 0.0258450000, 0.0068038000, -0.053481300, -0.248732400, -0.883785000");
-                }
-                related_pin : "A1";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016153630, 0.0052187940, 0.0168604900, 0.0544716200, 0.1759829000, 0.5685523000");
-                    values("0.0330636000, 0.0350609000, 0.0413218000, 0.0611292000, 0.1228294000, 0.3179810000, 0.9478509000", \
-                        "0.0327936000, 0.0347337000, 0.0410547000, 0.0609710000, 0.1226351000, 0.3178463000, 0.9473153000", \
-                        "0.0324691000, 0.0344188000, 0.0408446000, 0.0606755000, 0.1222320000, 0.3176016000, 0.9474776000", \
-                        "0.0322222000, 0.0341736000, 0.0404913000, 0.0601078000, 0.1216352000, 0.3174235000, 0.9503319000", \
-                        "0.0322422000, 0.0341090000, 0.0401928000, 0.0593635000, 0.1207750000, 0.3167311000, 0.9449057000", \
-                        "0.0337489000, 0.0355696000, 0.0414176000, 0.0604444000, 0.1206062000, 0.3157646000, 0.9467749000", \
-                        "0.0364213000, 0.0381387000, 0.0439368000, 0.0626222000, 0.1228693000, 0.3176702000, 0.9441429000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016153630, 0.0052187940, 0.0168604900, 0.0544716200, 0.1759829000, 0.5685523000");
-                    values("0.0334991000, 0.0317251000, 0.0263876000, 0.0109445000, -0.045676900, -0.240561000, -0.876117400", \
-                        "0.0333108000, 0.0315216000, 0.0262465000, 0.0107117000, -0.045843500, -0.240766000, -0.876348200", \
-                        "0.0330366000, 0.0313089000, 0.0259707000, 0.0104848000, -0.046152100, -0.241187500, -0.876547100", \
-                        "0.0327946000, 0.0310211000, 0.0256751000, 0.0101838000, -0.046375300, -0.241363600, -0.876892900", \
-                        "0.0325683000, 0.0308201000, 0.0254045000, 0.0097607000, -0.046868300, -0.241832300, -0.877248100", \
-                        "0.0319419000, 0.0300183000, 0.0243119000, 0.0088544000, -0.047405600, -0.242109500, -0.877314800", \
-                        "0.0406224000, 0.0385761000, 0.0322784000, 0.0132953000, -0.047088000, -0.242214400, -0.877104700");
-                }
-                related_pin : "A2";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016153630, 0.0052187940, 0.0168604900, 0.0544716200, 0.1759829000, 0.5685523000");
-                    values("0.0342820000, 0.0362558000, 0.0426403000, 0.0623915000, 0.1239853000, 0.3191934000, 0.9489039000", \
-                        "0.0339682000, 0.0359562000, 0.0422826000, 0.0621959000, 0.1238344000, 0.3188638000, 0.9486144000", \
-                        "0.0337055000, 0.0356542000, 0.0420451000, 0.0618595000, 0.1234445000, 0.3187221000, 0.9484806000", \
-                        "0.0334580000, 0.0354327000, 0.0416588000, 0.0613353000, 0.1228855000, 0.3186205000, 0.9514069000", \
-                        "0.0333724000, 0.0352121000, 0.0413668000, 0.0607926000, 0.1221494000, 0.3180307000, 0.9476641000", \
-                        "0.0340376000, 0.0358707000, 0.0418630000, 0.0610341000, 0.1214467000, 0.3162526000, 0.9463069000", \
-                        "0.0361274000, 0.0379113000, 0.0436950000, 0.0622072000, 0.1234371000, 0.3183160000, 0.9435171000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016153630, 0.0052187940, 0.0168604900, 0.0544716200, 0.1759829000, 0.5685523000");
-                    values("0.0324514000, 0.0306536000, 0.0253277000, 0.0098852000, -0.046682800, -0.241552500, -0.877123800", \
-                        "0.0322419000, 0.0304352000, 0.0251607000, 0.0095180000, -0.047087500, -0.242059700, -0.877376800", \
-                        "0.0317360000, 0.0299028000, 0.0246901000, 0.0091220000, -0.047454300, -0.242513700, -0.877935400", \
-                        "0.0311761000, 0.0294491000, 0.0241930000, 0.0085777000, -0.047963700, -0.242974100, -0.878399900", \
-                        "0.0311684000, 0.0293973000, 0.0240696000, 0.0083267000, -0.048393400, -0.243286600, -0.878504000", \
-                        "0.0334300000, 0.0314627000, 0.0253489000, 0.0084344000, -0.047942300, -0.242581300, -0.877700100", \
-                        "0.0436091000, 0.0414602000, 0.0349051000, 0.0155753000, -0.045148700, -0.240076500, -0.875019100");
-                }
-                related_pin : "B1";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016153630, 0.0052187940, 0.0168604900, 0.0544716200, 0.1759829000, 0.5685523000");
-                    values("0.0245626000, 0.0266064000, 0.0330239000, 0.0526668000, 0.1135416000, 0.3086566000, 0.9389211000", \
-                        "0.0245103000, 0.0265413000, 0.0329450000, 0.0525805000, 0.1134985000, 0.3085676000, 0.9348980000", \
-                        "0.0242575000, 0.0262599000, 0.0325656000, 0.0521222000, 0.1131899000, 0.3084561000, 0.9328988000", \
-                        "0.0237946000, 0.0257163000, 0.0318873000, 0.0513004000, 0.1124599000, 0.3077800000, 0.9372535000", \
-                        "0.0241055000, 0.0259411000, 0.0318148000, 0.0508071000, 0.1116123000, 0.3072772000, 0.9369822000", \
-                        "0.0249849000, 0.0267604000, 0.0326091000, 0.0517580000, 0.1117228000, 0.3062566000, 0.9364688000", \
-                        "0.0292107000, 0.0308523000, 0.0364108000, 0.0550087000, 0.1158274000, 0.3101484000, 0.9353101000");
-                }
-            }
-            max_capacitance : 0.5685520000;
-            max_transition : 1.5080650000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
-                    values("0.1667089000, 0.1705674000, 0.1807010000, 0.2037151000, 0.2523315000, 0.3670029000, 0.6962084000", \
-                        "0.1715227000, 0.1753772000, 0.1855029000, 0.2083039000, 0.2572662000, 0.3717957000, 0.7009525000", \
-                        "0.1839464000, 0.1877690000, 0.1978677000, 0.2208456000, 0.2696686000, 0.3841860000, 0.7133577000", \
-                        "0.2123439000, 0.2161759000, 0.2261812000, 0.2490373000, 0.2980736000, 0.4125423000, 0.7417662000", \
-                        "0.2738375000, 0.2776756000, 0.2877082000, 0.3104602000, 0.3593439000, 0.4740748000, 0.8032273000", \
-                        "0.3905263000, 0.3950144000, 0.4062700000, 0.4312796000, 0.4839855000, 0.6010177000, 0.9311838000", \
-                        "0.5907647000, 0.5958105000, 0.6096319000, 0.6398450000, 0.7004462000, 0.8274088000, 1.1611672000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
-                    values("0.0792055000, 0.0828863000, 0.0928173000, 0.1185888000, 0.1902659000, 0.4136975000, 1.1290321000", \
-                        "0.0831300000, 0.0868059000, 0.0967804000, 0.1226076000, 0.1943042000, 0.4179284000, 1.1329810000", \
-                        "0.0931850000, 0.0968258000, 0.1068566000, 0.1325580000, 0.2041857000, 0.4275185000, 1.1429809000", \
-                        "0.1164868000, 0.1201262000, 0.1299970000, 0.1555562000, 0.2271631000, 0.4502684000, 1.1668938000", \
-                        "0.1524564000, 0.1563834000, 0.1667384000, 0.1929570000, 0.2645744000, 0.4890033000, 1.2025244000", \
-                        "0.1916982000, 0.1965845000, 0.2086638000, 0.2363082000, 0.3085659000, 0.5321583000, 1.2485090000", \
-                        "0.2121046000, 0.2184788000, 0.2345657000, 0.2688739000, 0.3430226000, 0.5654948000, 1.2805589000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
-                    values("0.0255522000, 0.0280821000, 0.0346573000, 0.0508314000, 0.0938622000, 0.2221205000, 0.6573893000", \
-                        "0.0255547000, 0.0280737000, 0.0345198000, 0.0513946000, 0.0940330000, 0.2224775000, 0.6565484000", \
-                        "0.0255340000, 0.0277512000, 0.0343106000, 0.0507223000, 0.0938892000, 0.2222570000, 0.6567179000", \
-                        "0.0253860000, 0.0278725000, 0.0348922000, 0.0507936000, 0.0937318000, 0.2220253000, 0.6573875000", \
-                        "0.0255751000, 0.0280530000, 0.0349782000, 0.0509857000, 0.0942029000, 0.2216931000, 0.6562850000", \
-                        "0.0312254000, 0.0337963000, 0.0408620000, 0.0580032000, 0.0996626000, 0.2264185000, 0.6588828000", \
-                        "0.0435838000, 0.0464076000, 0.0542099000, 0.0726916000, 0.1166850000, 0.2390488000, 0.6589572000");
-                }
-                related_pin : "A1";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
-                    values("0.0193731000, 0.0224377000, 0.0318313000, 0.0606492000, 0.1566595000, 0.4750573000, 1.5031871000", \
-                        "0.0193656000, 0.0224547000, 0.0317908000, 0.0606000000, 0.1566688000, 0.4750269000, 1.5031799000", \
-                        "0.0193240000, 0.0224966000, 0.0317529000, 0.0604945000, 0.1564875000, 0.4744984000, 1.5031826000", \
-                        "0.0196103000, 0.0227217000, 0.0319863000, 0.0606526000, 0.1564419000, 0.4746661000, 1.5037449000", \
-                        "0.0224793000, 0.0254885000, 0.0344484000, 0.0627508000, 0.1573912000, 0.4740602000, 1.5010383000", \
-                        "0.0297750000, 0.0328684000, 0.0412979000, 0.0673344000, 0.1592594000, 0.4738858000, 1.5030900000", \
-                        "0.0418062000, 0.0456527000, 0.0554911000, 0.0796857000, 0.1638428000, 0.4754935000, 1.5002588000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
-                    values("0.1789690000, 0.1828819000, 0.1930213000, 0.2158037000, 0.2637320000, 0.3772057000, 0.7064686000", \
-                        "0.1842585000, 0.1881712000, 0.1983185000, 0.2211609000, 0.2690282000, 0.3825235000, 0.7116340000", \
-                        "0.1973684000, 0.2012791000, 0.2114137000, 0.2342041000, 0.2823592000, 0.3956743000, 0.7249379000", \
-                        "0.2265678000, 0.2304777000, 0.2406150000, 0.2634019000, 0.3113485000, 0.4248725000, 0.7540166000", \
-                        "0.2891637000, 0.2930801000, 0.3032159000, 0.3258961000, 0.3740083000, 0.4877554000, 0.8165842000", \
-                        "0.4112793000, 0.4156516000, 0.4269158000, 0.4516750000, 0.5029182000, 0.6187523000, 0.9477154000", \
-                        "0.6181000000, 0.6234683000, 0.6371988000, 0.6670761000, 0.7262041000, 0.8504076000, 1.1830061000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
-                    values("0.0840004000, 0.0877201000, 0.0976883000, 0.1233646000, 0.1950546000, 0.4180092000, 1.1335686000", \
-                        "0.0881932000, 0.0918380000, 0.1018162000, 0.1276303000, 0.1992686000, 0.4226567000, 1.1379225000", \
-                        "0.0973611000, 0.1010033000, 0.1110333000, 0.1367450000, 0.2084193000, 0.4315551000, 1.1469846000", \
-                        "0.1180590000, 0.1216991000, 0.1315874000, 0.1572195000, 0.2289073000, 0.4519153000, 1.1684128000", \
-                        "0.1534077000, 0.1573463000, 0.1678135000, 0.1942218000, 0.2660085000, 0.4892663000, 1.2051552000", \
-                        "0.1977714000, 0.2024717000, 0.2145538000, 0.2425122000, 0.3152376000, 0.5383196000, 1.2537931000", \
-                        "0.2300110000, 0.2361857000, 0.2518082000, 0.2856657000, 0.3615406000, 0.5853615000, 1.2995061000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
-                    values("0.0260170000, 0.0284434000, 0.0349520000, 0.0505255000, 0.0930404000, 0.2203122000, 0.6569143000", \
-                        "0.0259900000, 0.0283878000, 0.0347688000, 0.0506457000, 0.0930903000, 0.2206009000, 0.6577096000", \
-                        "0.0261256000, 0.0285093000, 0.0349974000, 0.0504950000, 0.0928906000, 0.2208668000, 0.6569135000", \
-                        "0.0260119000, 0.0284303000, 0.0349098000, 0.0505887000, 0.0930124000, 0.2206867000, 0.6579301000", \
-                        "0.0260024000, 0.0287239000, 0.0347177000, 0.0504723000, 0.0927500000, 0.2207703000, 0.6566809000", \
-                        "0.0313011000, 0.0339602000, 0.0406455000, 0.0563300000, 0.0973993000, 0.2236620000, 0.6581443000", \
-                        "0.0433128000, 0.0462719000, 0.0538721000, 0.0713325000, 0.1133764000, 0.2357806000, 0.6582873000");
-                }
-                related_pin : "A2";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
-                    values("0.0193831000, 0.0225205000, 0.0317290000, 0.0605641000, 0.1563800000, 0.4739302000, 1.5029724000", \
-                        "0.0193929000, 0.0224443000, 0.0317943000, 0.0606332000, 0.1566589000, 0.4750706000, 1.5033857000", \
-                        "0.0193446000, 0.0225175000, 0.0317715000, 0.0605527000, 0.1563951000, 0.4745414000, 1.5031703000", \
-                        "0.0194832000, 0.0226502000, 0.0319620000, 0.0607179000, 0.1565337000, 0.4746654000, 1.5036117000", \
-                        "0.0220012000, 0.0250666000, 0.0341806000, 0.0624144000, 0.1572862000, 0.4745911000, 1.5031678000", \
-                        "0.0278237000, 0.0309823000, 0.0403250000, 0.0669312000, 0.1586233000, 0.4740527000, 1.5025758000", \
-                        "0.0389087000, 0.0426030000, 0.0527071000, 0.0782833000, 0.1636048000, 0.4754738000, 1.4996989000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
-                    values("0.1536402000, 0.1576062000, 0.1677444000, 0.1905571000, 0.2384588000, 0.3519686000, 0.6810947000", \
-                        "0.1570656000, 0.1610082000, 0.1711703000, 0.1939816000, 0.2423291000, 0.3553754000, 0.6847278000", \
-                        "0.1671333000, 0.1710480000, 0.1811008000, 0.2039052000, 0.2520921000, 0.3656219000, 0.6950172000", \
-                        "0.1942200000, 0.1981073000, 0.2082662000, 0.2309622000, 0.2791505000, 0.3927325000, 0.7218831000", \
-                        "0.2611191000, 0.2650255000, 0.2751031000, 0.2976796000, 0.3456586000, 0.4591856000, 0.7883175000", \
-                        "0.3910144000, 0.3957536000, 0.4078788000, 0.4334276000, 0.4843862000, 0.6004732000, 0.9302570000", \
-                        "0.5977439000, 0.6036122000, 0.6188354000, 0.6520439000, 0.7124349000, 0.8325155000, 1.1648997000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
-                    values("0.0544353000, 0.0576169000, 0.0665371000, 0.0903139000, 0.1601130000, 0.3810326000, 1.1000937000", \
-                        "0.0590325000, 0.0622123000, 0.0711173000, 0.0948771000, 0.1647808000, 0.3858829000, 1.1152925000", \
-                        "0.0699760000, 0.0731225000, 0.0819383000, 0.1056232000, 0.1756681000, 0.3968275000, 1.1117878000", \
-                        "0.0908976000, 0.0941777000, 0.1031807000, 0.1270713000, 0.1968986000, 0.4206701000, 1.1331958000", \
-                        "0.1173758000, 0.1213029000, 0.1315670000, 0.1567298000, 0.2271165000, 0.4500086000, 1.1640242000", \
-                        "0.1406823000, 0.1459290000, 0.1590848000, 0.1880410000, 0.2594577000, 0.4826555000, 1.1977949000", \
-                        "0.1371970000, 0.1441519000, 0.1619289000, 0.1994857000, 0.2764253000, 0.4989587000, 1.2125223000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
-                    values("0.0259005000, 0.0283259000, 0.0348526000, 0.0506371000, 0.0931228000, 0.2205883000, 0.6578232000", \
-                        "0.0259197000, 0.0283137000, 0.0346959000, 0.0506541000, 0.0927827000, 0.2210241000, 0.6571803000", \
-                        "0.0262439000, 0.0283099000, 0.0348177000, 0.0509282000, 0.0928776000, 0.2209568000, 0.6576477000", \
-                        "0.0261770000, 0.0286011000, 0.0346331000, 0.0505801000, 0.0929571000, 0.2204922000, 0.6564415000", \
-                        "0.0260781000, 0.0284484000, 0.0347977000, 0.0507030000, 0.0931648000, 0.2206822000, 0.6560777000", \
-                        "0.0359847000, 0.0385134000, 0.0455518000, 0.0593631000, 0.0994902000, 0.2240934000, 0.6585995000", \
-                        "0.0523576000, 0.0555971000, 0.0646863000, 0.0804370000, 0.1170669000, 0.2346419000, 0.6597344000");
-                }
-                related_pin : "B1";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
-                    values("0.0161763000, 0.0189805000, 0.0278221000, 0.0566970000, 0.1541050000, 0.4737553000, 1.5080651000", \
-                        "0.0161765000, 0.0189896000, 0.0278410000, 0.0567404000, 0.1538318000, 0.4735923000, 1.5025577000", \
-                        "0.0162284000, 0.0190294000, 0.0278980000, 0.0567586000, 0.1537915000, 0.4735705000, 1.4981256000", \
-                        "0.0182533000, 0.0208620000, 0.0292042000, 0.0573284000, 0.1541527000, 0.4738149000, 1.5004109000", \
-                        "0.0237159000, 0.0261375000, 0.0339486000, 0.0601992000, 0.1547536000, 0.4721910000, 1.5048959000", \
-                        "0.0335015000, 0.0362073000, 0.0440379000, 0.0678078000, 0.1569791000, 0.4714880000, 1.5001856000", \
-                        "0.0472626000, 0.0512252000, 0.0616771000, 0.0853861000, 0.1646331000, 0.4738265000, 1.4983581000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-        }
-    }
-
-/* removed sky130_fd_sc_hd__a21oi_1 */
-
-/* removed sky130_fd_sc_hd__a21oi_2 */
-
-    cell ("sky130_fd_sc_hd__a21oi_4") {
-        leakage_power () {
-            value : 0.0037804000;
-            when : "!A1&!A2&B1";
-        }
-        leakage_power () {
-            value : 0.0024464000;
-            when : "!A1&!A2&!B1";
-        }
-        leakage_power () {
-            value : 0.0037807000;
-            when : "!A1&A2&B1";
-        }
-        leakage_power () {
-            value : 0.0043513000;
-            when : "!A1&A2&!B1";
-        }
-        leakage_power () {
-            value : 0.0037807000;
-            when : "A1&!A2&B1";
-        }
-        leakage_power () {
-            value : 0.0035496000;
-            when : "A1&!A2&!B1";
-        }
-        leakage_power () {
-            value : 0.0003708000;
-            when : "A1&A2&B1";
-        }
-        leakage_power () {
-            value : 0.0035062000;
-            when : "A1&A2&!B1";
-        }
-        area : 16.265600000;
-        cell_footprint : "sky130_fd_sc_hd__a21oi";
-        cell_leakage_power : 0.0031957700;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("A1") {
-            capacitance : 0.0085710000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0082260000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0176627000, 0.0176647000, 0.0176693000, 0.0176767000, 0.0176937000, 0.0177329000, 0.0178234000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.013210700, -0.013205000, -0.013191600, -0.013160000, -0.013087000, -0.012918900, -0.012531300");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0089170000;
-        }
-        pin ("A2") {
-            capacitance : 0.0092380000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0087670000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0164539000, 0.0164246000, 0.0163571000, 0.0163522000, 0.0163411000, 0.0163154000, 0.0162562000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.016374300, -0.016358500, -0.016322100, -0.016320300, -0.016316000, -0.016306000, -0.016283100");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0097100000;
-        }
-        pin ("B1") {
-            capacitance : 0.0085960000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0079660000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0079063000, 0.0078853000, 0.0078369000, 0.0078799000, 0.0079788000, 0.0082069000, 0.0087326000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.006904200, -0.006903100, -0.006900400, -0.006895700, -0.006884800, -0.006859600, -0.006801600");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0092270000;
-        }
-        pin ("Y") {
-            direction : "output";
-            function : "(!A1&!B1) | (!A2&!B1)";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013805730, 0.0038119630, 0.0105253900, 0.0290621300, 0.0802447800, 0.2215675000");
-                    values("0.0160100000, 0.0146164000, 0.0107003000, -3.67000e-05, -0.029765500, -0.112225300, -0.340738000", \
-                        "0.0154877000, 0.0141227000, 0.0102400000, -0.000481900, -0.030094800, -0.112584600, -0.341119100", \
-                        "0.0147161000, 0.0133482000, 0.0095153000, -0.001078800, -0.030642400, -0.113008400, -0.341536400", \
-                        "0.0136958000, 0.0123339000, 0.0087090000, -0.001829400, -0.031248000, -0.113498200, -0.341832800", \
-                        "0.0135495000, 0.0121518000, 0.0084323000, -0.002128400, -0.031674100, -0.113788400, -0.342271100", \
-                        "0.0155387000, 0.0140834000, 0.0099603000, -0.001415500, -0.031509800, -0.113754600, -0.342075600", \
-                        "0.0192814000, 0.0177658000, 0.0134339000, 0.0020592000, -0.028714700, -0.112502700, -0.342228200");
-                }
-                related_pin : "A1";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013805730, 0.0038119630, 0.0105253900, 0.0290621300, 0.0802447800, 0.2215675000");
-                    values("0.0245839000, 0.0261919000, 0.0305010000, 0.0418689000, 0.0718377000, 0.1539028000, 0.3827241000", \
-                        "0.0236878000, 0.0252792000, 0.0295306000, 0.0411230000, 0.0714105000, 0.1548461000, 0.3829275000", \
-                        "0.0229696000, 0.0244674000, 0.0286299000, 0.0399911000, 0.0706113000, 0.1533308000, 0.3798184000", \
-                        "0.0225087000, 0.0239926000, 0.0280340000, 0.0392161000, 0.0695515000, 0.1534905000, 0.3794085000", \
-                        "0.0220932000, 0.0235537000, 0.0275719000, 0.0384716000, 0.0686501000, 0.1512764000, 0.3785113000", \
-                        "0.0220257000, 0.0234543000, 0.0274337000, 0.0384559000, 0.0683968000, 0.1509986000, 0.3774860000", \
-                        "0.0225028000, 0.0238276000, 0.0274343000, 0.0379557000, 0.0685071000, 0.1510636000, 0.3785388000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013805730, 0.0038119630, 0.0105253900, 0.0290621300, 0.0802447800, 0.2215675000");
-                    values("0.0189295000, 0.0175048000, 0.0135890000, 0.0028487000, -0.026859300, -0.109374500, -0.337905200", \
-                        "0.0184176000, 0.0170017000, 0.0131090000, 0.0023912000, -0.027312700, -0.109788800, -0.338314400", \
-                        "0.0176674000, 0.0162818000, 0.0124433000, 0.0018022000, -0.027803900, -0.110238700, -0.338723100", \
-                        "0.0167596000, 0.0153980000, 0.0115883000, 0.0011001000, -0.028311500, -0.110622200, -0.339027600", \
-                        "0.0165243000, 0.0151472000, 0.0111949000, 0.0005495000, -0.028712300, -0.110727000, -0.339046800", \
-                        "0.0171449000, 0.0158516000, 0.0118297000, 0.0009848000, -0.028716800, -0.111496800, -0.339392400", \
-                        "0.0203859000, 0.0189749000, 0.0148125000, 0.0036139000, -0.026900700, -0.110133000, -0.339314800");
-                }
-                related_pin : "A2";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013805730, 0.0038119630, 0.0105253900, 0.0290621300, 0.0802447800, 0.2215675000");
-                    values("0.0387408000, 0.0402516000, 0.0442085000, 0.0551265000, 0.0849807000, 0.1671127000, 0.3931873000", \
-                        "0.0380820000, 0.0395421000, 0.0435954000, 0.0546534000, 0.0846505000, 0.1669436000, 0.3927868000", \
-                        "0.0374738000, 0.0389158000, 0.0429699000, 0.0540081000, 0.0841868000, 0.1665764000, 0.3927683000", \
-                        "0.0370219000, 0.0383739000, 0.0423688000, 0.0534383000, 0.0836971000, 0.1660855000, 0.3924691000", \
-                        "0.0365073000, 0.0380068000, 0.0420169000, 0.0529156000, 0.0829307000, 0.1655618000, 0.3917991000", \
-                        "0.0365221000, 0.0378742000, 0.0418895000, 0.0528352000, 0.0829947000, 0.1654112000, 0.3915716000", \
-                        "0.0367134000, 0.0380695000, 0.0418534000, 0.0524787000, 0.0832277000, 0.1659130000, 0.3923051000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013805730, 0.0038119630, 0.0105253900, 0.0290621300, 0.0802447800, 0.2215675000");
-                    values("-0.000178600, -0.001441100, -0.005022100, -0.015454400, -0.045183200, -0.127966500, -0.356849800", \
-                        "-0.000822800, -0.002021000, -0.005491200, -0.015652200, -0.045100100, -0.127715600, -0.356513000", \
-                        "-0.001569200, -0.002780700, -0.006288400, -0.016236800, -0.045290100, -0.127607800, -0.356270400", \
-                        "-0.002277700, -0.003574600, -0.007183700, -0.017158400, -0.045939000, -0.127805600, -0.356249200", \
-                        "-0.001535500, -0.002925700, -0.006727400, -0.017040000, -0.046494700, -0.128264800, -0.356381600", \
-                        "0.0002981000, -0.001201100, -0.005283000, -0.016348200, -0.045885500, -0.128515400, -0.356704100", \
-                        "0.0067197000, 0.0050363000, 0.0004822000, -0.011555800, -0.042962400, -0.125568600, -0.356146700");
-                }
-                related_pin : "B1";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013805730, 0.0038119630, 0.0105253900, 0.0290621300, 0.0802447800, 0.2215675000");
-                    values("0.0359073000, 0.0374439000, 0.0416454000, 0.0528963000, 0.0831943000, 0.1654002000, 0.3915609000", \
-                        "0.0351250000, 0.0365980000, 0.0407347000, 0.0521921000, 0.0826883000, 0.1652002000, 0.3914749000", \
-                        "0.0343364000, 0.0358225000, 0.0399558000, 0.0512949000, 0.0817728000, 0.1645821000, 0.3912592000", \
-                        "0.0340924000, 0.0355626000, 0.0395722000, 0.0505803000, 0.0807197000, 0.1637268000, 0.3907216000", \
-                        "0.0340947000, 0.0354957000, 0.0393985000, 0.0502459000, 0.0801303000, 0.1625945000, 0.3897599000", \
-                        "0.0370809000, 0.0384560000, 0.0422412000, 0.0528237000, 0.0821207000, 0.1637129000, 0.3880777000", \
-                        "0.0415913000, 0.0428849000, 0.0466998000, 0.0575601000, 0.0857223000, 0.1654100000, 0.3897643000");
-                }
-            }
-            max_capacitance : 0.2215680000;
-            max_transition : 1.4966630000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
-                    values("0.0246992000, 0.0263024000, 0.0304106000, 0.0410125000, 0.0678401000, 0.1380803000, 0.3284191000", \
-                        "0.0287528000, 0.0303059000, 0.0344198000, 0.0449538000, 0.0717621000, 0.1421019000, 0.3323391000", \
-                        "0.0391000000, 0.0406930000, 0.0447374000, 0.0548324000, 0.0815114000, 0.1516950000, 0.3419164000", \
-                        "0.0539156000, 0.0562234000, 0.0620181000, 0.0758049000, 0.1047227000, 0.1747259000, 0.3650390000", \
-                        "0.0682020000, 0.0716078000, 0.0802008000, 0.1009026000, 0.1450502000, 0.2294386000, 0.4194306000", \
-                        "0.0708632000, 0.0760541000, 0.0893124000, 0.1215272000, 0.1892958000, 0.3160761000, 0.5451449000", \
-                        "0.0329337000, 0.0408214000, 0.0602664000, 0.1091740000, 0.2135064000, 0.4116788000, 0.7576772000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
-                    values("0.0750266000, 0.0797863000, 0.0926365000, 0.1260724000, 0.2144161000, 0.4553959000, 1.1264553000", \
-                        "0.0788206000, 0.0836378000, 0.0963492000, 0.1303970000, 0.2206709000, 0.4648922000, 1.1340058000", \
-                        "0.0914359000, 0.0958637000, 0.1082775000, 0.1416296000, 0.2321347000, 0.4742727000, 1.1387330000", \
-                        "0.1205273000, 0.1250511000, 0.1373143000, 0.1697234000, 0.2593165000, 0.5051595000, 1.1692536000", \
-                        "0.1719356000, 0.1776844000, 0.1927567000, 0.2304034000, 0.3200600000, 0.5627075000, 1.2301592000", \
-                        "0.2563241000, 0.2650938000, 0.2868255000, 0.3391377000, 0.4519958000, 0.7019299000, 1.3689805000", \
-                        "0.3922306000, 0.4065449000, 0.4417791000, 0.5239923000, 0.6878029000, 1.0084236000, 1.6948052000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
-                    values("0.0237953000, 0.0254264000, 0.0298570000, 0.0421778000, 0.0761349000, 0.1706823000, 0.4346524000", \
-                        "0.0227089000, 0.0243467000, 0.0291293000, 0.0418578000, 0.0760946000, 0.1711810000, 0.4347354000", \
-                        "0.0255739000, 0.0268890000, 0.0307350000, 0.0420823000, 0.0756712000, 0.1710417000, 0.4347011000", \
-                        "0.0352760000, 0.0371595000, 0.0423320000, 0.0541057000, 0.0819962000, 0.1712114000, 0.4346026000", \
-                        "0.0548268000, 0.0575359000, 0.0644661000, 0.0804540000, 0.1146775000, 0.1911494000, 0.4352762000", \
-                        "0.0900704000, 0.0942685000, 0.1048262000, 0.1281075000, 0.1751626000, 0.2719571000, 0.4789162000", \
-                        "0.1522599000, 0.1588400000, 0.1759171000, 0.2133319000, 0.2874350000, 0.4193671000, 0.6672240000");
-                }
-                related_pin : "A1";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
-                    values("0.0467260000, 0.0524283000, 0.0684499000, 0.1124418000, 0.2332804000, 0.5658687000, 1.4956092000", \
-                        "0.0466227000, 0.0523710000, 0.0683550000, 0.1125319000, 0.2335217000, 0.5705383000, 1.4966625000", \
-                        "0.0468068000, 0.0525925000, 0.0684013000, 0.1120551000, 0.2333967000, 0.5663319000, 1.4828127000", \
-                        "0.0484445000, 0.0538229000, 0.0689868000, 0.1124572000, 0.2333526000, 0.5698152000, 1.4816560000", \
-                        "0.0646310000, 0.0699947000, 0.0845821000, 0.1227971000, 0.2360603000, 0.5660067000, 1.4815442000", \
-                        "0.0996765000, 0.1055815000, 0.1224559000, 0.1642453000, 0.2706902000, 0.5735811000, 1.4800224000", \
-                        "0.1774712000, 0.1853416000, 0.2068150000, 0.2567463000, 0.3780628000, 0.6619884000, 1.4964621000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
-                    values("0.0303720000, 0.0319421000, 0.0360462000, 0.0465930000, 0.0734489000, 0.1436749000, 0.3338050000", \
-                        "0.0345541000, 0.0361274000, 0.0402576000, 0.0507694000, 0.0776014000, 0.1478207000, 0.3379790000", \
-                        "0.0434589000, 0.0450755000, 0.0491940000, 0.0596294000, 0.0864283000, 0.1566288000, 0.3469683000", \
-                        "0.0582558000, 0.0602947000, 0.0652601000, 0.0777804000, 0.1065639000, 0.1770607000, 0.3676280000", \
-                        "0.0760603000, 0.0789380000, 0.0865548000, 0.1045777000, 0.1430287000, 0.2231852000, 0.4154668000", \
-                        "0.0872149000, 0.0918042000, 0.1033720000, 0.1311212000, 0.1900211000, 0.3022428000, 0.5220377000", \
-                        "0.0635701000, 0.0704689000, 0.0891165000, 0.1331368000, 0.2257614000, 0.4016808000, 0.7096582000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
-                    values("0.1000751000, 0.1046043000, 0.1162003000, 0.1478840000, 0.2332025000, 0.4665976000, 1.1106092000", \
-                        "0.1042715000, 0.1085854000, 0.1206454000, 0.1524753000, 0.2383677000, 0.4724077000, 1.1153842000", \
-                        "0.1167402000, 0.1209889000, 0.1329661000, 0.1650169000, 0.2512142000, 0.4855794000, 1.1288390000", \
-                        "0.1453300000, 0.1496031000, 0.1613673000, 0.1928352000, 0.2792188000, 0.5142880000, 1.1581179000", \
-                        "0.2010961000, 0.2057910000, 0.2193319000, 0.2531864000, 0.3390513000, 0.5739352000, 1.2181819000", \
-                        "0.2952266000, 0.3026608000, 0.3204691000, 0.3643097000, 0.4688417000, 0.7096645000, 1.3546856000", \
-                        "0.4502845000, 0.4609294000, 0.4883598000, 0.5551191000, 0.7015018000, 1.0043314000, 1.6689661000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
-                    values("0.0234857000, 0.0251504000, 0.0295464000, 0.0419536000, 0.0761041000, 0.1707974000, 0.4347723000", \
-                        "0.0232008000, 0.0247701000, 0.0293919000, 0.0418056000, 0.0760696000, 0.1707507000, 0.4349299000", \
-                        "0.0243234000, 0.0258277000, 0.0300541000, 0.0419620000, 0.0758903000, 0.1710470000, 0.4344189000", \
-                        "0.0319007000, 0.0334027000, 0.0376642000, 0.0487173000, 0.0792328000, 0.1710440000, 0.4344038000", \
-                        "0.0488382000, 0.0509167000, 0.0560617000, 0.0687969000, 0.1008201000, 0.1824777000, 0.4351133000", \
-                        "0.0817142000, 0.0846931000, 0.0919354000, 0.1097751000, 0.1499707000, 0.2369296000, 0.4610488000", \
-                        "0.1421957000, 0.1463004000, 0.1580165000, 0.1848181000, 0.2429588000, 0.3541444000, 0.5896604000");
-                }
-                related_pin : "A2";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
-                    values("0.0663352000, 0.0720004000, 0.0874282000, 0.1301507000, 0.2472445000, 0.5690678000, 1.4580566000", \
-                        "0.0663367000, 0.0719630000, 0.0875674000, 0.1303482000, 0.2474753000, 0.5699129000, 1.4580978000", \
-                        "0.0663620000, 0.0719541000, 0.0876010000, 0.1302648000, 0.2472489000, 0.5708328000, 1.4571687000", \
-                        "0.0667478000, 0.0722302000, 0.0875231000, 0.1301830000, 0.2478976000, 0.5710591000, 1.4584911000", \
-                        "0.0802531000, 0.0854773000, 0.0988501000, 0.1376960000, 0.2497255000, 0.5692700000, 1.4564131000", \
-                        "0.1143615000, 0.1200268000, 0.1359616000, 0.1769583000, 0.2825214000, 0.5786259000, 1.4604168000", \
-                        "0.1914367000, 0.1988145000, 0.2184393000, 0.2669700000, 0.3850886000, 0.6629319000, 1.4757747000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
-                    values("0.0135185000, 0.0143941000, 0.0166940000, 0.0225876000, 0.0383249000, 0.0810906000, 0.1989269000", \
-                        "0.0178918000, 0.0189115000, 0.0213059000, 0.0272632000, 0.0430952000, 0.0859894000, 0.2037653000", \
-                        "0.0235355000, 0.0251751000, 0.0290854000, 0.0374390000, 0.0540872000, 0.0970135000, 0.2148406000", \
-                        "0.0284403000, 0.0310814000, 0.0371770000, 0.0506845000, 0.0762546000, 0.1222949000, 0.2401767000", \
-                        "0.0278651000, 0.0319002000, 0.0417128000, 0.0633590000, 0.1038603000, 0.1746218000, 0.2996976000", \
-                        "0.0095130000, 0.0158928000, 0.0313962000, 0.0651880000, 0.1290223000, 0.2406523000, 0.4254231000", \
-                        "-0.058599800, -0.048449400, -0.025075800, 0.0284418000, 0.1300526000, 0.3056845000, 0.5961014000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
-                    values("0.0716525000, 0.0759262000, 0.0883610000, 0.1208460000, 0.2072832000, 0.4414711000, 1.0850894000", \
-                        "0.0743050000, 0.0788088000, 0.0906466000, 0.1237824000, 0.2106755000, 0.4456273000, 1.0889943000", \
-                        "0.0845636000, 0.0887598000, 0.1009570000, 0.1334126000, 0.2201716000, 0.4560929000, 1.1002584000", \
-                        "0.1131277000, 0.1172963000, 0.1286992000, 0.1603097000, 0.2460977000, 0.4820407000, 1.1270492000", \
-                        "0.1725520000, 0.1782892000, 0.1926072000, 0.2278103000, 0.3130372000, 0.5473165000, 1.1927437000", \
-                        "0.2681701000, 0.2767737000, 0.2989280000, 0.3522381000, 0.4660110000, 0.7020082000, 1.3409110000", \
-                        "0.4304704000, 0.4427189000, 0.4749074000, 0.5537185000, 0.7241591000, 1.0536048000, 1.7029687000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
-                    values("0.0096355000, 0.0107941000, 0.0140843000, 0.0226383000, 0.0442555000, 0.1021003000, 0.2614125000", \
-                        "0.0111552000, 0.0120372000, 0.0148126000, 0.0227606000, 0.0442452000, 0.1021308000, 0.2611587000", \
-                        "0.0194078000, 0.0201429000, 0.0221837000, 0.0276640000, 0.0457742000, 0.1021044000, 0.2609619000", \
-                        "0.0338533000, 0.0347488000, 0.0372785000, 0.0440128000, 0.0599016000, 0.1065845000, 0.2611496000", \
-                        "0.0597022000, 0.0608729000, 0.0643303000, 0.0736680000, 0.0953186000, 0.1401274000, 0.2692475000", \
-                        "0.1059452000, 0.1077217000, 0.1127917000, 0.1262226000, 0.1586446000, 0.2213740000, 0.3435039000", \
-                        "0.1902590000, 0.1930315000, 0.2015757000, 0.2211665000, 0.2681819000, 0.3641596000, 0.5366358000");
-                }
-                related_pin : "B1";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
-                    values("0.0660474000, 0.0717453000, 0.0872211000, 0.1299034000, 0.2472559000, 0.5689522000, 1.4575016000", \
-                        "0.0660480000, 0.0717603000, 0.0873675000, 0.1300289000, 0.2473235000, 0.5697718000, 1.4559419000", \
-                        "0.0657350000, 0.0712875000, 0.0871369000, 0.1299754000, 0.2471239000, 0.5697799000, 1.4559501000", \
-                        "0.0684186000, 0.0734758000, 0.0879290000, 0.1293574000, 0.2472611000, 0.5712855000, 1.4595147000", \
-                        "0.0943538000, 0.1002580000, 0.1142815000, 0.1470440000, 0.2506376000, 0.5690546000, 1.4568604000", \
-                        "0.1374919000, 0.1453960000, 0.1652583000, 0.2117812000, 0.3101206000, 0.5831928000, 1.4582071000", \
-                        "0.2077899000, 0.2193168000, 0.2494748000, 0.3159837000, 0.4532922000, 0.7197276000, 1.4803000000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-        }
-    }
-
-/* removed sky130_fd_sc_hd__a221o_1 */
-
-/* removed sky130_fd_sc_hd__a221o_2 */
-
-/* removed sky130_fd_sc_hd__a221o_4 */
-
-/* removed sky130_fd_sc_hd__a221oi_1 */
-
-/* removed sky130_fd_sc_hd__a221oi_2 */
-
-/* removed sky130_fd_sc_hd__a221oi_4 */
-
-/* removed sky130_fd_sc_hd__a222oi_1 */
-
-/* removed sky130_fd_sc_hd__a22o_1 */
-
-/* removed sky130_fd_sc_hd__a22o_2 */
-
-/* removed sky130_fd_sc_hd__a22o_4 */
-
-/* removed sky130_fd_sc_hd__a22oi_1 */
-
-/* removed sky130_fd_sc_hd__a22oi_2 */
-
-    cell ("sky130_fd_sc_hd__a22oi_4") {
-        leakage_power () {
-            value : 0.0020851000;
-            when : "!A1&!A2&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0303796000;
-            when : "!A1&!A2&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0052827000;
-            when : "!A1&!A2&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0016600000;
-            when : "!A1&!A2&B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0038277000;
-            when : "!A1&A2&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0316658000;
-            when : "!A1&A2&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0052827000;
-            when : "!A1&A2&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0034003000;
-            when : "!A1&A2&B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0034013000;
-            when : "A1&!A2&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0516426000;
-            when : "A1&!A2&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0052827000;
-            when : "A1&!A2&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0029795000;
-            when : "A1&!A2&B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0030315000;
-            when : "A1&A2&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0726102000;
-            when : "A1&A2&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0034066000;
-            when : "A1&A2&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0031381000;
-            when : "A1&A2&B1&!B2";
-        }
-        area : 21.270400000;
-        cell_footprint : "sky130_fd_sc_hd__a22oi";
-        cell_leakage_power : 0.0143172700;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("A1") {
-            capacitance : 0.0083100000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0080080000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0178772000, 0.0178987000, 0.0179483000, 0.0179495000, 0.0179525000, 0.0179596000, 0.0179759000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.012679300, -0.012695000, -0.012731400, -0.012697500, -0.012619300, -0.012439100, -0.012023600");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0086130000;
-        }
-        pin ("A2") {
-            capacitance : 0.0086170000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0081530000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0156594000, 0.0156545000, 0.0156434000, 0.0156434000, 0.0156435000, 0.0156437000, 0.0156443000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.015608100, -0.015607000, -0.015604400, -0.015604500, -0.015604800, -0.015605500, -0.015607100");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0090810000;
-        }
-        pin ("B1") {
-            capacitance : 0.0083380000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0078820000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0181848000, 0.0181864000, 0.0181902000, 0.0181943000, 0.0182038000, 0.0182258000, 0.0182763000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.012980600, -0.012988800, -0.013007300, -0.012978200, -0.012910700, -0.012755200, -0.012396800");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0087950000;
-        }
-        pin ("B2") {
-            capacitance : 0.0085430000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0078830000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0157805000, 0.0157648000, 0.0157285000, 0.0157282000, 0.0157273000, 0.0157254000, 0.0157209000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.015714000, -0.015708500, -0.015695700, -0.015695200, -0.015693800, -0.015690400, -0.015682800");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0092040000;
-        }
-        pin ("Y") {
-            direction : "output";
-            function : "(!A1&!B1) | (!A1&!B2) | (!A2&!B1) | (!A2&!B2)";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000");
-                    values("0.0253393000, 0.0238166000, 0.0194834000, 0.0067331000, -0.029981200, -0.137263900, -0.448252100", \
-                        "0.0250118000, 0.0234531000, 0.0191466000, 0.0064756000, -0.030277500, -0.137523700, -0.448488500", \
-                        "0.0243290000, 0.0228136000, 0.0185291000, 0.0059329000, -0.030655100, -0.137760300, -0.448838500", \
-                        "0.0234157000, 0.0219365000, 0.0176373000, 0.0051878000, -0.031252900, -0.138015200, -0.448920400", \
-                        "0.0227697000, 0.0212915000, 0.0169648000, 0.0043793000, -0.031945300, -0.138336600, -0.449000200", \
-                        "0.0236092000, 0.0220708000, 0.0176135000, 0.0047512000, -0.032514900, -0.138894100, -0.449434700", \
-                        "0.0269242000, 0.0253514000, 0.0210235000, 0.0075713000, -0.029903300, -0.138814700, -0.448752700");
-                }
-                related_pin : "A1";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000");
-                    values("0.0402919000, 0.0418603000, 0.0463599000, 0.0593540000, 0.0963766000, 0.2030235000, 0.5105981000", \
-                        "0.0396315000, 0.0412097000, 0.0457499000, 0.0589367000, 0.0962026000, 0.2030137000, 0.5105751000", \
-                        "0.0385817000, 0.0401560000, 0.0447798000, 0.0580144000, 0.0956433000, 0.2025323000, 0.5105666000", \
-                        "0.0377043000, 0.0392728000, 0.0438029000, 0.0569959000, 0.0947207000, 0.2019771000, 0.5101401000", \
-                        "0.0370570000, 0.0386016000, 0.0431381000, 0.0561964000, 0.0935107000, 0.2009108000, 0.5094441000", \
-                        "0.0369368000, 0.0385339000, 0.0429972000, 0.0559685000, 0.0932598000, 0.2000615000, 0.5086143000", \
-                        "0.0364563000, 0.0380043000, 0.0423323000, 0.0551678000, 0.0932039000, 0.2001956000, 0.5080979000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000");
-                    values("0.0279718000, 0.0264536000, 0.0220841000, 0.0093661000, -0.027352700, -0.134523600, -0.445687500", \
-                        "0.0276738000, 0.0261202000, 0.0217565000, 0.0090579000, -0.027753600, -0.134936700, -0.445806000", \
-                        "0.0271093000, 0.0256049000, 0.0212433000, 0.0086208000, -0.028008200, -0.135231600, -0.446230200", \
-                        "0.0263951000, 0.0249059000, 0.0205521000, 0.0080843000, -0.028525200, -0.135513800, -0.446425400", \
-                        "0.0257699000, 0.0242467000, 0.0199182000, 0.0074354000, -0.028796600, -0.135582000, -0.446381300", \
-                        "0.0263242000, 0.0247945000, 0.0203930000, 0.0076328000, -0.029703900, -0.136231700, -0.446575800", \
-                        "0.0290966000, 0.0275283000, 0.0229168000, 0.0098408000, -0.027593600, -0.135669800, -0.446629300");
-                }
-                related_pin : "A2";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000");
-                    values("0.0546029000, 0.0560109000, 0.0604943000, 0.0734170000, 0.1105456000, 0.2170325000, 0.5246839000", \
-                        "0.0539013000, 0.0556769000, 0.0600105000, 0.0729848000, 0.1101896000, 0.2168797000, 0.5244711000", \
-                        "0.0533042000, 0.0549145000, 0.0595183000, 0.0724907000, 0.1098150000, 0.2165619000, 0.5242626000", \
-                        "0.0527949000, 0.0543645000, 0.0587675000, 0.0717847000, 0.1092680000, 0.2162712000, 0.5242186000", \
-                        "0.0521650000, 0.0537193000, 0.0582479000, 0.0712470000, 0.1084787000, 0.2156312000, 0.5236947000", \
-                        "0.0521116000, 0.0536901000, 0.0582176000, 0.0713985000, 0.1083180000, 0.2151139000, 0.5234957000", \
-                        "0.0517065000, 0.0531488000, 0.0576538000, 0.0706600000, 0.1084715000, 0.2156389000, 0.5234586000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000");
-                    values("0.0099202000, 0.0085240000, 0.0043539000, -0.008147200, -0.045132000, -0.152700600, -0.464295100", \
-                        "0.0093598000, 0.0080191000, 0.0040004000, -0.008269100, -0.044987300, -0.152402700, -0.463908700", \
-                        "0.0086646000, 0.0073704000, 0.0032791000, -0.008713100, -0.045064400, -0.152192600, -0.463572900", \
-                        "0.0076887000, 0.0063839000, 0.0025116000, -0.009553400, -0.045594400, -0.152355600, -0.463497600", \
-                        "0.0076197000, 0.0061578000, 0.0019488000, -0.010198400, -0.046345500, -0.152799600, -0.463589300", \
-                        "0.0089823000, 0.0074544000, 0.0029330000, -0.009858200, -0.046881000, -0.153635800, -0.464135200", \
-                        "0.0133372000, 0.0115279000, 0.0066488000, -0.007016800, -0.045056400, -0.151575400, -0.464439800");
-                }
-                related_pin : "B1";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000");
-                    values("0.0286357000, 0.0302416000, 0.0349134000, 0.0481555000, 0.0853579000, 0.1918005000, 0.4997312000", \
-                        "0.0277371000, 0.0293301000, 0.0339826000, 0.0473716000, 0.0851219000, 0.1918103000, 0.4995425000", \
-                        "0.0263707000, 0.0280376000, 0.0328773000, 0.0461488000, 0.0842712000, 0.1913577000, 0.4993692000", \
-                        "0.0256030000, 0.0272195000, 0.0318664000, 0.0452329000, 0.0829353000, 0.1906211000, 0.4990588000", \
-                        "0.0259316000, 0.0274899000, 0.0319098000, 0.0449138000, 0.0821702000, 0.1894037000, 0.4985164000", \
-                        "0.0269195000, 0.0284220000, 0.0327692000, 0.0454918000, 0.0819551000, 0.1878909000, 0.4974165000", \
-                        "0.0314679000, 0.0328687000, 0.0369521000, 0.0489235000, 0.0849531000, 0.1903373000, 0.4950249000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000");
-                    values("0.0131204000, 0.0117030000, 0.0074898000, -0.005056400, -0.042057800, -0.149630300, -0.461187300", \
-                        "0.0125771000, 0.0112149000, 0.0071690000, -0.005139900, -0.041888900, -0.149302900, -0.460822900", \
-                        "0.0116771000, 0.0103438000, 0.0063885000, -0.005615400, -0.041979000, -0.149140300, -0.460534700", \
-                        "0.0106193000, 0.0092544000, 0.0052450000, -0.006628200, -0.042569300, -0.149298900, -0.460446000", \
-                        "0.0106015000, 0.0091561000, 0.0046974000, -0.007697900, -0.043541100, -0.149831900, -0.460566800", \
-                        "0.0108807000, 0.0093532000, 0.0049454000, -0.007693000, -0.044258700, -0.150816700, -0.461151000", \
-                        "0.0145946000, 0.0129212000, 0.0081711000, -0.005213300, -0.042997600, -0.150556700, -0.461570000");
-                }
-                related_pin : "B2";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000");
-                    values("0.0431284000, 0.0446411000, 0.0492576000, 0.0621391000, 0.0992362000, 0.2059276000, 0.5134908000", \
-                        "0.0422546000, 0.0438113000, 0.0483958000, 0.0615141000, 0.0988625000, 0.2055256000, 0.5132624000", \
-                        "0.0415875000, 0.0429713000, 0.0476036000, 0.0607664000, 0.0983289000, 0.2052475000, 0.5132364000", \
-                        "0.0408873000, 0.0425818000, 0.0468208000, 0.0599476000, 0.0975134000, 0.2048133000, 0.5130078000", \
-                        "0.0405113000, 0.0420736000, 0.0466158000, 0.0595140000, 0.0968406000, 0.2042165000, 0.5125959000", \
-                        "0.0439760000, 0.0454630000, 0.0478574000, 0.0606996000, 0.0973025000, 0.2038405000, 0.5123072000", \
-                        "0.0479204000, 0.0492427000, 0.0530556000, 0.0653258000, 0.1012893000, 0.2072600000, 0.5150428000");
-                }
-            }
-            max_capacitance : 0.2938940000;
-            max_transition : 1.9330300000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
-                    values("0.0417445000, 0.0435681000, 0.0485145000, 0.0619497000, 0.0985133000, 0.2003095000, 0.4901049000", \
-                        "0.0458038000, 0.0475463000, 0.0524354000, 0.0660325000, 0.1025963000, 0.2043993000, 0.4942327000", \
-                        "0.0554042000, 0.0571813000, 0.0622079000, 0.0758136000, 0.1123753000, 0.2143615000, 0.5041227000", \
-                        "0.0770916000, 0.0792248000, 0.0849485000, 0.0993265000, 0.1358835000, 0.2372621000, 0.5274726000", \
-                        "0.1041311000, 0.1072230000, 0.1156826000, 0.1368496000, 0.1862697000, 0.2923346000, 0.5813445000", \
-                        "0.1277344000, 0.1324649000, 0.1453635000, 0.1775102000, 0.2529581000, 0.4045462000, 0.7077506000", \
-                        "0.1215629000, 0.1286769000, 0.1480033000, 0.1989488000, 0.3141817000, 0.5482430000, 0.9758004000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
-                    values("0.1164222000, 0.1210808000, 0.1345229000, 0.1723089000, 0.2790601000, 0.5843661000, 1.4653841000", \
-                        "0.1209319000, 0.1255380000, 0.1388080000, 0.1774753000, 0.2847454000, 0.5904908000, 1.4716270000", \
-                        "0.1326454000, 0.1375862000, 0.1509966000, 0.1898092000, 0.2977342000, 0.6043214000, 1.4864336000", \
-                        "0.1623014000, 0.1668592000, 0.1806140000, 0.2189379000, 0.3271789000, 0.6349473000, 1.5178909000", \
-                        "0.2251698000, 0.2303825000, 0.2444336000, 0.2823281000, 0.3895800000, 0.6978479000, 1.5811403000", \
-                        "0.3346391000, 0.3413004000, 0.3599990000, 0.4094291000, 0.5310003000, 0.8397888000, 1.7239398000", \
-                        "0.5172891000, 0.5269347000, 0.5561922000, 0.6296463000, 0.7973325000, 1.1625448000, 2.0547104000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
-                    values("0.0340878000, 0.0361235000, 0.0422447000, 0.0592769000, 0.1086477000, 0.2511921000, 0.6649156000", \
-                        "0.0339891000, 0.0361047000, 0.0421220000, 0.0593126000, 0.1084602000, 0.2512084000, 0.6650505000", \
-                        "0.0337524000, 0.0358148000, 0.0417741000, 0.0588173000, 0.1084213000, 0.2511625000, 0.6650423000", \
-                        "0.0430323000, 0.0451689000, 0.0508253000, 0.0651425000, 0.1099980000, 0.2509584000, 0.6655157000", \
-                        "0.0634686000, 0.0662911000, 0.0739823000, 0.0930318000, 0.1371711000, 0.2590482000, 0.6650379000", \
-                        "0.1025690000, 0.1067395000, 0.1178916000, 0.1449141000, 0.2048187000, 0.3308241000, 0.6837850000", \
-                        "0.1706656000, 0.1772532000, 0.1956361000, 0.2374549000, 0.3231373000, 0.4923946000, 0.8448724000");
-                }
-                related_pin : "A1";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
-                    values("0.0779312000, 0.0839445000, 0.1010797000, 0.1512969000, 0.2956210000, 0.7134809000, 1.9131829000", \
-                        "0.0779733000, 0.0839049000, 0.1011784000, 0.1511343000, 0.2954056000, 0.7137815000, 1.9184689000", \
-                        "0.0779562000, 0.0839733000, 0.1012305000, 0.1509693000, 0.2955611000, 0.7106135000, 1.9199820000", \
-                        "0.0780272000, 0.0839978000, 0.1013013000, 0.1510399000, 0.2955087000, 0.7138344000, 1.9173543000", \
-                        "0.0873177000, 0.0924887000, 0.1082702000, 0.1552651000, 0.2962257000, 0.7142522000, 1.9131268000", \
-                        "0.1226244000, 0.1287622000, 0.1455350000, 0.1923661000, 0.3182650000, 0.7143448000, 1.9134858000", \
-                        "0.2027936000, 0.2099108000, 0.2309023000, 0.2855137000, 0.4225924000, 0.7759873000, 1.9221404000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
-                    values("0.0474738000, 0.0491836000, 0.0541107000, 0.0676458000, 0.1042513000, 0.2059293000, 0.4956935000", \
-                        "0.0517628000, 0.0534818000, 0.0583649000, 0.0719101000, 0.1085038000, 0.2102347000, 0.4997607000", \
-                        "0.0602761000, 0.0620712000, 0.0669906000, 0.0805443000, 0.1171903000, 0.2190245000, 0.5088149000", \
-                        "0.0778102000, 0.0797662000, 0.0851350000, 0.0994629000, 0.1365885000, 0.2387385000, 0.5286689000", \
-                        "0.1045198000, 0.1068015000, 0.1139547000, 0.1328730000, 0.1771505000, 0.2833234000, 0.5745071000", \
-                        "0.1312719000, 0.1352654000, 0.1462351000, 0.1740410000, 0.2381320000, 0.3732429000, 0.6790359000", \
-                        "0.1339625000, 0.1401325000, 0.1569483000, 0.2007458000, 0.3010077000, 0.5036428000, 0.8899688000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
-                    values("0.1403011000, 0.1449765000, 0.1580999000, 0.1948136000, 0.3006424000, 0.6038869000, 1.4792638000", \
-                        "0.1448539000, 0.1488527000, 0.1630592000, 0.1999835000, 0.3061056000, 0.6093294000, 1.4862901000", \
-                        "0.1579123000, 0.1623242000, 0.1756708000, 0.2126936000, 0.3194477000, 0.6229902000, 1.4983709000", \
-                        "0.1864067000, 0.1915839000, 0.2049051000, 0.2424699000, 0.3491344000, 0.6530611000, 1.5273720000", \
-                        "0.2475735000, 0.2526837000, 0.2657055000, 0.3028457000, 0.4097611000, 0.7138452000, 1.5882202000", \
-                        "0.3585175000, 0.3649444000, 0.3819160000, 0.4265593000, 0.5437800000, 0.8484420000, 1.7242338000", \
-                        "0.5465813000, 0.5558322000, 0.5802979000, 0.6451184000, 0.7994862000, 1.1551017000, 2.0362965000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
-                    values("0.0340117000, 0.0361221000, 0.0421537000, 0.0593012000, 0.1083913000, 0.2512797000, 0.6646033000", \
-                        "0.0339587000, 0.0360765000, 0.0421134000, 0.0592495000, 0.1085006000, 0.2511415000, 0.6641467000", \
-                        "0.0338392000, 0.0359198000, 0.0419009000, 0.0590302000, 0.1084856000, 0.2510722000, 0.6653167000", \
-                        "0.0391383000, 0.0411208000, 0.0467295000, 0.0623502000, 0.1095170000, 0.2512552000, 0.6651164000", \
-                        "0.0552646000, 0.0573132000, 0.0636014000, 0.0805666000, 0.1257285000, 0.2559055000, 0.6643457000", \
-                        "0.0894548000, 0.0926127000, 0.1008802000, 0.1225156000, 0.1734830000, 0.3007174000, 0.6756755000", \
-                        "0.1529864000, 0.1575951000, 0.1698229000, 0.2010118000, 0.2692203000, 0.4199210000, 0.7748576000");
-                }
-                related_pin : "A2";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
-                    values("0.0995492000, 0.1053329000, 0.1224722000, 0.1724776000, 0.3162268000, 0.7306545000, 1.9279787000", \
-                        "0.0992519000, 0.1057550000, 0.1224851000, 0.1724560000, 0.3161712000, 0.7313938000, 1.9287429000", \
-                        "0.0992181000, 0.1051971000, 0.1228983000, 0.1723709000, 0.3161570000, 0.7293468000, 1.9263071000", \
-                        "0.0996254000, 0.1054479000, 0.1224923000, 0.1726829000, 0.3163019000, 0.7324892000, 1.9253423000", \
-                        "0.1060969000, 0.1115181000, 0.1276325000, 0.1752453000, 0.3161072000, 0.7321900000, 1.9278825000", \
-                        "0.1397020000, 0.1457288000, 0.1623346000, 0.2094295000, 0.3365938000, 0.7337920000, 1.9310664000", \
-                        "0.2176954000, 0.2245951000, 0.2445315000, 0.2978962000, 0.4341381000, 0.7934992000, 1.9312560000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
-                    values("0.0226582000, 0.0243244000, 0.0286888000, 0.0411025000, 0.0756606000, 0.1747282000, 0.4606694000", \
-                        "0.0264655000, 0.0281009000, 0.0326891000, 0.0452622000, 0.0800505000, 0.1792922000, 0.4653158000", \
-                        "0.0350289000, 0.0370553000, 0.0425992000, 0.0551419000, 0.0902112000, 0.1897405000, 0.4758414000", \
-                        "0.0446733000, 0.0479641000, 0.0558396000, 0.0748424000, 0.1139526000, 0.2133936000, 0.4995565000", \
-                        "0.0505388000, 0.0554023000, 0.0681669000, 0.0979096000, 0.1572587000, 0.2685965000, 0.5544695000", \
-                        "0.0411346000, 0.0486037000, 0.0681659000, 0.1137236000, 0.2054904000, 0.3736105000, 0.6819510000", \
-                        "-0.014818300, -0.003589400, 0.0262403000, 0.0971367000, 0.2386578000, 0.4962242000, 0.9470810000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
-                    values("0.0818341000, 0.0867306000, 0.1004277000, 0.1386571000, 0.2455902000, 0.5505721000, 1.4286211000", \
-                        "0.0846807000, 0.0894617000, 0.1032309000, 0.1417232000, 0.2495788000, 0.5553151000, 1.4350769000", \
-                        "0.0937343000, 0.0986598000, 0.1127356000, 0.1513680000, 0.2598005000, 0.5661975000, 1.4453764000", \
-                        "0.1221416000, 0.1268239000, 0.1401532000, 0.1785294000, 0.2859888000, 0.5936474000, 1.4735303000", \
-                        "0.1870806000, 0.1928977000, 0.2079373000, 0.2469413000, 0.3513157000, 0.6607772000, 1.5411797000", \
-                        "0.2931430000, 0.3021253000, 0.3253662000, 0.3841138000, 0.5130201000, 0.8160209000, 1.6963490000", \
-                        "0.4714806000, 0.4841497000, 0.5203987000, 0.6081065000, 0.8046320000, 1.1918719000, 2.0601643000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
-                    values("0.0271302000, 0.0291179000, 0.0348428000, 0.0514067000, 0.0990824000, 0.2364862000, 0.6343001000", \
-                        "0.0270728000, 0.0290501000, 0.0348112000, 0.0513865000, 0.0989437000, 0.2361088000, 0.6341374000", \
-                        "0.0319334000, 0.0334337000, 0.0378968000, 0.0524807000, 0.0990795000, 0.2361028000, 0.6343665000", \
-                        "0.0467995000, 0.0484578000, 0.0534186000, 0.0659123000, 0.1044442000, 0.2362197000, 0.6341406000", \
-                        "0.0759947000, 0.0779900000, 0.0836371000, 0.0993737000, 0.1385738000, 0.2490606000, 0.6337847000", \
-                        "0.1286285000, 0.1314959000, 0.1395542000, 0.1611897000, 0.2128991000, 0.3278816000, 0.6554654000", \
-                        "0.2214663000, 0.2251100000, 0.2379311000, 0.2688567000, 0.3448621000, 0.5052693000, 0.8229272000");
-                }
-                related_pin : "B1";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
-                    values("0.0761138000, 0.0821411000, 0.0993721000, 0.1496950000, 0.2937522000, 0.7106578000, 1.9181785000", \
-                        "0.0759807000, 0.0820037000, 0.0994890000, 0.1494486000, 0.2938463000, 0.7099293000, 1.9193587000", \
-                        "0.0755900000, 0.0817584000, 0.0991945000, 0.1492965000, 0.2936813000, 0.7096602000, 1.9119042000", \
-                        "0.0766757000, 0.0821098000, 0.0984037000, 0.1488819000, 0.2935907000, 0.7105493000, 1.9130819000", \
-                        "0.1027698000, 0.1077609000, 0.1204742000, 0.1609890000, 0.2943774000, 0.7121881000, 1.9127455000", \
-                        "0.1516066000, 0.1588885000, 0.1785447000, 0.2270490000, 0.3396299000, 0.7117802000, 1.9121646000", \
-                        "0.2340882000, 0.2450353000, 0.2752829000, 0.3475464000, 0.4984683000, 0.8203409000, 1.9191428000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
-                    values("0.0286168000, 0.0302352000, 0.0346828000, 0.0470228000, 0.0814442000, 0.1805408000, 0.4665177000", \
-                        "0.0327393000, 0.0343488000, 0.0388934000, 0.0514307000, 0.0861342000, 0.1853438000, 0.4713586000", \
-                        "0.0404568000, 0.0422719000, 0.0472108000, 0.0601012000, 0.0950977000, 0.1944753000, 0.4806038000", \
-                        "0.0510488000, 0.0535689000, 0.0601617000, 0.0767240000, 0.1144013000, 0.2144196000, 0.5010608000", \
-                        "0.0608858000, 0.0648255000, 0.0754091000, 0.0992794000, 0.1505566000, 0.2594478000, 0.5467063000", \
-                        "0.0578355000, 0.0642254000, 0.0806415000, 0.1190568000, 0.1975495000, 0.3450590000, 0.6504912000", \
-                        "0.0107234000, 0.0209699000, 0.0476450000, 0.1098294000, 0.2351581000, 0.4604083000, 0.8599036000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
-                    values("0.1082230000, 0.1128985000, 0.1260021000, 0.1629210000, 0.2691893000, 0.5723120000, 1.4489692000", \
-                        "0.1111734000, 0.1157078000, 0.1291604000, 0.1663824000, 0.2728969000, 0.5763287000, 1.4512963000", \
-                        "0.1213297000, 0.1257096000, 0.1390407000, 0.1768964000, 0.2839203000, 0.5877378000, 1.4631052000", \
-                        "0.1481713000, 0.1531951000, 0.1650106000, 0.2029967000, 0.3101978000, 0.6147316000, 1.4891648000", \
-                        "0.2159227000, 0.2207934000, 0.2340453000, 0.2708777000, 0.3760712000, 0.6806518000, 1.5560234000", \
-                        "0.3354750000, 0.3426383000, 0.3623801000, 0.4140233000, 0.5349186000, 0.8361730000, 1.7102157000", \
-                        "0.5304325000, 0.5410480000, 0.5707648000, 0.6471319000, 0.8287259000, 1.1998541000, 2.0650193000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
-                    values("0.0271085000, 0.0290808000, 0.0348123000, 0.0513670000, 0.0989957000, 0.2360353000, 0.6343288000", \
-                        "0.0271474000, 0.0291171000, 0.0348236000, 0.0513541000, 0.0990049000, 0.2361856000, 0.6340432000", \
-                        "0.0292271000, 0.0309813000, 0.0361743000, 0.0518462000, 0.0990294000, 0.2363313000, 0.6337351000", \
-                        "0.0392879000, 0.0408697000, 0.0455711000, 0.0592449000, 0.1019316000, 0.2360102000, 0.6335341000", \
-                        "0.0617096000, 0.0635309000, 0.0685524000, 0.0827801000, 0.1229871000, 0.2438975000, 0.6335307000", \
-                        "0.1064480000, 0.1087860000, 0.1150951000, 0.1329393000, 0.1779336000, 0.2949763000, 0.6493002000", \
-                        "0.1924056000, 0.1948004000, 0.2029130000, 0.2260323000, 0.2856071000, 0.4205707000, 0.7542081000");
-                }
-                related_pin : "B2";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
-                    values("0.0993889000, 0.1051368000, 0.1229124000, 0.1723519000, 0.3160406000, 0.7294441000, 1.9306530000", \
-                        "0.0990583000, 0.1051396000, 0.1224240000, 0.1724492000, 0.3161502000, 0.7304130000, 1.9322841000", \
-                        "0.0992892000, 0.1050649000, 0.1226380000, 0.1723956000, 0.3163194000, 0.7298038000, 1.9323505000", \
-                        "0.0983218000, 0.1043340000, 0.1215959000, 0.1721562000, 0.3169159000, 0.7295715000, 1.9249191000", \
-                        "0.1174946000, 0.1222487000, 0.1366366000, 0.1801790000, 0.3164289000, 0.7307575000, 1.9295123000", \
-                        "0.1700676000, 0.1770157000, 0.1965383000, 0.2435186000, 0.3573708000, 0.7333417000, 1.9313051000", \
-                        "0.2592366000, 0.2695661000, 0.2966724000, 0.3631665000, 0.5132976000, 0.8368187000, 1.9330302000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-        }
-    }
-
-/* removed sky130_fd_sc_hd__a2bb2o_1 */
-
-/* removed sky130_fd_sc_hd__a2bb2o_2 */
-
-    cell ("sky130_fd_sc_hd__a2bb2o_4") {
-        leakage_power () {
-            value : 0.0076036000;
-            when : "!A1_N&!A2_N&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0076022000;
-            when : "!A1_N&!A2_N&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0047386000;
-            when : "!A1_N&!A2_N&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0076036000;
-            when : "!A1_N&!A2_N&B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0074979000;
-            when : "!A1_N&A2_N&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0068503000;
-            when : "!A1_N&A2_N&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0063325000;
-            when : "!A1_N&A2_N&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0078283000;
-            when : "!A1_N&A2_N&B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0077883000;
-            when : "A1_N&!A2_N&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0071407000;
-            when : "A1_N&!A2_N&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0066229000;
-            when : "A1_N&!A2_N&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0081189000;
-            when : "A1_N&!A2_N&B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0062568000;
-            when : "A1_N&A2_N&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0056092000;
-            when : "A1_N&A2_N&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0050914000;
-            when : "A1_N&A2_N&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0065873000;
-            when : "A1_N&A2_N&B1&!B2";
-        }
-        area : 20.019200000;
-        cell_footprint : "sky130_fd_sc_hd__a2bb2o";
-        cell_leakage_power : 0.0068295290;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("A1_N") {
-            capacitance : 0.0049000000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0046580000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0278574000, 0.0276228000, 0.0270821000, 0.0271445000, 0.0272884000, 0.0276201000, 0.0283845000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0053596000, 0.0051463000, 0.0046544000, 0.0048403000, 0.0052688000, 0.0062565000, 0.0085333000");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0051410000;
-        }
-        pin ("A2_N") {
-            capacitance : 0.0044270000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0041430000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0230574000, 0.0227685000, 0.0221024000, 0.0223078000, 0.0227814000, 0.0238730000, 0.0263892000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0020278000, 0.0018581000, 0.0014670000, 0.0016478000, 0.0020646000, 0.0030254000, 0.0052399000");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0047100000;
-        }
-        pin ("B1") {
-            capacitance : 0.0047680000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0045350000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0082890000, 0.0082899000, 0.0082921000, 0.0082953000, 0.0083028000, 0.0083200000, 0.0083597000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.008313200, -0.008301800, -0.008275700, -0.008277400, -0.008281400, -0.008290600, -0.008311800");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0050020000;
-        }
-        pin ("B2") {
-            capacitance : 0.0043600000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0041820000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0075709000, 0.0075693000, 0.0075654000, 0.0075631000, 0.0075579000, 0.0075459000, 0.0075181000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.007572500, -0.007564000, -0.007544600, -0.007541500, -0.007534600, -0.007518600, -0.007481900");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0045390000;
-        }
-        pin ("X") {
-            direction : "output";
-            function : "(B1&B2) | (!A1_N&!A2_N)";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015825240, 0.0050087660, 0.0158529900, 0.0501754700, 0.1588078000, 0.5026343000");
-                    values("0.0323165000, 0.0305573000, 0.0254494000, 0.0107493000, -0.040389500, -0.213909800, -0.770061800", \
-                        "0.0321204000, 0.0306888000, 0.0254917000, 0.0108620000, -0.040288900, -0.214075000, -0.770238300", \
-                        "0.0322610000, 0.0305085000, 0.0254340000, 0.0107101000, -0.040388100, -0.213945000, -0.770213700", \
-                        "0.0314792000, 0.0298244000, 0.0246320000, 0.0099746000, -0.041052500, -0.214791600, -0.770956700", \
-                        "0.0309119000, 0.0292581000, 0.0241147000, 0.0093729000, -0.041694000, -0.215461100, -0.771551100", \
-                        "0.0366395000, 0.0349030000, 0.0295632000, 0.0127515000, -0.041983000, -0.215972800, -0.772086800", \
-                        "0.0378807000, 0.0361480000, 0.0309127000, 0.0139949000, -0.040290300, -0.215284500, -0.771912200");
-                }
-                related_pin : "A1_N";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015825240, 0.0050087660, 0.0158529900, 0.0501754700, 0.1588078000, 0.5026343000");
-                    values("0.0302697000, 0.0322524000, 0.0384436000, 0.0570356000, 0.1132173000, 0.2879976000, 0.8402169000", \
-                        "0.0300439000, 0.0320358000, 0.0382543000, 0.0568404000, 0.1129866000, 0.2876188000, 0.8357736000", \
-                        "0.0301627000, 0.0321360000, 0.0383539000, 0.0569477000, 0.1130572000, 0.2876874000, 0.8359631000", \
-                        "0.0297729000, 0.0317448000, 0.0379566000, 0.0565822000, 0.1126505000, 0.2872521000, 0.8357990000", \
-                        "0.0291298000, 0.0310985000, 0.0373014000, 0.0558740000, 0.1119945000, 0.2866992000, 0.8350848000", \
-                        "0.0281262000, 0.0299588000, 0.0356076000, 0.0549394000, 0.1109248000, 0.2856672000, 0.8340111000", \
-                        "0.0293831000, 0.0312189000, 0.0370126000, 0.0551023000, 0.1113418000, 0.2868924000, 0.8360326000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015825240, 0.0050087660, 0.0158529900, 0.0501754700, 0.1588078000, 0.5026343000");
-                    values("0.0329228000, 0.0311826000, 0.0260438000, 0.0114195000, -0.039646600, -0.213383200, -0.769864800", \
-                        "0.0330128000, 0.0313357000, 0.0262189000, 0.0115946000, -0.039561900, -0.213461800, -0.769781600", \
-                        "0.0328731000, 0.0310996000, 0.0261315000, 0.0113556000, -0.039655400, -0.213413100, -0.769925300", \
-                        "0.0320358000, 0.0302668000, 0.0251452000, 0.0105192000, -0.040631400, -0.214228000, -0.770647100", \
-                        "0.0311774000, 0.0295234000, 0.0243369000, 0.0097811000, -0.041346100, -0.215129400, -0.771397000", \
-                        "0.0370681000, 0.0353011000, 0.0299705000, 0.0130435000, -0.041493700, -0.215720300, -0.772033300", \
-                        "0.0383221000, 0.0366337000, 0.0314170000, 0.0144458000, -0.040084000, -0.214698200, -0.771550400");
-                }
-                related_pin : "A2_N";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015825240, 0.0050087660, 0.0158529900, 0.0501754700, 0.1588078000, 0.5026343000");
-                    values("0.0296997000, 0.0316812000, 0.0378923000, 0.0564860000, 0.1125915000, 0.2872007000, 0.8351577000", \
-                        "0.0294611000, 0.0314483000, 0.0376442000, 0.0562531000, 0.1124456000, 0.2870244000, 0.8391289000", \
-                        "0.0295965000, 0.0315860000, 0.0377944000, 0.0564027000, 0.1125696000, 0.2872508000, 0.8355389000", \
-                        "0.0290149000, 0.0309834000, 0.0371948000, 0.0557828000, 0.1119185000, 0.2865432000, 0.8346786000", \
-                        "0.0282360000, 0.0302220000, 0.0364318000, 0.0550049000, 0.1110828000, 0.2857607000, 0.8338320000", \
-                        "0.0276289000, 0.0294400000, 0.0351152000, 0.0542422000, 0.1103715000, 0.2848539000, 0.8342153000", \
-                        "0.0291957000, 0.0311059000, 0.0367890000, 0.0548255000, 0.1107809000, 0.2859858000, 0.8354000000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015825240, 0.0050087660, 0.0158529900, 0.0501754700, 0.1588078000, 0.5026343000");
-                    values("0.0351194000, 0.0333439000, 0.0283012000, 0.0135748000, -0.037599700, -0.211312100, -0.767726900", \
-                        "0.0349394000, 0.0332931000, 0.0280223000, 0.0133685000, -0.037760800, -0.211604500, -0.768227100", \
-                        "0.0346374000, 0.0328901000, 0.0277233000, 0.0130711000, -0.038061400, -0.211902600, -0.768478300", \
-                        "0.0343079000, 0.0326521000, 0.0275306000, 0.0127341000, -0.038341200, -0.212226800, -0.768709600", \
-                        "0.0340671000, 0.0323479000, 0.0271497000, 0.0123674000, -0.038891900, -0.212764300, -0.769032500", \
-                        "0.0331015000, 0.0313257000, 0.0259739000, 0.0114010000, -0.039502000, -0.212877300, -0.769138100", \
-                        "0.0414386000, 0.0394852000, 0.0336185000, 0.0160219000, -0.038933000, -0.213276200, -0.768919800");
-                }
-                related_pin : "B1";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015825240, 0.0050087660, 0.0158529900, 0.0501754700, 0.1588078000, 0.5026343000");
-                    values("0.0345718000, 0.0364822000, 0.0425960000, 0.0610973000, 0.1176301000, 0.2928181000, 0.8442256000", \
-                        "0.0342924000, 0.0362255000, 0.0422004000, 0.0608859000, 0.1174591000, 0.2927641000, 0.8435968000", \
-                        "0.0339279000, 0.0358574000, 0.0419247000, 0.0605120000, 0.1170625000, 0.2921882000, 0.8436940000", \
-                        "0.0336661000, 0.0355668000, 0.0414538000, 0.0599095000, 0.1164864000, 0.2917010000, 0.8431427000", \
-                        "0.0333716000, 0.0353475000, 0.0412681000, 0.0594459000, 0.1156060000, 0.2911183000, 0.8420561000", \
-                        "0.0344605000, 0.0362440000, 0.0419673000, 0.0594305000, 0.1150235000, 0.2896430000, 0.8421426000", \
-                        "0.0364945000, 0.0381598000, 0.0437974000, 0.0614359000, 0.1168876000, 0.2918859000, 0.8409365000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015825240, 0.0050087660, 0.0158529900, 0.0501754700, 0.1588078000, 0.5026343000");
-                    values("0.0298508000, 0.0282418000, 0.0231228000, 0.0085247000, -0.042607500, -0.216574600, -0.773317600", \
-                        "0.0294205000, 0.0279571000, 0.0227780000, 0.0083196000, -0.042854400, -0.216978200, -0.773584800", \
-                        "0.0291404000, 0.0275188000, 0.0224035000, 0.0078045000, -0.043328500, -0.217411500, -0.774013200", \
-                        "0.0286944000, 0.0270479000, 0.0219157000, 0.0072164000, -0.043925100, -0.217875100, -0.774458300", \
-                        "0.0284434000, 0.0267870000, 0.0216217000, 0.0067385000, -0.044476200, -0.218331200, -0.774921600", \
-                        "0.0286302000, 0.0267110000, 0.0214447000, 0.0057924000, -0.044888100, -0.218556100, -0.774927000", \
-                        "0.0358400000, 0.0338557000, 0.0280113000, 0.0104445000, -0.044370100, -0.218827300, -0.774650000");
-                }
-                related_pin : "B2";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015825240, 0.0050087660, 0.0158529900, 0.0501754700, 0.1588078000, 0.5026343000");
-                    values("0.0339403000, 0.0358929000, 0.0419667000, 0.0604432000, 0.1170041000, 0.2919003000, 0.8439185000", \
-                        "0.0336213000, 0.0355477000, 0.0416143000, 0.0602418000, 0.1168625000, 0.2921273000, 0.8427336000", \
-                        "0.0333217000, 0.0352559000, 0.0413260000, 0.0599084000, 0.1164473000, 0.2916929000, 0.8433081000", \
-                        "0.0331914000, 0.0350902000, 0.0409995000, 0.0593003000, 0.1157805000, 0.2910394000, 0.8426510000", \
-                        "0.0330275000, 0.0348660000, 0.0408315000, 0.0586907000, 0.1150819000, 0.2905472000, 0.8413806000", \
-                        "0.0345343000, 0.0363097000, 0.0418899000, 0.0596473000, 0.1144322000, 0.2894923000, 0.8414125000", \
-                        "0.0370730000, 0.0387354000, 0.0442726000, 0.0615688000, 0.1172539000, 0.2918811000, 0.8409611000");
-                }
-            }
-            max_capacitance : 0.5026340000;
-            max_transition : 1.5045660000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
-                    values("0.1812610000, 0.1852033000, 0.1952684000, 0.2175679000, 0.2638536000, 0.3705279000, 0.6698899000", \
-                        "0.1858589000, 0.1897823000, 0.1998833000, 0.2222378000, 0.2686923000, 0.3753406000, 0.6750271000", \
-                        "0.1962532000, 0.2001951000, 0.2102637000, 0.2325911000, 0.2788858000, 0.3855981000, 0.6848581000", \
-                        "0.2139762000, 0.2179139000, 0.2279842000, 0.2501680000, 0.2967764000, 0.4034162000, 0.7032850000", \
-                        "0.2347944000, 0.2386233000, 0.2485787000, 0.2708004000, 0.3172033000, 0.4242022000, 0.7234984000", \
-                        "0.2528099000, 0.2567236000, 0.2667510000, 0.2890031000, 0.3355522000, 0.4421618000, 0.7417389000", \
-                        "0.2441395000, 0.2480549000, 0.2581288000, 0.2803808000, 0.3269595000, 0.4338373000, 0.7336718000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
-                    values("0.1824226000, 0.1860255000, 0.1956822000, 0.2213225000, 0.2947436000, 0.5205480000, 1.2368203000", \
-                        "0.1867734000, 0.1903485000, 0.2000419000, 0.2256768000, 0.2991186000, 0.5247163000, 1.2371056000", \
-                        "0.1990939000, 0.2026934000, 0.2123735000, 0.2380258000, 0.3115148000, 0.5372138000, 1.2494737000", \
-                        "0.2264985000, 0.2300219000, 0.2397595000, 0.2653641000, 0.3388854000, 0.5646577000, 1.2771347000", \
-                        "0.2841544000, 0.2877657000, 0.2974600000, 0.3231024000, 0.3965533000, 0.6222400000, 1.3348850000", \
-                        "0.3865151000, 0.3901513000, 0.4000870000, 0.4259103000, 0.4993993000, 0.7254375000, 1.4385320000", \
-                        "0.5620023000, 0.5660107000, 0.5763886000, 0.6025792000, 0.6765027000, 0.9025882000, 1.6156780000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
-                    values("0.0272758000, 0.0296727000, 0.0360078000, 0.0508259000, 0.0910198000, 0.2086603000, 0.6017411000", \
-                        "0.0275356000, 0.0295664000, 0.0357445000, 0.0510662000, 0.0910329000, 0.2084289000, 0.6008078000", \
-                        "0.0272592000, 0.0296384000, 0.0358992000, 0.0509376000, 0.0910643000, 0.2086215000, 0.5988084000", \
-                        "0.0272169000, 0.0295094000, 0.0356818000, 0.0516760000, 0.0910885000, 0.2086468000, 0.6010752000", \
-                        "0.0271890000, 0.0295709000, 0.0360172000, 0.0508740000, 0.0911764000, 0.2086268000, 0.5982201000", \
-                        "0.0272823000, 0.0295988000, 0.0357263000, 0.0508421000, 0.0908837000, 0.2076126000, 0.6015757000", \
-                        "0.0274010000, 0.0296989000, 0.0363008000, 0.0513626000, 0.0910893000, 0.2087313000, 0.6007771000");
-                }
-                related_pin : "A1_N";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
-                    values("0.0202336000, 0.0232279000, 0.0324526000, 0.0624682000, 0.1616113000, 0.4827844000, 1.5032696000", \
-                        "0.0202150000, 0.0231697000, 0.0324161000, 0.0624597000, 0.1617578000, 0.4827989000, 1.5000770000", \
-                        "0.0202620000, 0.0232406000, 0.0324581000, 0.0623352000, 0.1619234000, 0.4826979000, 1.5004742000", \
-                        "0.0202755000, 0.0232055000, 0.0324603000, 0.0623458000, 0.1620417000, 0.4825131000, 1.5020135000", \
-                        "0.0204634000, 0.0234344000, 0.0326253000, 0.0624816000, 0.1620069000, 0.4828038000, 1.5017680000", \
-                        "0.0215738000, 0.0244295000, 0.0335427000, 0.0630982000, 0.1619973000, 0.4824850000, 1.4991157000", \
-                        "0.0240575000, 0.0268462000, 0.0355111000, 0.0642467000, 0.1631057000, 0.4822050000, 1.4986121000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
-                    values("0.1822825000, 0.1862167000, 0.1962834000, 0.2185939000, 0.2652104000, 0.3717345000, 0.6713780000", \
-                        "0.1868372000, 0.1907816000, 0.2008805000, 0.2232203000, 0.2697154000, 0.3765788000, 0.6766055000", \
-                        "0.1964574000, 0.2003889000, 0.2104753000, 0.2327846000, 0.2792445000, 0.3859301000, 0.6856138000", \
-                        "0.2113896000, 0.2153215000, 0.2253858000, 0.2477037000, 0.2941588000, 0.4010264000, 0.7011700000", \
-                        "0.2279148000, 0.2317978000, 0.2418286000, 0.2641193000, 0.3105803000, 0.4174112000, 0.7172130000", \
-                        "0.2369783000, 0.2408986000, 0.2509851000, 0.2732104000, 0.3196493000, 0.4268016000, 0.7269091000", \
-                        "0.2160743000, 0.2200039000, 0.2300966000, 0.2524422000, 0.2987782000, 0.4059462000, 0.7062007000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
-                    values("0.1655555000, 0.1691459000, 0.1788201000, 0.2044676000, 0.2779781000, 0.5038446000, 1.2159201000", \
-                        "0.1684302000, 0.1719607000, 0.1816799000, 0.2072486000, 0.2805023000, 0.5072162000, 1.2218718000", \
-                        "0.1786103000, 0.1821955000, 0.1918917000, 0.2175345000, 0.2909892000, 0.5165509000, 1.2292439000", \
-                        "0.2075203000, 0.2111209000, 0.2207970000, 0.2464456000, 0.3199261000, 0.5455973000, 1.2581258000", \
-                        "0.2728460000, 0.2764479000, 0.2861684000, 0.3118189000, 0.3852970000, 0.6110517000, 1.3235582000", \
-                        "0.3851472000, 0.3888103000, 0.3986720000, 0.4244023000, 0.4980326000, 0.7241714000, 1.4364657000", \
-                        "0.5752232000, 0.5792071000, 0.5896179000, 0.6158729000, 0.6895162000, 0.9157875000, 1.6282844000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
-                    values("0.0272993000, 0.0296853000, 0.0358498000, 0.0509247000, 0.0904921000, 0.2088181000, 0.6016163000", \
-                        "0.0271602000, 0.0295058000, 0.0356824000, 0.0509440000, 0.0909749000, 0.2085710000, 0.6012919000", \
-                        "0.0272910000, 0.0296682000, 0.0359575000, 0.0510633000, 0.0909354000, 0.2088417000, 0.6013569000", \
-                        "0.0272873000, 0.0296464000, 0.0358130000, 0.0509477000, 0.0910013000, 0.2088441000, 0.6007365000", \
-                        "0.0273778000, 0.0296528000, 0.0356064000, 0.0512050000, 0.0911795000, 0.2087047000, 0.5999983000", \
-                        "0.0276008000, 0.0297946000, 0.0359221000, 0.0514218000, 0.0911216000, 0.2089825000, 0.6018152000", \
-                        "0.0277500000, 0.0301583000, 0.0360224000, 0.0514395000, 0.0912500000, 0.2091380000, 0.6015667000");
-                }
-                related_pin : "A2_N";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
-                    values("0.0202436000, 0.0232260000, 0.0324498000, 0.0623287000, 0.1620334000, 0.4824611000, 1.4944298000", \
-                        "0.0201850000, 0.0231806000, 0.0324696000, 0.0622199000, 0.1619947000, 0.4824139000, 1.5022836000", \
-                        "0.0202346000, 0.0231790000, 0.0324096000, 0.0624588000, 0.1617336000, 0.4828315000, 1.5016131000", \
-                        "0.0202398000, 0.0232302000, 0.0324152000, 0.0624434000, 0.1618740000, 0.4827348000, 1.4998565000", \
-                        "0.0205044000, 0.0234190000, 0.0326399000, 0.0624228000, 0.1619002000, 0.4827233000, 1.4993775000", \
-                        "0.0216136000, 0.0245511000, 0.0335465000, 0.0629855000, 0.1626201000, 0.4812334000, 1.5018957000", \
-                        "0.0245481000, 0.0274302000, 0.0359098000, 0.0643876000, 0.1628658000, 0.4824696000, 1.4966000000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
-                    values("0.1832677000, 0.1871899000, 0.1972930000, 0.2195867000, 0.2657564000, 0.3723799000, 0.6715818000", \
-                        "0.1884592000, 0.1923844000, 0.2024134000, 0.2247268000, 0.2713312000, 0.3777033000, 0.6768715000", \
-                        "0.2012875000, 0.2051929000, 0.2152417000, 0.2375440000, 0.2841492000, 0.3905490000, 0.6896697000", \
-                        "0.2294062000, 0.2333433000, 0.2433886000, 0.2654468000, 0.3119119000, 0.4186300000, 0.7179067000", \
-                        "0.2899330000, 0.2938747000, 0.3039225000, 0.3262085000, 0.3727103000, 0.4795057000, 0.7791087000", \
-                        "0.4078901000, 0.4121949000, 0.4233002000, 0.4475785000, 0.4968193000, 0.6057806000, 0.9058223000", \
-                        "0.6075947000, 0.6129514000, 0.6263973000, 0.6557050000, 0.7122333000, 0.8294899000, 1.1332602000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
-                    values("0.0874570000, 0.0912668000, 0.1016947000, 0.1285348000, 0.2031202000, 0.4307015000, 1.1446318000", \
-                        "0.0916983000, 0.0955198000, 0.1058678000, 0.1328092000, 0.2074045000, 0.4346563000, 1.1491892000", \
-                        "0.1008996000, 0.1047587000, 0.1151176000, 0.1420274000, 0.2166610000, 0.4441100000, 1.1582209000", \
-                        "0.1216649000, 0.1254562000, 0.1357051000, 0.1624611000, 0.2368858000, 0.4644932000, 1.1809712000", \
-                        "0.1575424000, 0.1615903000, 0.1723703000, 0.1998444000, 0.2744607000, 0.5027982000, 1.2157336000", \
-                        "0.2028766000, 0.2076627000, 0.2199482000, 0.2488787000, 0.3240270000, 0.5515226000, 1.2681981000", \
-                        "0.2372363000, 0.2435110000, 0.2592370000, 0.2933702000, 0.3713470000, 0.5992233000, 1.3124750000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
-                    values("0.0272731000, 0.0296895000, 0.0357828000, 0.0507589000, 0.0911377000, 0.2086786000, 0.6013721000", \
-                        "0.0272286000, 0.0295815000, 0.0358464000, 0.0510711000, 0.0905280000, 0.2086257000, 0.6005728000", \
-                        "0.0273304000, 0.0297067000, 0.0358527000, 0.0510310000, 0.0904901000, 0.2086234000, 0.6006809000", \
-                        "0.0272012000, 0.0295235000, 0.0356983000, 0.0513976000, 0.0911446000, 0.2087204000, 0.5988943000", \
-                        "0.0275334000, 0.0296876000, 0.0357807000, 0.0510464000, 0.0906990000, 0.2086315000, 0.6002530000", \
-                        "0.0327861000, 0.0355581000, 0.0415285000, 0.0574785000, 0.0961118000, 0.2120244000, 0.6009643000", \
-                        "0.0447861000, 0.0476984000, 0.0550899000, 0.0715436000, 0.1110040000, 0.2233819000, 0.6017117000");
-                }
-                related_pin : "B1";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
-                    values("0.0209540000, 0.0242483000, 0.0341076000, 0.0646292000, 0.1639472000, 0.4862745000, 1.5041085000", \
-                        "0.0208504000, 0.0242109000, 0.0341468000, 0.0645977000, 0.1639251000, 0.4859760000, 1.5042531000", \
-                        "0.0210096000, 0.0242758000, 0.0341581000, 0.0645560000, 0.1639896000, 0.4858194000, 1.5044034000", \
-                        "0.0210204000, 0.0243200000, 0.0343614000, 0.0647621000, 0.1641279000, 0.4854330000, 1.5042104000", \
-                        "0.0235496000, 0.0268917000, 0.0366359000, 0.0662944000, 0.1642557000, 0.4859042000, 1.5018760000", \
-                        "0.0295181000, 0.0327747000, 0.0423258000, 0.0708572000, 0.1661045000, 0.4838154000, 1.5042033000", \
-                        "0.0406088000, 0.0446235000, 0.0547955000, 0.0819446000, 0.1702493000, 0.4874301000, 1.5001632000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
-                    values("0.1702127000, 0.1741091000, 0.1840822000, 0.2065363000, 0.2533614000, 0.3612158000, 0.6607177000", \
-                        "0.1750437000, 0.1788742000, 0.1888635000, 0.2113796000, 0.2583751000, 0.3660531000, 0.6657034000", \
-                        "0.1872954000, 0.1911535000, 0.2010708000, 0.2235583000, 0.2705599000, 0.3781002000, 0.6779639000", \
-                        "0.2150837000, 0.2189451000, 0.2289053000, 0.2512846000, 0.2981699000, 0.4060560000, 0.7057155000", \
-                        "0.2755499000, 0.2793739000, 0.2893368000, 0.3116957000, 0.3586855000, 0.4665607000, 0.7663435000", \
-                        "0.3909991000, 0.3953231000, 0.4063924000, 0.4309555000, 0.4812717000, 0.5917445000, 0.8927923000", \
-                        "0.5894414000, 0.5946347000, 0.6080097000, 0.6374939000, 0.6955500000, 0.8153180000, 1.1193858000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
-                    values("0.0822472000, 0.0860652000, 0.0964554000, 0.1233319000, 0.1979896000, 0.4249381000, 1.1394222000", \
-                        "0.0862175000, 0.0900196000, 0.1003956000, 0.1272997000, 0.2018228000, 0.4300120000, 1.1425511000", \
-                        "0.0962197000, 0.1000743000, 0.1104338000, 0.1373281000, 0.2119496000, 0.4394332000, 1.1537176000", \
-                        "0.1195205000, 0.1232833000, 0.1335707000, 0.1601844000, 0.2346224000, 0.4620599000, 1.1789925000", \
-                        "0.1555624000, 0.1595543000, 0.1703106000, 0.1974903000, 0.2719112000, 0.5006506000, 1.2134276000", \
-                        "0.1960956000, 0.2009849000, 0.2131793000, 0.2417964000, 0.3161753000, 0.5438435000, 1.2580605000", \
-                        "0.2173330000, 0.2237495000, 0.2398292000, 0.2739040000, 0.3508231000, 0.5774936000, 1.2917118000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
-                    values("0.0266137000, 0.0289259000, 0.0352627000, 0.0514061000, 0.0918973000, 0.2096584000, 0.6014580000", \
-                        "0.0264699000, 0.0288846000, 0.0352264000, 0.0511827000, 0.0920069000, 0.2097849000, 0.5986128000", \
-                        "0.0267024000, 0.0288242000, 0.0354694000, 0.0511405000, 0.0920107000, 0.2100717000, 0.5999802000", \
-                        "0.0266235000, 0.0289143000, 0.0353763000, 0.0511613000, 0.0920282000, 0.2101115000, 0.6011577000", \
-                        "0.0267299000, 0.0291147000, 0.0355821000, 0.0510656000, 0.0919617000, 0.2099276000, 0.6002916000", \
-                        "0.0324466000, 0.0349604000, 0.0422635000, 0.0583436000, 0.0976720000, 0.2138224000, 0.6013415000", \
-                        "0.0449421000, 0.0478249000, 0.0556585000, 0.0728937000, 0.1143831000, 0.2270420000, 0.6038857000");
-                }
-                related_pin : "B2";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
-                    values("0.0208685000, 0.0242575000, 0.0341108000, 0.0645947000, 0.1641248000, 0.4846235000, 1.5045658000", \
-                        "0.0209097000, 0.0243180000, 0.0341864000, 0.0646124000, 0.1640981000, 0.4856112000, 1.5013609000", \
-                        "0.0209883000, 0.0242255000, 0.0341365000, 0.0645834000, 0.1638339000, 0.4858472000, 1.5044791000", \
-                        "0.0210857000, 0.0243931000, 0.0344188000, 0.0647935000, 0.1638821000, 0.4852158000, 1.5023398000", \
-                        "0.0239007000, 0.0271725000, 0.0367082000, 0.0666904000, 0.1645811000, 0.4855289000, 1.5005689000", \
-                        "0.0311271000, 0.0342270000, 0.0432145000, 0.0709573000, 0.1664819000, 0.4845334000, 1.5018551000", \
-                        "0.0435989000, 0.0474942000, 0.0573894000, 0.0833269000, 0.1704882000, 0.4876212000, 1.5008146000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-        }
-    }
-
-/* removed sky130_fd_sc_hd__a2bb2oi_1 */
-
-/* removed sky130_fd_sc_hd__a2bb2oi_2 */
-
-    cell ("sky130_fd_sc_hd__a2bb2oi_4") {
-        leakage_power () {
-            value : 0.0083212000;
-            when : "!A1_N&!A2_N&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0083169000;
-            when : "!A1_N&!A2_N&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0048861000;
-            when : "!A1_N&!A2_N&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0083212000;
-            when : "!A1_N&!A2_N&B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0080573000;
-            when : "!A1_N&A2_N&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0108887000;
-            when : "!A1_N&A2_N&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0074817000;
-            when : "!A1_N&A2_N&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0088884000;
-            when : "!A1_N&A2_N&B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0068163000;
-            when : "A1_N&!A2_N&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0116828000;
-            when : "A1_N&!A2_N&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0062407000;
-            when : "A1_N&!A2_N&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0076474000;
-            when : "A1_N&!A2_N&B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0044426000;
-            when : "A1_N&A2_N&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0073549000;
-            when : "A1_N&A2_N&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0038670000;
-            when : "A1_N&A2_N&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0052738000;
-            when : "A1_N&A2_N&B1&!B2";
-        }
-        area : 26.275200000;
-        cell_footprint : "sky130_fd_sc_hd__a2bb2oi";
-        cell_leakage_power : 0.0074054400;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("A1_N") {
-            capacitance : 0.0087620000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0082950000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0556216000, 0.0551134000, 0.0539421000, 0.0540220000, 0.0542062000, 0.0546308000, 0.0556096000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0102057000, 0.0097930000, 0.0088418000, 0.0091615000, 0.0098984000, 0.0115970000, 0.0155127000");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0092290000;
-        }
-        pin ("A2_N") {
-            capacitance : 0.0087550000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0080860000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0456988000, 0.0451550000, 0.0439016000, 0.0442933000, 0.0451960000, 0.0472768000, 0.0520733000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0025406000, 0.0022623000, 0.0016208000, 0.0019459000, 0.0026952000, 0.0044224000, 0.0084035000");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0094240000;
-        }
-        pin ("B1") {
-            capacitance : 0.0091800000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0087280000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0162435000, 0.0162423000, 0.0162396000, 0.0162417000, 0.0162466000, 0.0162579000, 0.0162838000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.016243600, -0.016237200, -0.016222700, -0.016220000, -0.016213700, -0.016199500, -0.016166400");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0096330000;
-        }
-        pin ("B2") {
-            capacitance : 0.0085110000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0081740000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0150595000, 0.0150451000, 0.0150119000, 0.0150187000, 0.0150344000, 0.0150706000, 0.0151539000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.015015300, -0.015015000, -0.015014300, -0.015018300, -0.015027700, -0.015049300, -0.015099000");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0088480000;
-        }
-        pin ("Y") {
-            direction : "output";
-            function : "(A1_N&!B1) | (A1_N&!B2) | (A2_N&!B1) | (A2_N&!B2)";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013852860, 0.0038380370, 0.0106335600, 0.0294610500, 0.0816239800, 0.2261452000");
-                    values("0.0042095000, 0.0032138000, 0.0003528000, -0.008360100, -0.035893700, -0.118840300, -0.352577300", \
-                        "0.0038274000, 0.0028333000, -7.10000e-05, -0.008767700, -0.036248700, -0.119195100, -0.352896400", \
-                        "0.0042324000, 0.0031486000, 0.0003082000, -0.008358200, -0.035944900, -0.118870800, -0.352550700", \
-                        "0.0035245000, 0.0023801000, -0.000453500, -0.009236300, -0.036691700, -0.119593400, -0.353146500", \
-                        "0.0025703000, 0.0015154000, -0.001572100, -0.010197300, -0.037648800, -0.120360700, -0.353886600", \
-                        "0.0033758000, 0.0020357000, -0.001726400, -0.012135400, -0.039514200, -0.121181300, -0.354359100", \
-                        "0.0069495000, 0.0055306000, 0.0016169000, -0.008855200, -0.038479900, -0.121654200, -0.353513600");
-                }
-                related_pin : "A1_N";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013852860, 0.0038380370, 0.0106335600, 0.0294610500, 0.0816239800, 0.2261452000");
-                    values("0.0334924000, 0.0350264000, 0.0389766000, 0.0500108000, 0.0802687000, 0.1635932000, 0.3949988000", \
-                        "0.0335161000, 0.0349175000, 0.0389533000, 0.0499614000, 0.0801835000, 0.1635314000, 0.3945959000", \
-                        "0.0338304000, 0.0354048000, 0.0393652000, 0.0504722000, 0.0807662000, 0.1641805000, 0.3952607000", \
-                        "0.0324591000, 0.0338924000, 0.0379431000, 0.0490892000, 0.0794901000, 0.1630454000, 0.3940847000", \
-                        "0.0310210000, 0.0324964000, 0.0365645000, 0.0477882000, 0.0783073000, 0.1620062000, 0.3934014000", \
-                        "0.0316158000, 0.0330356000, 0.0367255000, 0.0473150000, 0.0780184000, 0.1616054000, 0.3927758000", \
-                        "0.0331312000, 0.0344679000, 0.0383731000, 0.0490924000, 0.0797538000, 0.1625968000, 0.3935406000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013852860, 0.0038380370, 0.0106335600, 0.0294610500, 0.0816239800, 0.2261452000");
-                    values("0.0041475000, 0.0030910000, 0.0002624000, -0.008401600, -0.035941800, -0.118858800, -0.352531500", \
-                        "0.0037101000, 0.0027330000, -0.000153200, -0.008791100, -0.036290800, -0.119205100, -0.352848200", \
-                        "0.0042600000, 0.0032083000, 0.0002947000, -0.008316600, -0.035910800, -0.118768000, -0.352411000", \
-                        "0.0031676000, 0.0020434000, -0.000943900, -0.009578700, -0.037127400, -0.119869500, -0.353404800", \
-                        "0.0023466000, 0.0012556000, -0.001802700, -0.010638500, -0.038113800, -0.120580500, -0.353997500", \
-                        "0.0048764000, 0.0034060000, -0.000580600, -0.010818000, -0.039116600, -0.120470000, -0.353611300", \
-                        "0.0071417000, 0.0056644000, 0.0016576000, -0.009093000, -0.038468800, -0.121886700, -0.353522500");
-                }
-                related_pin : "A2_N";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013852860, 0.0038380370, 0.0106335600, 0.0294610500, 0.0816239800, 0.2261452000");
-                    values("0.0353345000, 0.0369507000, 0.0410513000, 0.0523849000, 0.0828736000, 0.1664484000, 0.3976297000", \
-                        "0.0352438000, 0.0368562000, 0.0410291000, 0.0524049000, 0.0829573000, 0.1666217000, 0.3978114000", \
-                        "0.0349204000, 0.0364354000, 0.0405986000, 0.0520067000, 0.0828839000, 0.1666353000, 0.3976491000", \
-                        "0.0331782000, 0.0346729000, 0.0387868000, 0.0501771000, 0.0811024000, 0.1651131000, 0.3964303000", \
-                        "0.0313580000, 0.0328417000, 0.0369804000, 0.0482078000, 0.0792116000, 0.1636365000, 0.3950165000", \
-                        "0.0314669000, 0.0329266000, 0.0369969000, 0.0478610000, 0.0783138000, 0.1624937000, 0.3946137000", \
-                        "0.0336754000, 0.0354174000, 0.0390921000, 0.0503073000, 0.0806163000, 0.1635643000, 0.3955040000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013852860, 0.0038380370, 0.0106335600, 0.0294610500, 0.0816239800, 0.2261452000");
-                    values("0.0188025000, 0.0173796000, 0.0134668000, 0.0025939000, -0.027609900, -0.111751800, -0.345486200", \
-                        "0.0182571000, 0.0168573000, 0.0129470000, 0.0021249000, -0.028011200, -0.112158300, -0.345777500", \
-                        "0.0175590000, 0.0161776000, 0.0123099000, 0.0015139000, -0.028498000, -0.112509200, -0.346171700", \
-                        "0.0166388000, 0.0152812000, 0.0114281000, 0.0008765000, -0.029033900, -0.112873000, -0.346419500", \
-                        "0.0164281000, 0.0150023000, 0.0110539000, 0.0002002000, -0.029596000, -0.113063700, -0.346514200", \
-                        "0.0173950000, 0.0159458000, 0.0119028000, 0.0009335000, -0.029243700, -0.113776900, -0.346834900", \
-                        "0.0204892000, 0.0190201000, 0.0149147000, 0.0035289000, -0.027429000, -0.112413000, -0.346700800");
-                }
-                related_pin : "B1";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013852860, 0.0038380370, 0.0106335600, 0.0294610500, 0.0816239800, 0.2261452000");
-                    values("0.0389041000, 0.0403591000, 0.0444189000, 0.0553943000, 0.0857182000, 0.1693192000, 0.4005907000", \
-                        "0.0382415000, 0.0396794000, 0.0437150000, 0.0549191000, 0.0853324000, 0.1691159000, 0.4000705000", \
-                        "0.0374959000, 0.0389422000, 0.0430293000, 0.0542859000, 0.0848740000, 0.1686979000, 0.4002774000", \
-                        "0.0369872000, 0.0384263000, 0.0425134000, 0.0536420000, 0.0842719000, 0.1682973000, 0.3996023000", \
-                        "0.0365922000, 0.0380343000, 0.0420177000, 0.0530429000, 0.0835653000, 0.1674131000, 0.3992191000", \
-                        "0.0363929000, 0.0378403000, 0.0418723000, 0.0530163000, 0.0835863000, 0.1674232000, 0.3986968000", \
-                        "0.0367316000, 0.0381439000, 0.0419625000, 0.0526621000, 0.0836553000, 0.1677354000, 0.3994072000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013852860, 0.0038380370, 0.0106335600, 0.0294610500, 0.0816239800, 0.2261452000");
-                    values("0.0179066000, 0.0164941000, 0.0125875000, 0.0016944000, -0.028449400, -0.112538900, -0.346421500", \
-                        "0.0173742000, 0.0159766000, 0.0120969000, 0.0012751000, -0.028801200, -0.112963200, -0.346683000", \
-                        "0.0166598000, 0.0152741000, 0.0114746000, 0.0007173000, -0.029328600, -0.113226200, -0.347041400", \
-                        "0.0156538000, 0.0143115000, 0.0105567000, -5.90000e-06, -0.029833300, -0.113619400, -0.347189200", \
-                        "0.0159089000, 0.0145385000, 0.0107553000, -8.77000e-05, -0.029957700, -0.113621700, -0.347191900", \
-                        "0.0175552000, 0.0161034000, 0.0121141000, 0.0006538000, -0.029623400, -0.113753200, -0.347285100", \
-                        "0.0223660000, 0.0207969000, 0.0165358000, 0.0049942000, -0.025915400, -0.110834900, -0.346256800");
-                }
-                related_pin : "B2";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013852860, 0.0038380370, 0.0106335600, 0.0294610500, 0.0816239800, 0.2261452000");
-                    values("0.0271960000, 0.0288229000, 0.0330934000, 0.0444360000, 0.0749256000, 0.1585417000, 0.3897718000", \
-                        "0.0263053000, 0.0279172000, 0.0322151000, 0.0438625000, 0.0745589000, 0.1584570000, 0.3898368000", \
-                        "0.0255591000, 0.0271530000, 0.0313318000, 0.0428018000, 0.0739841000, 0.1586611000, 0.3930156000", \
-                        "0.0251669000, 0.0266493000, 0.0306990000, 0.0420717000, 0.0728006000, 0.1575359000, 0.3892952000", \
-                        "0.0247442000, 0.0262193000, 0.0302501000, 0.0412850000, 0.0719877000, 0.1562817000, 0.3884458000", \
-                        "0.0246407000, 0.0260757000, 0.0300558000, 0.0412428000, 0.0719633000, 0.1560336000, 0.3875943000", \
-                        "0.0251487000, 0.0264818000, 0.0300989000, 0.0407907000, 0.0717313000, 0.1561475000, 0.3877962000");
-                }
-            }
-            max_capacitance : 0.2261450000;
-            max_transition : 1.4947280000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
-                    values("0.1354253000, 0.1378961000, 0.1438628000, 0.1572142000, 0.1846833000, 0.2430794000, 0.3822626000", \
-                        "0.1393996000, 0.1416599000, 0.1479257000, 0.1611871000, 0.1886811000, 0.2471217000, 0.3863370000", \
-                        "0.1514291000, 0.1539181000, 0.1596362000, 0.1730668000, 0.2006474000, 0.2590816000, 0.3983149000", \
-                        "0.1788453000, 0.1813406000, 0.1871256000, 0.2003458000, 0.2279930000, 0.2862846000, 0.4257093000", \
-                        "0.2349268000, 0.2373393000, 0.2435162000, 0.2571300000, 0.2852441000, 0.3440507000, 0.4834779000", \
-                        "0.3328479000, 0.3356704000, 0.3426917000, 0.3578970000, 0.3888999000, 0.4517941000, 0.5944993000", \
-                        "0.4994719000, 0.5029067000, 0.5112846000, 0.5302941000, 0.5670820000, 0.6374808000, 0.7851451000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
-                    values("0.0947069000, 0.0989362000, 0.1095689000, 0.1393114000, 0.2197788000, 0.4411359000, 1.0542964000", \
-                        "0.0993493000, 0.1032951000, 0.1144162000, 0.1441753000, 0.2245696000, 0.4459459000, 1.0598052000", \
-                        "0.1099726000, 0.1143020000, 0.1250314000, 0.1550168000, 0.2357708000, 0.4571896000, 1.0699384000", \
-                        "0.1293784000, 0.1333044000, 0.1444736000, 0.1742728000, 0.2553444000, 0.4769940000, 1.0899719000", \
-                        "0.1521973000, 0.1561657000, 0.1672431000, 0.1975182000, 0.2790005000, 0.5008583000, 1.1138149000", \
-                        "0.1730955000, 0.1770984000, 0.1879411000, 0.2174145000, 0.2988352000, 0.5213879000, 1.1343501000", \
-                        "0.1667251000, 0.1701478000, 0.1812752000, 0.2106847000, 0.2915621000, 0.5143921000, 1.1287483000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
-                    values("0.0341067000, 0.0351732000, 0.0381042000, 0.0466836000, 0.0701567000, 0.1328159000, 0.3111436000", \
-                        "0.0341671000, 0.0351510000, 0.0381613000, 0.0467479000, 0.0701247000, 0.1330306000, 0.3110475000", \
-                        "0.0341147000, 0.0351506000, 0.0381415000, 0.0466071000, 0.0701583000, 0.1330106000, 0.3110454000", \
-                        "0.0341435000, 0.0351754000, 0.0381169000, 0.0467746000, 0.0700864000, 0.1326463000, 0.3109394000", \
-                        "0.0365273000, 0.0374604000, 0.0402510000, 0.0485788000, 0.0713470000, 0.1334548000, 0.3109187000", \
-                        "0.0436890000, 0.0446433000, 0.0474539000, 0.0558847000, 0.0790901000, 0.1407304000, 0.3150951000", \
-                        "0.0593999000, 0.0602694000, 0.0630755000, 0.0715482000, 0.0943359000, 0.1533546000, 0.3224956000");
-                }
-                related_pin : "A1_N";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
-                    values("0.0613919000, 0.0667214000, 0.0813321000, 0.1216061000, 0.2319784000, 0.5350451000, 1.3766649000", \
-                        "0.0614467000, 0.0666581000, 0.0813963000, 0.1214246000, 0.2315334000, 0.5356692000, 1.3796920000", \
-                        "0.0613849000, 0.0667104000, 0.0813282000, 0.1216071000, 0.2318740000, 0.5354455000, 1.3769286000", \
-                        "0.0614498000, 0.0666385000, 0.0813133000, 0.1214349000, 0.2316130000, 0.5367731000, 1.3794761000", \
-                        "0.0615396000, 0.0669005000, 0.0814815000, 0.1223547000, 0.2320890000, 0.5364329000, 1.3774069000", \
-                        "0.0623876000, 0.0676332000, 0.0820325000, 0.1220798000, 0.2326222000, 0.5358224000, 1.3792185000", \
-                        "0.0674961000, 0.0726155000, 0.0859048000, 0.1237570000, 0.2328732000, 0.5364806000, 1.3764330000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
-                    values("0.1131290000, 0.1156881000, 0.1216988000, 0.1350429000, 0.1624224000, 0.2207372000, 0.3601275000", \
-                        "0.1157843000, 0.1181749000, 0.1241559000, 0.1375062000, 0.1651635000, 0.2236500000, 0.3628974000", \
-                        "0.1262453000, 0.1286670000, 0.1346483000, 0.1477774000, 0.1753955000, 0.2336683000, 0.3730196000", \
-                        "0.1541685000, 0.1565537000, 0.1625054000, 0.1756649000, 0.2034929000, 0.2617129000, 0.4010846000", \
-                        "0.2181547000, 0.2206477000, 0.2263781000, 0.2401365000, 0.2683724000, 0.3274165000, 0.4670324000", \
-                        "0.3234562000, 0.3265004000, 0.3338487000, 0.3494389000, 0.3802113000, 0.4420172000, 0.5854506000", \
-                        "0.4975127000, 0.5012540000, 0.5105069000, 0.5302769000, 0.5675434000, 0.6349522000, 0.7787422000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
-                    values("0.0919634000, 0.0962175000, 0.1076468000, 0.1382918000, 0.2199534000, 0.4421294000, 1.0557752000", \
-                        "0.0963274000, 0.1007890000, 0.1121730000, 0.1428790000, 0.2245953000, 0.4468880000, 1.0609152000", \
-                        "0.1058959000, 0.1100450000, 0.1217226000, 0.1525565000, 0.2346388000, 0.4575244000, 1.0712974000", \
-                        "0.1208086000, 0.1249363000, 0.1362545000, 0.1670394000, 0.2495069000, 0.4732882000, 1.0871862000", \
-                        "0.1373971000, 0.1414660000, 0.1528766000, 0.1831972000, 0.2661202000, 0.4901287000, 1.1045654000", \
-                        "0.1490993000, 0.1530869000, 0.1644893000, 0.1947166000, 0.2765827000, 0.5013285000, 1.1165103000", \
-                        "0.1317365000, 0.1363246000, 0.1475012000, 0.1774374000, 0.2580933000, 0.4816316000, 1.0974548000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
-                    values("0.0341349000, 0.0351650000, 0.0381009000, 0.0467041000, 0.0701748000, 0.1332363000, 0.3110052000", \
-                        "0.0341689000, 0.0351415000, 0.0381052000, 0.0467964000, 0.0700973000, 0.1330613000, 0.3110981000", \
-                        "0.0341625000, 0.0351374000, 0.0380619000, 0.0467039000, 0.0702608000, 0.1330032000, 0.3109573000", \
-                        "0.0342415000, 0.0352451000, 0.0381418000, 0.0467430000, 0.0701832000, 0.1330705000, 0.3111684000", \
-                        "0.0378875000, 0.0387503000, 0.0414806000, 0.0495486000, 0.0720735000, 0.1338805000, 0.3109662000", \
-                        "0.0502751000, 0.0508958000, 0.0529525000, 0.0599086000, 0.0799767000, 0.1405512000, 0.3162982000", \
-                        "0.0693419000, 0.0700433000, 0.0723043000, 0.0791631000, 0.0982680000, 0.1519491000, 0.3209057000");
-                }
-                related_pin : "A2_N";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
-                    values("0.0612121000, 0.0665552000, 0.0810459000, 0.1211998000, 0.2315833000, 0.5356587000, 1.3789050000", \
-                        "0.0611916000, 0.0665441000, 0.0811913000, 0.1214014000, 0.2314234000, 0.5363079000, 1.3760312000", \
-                        "0.0610908000, 0.0664464000, 0.0810310000, 0.1212036000, 0.2313912000, 0.5363706000, 1.3751150000", \
-                        "0.0611997000, 0.0665609000, 0.0810538000, 0.1212632000, 0.2315082000, 0.5367613000, 1.3757562000", \
-                        "0.0614501000, 0.0667182000, 0.0813584000, 0.1219739000, 0.2318873000, 0.5357507000, 1.3749879000", \
-                        "0.0628413000, 0.0679845000, 0.0820518000, 0.1219996000, 0.2322420000, 0.5359075000, 1.3786227000", \
-                        "0.0708850000, 0.0751917000, 0.0881568000, 0.1250186000, 0.2332185000, 0.5365755000, 1.3760160000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
-                    values("0.0311282000, 0.0327288000, 0.0368553000, 0.0475018000, 0.0746965000, 0.1464447000, 0.3414915000", \
-                        "0.0352322000, 0.0368097000, 0.0409928000, 0.0516624000, 0.0787714000, 0.1505795000, 0.3457818000", \
-                        "0.0440487000, 0.0456668000, 0.0498332000, 0.0603915000, 0.0875955000, 0.1593170000, 0.3544554000", \
-                        "0.0586347000, 0.0605974000, 0.0657491000, 0.0786288000, 0.1075242000, 0.1797699000, 0.3751837000", \
-                        "0.0764732000, 0.0794898000, 0.0869956000, 0.1046666000, 0.1434831000, 0.2253637000, 0.4221830000", \
-                        "0.0867694000, 0.0913273000, 0.1030544000, 0.1310407000, 0.1906432000, 0.3044813000, 0.5294937000", \
-                        "0.0631228000, 0.0702413000, 0.0891477000, 0.1328587000, 0.2269964000, 0.4039412000, 0.7166283000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
-                    values("0.0958201000, 0.0999465000, 0.1112395000, 0.1411028000, 0.2221349000, 0.4442295000, 1.0577740000", \
-                        "0.1004321000, 0.1044370000, 0.1156871000, 0.1458819000, 0.2274115000, 0.4498095000, 1.0634760000", \
-                        "0.1128341000, 0.1168922000, 0.1281325000, 0.1584623000, 0.2405042000, 0.4632803000, 1.0773623000", \
-                        "0.1413854000, 0.1454214000, 0.1565211000, 0.1865053000, 0.2683905000, 0.4916015000, 1.1072346000", \
-                        "0.1968080000, 0.2014698000, 0.2141332000, 0.2471047000, 0.3287854000, 0.5521530000, 1.1669459000", \
-                        "0.2901398000, 0.2964992000, 0.3146382000, 0.3574306000, 0.4589449000, 0.6893250000, 1.3050595000", \
-                        "0.4431708000, 0.4537099000, 0.4811592000, 0.5474226000, 0.6905942000, 0.9867633000, 1.6253212000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
-                    values("0.0231988000, 0.0248576000, 0.0293108000, 0.0420434000, 0.0772499000, 0.1758112000, 0.4499320000", \
-                        "0.0229577000, 0.0245378000, 0.0291932000, 0.0419058000, 0.0771907000, 0.1758549000, 0.4503029000", \
-                        "0.0240200000, 0.0255592000, 0.0298330000, 0.0421251000, 0.0770830000, 0.1758114000, 0.4504337000", \
-                        "0.0314309000, 0.0330302000, 0.0373843000, 0.0488803000, 0.0803019000, 0.1758396000, 0.4501909000", \
-                        "0.0484758000, 0.0504425000, 0.0558919000, 0.0688840000, 0.1012075000, 0.1869901000, 0.4511320000", \
-                        "0.0811204000, 0.0839209000, 0.0914450000, 0.1096956000, 0.1510729000, 0.2409694000, 0.4778407000", \
-                        "0.1406908000, 0.1451499000, 0.1568563000, 0.1846483000, 0.2427167000, 0.3594391000, 0.6027385000");
-                }
-                related_pin : "B1";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
-                    values("0.0615719000, 0.0667391000, 0.0813502000, 0.1213981000, 0.2314243000, 0.5347034000, 1.3752263000", \
-                        "0.0615385000, 0.0667511000, 0.0812533000, 0.1214122000, 0.2315344000, 0.5367874000, 1.3734835000", \
-                        "0.0615161000, 0.0667367000, 0.0813305000, 0.1213695000, 0.2321068000, 0.5346686000, 1.3772026000", \
-                        "0.0618991000, 0.0671529000, 0.0814725000, 0.1214413000, 0.2314093000, 0.5350111000, 1.3794101000", \
-                        "0.0758574000, 0.0808319000, 0.0937975000, 0.1297202000, 0.2336147000, 0.5357017000, 1.3782051000", \
-                        "0.1097782000, 0.1153273000, 0.1306525000, 0.1698676000, 0.2679934000, 0.5443811000, 1.3796602000", \
-                        "0.1865709000, 0.1938578000, 0.2131081000, 0.2597290000, 0.3700620000, 0.6355417000, 1.3943194000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
-                    values("0.0253204000, 0.0268810000, 0.0310538000, 0.0417599000, 0.0688895000, 0.1405238000, 0.3356658000", \
-                        "0.0292807000, 0.0308821000, 0.0350345000, 0.0457105000, 0.0728136000, 0.1446683000, 0.3396256000", \
-                        "0.0395393000, 0.0411724000, 0.0452619000, 0.0555474000, 0.0825585000, 0.1542146000, 0.3494262000", \
-                        "0.0541514000, 0.0564205000, 0.0623959000, 0.0763697000, 0.1060414000, 0.1769818000, 0.3721317000", \
-                        "0.0678947000, 0.0712211000, 0.0798187000, 0.1010801000, 0.1458213000, 0.2317507000, 0.4257032000", \
-                        "0.0704145000, 0.0756529000, 0.0892912000, 0.1216491000, 0.1898165000, 0.3181257000, 0.5505554000", \
-                        "0.0312214000, 0.0390992000, 0.0593938000, 0.1079028000, 0.2135519000, 0.4127104000, 0.7669163000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
-                    values("0.0764909000, 0.0812894000, 0.0939253000, 0.1271147000, 0.2171862000, 0.4587051000, 1.1287744000", \
-                        "0.0801710000, 0.0849917000, 0.0976537000, 0.1317271000, 0.2210186000, 0.4646113000, 1.1347335000", \
-                        "0.0926680000, 0.0971861000, 0.1095242000, 0.1429885000, 0.2338495000, 0.4802753000, 1.1583539000", \
-                        "0.1215655000, 0.1261837000, 0.1383642000, 0.1710256000, 0.2606992000, 0.5096031000, 1.1791419000", \
-                        "0.1725208000, 0.1783708000, 0.1934966000, 0.2314414000, 0.3214936000, 0.5658638000, 1.2400411000", \
-                        "0.2567967000, 0.2657464000, 0.2875468000, 0.3404835000, 0.4543893000, 0.7061624000, 1.3801605000", \
-                        "0.3926455000, 0.4070994000, 0.4426537000, 0.5257806000, 0.6914706000, 1.0160528000, 1.7055843000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
-                    values("0.0232730000, 0.0249104000, 0.0294541000, 0.0420808000, 0.0773216000, 0.1757352000, 0.4505714000", \
-                        "0.0222893000, 0.0240506000, 0.0288104000, 0.0418111000, 0.0771574000, 0.1758837000, 0.4499137000", \
-                        "0.0249452000, 0.0263488000, 0.0303776000, 0.0421076000, 0.0767777000, 0.1757663000, 0.4502309000", \
-                        "0.0345889000, 0.0365562000, 0.0416579000, 0.0535602000, 0.0827754000, 0.1756595000, 0.4503196000", \
-                        "0.0541310000, 0.0569455000, 0.0641108000, 0.0801499000, 0.1162156000, 0.1954871000, 0.4506411000", \
-                        "0.0888767000, 0.0931751000, 0.1040160000, 0.1276170000, 0.1783571000, 0.2756267000, 0.4942272000", \
-                        "0.1494559000, 0.1563394000, 0.1745322000, 0.2125149000, 0.2867950000, 0.4250358000, 0.6798170000");
-                }
-                related_pin : "B2";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
-                    values("0.0459677000, 0.0516783000, 0.0672976000, 0.1110813000, 0.2316182000, 0.5632186000, 1.4771047000", \
-                        "0.0460823000, 0.0517103000, 0.0673858000, 0.1109780000, 0.2311902000, 0.5631665000, 1.4840030000", \
-                        "0.0461927000, 0.0518628000, 0.0676066000, 0.1110636000, 0.2315113000, 0.5651321000, 1.4942887000", \
-                        "0.0476548000, 0.0530137000, 0.0680697000, 0.1114555000, 0.2312583000, 0.5649680000, 1.4814626000", \
-                        "0.0634547000, 0.0687903000, 0.0836265000, 0.1215533000, 0.2345455000, 0.5647436000, 1.4807072000", \
-                        "0.0986990000, 0.1048536000, 0.1214192000, 0.1634172000, 0.2691035000, 0.5723181000, 1.4800516000", \
-                        "0.1763009000, 0.1844642000, 0.2063255000, 0.2561083000, 0.3771322000, 0.6629259000, 1.4947281000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-        }
-    }
-
-/* removed sky130_fd_sc_hd__a311o_1 */
-
-/* removed sky130_fd_sc_hd__a311o_2 */
-
-/* removed sky130_fd_sc_hd__a311o_4 */
-
-/* removed sky130_fd_sc_hd__a311oi_1 */
-
-/* removed sky130_fd_sc_hd__a311oi_2 */
-
-/* removed sky130_fd_sc_hd__a311oi_4 */
-
-/* removed sky130_fd_sc_hd__a31o_1 */
-
-/* removed sky130_fd_sc_hd__a31o_2 */
-
-/* removed sky130_fd_sc_hd__a31o_4 */
-
-/* removed sky130_fd_sc_hd__a31oi_1 */
-
-/* removed sky130_fd_sc_hd__a31oi_2 */
-
-/* removed sky130_fd_sc_hd__a31oi_4 */
-
-/* removed sky130_fd_sc_hd__a32o_1 */
-
-/* removed sky130_fd_sc_hd__a32o_2 */
-
-    cell ("sky130_fd_sc_hd__a32o_4") {
-        leakage_power () {
-            value : 0.0053900000;
-            when : "!A1&!A2&!A3&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0047000000;
-            when : "!A1&!A2&!A3&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0057453000;
-            when : "!A1&!A2&!A3&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0052260000;
-            when : "!A1&!A2&!A3&B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0054184000;
-            when : "!A1&!A2&A3&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0047285000;
-            when : "!A1&!A2&A3&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0057453000;
-            when : "!A1&!A2&A3&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0052546000;
-            when : "!A1&!A2&A3&B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0054237000;
-            when : "!A1&A2&!A3&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0047338000;
-            when : "!A1&A2&!A3&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0057453000;
-            when : "!A1&A2&!A3&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0052599000;
-            when : "!A1&A2&!A3&B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0061047000;
-            when : "!A1&A2&A3&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0054147000;
-            when : "!A1&A2&A3&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0057453000;
-            when : "!A1&A2&A3&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0059408000;
-            when : "!A1&A2&A3&B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0054350000;
-            when : "A1&!A2&!A3&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0047430000;
-            when : "A1&!A2&!A3&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0057453000;
-            when : "A1&!A2&!A3&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0052703000;
-            when : "A1&!A2&!A3&B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0059403000;
-            when : "A1&!A2&A3&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0052501000;
-            when : "A1&!A2&A3&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0057462000;
-            when : "A1&!A2&A3&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0057746000;
-            when : "A1&!A2&A3&B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0059702000;
-            when : "A1&A2&!A3&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0052780000;
-            when : "A1&A2&!A3&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0057452000;
-            when : "A1&A2&!A3&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0058030000;
-            when : "A1&A2&!A3&B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0060543000;
-            when : "A1&A2&A3&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0062855000;
-            when : "A1&A2&A3&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0022874000;
-            when : "A1&A2&A3&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0062303000;
-            when : "A1&A2&A3&B1&!B2";
-        }
-        area : 21.270400000;
-        cell_footprint : "sky130_fd_sc_hd__a32o";
-        cell_leakage_power : 0.0054417170;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("A1") {
-            capacitance : 0.0042720000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0041030000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0092163000, 0.0092109000, 0.0091985000, 0.0092000000, 0.0092035000, 0.0092117000, 0.0092305000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.006441700, -0.006446300, -0.006456800, -0.006441100, -0.006404800, -0.006321100, -0.006128300");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0044410000;
-        }
-        pin ("A2") {
-            capacitance : 0.0042840000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0040990000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0085840000, 0.0085770000, 0.0085610000, 0.0085894000, 0.0086551000, 0.0088063000, 0.0091550000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.007914300, -0.007916600, -0.007922000, -0.007923900, -0.007928400, -0.007938800, -0.007962600");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0044700000;
-        }
-        pin ("A3") {
-            capacitance : 0.0044660000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0041920000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0082592000, 0.0082553000, 0.0082462000, 0.0082495000, 0.0082572000, 0.0082749000, 0.0083156000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.008244000, -0.008243400, -0.008242000, -0.008241000, -0.008238700, -0.008233500, -0.008221400");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0047390000;
-        }
-        pin ("B1") {
-            capacitance : 0.0043510000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0040630000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0092281000, 0.0092271000, 0.0092248000, 0.0092216000, 0.0092143000, 0.0091974000, 0.0091584000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.006491400, -0.006495200, -0.006503900, -0.006485600, -0.006443300, -0.006346000, -0.006121600");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0046390000;
-        }
-        pin ("B2") {
-            capacitance : 0.0042810000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0039490000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0077717000, 0.0077680000, 0.0077593000, 0.0077584000, 0.0077564000, 0.0077517000, 0.0077410000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.007742300, -0.007741400, -0.007739300, -0.007735300, -0.007726100, -0.007704700, -0.007655600");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0046120000;
-        }
-        pin ("X") {
-            direction : "output";
-            function : "(A1&A2&A3) | (B1&B2)";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
-                    values("0.0342602000, 0.0324812000, 0.0273363000, 0.0123641000, -0.040934700, -0.225160000, -0.821915200", \
-                        "0.0341961000, 0.0324186000, 0.0272692000, 0.0123104000, -0.040965000, -0.225182900, -0.822047400", \
-                        "0.0338849000, 0.0321275000, 0.0270072000, 0.0120676000, -0.041331200, -0.225409200, -0.822335400", \
-                        "0.0331047000, 0.0313484000, 0.0261855000, 0.0113140000, -0.041926100, -0.226045000, -0.822926900", \
-                        "0.0324500000, 0.0307449000, 0.0255575000, 0.0105755000, -0.042728500, -0.226808600, -0.823571000", \
-                        "0.0323963000, 0.0305780000, 0.0256120000, 0.0104790000, -0.043137300, -0.227131300, -0.823892900", \
-                        "0.0414712000, 0.0394609000, 0.0331187000, 0.0147159000, -0.043242200, -0.227570400, -0.823863700");
-                }
-                related_pin : "A1";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
-                    values("0.0367803000, 0.0386147000, 0.0446528000, 0.0638584000, 0.1225574000, 0.3074977000, 0.8978022000", \
-                        "0.0365757000, 0.0384107000, 0.0444249000, 0.0635840000, 0.1225380000, 0.3074990000, 0.8989715000", \
-                        "0.0362881000, 0.0381363000, 0.0441131000, 0.0633860000, 0.1222306000, 0.3072220000, 0.8989063000", \
-                        "0.0358637000, 0.0376619000, 0.0436121000, 0.0628937000, 0.1218678000, 0.3067918000, 0.9017991000", \
-                        "0.0357507000, 0.0375508000, 0.0434290000, 0.0625891000, 0.1212801000, 0.3062719000, 0.8978020000", \
-                        "0.0372539000, 0.0389869000, 0.0445177000, 0.0625099000, 0.1211604000, 0.3059143000, 0.8975955000", \
-                        "0.0402019000, 0.0417823000, 0.0472307000, 0.0651464000, 0.1232055000, 0.3078066000, 0.8986330000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
-                    values("0.0406982000, 0.0388763000, 0.0336620000, 0.0187075000, -0.034419800, -0.218372900, -0.815193300", \
-                        "0.0405417000, 0.0387226000, 0.0334967000, 0.0187613000, -0.034669900, -0.218660900, -0.815305100", \
-                        "0.0402788000, 0.0384838000, 0.0332886000, 0.0182985000, -0.034949700, -0.218943700, -0.815568900", \
-                        "0.0396771000, 0.0379598000, 0.0327238000, 0.0177098000, -0.035574800, -0.219448300, -0.816066000", \
-                        "0.0391040000, 0.0373330000, 0.0320585000, 0.0171549000, -0.036162000, -0.220115700, -0.816701700", \
-                        "0.0389067000, 0.0370816000, 0.0317935000, 0.0165979000, -0.036586100, -0.220535400, -0.817115900", \
-                        "0.0478645000, 0.0458626000, 0.0397333000, 0.0212808000, -0.036819800, -0.221195800, -0.817496500");
-                }
-                related_pin : "A2";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
-                    values("0.0382928000, 0.0400848000, 0.0460118000, 0.0652828000, 0.1241118000, 0.3085949000, 0.9033375000", \
-                        "0.0380635000, 0.0398769000, 0.0459248000, 0.0651104000, 0.1237636000, 0.3085899000, 0.9032305000", \
-                        "0.0377800000, 0.0395677000, 0.0455807000, 0.0648351000, 0.1234881000, 0.3081372000, 0.8989100000", \
-                        "0.0373949000, 0.0392154000, 0.0452322000, 0.0643806000, 0.1232014000, 0.3079666000, 0.8999176000", \
-                        "0.0371942000, 0.0389797000, 0.0448958000, 0.0640265000, 0.1226791000, 0.3073637000, 0.9018149000", \
-                        "0.0383541000, 0.0400699000, 0.0456764000, 0.0637769000, 0.1225226000, 0.3071360000, 0.8988616000", \
-                        "0.0411334000, 0.0427850000, 0.0482253000, 0.0662490000, 0.1245978000, 0.3088585000, 0.9000456000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
-                    values("0.0458395000, 0.0440631000, 0.0388324000, 0.0237211000, -0.029784600, -0.214094400, -0.810911600", \
-                        "0.0458673000, 0.0440329000, 0.0387107000, 0.0236921000, -0.029877200, -0.214273900, -0.811106000", \
-                        "0.0454233000, 0.0436281000, 0.0382976000, 0.0233242000, -0.030120200, -0.214385600, -0.811372900", \
-                        "0.0451701000, 0.0433358000, 0.0381143000, 0.0230132000, -0.030586500, -0.214765600, -0.811571200", \
-                        "0.0447974000, 0.0430015000, 0.0377458000, 0.0226057000, -0.030988600, -0.215162200, -0.811909300", \
-                        "0.0448495000, 0.0429822000, 0.0376031000, 0.0224175000, -0.030977700, -0.215159000, -0.811918600", \
-                        "0.0542026000, 0.0521646000, 0.0461137000, 0.0275517000, -0.030665100, -0.215258300, -0.811440300");
-                }
-                related_pin : "A3";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
-                    values("0.0381963000, 0.0400792000, 0.0460699000, 0.0652183000, 0.1239121000, 0.3083924000, 0.9016084000", \
-                        "0.0380115000, 0.0398077000, 0.0457509000, 0.0650199000, 0.1238274000, 0.3080837000, 0.9025915000", \
-                        "0.0377220000, 0.0395542000, 0.0455985000, 0.0648277000, 0.1234268000, 0.3077976000, 0.8983268000", \
-                        "0.0373870000, 0.0392220000, 0.0452285000, 0.0644798000, 0.1230566000, 0.3074994000, 0.8978428000", \
-                        "0.0371723000, 0.0389579000, 0.0448935000, 0.0639645000, 0.1226568000, 0.3075062000, 0.8985005000", \
-                        "0.0385059000, 0.0402483000, 0.0459591000, 0.0639118000, 0.1227272000, 0.3070491000, 0.8989336000", \
-                        "0.0407633000, 0.0424292000, 0.0480372000, 0.0661054000, 0.1245128000, 0.3085683000, 0.8972162000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
-                    values("0.0334345000, 0.0315838000, 0.0263427000, 0.0112358000, -0.042139500, -0.226246400, -0.822851200", \
-                        "0.0332666000, 0.0315019000, 0.0262572000, 0.0111534000, -0.042268600, -0.226373400, -0.823036900", \
-                        "0.0328134000, 0.0309714000, 0.0258076000, 0.0106541000, -0.042736900, -0.226733000, -0.823471700", \
-                        "0.0323909000, 0.0306015000, 0.0253382000, 0.0102217000, -0.043240000, -0.227279000, -0.823915600", \
-                        "0.0319498000, 0.0301391000, 0.0248747000, 0.0098146000, -0.043637200, -0.227620500, -0.824243300", \
-                        "0.0329422000, 0.0311270000, 0.0255844000, 0.0100789000, -0.043712900, -0.227601600, -0.824122900", \
-                        "0.0438520000, 0.0417263000, 0.0353631000, 0.0164638000, -0.042150300, -0.226595400, -0.822996700");
-                }
-                related_pin : "B1";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
-                    values("0.0295724000, 0.0314990000, 0.0377403000, 0.0572210000, 0.1163367000, 0.3018058000, 0.8940574000", \
-                        "0.0295869000, 0.0315135000, 0.0376458000, 0.0572199000, 0.1163331000, 0.3017897000, 0.8930922000", \
-                        "0.0294413000, 0.0313489000, 0.0375763000, 0.0570421000, 0.1161150000, 0.3010373000, 0.8944190000", \
-                        "0.0291291000, 0.0310400000, 0.0371145000, 0.0563254000, 0.1153577000, 0.3010185000, 0.8931337000", \
-                        "0.0291258000, 0.0309374000, 0.0369541000, 0.0557764000, 0.1146545000, 0.3002908000, 0.8927529000", \
-                        "0.0304353000, 0.0321403000, 0.0377754000, 0.0561030000, 0.1142667000, 0.2988762000, 0.8914739000", \
-                        "0.0332218000, 0.0347188000, 0.0400913000, 0.0579054000, 0.1161520000, 0.3007143000, 0.8884842000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
-                    values("0.0402889000, 0.0384835000, 0.0332772000, 0.0181770000, -0.035204300, -0.219166300, -0.815586300", \
-                        "0.0400539000, 0.0382423000, 0.0329340000, 0.0179101000, -0.035325700, -0.219230900, -0.815850700", \
-                        "0.0397343000, 0.0380156000, 0.0327456000, 0.0176554000, -0.035706700, -0.219644400, -0.816036100", \
-                        "0.0395351000, 0.0377482000, 0.0324621000, 0.0173349000, -0.036066300, -0.219966400, -0.816474600", \
-                        "0.0391355000, 0.0373593000, 0.0320564000, 0.0170511000, -0.036413000, -0.220297500, -0.816737200", \
-                        "0.0405927000, 0.0388001000, 0.0332952000, 0.0179491000, -0.035672000, -0.219616800, -0.815971600", \
-                        "0.0531150000, 0.0509894000, 0.0446231000, 0.0255108000, -0.033456900, -0.218059500, -0.814490000");
-                }
-                related_pin : "B2";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
-                    values("0.0308567000, 0.0327835000, 0.0390184000, 0.0585138000, 0.1175976000, 0.3026992000, 0.8956743000", \
-                        "0.0308621000, 0.0327897000, 0.0390669000, 0.0584977000, 0.1176537000, 0.3030899000, 0.8952564000", \
-                        "0.0307657000, 0.0326820000, 0.0388490000, 0.0583100000, 0.1175007000, 0.3029111000, 0.8901727000", \
-                        "0.0303975000, 0.0323087000, 0.0384963000, 0.0578146000, 0.1168637000, 0.3024753000, 0.8946058000", \
-                        "0.0300892000, 0.0319176000, 0.0379877000, 0.0569514000, 0.1159969000, 0.3016563000, 0.8966604000", \
-                        "0.0312110000, 0.0329692000, 0.0386857000, 0.0571847000, 0.1155186000, 0.3000721000, 0.8926748000", \
-                        "0.0333859000, 0.0350250000, 0.0405285000, 0.0585219000, 0.1172163000, 0.3017095000, 0.8929161000");
-                }
-            }
-            max_capacitance : 0.5365090000;
-            max_transition : 1.5014820000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
-                    values("0.2416561000, 0.2462427000, 0.2580539000, 0.2842765000, 0.3385462000, 0.4578538000, 0.7790916000", \
-                        "0.2473248000, 0.2519134000, 0.2637716000, 0.2900513000, 0.3442046000, 0.4636069000, 0.7846774000", \
-                        "0.2598859000, 0.2644685000, 0.2762517000, 0.3025166000, 0.3565060000, 0.4760202000, 0.7972008000", \
-                        "0.2892658000, 0.2938495000, 0.3056414000, 0.3318431000, 0.3856349000, 0.5054288000, 0.8263091000", \
-                        "0.3527044000, 0.3572154000, 0.3690483000, 0.3951287000, 0.4492522000, 0.5690736000, 0.8903359000", \
-                        "0.4855028000, 0.4903440000, 0.5028156000, 0.5301869000, 0.5855470000, 0.7060894000, 1.0274767000", \
-                        "0.7219137000, 0.7276318000, 0.7420104000, 0.7738717000, 0.8369313000, 0.9664460000, 1.2909560000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
-                    values("0.1430799000, 0.1488374000, 0.1637321000, 0.1983809000, 0.2799113000, 0.5060163000, 1.2133828000", \
-                        "0.1466550000, 0.1523993000, 0.1673253000, 0.2019363000, 0.2835891000, 0.5092397000, 1.2176424000", \
-                        "0.1555708000, 0.1613320000, 0.1762867000, 0.2108645000, 0.2924821000, 0.5182105000, 1.2265018000", \
-                        "0.1778492000, 0.1835589000, 0.1984953000, 0.2331538000, 0.3147882000, 0.5402582000, 1.2513456000", \
-                        "0.2271172000, 0.2328971000, 0.2478252000, 0.2825618000, 0.3641053000, 0.5896944000, 1.2983088000", \
-                        "0.2994378000, 0.3058670000, 0.3221790000, 0.3586358000, 0.4419220000, 0.6690458000, 1.3785415000", \
-                        "0.3729763000, 0.3808916000, 0.4011399000, 0.4445302000, 0.5321041000, 0.7600154000, 1.4687367000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
-                    values("0.0323322000, 0.0347718000, 0.0426408000, 0.0597220000, 0.1041847000, 0.2293872000, 0.6398706000", \
-                        "0.0323309000, 0.0347720000, 0.0422523000, 0.0600065000, 0.1043010000, 0.2297711000, 0.6386693000", \
-                        "0.0321213000, 0.0348636000, 0.0421920000, 0.0603689000, 0.1043139000, 0.2293669000, 0.6388038000", \
-                        "0.0321028000, 0.0348794000, 0.0422345000, 0.0601940000, 0.1036255000, 0.2298274000, 0.6405084000", \
-                        "0.0321643000, 0.0350863000, 0.0424237000, 0.0604573000, 0.1041656000, 0.2296639000, 0.6396775000", \
-                        "0.0355747000, 0.0384926000, 0.0459177000, 0.0632207000, 0.1070741000, 0.2302070000, 0.6402187000", \
-                        "0.0466174000, 0.0493651000, 0.0576041000, 0.0765896000, 0.1216372000, 0.2436988000, 0.6450389000");
-                }
-                related_pin : "A1";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
-                    values("0.0341971000, 0.0381731000, 0.0489996000, 0.0798409000, 0.1724192000, 0.4821035000, 1.4970271000", \
-                        "0.0343232000, 0.0381949000, 0.0492962000, 0.0799025000, 0.1723925000, 0.4818635000, 1.4998266000", \
-                        "0.0342000000, 0.0381081000, 0.0491956000, 0.0798715000, 0.1724526000, 0.4819005000, 1.4989029000", \
-                        "0.0342606000, 0.0379323000, 0.0489689000, 0.0797043000, 0.1719123000, 0.4815594000, 1.5011744000", \
-                        "0.0350193000, 0.0388211000, 0.0495338000, 0.0802542000, 0.1726920000, 0.4817421000, 1.5006497000", \
-                        "0.0426890000, 0.0460593000, 0.0562114000, 0.0854368000, 0.1762620000, 0.4831949000, 1.4995554000", \
-                        "0.0567031000, 0.0609340000, 0.0725126000, 0.1008409000, 0.1834747000, 0.4858817000, 1.4938366000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
-                    values("0.2643898000, 0.2691908000, 0.2814375000, 0.3082238000, 0.3623996000, 0.4826037000, 0.8043524000", \
-                        "0.2694225000, 0.2742227000, 0.2864641000, 0.3131558000, 0.3677345000, 0.4878720000, 0.8098181000", \
-                        "0.2815997000, 0.2864161000, 0.2987301000, 0.3253757000, 0.3802378000, 0.4998688000, 0.8215947000", \
-                        "0.3101980000, 0.3150295000, 0.3272696000, 0.3539822000, 0.4086583000, 0.5284272000, 0.8501921000", \
-                        "0.3702127000, 0.3749081000, 0.3871447000, 0.4138957000, 0.4685329000, 0.5885578000, 0.9104654000", \
-                        "0.4966444000, 0.5016977000, 0.5145908000, 0.5422808000, 0.5970947000, 0.7184672000, 1.0403780000", \
-                        "0.7199218000, 0.7258730000, 0.7408728000, 0.7728229000, 0.8351794000, 0.9642122000, 1.2902543000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
-                    values("0.1538872000, 0.1595954000, 0.1745014000, 0.2091492000, 0.2906290000, 0.5157426000, 1.2260749000", \
-                        "0.1578754000, 0.1636371000, 0.1785452000, 0.2131689000, 0.2946896000, 0.5200665000, 1.2286653000", \
-                        "0.1669053000, 0.1726407000, 0.1875931000, 0.2221984000, 0.3036428000, 0.5294954000, 1.2372778000", \
-                        "0.1874194000, 0.1931540000, 0.2080497000, 0.2426399000, 0.3240941000, 0.5498445000, 1.2578590000", \
-                        "0.2321897000, 0.2379923000, 0.2529904000, 0.2877840000, 0.3693243000, 0.5953603000, 1.3032939000", \
-                        "0.3040567000, 0.3105145000, 0.3270377000, 0.3638126000, 0.4475706000, 0.6744850000, 1.3835353000", \
-                        "0.3853828000, 0.3931788000, 0.4130881000, 0.4561596000, 0.5448453000, 0.7731076000, 1.4808901000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
-                    values("0.0343128000, 0.0371845000, 0.0447289000, 0.0619814000, 0.1056352000, 0.2301390000, 0.6409913000", \
-                        "0.0343036000, 0.0371861000, 0.0439876000, 0.0617079000, 0.1042750000, 0.2304438000, 0.6389216000", \
-                        "0.0342102000, 0.0370025000, 0.0445649000, 0.0612175000, 0.1051965000, 0.2300632000, 0.6404460000", \
-                        "0.0343341000, 0.0371531000, 0.0443795000, 0.0612429000, 0.1051863000, 0.2301268000, 0.6407875000", \
-                        "0.0342630000, 0.0374855000, 0.0441806000, 0.0615644000, 0.1055195000, 0.2301601000, 0.6399409000", \
-                        "0.0373561000, 0.0403627000, 0.0473919000, 0.0640855000, 0.1076332000, 0.2311983000, 0.6409986000", \
-                        "0.0471229000, 0.0503705000, 0.0584895000, 0.0765587000, 0.1204550000, 0.2425490000, 0.6440075000");
-                }
-                related_pin : "A2";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
-                    values("0.0341657000, 0.0378577000, 0.0492545000, 0.0798146000, 0.1721292000, 0.4817140000, 1.5013508000", \
-                        "0.0340808000, 0.0381068000, 0.0491088000, 0.0797889000, 0.1724035000, 0.4811034000, 1.4997610000", \
-                        "0.0342335000, 0.0381648000, 0.0491854000, 0.0799000000, 0.1723064000, 0.4819026000, 1.4985477000", \
-                        "0.0341093000, 0.0381019000, 0.0492214000, 0.0798392000, 0.1724571000, 0.4818116000, 1.4997278000", \
-                        "0.0351337000, 0.0389628000, 0.0499733000, 0.0801321000, 0.1726785000, 0.4811606000, 1.4984950000", \
-                        "0.0407356000, 0.0446320000, 0.0556196000, 0.0853132000, 0.1757977000, 0.4827691000, 1.4998457000", \
-                        "0.0536338000, 0.0582029000, 0.0696358000, 0.0984033000, 0.1840911000, 0.4856254000, 1.4933028000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
-                    values("0.2759154000, 0.2808505000, 0.2933095000, 0.3202566000, 0.3752439000, 0.4951263000, 0.8178240000", \
-                        "0.2810306000, 0.2859393000, 0.2984021000, 0.3255012000, 0.3803582000, 0.5004517000, 0.8224548000", \
-                        "0.2939344000, 0.2988399000, 0.3113105000, 0.3383808000, 0.3928452000, 0.5131651000, 0.8355441000", \
-                        "0.3227266000, 0.3276244000, 0.3401843000, 0.3671034000, 0.4219501000, 0.5420564000, 0.8647754000", \
-                        "0.3816092000, 0.3865656000, 0.3990432000, 0.4259955000, 0.4806829000, 0.6012326000, 0.9236280000", \
-                        "0.5045989000, 0.5096064000, 0.5226957000, 0.5502771000, 0.6056314000, 0.7264793000, 1.0491783000", \
-                        "0.7246420000, 0.7303842000, 0.7454022000, 0.7770402000, 0.8387691000, 0.9669172000, 1.2928650000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
-                    values("0.1581201000, 0.1638444000, 0.1787613000, 0.2133777000, 0.2948544000, 0.5198452000, 1.2276802000", \
-                        "0.1619187000, 0.1676411000, 0.1825799000, 0.2172446000, 0.2987025000, 0.5235446000, 1.2334083000", \
-                        "0.1692836000, 0.1750500000, 0.1899610000, 0.2246173000, 0.3060277000, 0.5315409000, 1.2390131000", \
-                        "0.1840420000, 0.1897918000, 0.2047301000, 0.2393195000, 0.3207085000, 0.5462919000, 1.2535850000", \
-                        "0.2143597000, 0.2202101000, 0.2353865000, 0.2701561000, 0.3518360000, 0.5772876000, 1.2851795000", \
-                        "0.2642422000, 0.2705509000, 0.2867526000, 0.3235054000, 0.4073127000, 0.6340066000, 1.3423861000", \
-                        "0.3229904000, 0.3303442000, 0.3492312000, 0.3906589000, 0.4788823000, 0.7076381000, 1.4147957000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
-                    values("0.0356227000, 0.0384083000, 0.0462411000, 0.0623723000, 0.1061212000, 0.2312433000, 0.6423874000", \
-                        "0.0356204000, 0.0384640000, 0.0452858000, 0.0631626000, 0.1064278000, 0.2309664000, 0.6430431000", \
-                        "0.0357441000, 0.0387079000, 0.0453934000, 0.0624631000, 0.1065464000, 0.2312919000, 0.6422138000", \
-                        "0.0356015000, 0.0386347000, 0.0460684000, 0.0623591000, 0.1062078000, 0.2311442000, 0.6424422000", \
-                        "0.0355463000, 0.0383452000, 0.0458940000, 0.0623312000, 0.1063414000, 0.2311426000, 0.6429577000", \
-                        "0.0381116000, 0.0413987000, 0.0479860000, 0.0648253000, 0.1078615000, 0.2318818000, 0.6435458000", \
-                        "0.0469908000, 0.0506152000, 0.0583839000, 0.0762027000, 0.1196985000, 0.2418897000, 0.6457597000");
-                }
-                related_pin : "A3";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
-                    values("0.0341320000, 0.0382984000, 0.0490482000, 0.0797596000, 0.1723459000, 0.4811385000, 1.4979705000", \
-                        "0.0343032000, 0.0380307000, 0.0490383000, 0.0798030000, 0.1723596000, 0.4817029000, 1.5014824000", \
-                        "0.0341055000, 0.0381672000, 0.0490682000, 0.0799159000, 0.1724084000, 0.4819113000, 1.4986073000", \
-                        "0.0342832000, 0.0381032000, 0.0491219000, 0.0798926000, 0.1724256000, 0.4819449000, 1.4981253000", \
-                        "0.0353769000, 0.0388659000, 0.0497296000, 0.0804273000, 0.1724447000, 0.4819224000, 1.5002024000", \
-                        "0.0389614000, 0.0427584000, 0.0542844000, 0.0847151000, 0.1757666000, 0.4820616000, 1.4993450000", \
-                        "0.0489755000, 0.0531112000, 0.0646945000, 0.0953690000, 0.1827642000, 0.4849585000, 1.4973804000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
-                    values("0.2218537000, 0.2266124000, 0.2388036000, 0.2654438000, 0.3201834000, 0.4402634000, 0.7627749000", \
-                        "0.2261330000, 0.2308938000, 0.2430931000, 0.2697410000, 0.3244753000, 0.4444941000, 0.7668950000", \
-                        "0.2364402000, 0.2411782000, 0.2529904000, 0.2796088000, 0.3338793000, 0.4543163000, 0.7765164000", \
-                        "0.2629673000, 0.2677205000, 0.2799118000, 0.3065389000, 0.3610904000, 0.4814359000, 0.8038041000", \
-                        "0.3295710000, 0.3342906000, 0.3463728000, 0.3730869000, 0.4277208000, 0.5481473000, 0.8705446000", \
-                        "0.4849878000, 0.4901369000, 0.5031560000, 0.5306400000, 0.5857735000, 0.7065230000, 1.0289363000", \
-                        "0.7454241000, 0.7519714000, 0.7689583000, 0.8040995000, 0.8682788000, 0.9950858000, 1.3223154000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
-                    values("0.0898754000, 0.0951194000, 0.1088585000, 0.1408565000, 0.2179114000, 0.4411974000, 1.1510239000", \
-                        "0.0942457000, 0.0994882000, 0.1132041000, 0.1451952000, 0.2222584000, 0.4456169000, 1.1522436000", \
-                        "0.1045682000, 0.1098164000, 0.1235591000, 0.1554858000, 0.2325546000, 0.4554602000, 1.1645449000", \
-                        "0.1280423000, 0.1332705000, 0.1469295000, 0.1786361000, 0.2557436000, 0.4792557000, 1.1842717000", \
-                        "0.1664695000, 0.1723183000, 0.1870561000, 0.2202328000, 0.2982614000, 0.5218901000, 1.2324310000", \
-                        "0.2115545000, 0.2190898000, 0.2375621000, 0.2750134000, 0.3560418000, 0.5805034000, 1.2912605000", \
-                        "0.2428068000, 0.2523992000, 0.2762938000, 0.3241185000, 0.4140256000, 0.6393264000, 1.3460904000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
-                    values("0.0344588000, 0.0372409000, 0.0444427000, 0.0613961000, 0.1053996000, 0.2305710000, 0.6411706000", \
-                        "0.0342966000, 0.0370466000, 0.0447007000, 0.0616273000, 0.1056650000, 0.2305510000, 0.6408770000", \
-                        "0.0344490000, 0.0373135000, 0.0444956000, 0.0622205000, 0.1060036000, 0.2304156000, 0.6420589000", \
-                        "0.0342539000, 0.0370341000, 0.0443671000, 0.0616676000, 0.1057710000, 0.2305137000, 0.6413757000", \
-                        "0.0346889000, 0.0374502000, 0.0448697000, 0.0624320000, 0.1057409000, 0.2311226000, 0.6415356000", \
-                        "0.0394243000, 0.0422671000, 0.0499031000, 0.0652788000, 0.1074845000, 0.2313920000, 0.6420229000", \
-                        "0.0586342000, 0.0622275000, 0.0700463000, 0.0872188000, 0.1256647000, 0.2434379000, 0.6470253000");
-                }
-                related_pin : "B1";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
-                    values("0.0279339000, 0.0319919000, 0.0427107000, 0.0715719000, 0.1638420000, 0.4769756000, 1.4977037000", \
-                        "0.0279667000, 0.0318963000, 0.0424901000, 0.0715665000, 0.1638064000, 0.4762085000, 1.4930942000", \
-                        "0.0278589000, 0.0318126000, 0.0426424000, 0.0717922000, 0.1640733000, 0.4755537000, 1.4988193000", \
-                        "0.0281585000, 0.0321818000, 0.0428385000, 0.0719886000, 0.1641648000, 0.4761013000, 1.4975745000", \
-                        "0.0337627000, 0.0376067000, 0.0479663000, 0.0753742000, 0.1657603000, 0.4760543000, 1.4962469000", \
-                        "0.0473925000, 0.0512380000, 0.0607856000, 0.0854015000, 0.1707236000, 0.4780676000, 1.4945316000", \
-                        "0.0678609000, 0.0724745000, 0.0844264000, 0.1085634000, 0.1840091000, 0.4801596000, 1.4896590000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
-                    values("0.2365798000, 0.2415000000, 0.2540553000, 0.2809845000, 0.3360194000, 0.4566215000, 0.7791627000", \
-                        "0.2403787000, 0.2452901000, 0.2577697000, 0.2848572000, 0.3393468000, 0.4599661000, 0.7824662000", \
-                        "0.2509123000, 0.2558509000, 0.2683517000, 0.2953403000, 0.3504048000, 0.4708046000, 0.7936601000", \
-                        "0.2782403000, 0.2831815000, 0.2956977000, 0.3226238000, 0.3775488000, 0.4979285000, 0.8211837000", \
-                        "0.3437761000, 0.3486935000, 0.3611772000, 0.3882687000, 0.4429034000, 0.5639100000, 0.8863565000", \
-                        "0.4963432000, 0.5017700000, 0.5147052000, 0.5423955000, 0.5974141000, 0.7186124000, 1.0416122000", \
-                        "0.7537443000, 0.7605337000, 0.7776396000, 0.8128169000, 0.8759141000, 1.0020723000, 1.3285030000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
-                    values("0.0957302000, 0.1009796000, 0.1147306000, 0.1467346000, 0.2237388000, 0.4468995000, 1.1549355000", \
-                        "0.1004188000, 0.1056641000, 0.1194187000, 0.1514073000, 0.2285283000, 0.4518373000, 1.1573265000", \
-                        "0.1098388000, 0.1151086000, 0.1288851000, 0.1607783000, 0.2379867000, 0.4612408000, 1.1664533000", \
-                        "0.1298354000, 0.1350640000, 0.1487381000, 0.1806019000, 0.2577045000, 0.4811979000, 1.1856518000", \
-                        "0.1650038000, 0.1706827000, 0.1852445000, 0.2182133000, 0.2961244000, 0.5190788000, 1.2310265000", \
-                        "0.2107620000, 0.2176963000, 0.2349537000, 0.2715684000, 0.3524109000, 0.5762777000, 1.2863000000", \
-                        "0.2478312000, 0.2568249000, 0.2793485000, 0.3247997000, 0.4133104000, 0.6392684000, 1.3451318000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
-                    values("0.0357644000, 0.0386644000, 0.0461774000, 0.0624079000, 0.1060586000, 0.2308585000, 0.6426437000", \
-                        "0.0357499000, 0.0386741000, 0.0453791000, 0.0632610000, 0.1067183000, 0.2311186000, 0.6426344000", \
-                        "0.0357526000, 0.0385879000, 0.0458842000, 0.0623599000, 0.1060147000, 0.2310135000, 0.6421095000", \
-                        "0.0357294000, 0.0383714000, 0.0453791000, 0.0627917000, 0.1063421000, 0.2310926000, 0.6422176000", \
-                        "0.0357727000, 0.0387133000, 0.0452790000, 0.0628846000, 0.1064720000, 0.2305456000, 0.6432848000", \
-                        "0.0397560000, 0.0424652000, 0.0493480000, 0.0650028000, 0.1077454000, 0.2320846000, 0.6421599000", \
-                        "0.0584725000, 0.0616108000, 0.0699732000, 0.0863652000, 0.1234758000, 0.2414813000, 0.6470851000");
-                }
-                related_pin : "B2";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
-                    values("0.0279260000, 0.0319952000, 0.0426704000, 0.0715666000, 0.1639796000, 0.4772453000, 1.4989895000", \
-                        "0.0279965000, 0.0319768000, 0.0426993000, 0.0716012000, 0.1639619000, 0.4762466000, 1.4972055000", \
-                        "0.0279001000, 0.0319305000, 0.0424887000, 0.0716576000, 0.1640528000, 0.4762471000, 1.4960497000", \
-                        "0.0281581000, 0.0321059000, 0.0429211000, 0.0717690000, 0.1640993000, 0.4759375000, 1.4976670000", \
-                        "0.0318366000, 0.0356293000, 0.0465338000, 0.0743421000, 0.1650793000, 0.4768403000, 1.4978638000", \
-                        "0.0415961000, 0.0457220000, 0.0560170000, 0.0826538000, 0.1699200000, 0.4772506000, 1.4949740000", \
-                        "0.0594015000, 0.0648303000, 0.0766495000, 0.1015189000, 0.1810019000, 0.4805714000, 1.4909654000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-        }
-    }
-
-/* removed sky130_fd_sc_hd__a32oi_1 */
-
-/* removed sky130_fd_sc_hd__a32oi_2 */
-
-    cell ("sky130_fd_sc_hd__a32oi_4") {
-        leakage_power () {
-            value : 0.0020017000;
-            when : "!A1&!A2&!A3&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0002656000;
-            when : "!A1&!A2&!A3&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0051337000;
-            when : "!A1&!A2&!A3&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0015811000;
-            when : "!A1&!A2&!A3&B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0020858000;
-            when : "!A1&!A2&A3&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0003500000;
-            when : "!A1&!A2&A3&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0051337000;
-            when : "!A1&!A2&A3&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0016654000;
-            when : "!A1&!A2&A3&B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0020610000;
-            when : "!A1&A2&!A3&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0003247000;
-            when : "!A1&A2&!A3&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0051337000;
-            when : "!A1&A2&!A3&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0016402000;
-            when : "!A1&A2&!A3&B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0038377000;
-            when : "!A1&A2&A3&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0020941000;
-            when : "!A1&A2&A3&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0051337000;
-            when : "!A1&A2&A3&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0034103000;
-            when : "!A1&A2&A3&B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0020858000;
-            when : "A1&!A2&!A3&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0003434000;
-            when : "A1&!A2&!A3&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0051337000;
-            when : "A1&!A2&!A3&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0016653000;
-            when : "A1&!A2&!A3&B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0034103000;
-            when : "A1&!A2&A3&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0016713000;
-            when : "A1&!A2&A3&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0051337000;
-            when : "A1&!A2&A3&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0029897000;
-            when : "A1&!A2&A3&B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0031021000;
-            when : "A1&A2&!A3&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0013641000;
-            when : "A1&A2&!A3&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0051337000;
-            when : "A1&A2&!A3&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0026885000;
-            when : "A1&A2&!A3&B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0039808000;
-            when : "A1&A2&A3&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0041797000;
-            when : "A1&A2&A3&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0004544000;
-            when : "A1&A2&A3&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0041240000;
-            when : "A1&A2&A3&B1&!B2";
-        }
-        area : 27.526400000;
-        cell_footprint : "sky130_fd_sc_hd__a32oi";
-        cell_leakage_power : 0.0027910300;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("A1") {
-            capacitance : 0.0083290000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0081180000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0180689000, 0.0180753000, 0.0180902000, 0.0180910000, 0.0180930000, 0.0180975000, 0.0181080000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.012790900, -0.012801500, -0.012825800, -0.012795500, -0.012725800, -0.012565000, -0.012194500");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0085390000;
-        }
-        pin ("A2") {
-            capacitance : 0.0082260000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0079160000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0170436000, 0.0170463000, 0.0170524000, 0.0171143000, 0.0172572000, 0.0175865000, 0.0183456000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.015580600, -0.015563100, -0.015522800, -0.015520600, -0.015515700, -0.015504200, -0.015477700");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0085360000;
-        }
-        pin ("A3") {
-            capacitance : 0.0085060000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0080340000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0156261000, 0.0156256000, 0.0156245000, 0.0156310000, 0.0156461000, 0.0156809000, 0.0157611000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.015626000, -0.015623500, -0.015617800, -0.015605700, -0.015578000, -0.015514200, -0.015367000");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0089780000;
-        }
-        pin ("B1") {
-            capacitance : 0.0082430000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0077540000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0179179000, 0.0179139000, 0.0179046000, 0.0179095000, 0.0179207000, 0.0179466000, 0.0180062000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.013000400, -0.013013200, -0.013042600, -0.013013900, -0.012947800, -0.012795500, -0.012444500");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0087320000;
-        }
-        pin ("B2") {
-            capacitance : 0.0084790000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0077930000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0158960000, 0.0158818000, 0.0158489000, 0.0158494000, 0.0158507000, 0.0158537000, 0.0158606000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.015838800, -0.015829300, -0.015807500, -0.015806300, -0.015803800, -0.015797800, -0.015784100");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0091650000;
-        }
-        pin ("Y") {
-            direction : "output";
-            function : "(!A1&!B1) | (!A1&!B2) | (!A2&!B1) | (!A3&!B1) | (!A2&!B2) | (!A3&!B2)";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
-                    values("0.0278766000, 0.0264201000, 0.0222980000, 0.0109070000, -0.021786800, -0.114684400, -0.377348600", \
-                        "0.0275289000, 0.0260537000, 0.0219530000, 0.0104810000, -0.022150900, -0.115003200, -0.377570500", \
-                        "0.0268871000, 0.0254520000, 0.0214726000, 0.0100793000, -0.022487800, -0.115302300, -0.377778600", \
-                        "0.0260353000, 0.0246087000, 0.0206181000, 0.0092055000, -0.023207700, -0.115737000, -0.378136800", \
-                        "0.0252271000, 0.0238025000, 0.0197467000, 0.0084272000, -0.023928100, -0.116042800, -0.378226900", \
-                        "0.0253285000, 0.0238487000, 0.0196637000, 0.0079230000, -0.024711500, -0.116796200, -0.378893300", \
-                        "0.0289701000, 0.0274419000, 0.0231762000, 0.0113162000, -0.022496500, -0.116512700, -0.378185300");
-                }
-                related_pin : "A1";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
-                    values("0.0410125000, 0.0425153000, 0.0467475000, 0.0586282000, 0.0917013000, 0.1839724000, 0.4439377000", \
-                        "0.0405943000, 0.0421112000, 0.0464076000, 0.0584278000, 0.0916548000, 0.1841829000, 0.4441066000", \
-                        "0.0397363000, 0.0412736000, 0.0456023000, 0.0577566000, 0.0912575000, 0.1840801000, 0.4442834000", \
-                        "0.0386007000, 0.0401267000, 0.0444189000, 0.0565431000, 0.0903480000, 0.1837342000, 0.4441581000", \
-                        "0.0377004000, 0.0391902000, 0.0434548000, 0.0553810000, 0.0889233000, 0.1824561000, 0.4433356000", \
-                        "0.0374857000, 0.0391039000, 0.0432051000, 0.0551072000, 0.0883531000, 0.1813678000, 0.4423273000", \
-                        "0.0366890000, 0.0381412000, 0.0422750000, 0.0544668000, 0.0882858000, 0.1811266000, 0.4419393000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
-                    values("0.0307081000, 0.0292893000, 0.0252044000, 0.0136874000, -0.019107800, -0.111937000, -0.374439200", \
-                        "0.0303897000, 0.0289353000, 0.0247893000, 0.0132089000, -0.019365100, -0.112178000, -0.374758500", \
-                        "0.0298725000, 0.0284395000, 0.0243794000, 0.0129363000, -0.019752000, -0.112549300, -0.375083500", \
-                        "0.0291630000, 0.0277158000, 0.0236573000, 0.0121711000, -0.020304700, -0.112898800, -0.375339400", \
-                        "0.0284397000, 0.0270150000, 0.0229908000, 0.0116320000, -0.020778100, -0.113087400, -0.375272200", \
-                        "0.0282484000, 0.0267933000, 0.0224719000, 0.0109428000, -0.021590700, -0.113838900, -0.375819300", \
-                        "0.0303459000, 0.0288439000, 0.0246433000, 0.0127830000, -0.020512200, -0.113992200, -0.375966000");
-                }
-                related_pin : "A2";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
-                    values("0.0544658000, 0.0559562000, 0.0599835000, 0.0717614000, 0.1048586000, 0.1973518000, 0.4571095000", \
-                        "0.0540045000, 0.0555083000, 0.0595638000, 0.0714129000, 0.1045284000, 0.1970975000, 0.4574470000", \
-                        "0.0530197000, 0.0545277000, 0.0588307000, 0.0707566000, 0.1040973000, 0.1968323000, 0.4571198000", \
-                        "0.0520749000, 0.0534723000, 0.0577903000, 0.0697466000, 0.1032137000, 0.1961499000, 0.4566939000", \
-                        "0.0510458000, 0.0525347000, 0.0568070000, 0.0686036000, 0.1021390000, 0.1952136000, 0.4558781000", \
-                        "0.0505036000, 0.0519561000, 0.0562282000, 0.0681005000, 0.1010342000, 0.1941495000, 0.4550029000", \
-                        "0.0488229000, 0.0503015000, 0.0545728000, 0.0671363000, 0.1003978000, 0.1935604000, 0.4535081000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
-                    values("0.0302688000, 0.0288083000, 0.0247579000, 0.0131676000, -0.019475300, -0.112361000, -0.374933900", \
-                        "0.0299341000, 0.0284707000, 0.0243305000, 0.0127557000, -0.019839300, -0.112678800, -0.375234700", \
-                        "0.0294092000, 0.0279650000, 0.0239288000, 0.0123518000, -0.020262300, -0.112944100, -0.375520300", \
-                        "0.0287223000, 0.0272943000, 0.0231942000, 0.0117371000, -0.020738500, -0.113302900, -0.375759300", \
-                        "0.0282017000, 0.0267667000, 0.0226755000, 0.0112398000, -0.021160900, -0.113587200, -0.375843200", \
-                        "0.0282989000, 0.0268208000, 0.0226803000, 0.0109056000, -0.021806300, -0.114261600, -0.376347000", \
-                        "0.0298117000, 0.0283509000, 0.0241266000, 0.0122734000, -0.020800300, -0.114381500, -0.376567200");
-                }
-                related_pin : "A3";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
-                    values("0.0656566000, 0.0671949000, 0.0711356000, 0.0829324000, 0.1160739000, 0.2086735000, 0.4690819000", \
-                        "0.0652911000, 0.0666609000, 0.0707460000, 0.0825732000, 0.1157552000, 0.2083764000, 0.4687773000", \
-                        "0.0646514000, 0.0663538000, 0.0703813000, 0.0822300000, 0.1154985000, 0.2082918000, 0.4683546000", \
-                        "0.0641798000, 0.0656163000, 0.0700869000, 0.0817687000, 0.1149867000, 0.2077813000, 0.4685010000", \
-                        "0.0637448000, 0.0652511000, 0.0694875000, 0.0812767000, 0.1144962000, 0.2072476000, 0.4680689000", \
-                        "0.0636562000, 0.0651908000, 0.0694741000, 0.0812847000, 0.1142260000, 0.2071672000, 0.4676047000", \
-                        "0.0626060000, 0.0640273000, 0.0684984000, 0.0809993000, 0.1143476000, 0.2071161000, 0.4675350000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
-                    values("0.0102263000, 0.0088686000, 0.0049933000, -0.006373800, -0.039200700, -0.132401000, -0.395612800", \
-                        "0.0096843000, 0.0083805000, 0.0046204000, -0.006519800, -0.039082800, -0.132124400, -0.395284700", \
-                        "0.0089692000, 0.0076993000, 0.0039742000, -0.006955200, -0.039174200, -0.131924600, -0.394938800", \
-                        "0.0081225000, 0.0068616000, 0.0031360000, -0.007790500, -0.039708900, -0.132074100, -0.394845200", \
-                        "0.0079151000, 0.0065004000, 0.0025781000, -0.008374000, -0.040394300, -0.132533900, -0.394987800", \
-                        "0.0092460000, 0.0077176000, 0.0034984000, -0.008182800, -0.040718900, -0.133321700, -0.395526400", \
-                        "0.0132181000, 0.0115731000, 0.0069952000, -0.005371200, -0.039320600, -0.131611200, -0.395698600");
-                }
-                related_pin : "B1";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
-                    values("0.0397343000, 0.0414025000, 0.0458187000, 0.0579274000, 0.0914463000, 0.1844203000, 0.4445760000", \
-                        "0.0391156000, 0.0406920000, 0.0451554000, 0.0572473000, 0.0910800000, 0.1839581000, 0.4442736000", \
-                        "0.0380187000, 0.0396739000, 0.0441225000, 0.0562301000, 0.0902209000, 0.1836820000, 0.4443456000", \
-                        "0.0372774000, 0.0388756000, 0.0431924000, 0.0550595000, 0.0890679000, 0.1826366000, 0.4439148000", \
-                        "0.0372482000, 0.0387278000, 0.0429049000, 0.0547830000, 0.0879884000, 0.1812268000, 0.4429036000", \
-                        "0.0378416000, 0.0393090000, 0.0434913000, 0.0552754000, 0.0885517000, 0.1809267000, 0.4417179000", \
-                        "0.0418625000, 0.0432153000, 0.0471429000, 0.0582656000, 0.0905266000, 0.1808693000, 0.4405905000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
-                    values("0.0132651000, 0.0118955000, 0.0079330000, -0.003528800, -0.036412200, -0.129638400, -0.392910400", \
-                        "0.0127093000, 0.0113932000, 0.0076019000, -0.003613700, -0.036263800, -0.129364900, -0.392545000", \
-                        "0.0118289000, 0.0105485000, 0.0068633000, -0.004070500, -0.036354600, -0.129175800, -0.392232900", \
-                        "0.0108067000, 0.0094992000, 0.0057612000, -0.005030700, -0.036897100, -0.129299400, -0.392090500", \
-                        "0.0107241000, 0.0093482000, 0.0051328000, -0.006110800, -0.037902800, -0.129823800, -0.392203600", \
-                        "0.0111736000, 0.0097096000, 0.0056337000, -0.005921400, -0.038358000, -0.130832700, -0.392761800", \
-                        "0.0146325000, 0.0130111000, 0.0085786000, -0.003699400, -0.037312600, -0.130475700, -0.393173100");
-                }
-                related_pin : "B2";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
-                    values("0.0537850000, 0.0551719000, 0.0594628000, 0.0712795000, 0.1044275000, 0.1972826000, 0.4574210000", \
-                        "0.0529348000, 0.0546709000, 0.0587288000, 0.0707145000, 0.1040372000, 0.1969175000, 0.4574128000", \
-                        "0.0521410000, 0.0537154000, 0.0581955000, 0.0702084000, 0.1037314000, 0.1968372000, 0.4573818000", \
-                        "0.0517419000, 0.0531286000, 0.0574055000, 0.0693654000, 0.1029324000, 0.1964982000, 0.4568732000", \
-                        "0.0512530000, 0.0527690000, 0.0569220000, 0.0688278000, 0.1021283000, 0.1954371000, 0.4564109000", \
-                        "0.0536827000, 0.0551697000, 0.0595200000, 0.0707031000, 0.1033649000, 0.1956224000, 0.4561915000", \
-                        "0.0574036000, 0.0587795000, 0.0627099000, 0.0739175000, 0.1062040000, 0.1978908000, 0.4578756000");
-                }
-            }
-            max_capacitance : 0.2517800000;
-            max_transition : 1.9155600000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
-                    values("0.0630657000, 0.0654408000, 0.0722250000, 0.0909962000, 0.1402217000, 0.2735502000, 0.6444260000", \
-                        "0.0665302000, 0.0689019000, 0.0757836000, 0.0943391000, 0.1435266000, 0.2772597000, 0.6481833000", \
-                        "0.0752580000, 0.0777904000, 0.0848710000, 0.1034613000, 0.1528853000, 0.2864013000, 0.6578349000", \
-                        "0.0976183000, 0.1000441000, 0.1066723000, 0.1249241000, 0.1747367000, 0.3087677000, 0.6797549000", \
-                        "0.1327838000, 0.1362835000, 0.1457159000, 0.1698431000, 0.2254226000, 0.3590586000, 0.7304173000", \
-                        "0.1714766000, 0.1767238000, 0.1908316000, 0.2261385000, 0.3087844000, 0.4776692000, 0.8513563000", \
-                        "0.1855081000, 0.1933455000, 0.2139552000, 0.2675513000, 0.3926483000, 0.6468102000, 1.1234380000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
-                    values("0.1266089000, 0.1313370000, 0.1444580000, 0.1813859000, 0.2819532000, 0.5625286000, 1.3514893000", \
-                        "0.1317187000, 0.1364690000, 0.1499684000, 0.1869440000, 0.2883845000, 0.5698871000, 1.3586670000", \
-                        "0.1438973000, 0.1486142000, 0.1623210000, 0.1994360000, 0.3017912000, 0.5838810000, 1.3732124000", \
-                        "0.1731464000, 0.1778436000, 0.1917248000, 0.2289467000, 0.3314844000, 0.6148539000, 1.4051470000", \
-                        "0.2368378000, 0.2418173000, 0.2553190000, 0.2920380000, 0.3940128000, 0.6775875000, 1.4704280000", \
-                        "0.3486082000, 0.3548779000, 0.3728046000, 0.4185858000, 0.5323070000, 0.8161334000, 1.6089333000", \
-                        "0.5359468000, 0.5461029000, 0.5733357000, 0.6410683000, 0.7961520000, 1.1308794000, 1.9303530000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
-                    values("0.0577527000, 0.0607191000, 0.0690385000, 0.0926684000, 0.1588076000, 0.3438747000, 0.8675964000", \
-                        "0.0577628000, 0.0606605000, 0.0690083000, 0.0927139000, 0.1585741000, 0.3437919000, 0.8674793000", \
-                        "0.0572097000, 0.0603463000, 0.0688789000, 0.0925058000, 0.1586568000, 0.3440579000, 0.8674069000", \
-                        "0.0607231000, 0.0633508000, 0.0715150000, 0.0938015000, 0.1582614000, 0.3441498000, 0.8679141000", \
-                        "0.0818785000, 0.0854325000, 0.0946505000, 0.1184768000, 0.1751785000, 0.3454305000, 0.8679409000", \
-                        "0.1231947000, 0.1280580000, 0.1405956000, 0.1712885000, 0.2429569000, 0.3989612000, 0.8726148000", \
-                        "0.1988126000, 0.2060646000, 0.2249872000, 0.2707801000, 0.3700002000, 0.5704678000, 1.0067406000");
-                }
-                related_pin : "A1";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
-                    values("0.0822411000, 0.0883106000, 0.1057198000, 0.1544289000, 0.2912195000, 0.6751363000, 1.7584443000", \
-                        "0.0822301000, 0.0882819000, 0.1058222000, 0.1543742000, 0.2912498000, 0.6771178000, 1.7560209000", \
-                        "0.0823610000, 0.0883258000, 0.1058442000, 0.1545631000, 0.2920006000, 0.6756536000, 1.7566049000", \
-                        "0.0823736000, 0.0885544000, 0.1058972000, 0.1546328000, 0.2913496000, 0.6754648000, 1.7545466000", \
-                        "0.0894775000, 0.0950810000, 0.1111683000, 0.1574837000, 0.2915996000, 0.6748923000, 1.7612699000", \
-                        "0.1225115000, 0.1288143000, 0.1455064000, 0.1914552000, 0.3120153000, 0.6773513000, 1.7550136000", \
-                        "0.2003166000, 0.2077214000, 0.2275378000, 0.2796592000, 0.4099772000, 0.7404953000, 1.7629052000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
-                    values("0.0741493000, 0.0767697000, 0.0835593000, 0.1020553000, 0.1511691000, 0.2848718000, 0.6562631000", \
-                        "0.0783165000, 0.0806582000, 0.0873394000, 0.1060780000, 0.1552259000, 0.2888473000, 0.6600450000", \
-                        "0.0866180000, 0.0892044000, 0.0961517000, 0.1147227000, 0.1640427000, 0.2974340000, 0.6684897000", \
-                        "0.1059908000, 0.1085132000, 0.1153248000, 0.1337805000, 0.1834287000, 0.3174092000, 0.6884195000", \
-                        "0.1395357000, 0.1427290000, 0.1514164000, 0.1738143000, 0.2272597000, 0.3627744000, 0.7360753000", \
-                        "0.1808510000, 0.1854912000, 0.1979486000, 0.2293609000, 0.3028022000, 0.4621385000, 0.8416398000", \
-                        "0.2020230000, 0.2091689000, 0.2283191000, 0.2766700000, 0.3895697000, 0.6198591000, 1.0740526000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
-                    values("0.1672817000, 0.1724475000, 0.1865223000, 0.2246793000, 0.3323867000, 0.6330334000, 1.4786321000", \
-                        "0.1721066000, 0.1770584000, 0.1913127000, 0.2298481000, 0.3379313000, 0.6386243000, 1.4830051000", \
-                        "0.1842831000, 0.1894627000, 0.2030960000, 0.2428649000, 0.3510533000, 0.6524219000, 1.4982323000", \
-                        "0.2134942000, 0.2187805000, 0.2326736000, 0.2723569000, 0.3812585000, 0.6833099000, 1.5330348000", \
-                        "0.2767469000, 0.2814365000, 0.2955551000, 0.3343506000, 0.4432583000, 0.7466389000, 1.5933176000", \
-                        "0.3979528000, 0.4029951000, 0.4208920000, 0.4647612000, 0.5809624000, 0.8833232000, 1.7308945000", \
-                        "0.6089800000, 0.6175745000, 0.6417589000, 0.7025645000, 0.8523728000, 1.1957992000, 2.0470872000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
-                    values("0.0575554000, 0.0605805000, 0.0691004000, 0.0925988000, 0.1586251000, 0.3442561000, 0.8684707000", \
-                        "0.0576427000, 0.0606380000, 0.0689536000, 0.0927303000, 0.1584761000, 0.3443620000, 0.8680608000", \
-                        "0.0573674000, 0.0604000000, 0.0689509000, 0.0923691000, 0.1585739000, 0.3439877000, 0.8675514000", \
-                        "0.0599300000, 0.0627796000, 0.0707367000, 0.0933468000, 0.1582924000, 0.3441448000, 0.8675381000", \
-                        "0.0758581000, 0.0788467000, 0.0872384000, 0.1098382000, 0.1682839000, 0.3451579000, 0.8685843000", \
-                        "0.1146300000, 0.1185688000, 0.1287653000, 0.1545956000, 0.2184952000, 0.3794771000, 0.8706168000", \
-                        "0.1885389000, 0.1940320000, 0.2082562000, 0.2452468000, 0.3278577000, 0.5085881000, 0.9569705000");
-                }
-                related_pin : "A2";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
-                    values("0.1144142000, 0.1208270000, 0.1390101000, 0.1917489000, 0.3385895000, 0.7501653000, 1.9114126000", \
-                        "0.1145875000, 0.1207890000, 0.1390320000, 0.1916865000, 0.3384553000, 0.7507847000, 1.9053870000", \
-                        "0.1142566000, 0.1205075000, 0.1393398000, 0.1920321000, 0.3384999000, 0.7489070000, 1.9068571000", \
-                        "0.1140420000, 0.1210246000, 0.1393259000, 0.1915415000, 0.3384132000, 0.7496070000, 1.9097892000", \
-                        "0.1176752000, 0.1240193000, 0.1418895000, 0.1928720000, 0.3386913000, 0.7499905000, 1.9091264000", \
-                        "0.1482071000, 0.1547798000, 0.1728146000, 0.2210891000, 0.3533913000, 0.7503762000, 1.9057771000", \
-                        "0.2258288000, 0.2330775000, 0.2531194000, 0.3070152000, 0.4441484000, 0.8030375000, 1.9155597000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
-                    values("0.0779121000, 0.0804319000, 0.0875148000, 0.1056135000, 0.1549190000, 0.2886099000, 0.6594363000", \
-                        "0.0818014000, 0.0841068000, 0.0909169000, 0.1095809000, 0.1586909000, 0.2922841000, 0.6636789000", \
-                        "0.0888331000, 0.0914208000, 0.0985318000, 0.1168845000, 0.1661536000, 0.2998844000, 0.6709920000", \
-                        "0.1029832000, 0.1055433000, 0.1124803000, 0.1309619000, 0.1803626000, 0.3143191000, 0.6856697000", \
-                        "0.1271460000, 0.1301894000, 0.1375344000, 0.1578698000, 0.2105402000, 0.3453686000, 0.7177897000", \
-                        "0.1605339000, 0.1639826000, 0.1740447000, 0.1998106000, 0.2638474000, 0.4124352000, 0.7890070000", \
-                        "0.1776121000, 0.1834283000, 0.1991179000, 0.2385754000, 0.3296012000, 0.5226431000, 0.9460080000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
-                    values("0.1789357000, 0.1843792000, 0.1983718000, 0.2359599000, 0.3409948000, 0.6321601000, 1.4476842000", \
-                        "0.1846445000, 0.1895201000, 0.2034285000, 0.2408570000, 0.3456534000, 0.6374285000, 1.4532232000", \
-                        "0.1976066000, 0.2017601000, 0.2164060000, 0.2542436000, 0.3589384000, 0.6507277000, 1.4663430000", \
-                        "0.2275338000, 0.2324228000, 0.2447277000, 0.2843176000, 0.3895675000, 0.6811195000, 1.4968901000", \
-                        "0.2874144000, 0.2920739000, 0.3054593000, 0.3435585000, 0.4495730000, 0.7411936000, 1.5575325000", \
-                        "0.4034826000, 0.4092005000, 0.4233074000, 0.4666882000, 0.5777315000, 0.8696167000, 1.6867905000", \
-                        "0.6040872000, 0.6119435000, 0.6327228000, 0.6879160000, 0.8291991000, 1.1581146000, 1.9796800000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
-                    values("0.0575620000, 0.0605556000, 0.0690128000, 0.0926581000, 0.1585032000, 0.3442407000, 0.8675564000", \
-                        "0.0576069000, 0.0604886000, 0.0689377000, 0.0926796000, 0.1584495000, 0.3440454000, 0.8679918000", \
-                        "0.0574685000, 0.0604987000, 0.0689532000, 0.0926209000, 0.1586420000, 0.3443646000, 0.8671929000", \
-                        "0.0590806000, 0.0619066000, 0.0701702000, 0.0931906000, 0.1583072000, 0.3441145000, 0.8674279000", \
-                        "0.0686556000, 0.0719314000, 0.0802626000, 0.1029439000, 0.1650091000, 0.3453841000, 0.8684359000", \
-                        "0.0968441000, 0.1001727000, 0.1085859000, 0.1324772000, 0.1966347000, 0.3680520000, 0.8725299000", \
-                        "0.1615900000, 0.1657499000, 0.1771642000, 0.2061199000, 0.2763627000, 0.4500575000, 0.9287308000");
-                }
-                related_pin : "A3";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
-                    values("0.1319592000, 0.1386206000, 0.1558127000, 0.2064446000, 0.3485766000, 0.7486793000, 1.8675359000", \
-                        "0.1321309000, 0.1375626000, 0.1554684000, 0.2064667000, 0.3486608000, 0.7468695000, 1.8711628000", \
-                        "0.1310299000, 0.1383956000, 0.1557712000, 0.2064539000, 0.3486796000, 0.7465225000, 1.8675961000", \
-                        "0.1309379000, 0.1374771000, 0.1563026000, 0.2064442000, 0.3488362000, 0.7486849000, 1.8669539000", \
-                        "0.1342162000, 0.1407284000, 0.1578258000, 0.2073534000, 0.3485236000, 0.7474032000, 1.8677289000", \
-                        "0.1619904000, 0.1681493000, 0.1864357000, 0.2340710000, 0.3644383000, 0.7489770000, 1.8698765000", \
-                        "0.2343623000, 0.2411975000, 0.2612291000, 0.3128327000, 0.4476143000, 0.8042446000, 1.8753150000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
-                    values("0.0228628000, 0.0243698000, 0.0287636000, 0.0400161000, 0.0712259000, 0.1583423000, 0.4035713000", \
-                        "0.0267291000, 0.0282752000, 0.0326503000, 0.0442090000, 0.0756659000, 0.1629010000, 0.4080534000", \
-                        "0.0353045000, 0.0372398000, 0.0425300000, 0.0541318000, 0.0857220000, 0.1734406000, 0.4185534000", \
-                        "0.0453721000, 0.0485177000, 0.0563905000, 0.0737827000, 0.1095528000, 0.1972618000, 0.4419445000", \
-                        "0.0515353000, 0.0562343000, 0.0683358000, 0.0961188000, 0.1509936000, 0.2524491000, 0.4974011000", \
-                        "0.0420613000, 0.0495576000, 0.0676598000, 0.1106520000, 0.1953875000, 0.3509191000, 0.6251089000", \
-                        "-0.013524200, -0.002595800, 0.0241975000, 0.0899586000, 0.2233417000, 0.4613413000, 0.8736000000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
-                    values("0.1136998000, 0.1186065000, 0.1340197000, 0.1737656000, 0.2804877000, 0.5744935000, 1.3979263000", \
-                        "0.1173851000, 0.1229418000, 0.1373077000, 0.1769244000, 0.2847414000, 0.5796778000, 1.4024243000", \
-                        "0.1270502000, 0.1316467000, 0.1468616000, 0.1860706000, 0.2948323000, 0.5906068000, 1.4142829000", \
-                        "0.1540890000, 0.1595307000, 0.1735387000, 0.2115879000, 0.3200727000, 0.6169341000, 1.4419161000", \
-                        "0.2212308000, 0.2271368000, 0.2421603000, 0.2799719000, 0.3846605000, 0.6833645000, 1.5107473000", \
-                        "0.3518417000, 0.3595153000, 0.3800608000, 0.4307447000, 0.5479623000, 0.8387391000, 1.6628048000", \
-                        "0.5616945000, 0.5725486000, 0.6032336000, 0.6810676000, 0.8573455000, 1.2105578000, 2.0276452000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
-                    values("0.0276447000, 0.0295649000, 0.0349438000, 0.0501059000, 0.0924258000, 0.2125546000, 0.5484127000", \
-                        "0.0275705000, 0.0295002000, 0.0349362000, 0.0500720000, 0.0925305000, 0.2116680000, 0.5484060000", \
-                        "0.0322993000, 0.0337509000, 0.0379314000, 0.0512665000, 0.0924049000, 0.2126024000, 0.5482601000", \
-                        "0.0470554000, 0.0486727000, 0.0532219000, 0.0646630000, 0.0985931000, 0.2119290000, 0.5484762000", \
-                        "0.0762059000, 0.0781390000, 0.0835346000, 0.0980672000, 0.1334511000, 0.2270089000, 0.5480060000", \
-                        "0.1291810000, 0.1318989000, 0.1399458000, 0.1597273000, 0.2083484000, 0.3094888000, 0.5764449000", \
-                        "0.2233058000, 0.2272196000, 0.2400696000, 0.2682402000, 0.3377887000, 0.4828309000, 0.7606898000");
-                }
-                related_pin : "B1";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
-                    values("0.1079814000, 0.1147154000, 0.1324694000, 0.1825834000, 0.3254048000, 0.7235146000, 1.8475274000", \
-                        "0.1080164000, 0.1140606000, 0.1323451000, 0.1826349000, 0.3245940000, 0.7234882000, 1.8444714000", \
-                        "0.1078102000, 0.1144344000, 0.1324612000, 0.1828586000, 0.3246151000, 0.7245126000, 1.8454874000", \
-                        "0.1061760000, 0.1126199000, 0.1314782000, 0.1824914000, 0.3244301000, 0.7235148000, 1.8453477000", \
-                        "0.1227137000, 0.1276724000, 0.1423220000, 0.1877989000, 0.3239465000, 0.7233898000, 1.8537267000", \
-                        "0.1755682000, 0.1828397000, 0.2016059000, 0.2484398000, 0.3601431000, 0.7240319000, 1.8447206000", \
-                        "0.2677529000, 0.2785008000, 0.3060349000, 0.3724120000, 0.5159935000, 0.8248174000, 1.8530910000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
-                    values("0.0286764000, 0.0301934000, 0.0342822000, 0.0455736000, 0.0768841000, 0.1638776000, 0.4088483000", \
-                        "0.0326900000, 0.0342208000, 0.0385830000, 0.0499794000, 0.0813320000, 0.1686067000, 0.4135846000", \
-                        "0.0402271000, 0.0419776000, 0.0466441000, 0.0585483000, 0.0901436000, 0.1775979000, 0.4227503000", \
-                        "0.0506531000, 0.0532617000, 0.0595138000, 0.0745228000, 0.1090853000, 0.1970882000, 0.4427255000", \
-                        "0.0604525000, 0.0640546000, 0.0736809000, 0.0961773000, 0.1427820000, 0.2413537000, 0.4877563000", \
-                        "0.0567370000, 0.0628141000, 0.0786279000, 0.1139775000, 0.1865996000, 0.3197594000, 0.5904712000", \
-                        "0.0094019000, 0.0186559000, 0.0437334000, 0.1017818000, 0.2172943000, 0.4244050000, 0.7825129000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
-                    values("0.1428129000, 0.1482367000, 0.1623572000, 0.2000247000, 0.3055006000, 0.5963472000, 1.4131912000", \
-                        "0.1463693000, 0.1510980000, 0.1654645000, 0.2038497000, 0.3092663000, 0.6010220000, 1.4167282000", \
-                        "0.1564045000, 0.1614614000, 0.1745699000, 0.2133343000, 0.3194096000, 0.6116207000, 1.4285777000", \
-                        "0.1829183000, 0.1879877000, 0.2020005000, 0.2403837000, 0.3457387000, 0.6384091000, 1.4564789000", \
-                        "0.2504213000, 0.2553519000, 0.2684888000, 0.3063798000, 0.4120352000, 0.7045515000, 1.5239653000", \
-                        "0.3895200000, 0.3958117000, 0.4136028000, 0.4587492000, 0.5692063000, 0.8553145000, 1.6720798000", \
-                        "0.6122353000, 0.6221197000, 0.6488952000, 0.7176376000, 0.8821526000, 1.2265209000, 2.0311315000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
-                    values("0.0276202000, 0.0295423000, 0.0349271000, 0.0500310000, 0.0923760000, 0.2118897000, 0.5483888000", \
-                        "0.0276569000, 0.0295619000, 0.0349383000, 0.0500512000, 0.0924142000, 0.2118188000, 0.5485418000", \
-                        "0.0297162000, 0.0314112000, 0.0363381000, 0.0506109000, 0.0924344000, 0.2117120000, 0.5486273000", \
-                        "0.0396062000, 0.0411461000, 0.0455562000, 0.0580010000, 0.0956675000, 0.2118936000, 0.5485445000", \
-                        "0.0618745000, 0.0635622000, 0.0682401000, 0.0812287000, 0.1168194000, 0.2209088000, 0.5480907000", \
-                        "0.1066810000, 0.1088556000, 0.1144169000, 0.1303548000, 0.1712976000, 0.2716584000, 0.5668517000", \
-                        "0.1921372000, 0.1946776000, 0.2020090000, 0.2230917000, 0.2765496000, 0.3950065000, 0.6801013000");
-                }
-                related_pin : "B2";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
-                    values("0.1318296000, 0.1375300000, 0.1557944000, 0.2064068000, 0.3484968000, 0.7483363000, 1.8704487000", \
-                        "0.1309791000, 0.1381116000, 0.1554703000, 0.2064546000, 0.3488247000, 0.7467036000, 1.8674005000", \
-                        "0.1309437000, 0.1374439000, 0.1562095000, 0.2071143000, 0.3486143000, 0.7467579000, 1.8708943000", \
-                        "0.1306280000, 0.1368664000, 0.1553304000, 0.2062295000, 0.3486391000, 0.7473056000, 1.8706230000", \
-                        "0.1395991000, 0.1451272000, 0.1616725000, 0.2091450000, 0.3479345000, 0.7464853000, 1.8761086000", \
-                        "0.1959397000, 0.2027786000, 0.2221442000, 0.2647304000, 0.3843588000, 0.7501039000, 1.8724284000", \
-                        "0.2908698000, 0.3006607000, 0.3267835000, 0.3904190000, 0.5314923000, 0.8469735000, 1.8730781000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-        }
-    }
-
-/* removed sky130_fd_sc_hd__a41o_1 */
-
-/* removed sky130_fd_sc_hd__a41o_2 */
-
-    cell ("sky130_fd_sc_hd__a41o_4") {
-        leakage_power () {
-            value : 0.0046105000;
-            when : "!A1&!A2&!A3&!A4&B1";
-        }
-        leakage_power () {
-            value : 0.0082481000;
-            when : "!A1&!A2&!A3&!A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0046100000;
-            when : "!A1&!A2&!A3&A4&B1";
-        }
-        leakage_power () {
-            value : 0.0082575000;
-            when : "!A1&!A2&!A3&A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0046100000;
-            when : "!A1&!A2&A3&!A4&B1";
-        }
-        leakage_power () {
-            value : 0.0082581000;
-            when : "!A1&!A2&A3&!A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0046100000;
-            when : "!A1&!A2&A3&A4&B1";
-        }
-        leakage_power () {
-            value : 0.0082869000;
-            when : "!A1&!A2&A3&A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0046100000;
-            when : "!A1&A2&!A3&!A4&B1";
-        }
-        leakage_power () {
-            value : 0.0082599000;
-            when : "!A1&A2&!A3&!A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0046100000;
-            when : "!A1&A2&!A3&A4&B1";
-        }
-        leakage_power () {
-            value : 0.0082888000;
-            when : "!A1&A2&!A3&A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0046100000;
-            when : "!A1&A2&A3&!A4&B1";
-        }
-        leakage_power () {
-            value : 0.0082912000;
-            when : "!A1&A2&A3&!A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0046109000;
-            when : "!A1&A2&A3&A4&B1";
-        }
-        leakage_power () {
-            value : 0.0089728000;
-            when : "!A1&A2&A3&A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0046100000;
-            when : "A1&!A2&!A3&!A4&B1";
-        }
-        leakage_power () {
-            value : 0.0082703000;
-            when : "A1&!A2&!A3&!A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0046100000;
-            when : "A1&!A2&!A3&A4&B1";
-        }
-        leakage_power () {
-            value : 0.0083003000;
-            when : "A1&!A2&!A3&A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0046100000;
-            when : "A1&!A2&A3&!A4&B1";
-        }
-        leakage_power () {
-            value : 0.0083028000;
-            when : "A1&!A2&A3&!A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0046103000;
-            when : "A1&!A2&A3&A4&B1";
-        }
-        leakage_power () {
-            value : 0.0088094000;
-            when : "A1&!A2&A3&A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0046100000;
-            when : "A1&A2&!A3&!A4&B1";
-        }
-        leakage_power () {
-            value : 0.0083167000;
-            when : "A1&A2&!A3&!A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0046099000;
-            when : "A1&A2&!A3&A4&B1";
-        }
-        leakage_power () {
-            value : 0.0088056000;
-            when : "A1&A2&!A3&A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0046100000;
-            when : "A1&A2&A3&!A4&B1";
-        }
-        leakage_power () {
-            value : 0.0088092000;
-            when : "A1&A2&A3&!A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0021909000;
-            when : "A1&A2&A3&A4&B1";
-        }
-        leakage_power () {
-            value : 0.0054482000;
-            when : "A1&A2&A3&A4&!B1";
-        }
-        area : 21.270400000;
-        cell_footprint : "sky130_fd_sc_hd__a41o";
-        cell_leakage_power : 0.0063521180;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("A1") {
-            capacitance : 0.0042110000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0040950000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0088935000, 0.0088876000, 0.0088741000, 0.0088719000, 0.0088668000, 0.0088551000, 0.0088282000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.006171300, -0.006172800, -0.006176500, -0.006159500, -0.006120300, -0.006030100, -0.005822100");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0043280000;
-        }
-        pin ("A2") {
-            capacitance : 0.0042220000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0040820000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0083726000, 0.0083698000, 0.0083633000, 0.0083959000, 0.0084713000, 0.0086448000, 0.0090450000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.007752000, -0.007748400, -0.007740000, -0.007738100, -0.007733800, -0.007723800, -0.007700800");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0043620000;
-        }
-        pin ("A3") {
-            capacitance : 0.0043970000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0042280000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0078491000, 0.0078549000, 0.0078680000, 0.0078667000, 0.0078637000, 0.0078566000, 0.0078404000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.007845000, -0.007846300, -0.007849200, -0.007851100, -0.007855500, -0.007865600, -0.007889000");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0045650000;
-        }
-        pin ("A4") {
-            capacitance : 0.0044010000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0041640000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0078615000, 0.0078653000, 0.0078740000, 0.0078766000, 0.0078825000, 0.0078961000, 0.0079274000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.007869500, -0.007867900, -0.007864300, -0.007863400, -0.007861100, -0.007856000, -0.007844000");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0046380000;
-        }
-        pin ("B1") {
-            capacitance : 0.0045140000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0041330000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0039355000, 0.0039187000, 0.0038799000, 0.0039153000, 0.0039970000, 0.0041851000, 0.0046188000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.003398400, -0.003409200, -0.003434000, -0.003438100, -0.003447300, -0.003468700, -0.003518000");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0048960000;
-        }
-        pin ("X") {
-            direction : "output";
-            function : "(A1&A2&A3&A4) | (B1)";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000");
-                    values("0.0283566000, 0.0265670000, 0.0214184000, 0.0061189000, -0.049881000, -0.242562700, -0.868521400", \
-                        "0.0280678000, 0.0264028000, 0.0212607000, 0.0060403000, -0.049909300, -0.242778900, -0.868557800", \
-                        "0.0278946000, 0.0260994000, 0.0209503000, 0.0056863000, -0.050359400, -0.243007800, -0.868928700", \
-                        "0.0273414000, 0.0255613000, 0.0204113000, 0.0051336000, -0.050773500, -0.243517100, -0.869395100", \
-                        "0.0267200000, 0.0249667000, 0.0197803000, 0.0043789000, -0.051575000, -0.244261600, -0.869954200", \
-                        "0.0256060000, 0.0237654000, 0.0183663000, 0.0033590000, -0.052226000, -0.244540100, -0.870177700", \
-                        "0.0347086000, 0.0322526000, 0.0260554000, 0.0072155000, -0.052388500, -0.244896000, -0.870154200");
-                }
-                related_pin : "A1";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000");
-                    values("0.0338333000, 0.0356243000, 0.0417639000, 0.0615851000, 0.1225181000, 0.3156794000, 0.9357667000", \
-                        "0.0333588000, 0.0351549000, 0.0412926000, 0.0611566000, 0.1224145000, 0.3154337000, 0.9362187000", \
-                        "0.0331112000, 0.0349775000, 0.0410904000, 0.0607144000, 0.1220379000, 0.3154240000, 0.9345519000", \
-                        "0.0326079000, 0.0344323000, 0.0405597000, 0.0603972000, 0.1215607000, 0.3147928000, 0.9353658000", \
-                        "0.0326094000, 0.0344464000, 0.0404429000, 0.0601711000, 0.1206257000, 0.3141379000, 0.9349147000", \
-                        "0.0338426000, 0.0355877000, 0.0412813000, 0.0597400000, 0.1204101000, 0.3134558000, 0.9329565000", \
-                        "0.0368070000, 0.0383718000, 0.0439586000, 0.0623088000, 0.1221448000, 0.3145637000, 0.9323754000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000");
-                    values("0.0346549000, 0.0328990000, 0.0275833000, 0.0122223000, -0.043399500, -0.235572200, -0.861250600", \
-                        "0.0346663000, 0.0328870000, 0.0275853000, 0.0121122000, -0.043512300, -0.235751700, -0.861420900", \
-                        "0.0343455000, 0.0324895000, 0.0272440000, 0.0120322000, -0.043778300, -0.236040400, -0.861652200", \
-                        "0.0336994000, 0.0319681000, 0.0266361000, 0.0112380000, -0.044396700, -0.236524600, -0.862184500", \
-                        "0.0330929000, 0.0312577000, 0.0259732000, 0.0104660000, -0.045260900, -0.237388600, -0.862906800", \
-                        "0.0324240000, 0.0305331000, 0.0251662000, 0.0097977000, -0.045780200, -0.237886300, -0.863273700", \
-                        "0.0403502000, 0.0382967000, 0.0321176000, 0.0133000000, -0.045885200, -0.238597500, -0.863777600");
-                }
-                related_pin : "A2";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000");
-                    values("0.0352090000, 0.0370408000, 0.0431815000, 0.0628633000, 0.1241415000, 0.3173625000, 0.9370636000", \
-                        "0.0351157000, 0.0368996000, 0.0430367000, 0.0626601000, 0.1238578000, 0.3171228000, 0.9377107000", \
-                        "0.0347503000, 0.0365531000, 0.0426773000, 0.0622565000, 0.1236887000, 0.3170704000, 0.9404864000", \
-                        "0.0343557000, 0.0360742000, 0.0422013000, 0.0619166000, 0.1233850000, 0.3164428000, 0.9388132000", \
-                        "0.0344455000, 0.0362337000, 0.0422643000, 0.0617448000, 0.1228334000, 0.3160841000, 0.9384244000", \
-                        "0.0350807000, 0.0370292000, 0.0427457000, 0.0613545000, 0.1220861000, 0.3148963000, 0.9360292000", \
-                        "0.0378416000, 0.0395152000, 0.0450949000, 0.0637751000, 0.1234246000, 0.3159692000, 0.9328086000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000");
-                    values("0.0403557000, 0.0385641000, 0.0331159000, 0.0178161000, -0.037840100, -0.229758500, -0.855075300", \
-                        "0.0399791000, 0.0381966000, 0.0328705000, 0.0174838000, -0.037974900, -0.229871500, -0.855227900", \
-                        "0.0399757000, 0.0381705000, 0.0328181000, 0.0174059000, -0.038200600, -0.230231300, -0.855485900", \
-                        "0.0395812000, 0.0378202000, 0.0324444000, 0.0170309000, -0.038622000, -0.230500600, -0.855845800", \
-                        "0.0389614000, 0.0371668000, 0.0318093000, 0.0163859000, -0.039164000, -0.231141500, -0.856286100", \
-                        "0.0388110000, 0.0370585000, 0.0316474000, 0.0160690000, -0.039430300, -0.231320600, -0.856493200", \
-                        "0.0472567000, 0.0452092000, 0.0390311000, 0.0201257000, -0.039786400, -0.231862900, -0.856713700");
-                }
-                related_pin : "A3";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000");
-                    values("0.0352324000, 0.0370341000, 0.0431742000, 0.0628031000, 0.1240054000, 0.3173077000, 0.9377950000", \
-                        "0.0350356000, 0.0368914000, 0.0429733000, 0.0625792000, 0.1238348000, 0.3171586000, 0.9376107000", \
-                        "0.0346191000, 0.0365214000, 0.0426178000, 0.0622063000, 0.1236449000, 0.3170022000, 0.9403905000", \
-                        "0.0343278000, 0.0361146000, 0.0422544000, 0.0618658000, 0.1233662000, 0.3164119000, 0.9387489000", \
-                        "0.0340978000, 0.0359312000, 0.0419462000, 0.0616662000, 0.1226481000, 0.3161689000, 0.9367587000", \
-                        "0.0351113000, 0.0368784000, 0.0426614000, 0.0612501000, 0.1224490000, 0.3152237000, 0.9351694000", \
-                        "0.0370366000, 0.0387233000, 0.0443706000, 0.0631729000, 0.1234732000, 0.3161723000, 0.9338160000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000");
-                    values("0.0450691000, 0.0432673000, 0.0379496000, 0.0225730000, -0.032708000, -0.224240900, -0.849146400", \
-                        "0.0448084000, 0.0429961000, 0.0376185000, 0.0222254000, -0.033043000, -0.224393300, -0.849350100", \
-                        "0.0443803000, 0.0425954000, 0.0372545000, 0.0220401000, -0.033293800, -0.224736900, -0.849649400", \
-                        "0.0443311000, 0.0425185000, 0.0371812000, 0.0218069000, -0.033464700, -0.224973400, -0.849939300", \
-                        "0.0438487000, 0.0420422000, 0.0366650000, 0.0212830000, -0.033968400, -0.225379700, -0.850250300", \
-                        "0.0444455000, 0.0426674000, 0.0371784000, 0.0214707000, -0.034050600, -0.225538000, -0.850406700", \
-                        "0.0529207000, 0.0508729000, 0.0447423000, 0.0257731000, -0.034195000, -0.226101300, -0.850570300");
-                }
-                related_pin : "A4";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000");
-                    values("0.0352459000, 0.0370984000, 0.0431815000, 0.0627875000, 0.1240433000, 0.3173460000, 0.9377597000", \
-                        "0.0348994000, 0.0367251000, 0.0428280000, 0.0626215000, 0.1238822000, 0.3170619000, 0.9363928000", \
-                        "0.0346165000, 0.0364723000, 0.0425521000, 0.0623546000, 0.1236541000, 0.3169981000, 0.9388711000", \
-                        "0.0342458000, 0.0361000000, 0.0422027000, 0.0618820000, 0.1233086000, 0.3165759000, 0.9399334000", \
-                        "0.0340523000, 0.0358858000, 0.0419435000, 0.0614765000, 0.1226252000, 0.3161127000, 0.9363976000", \
-                        "0.0354089000, 0.0371923000, 0.0429965000, 0.0612362000, 0.1224440000, 0.3152548000, 0.9373385000", \
-                        "0.0369649000, 0.0386596000, 0.0443766000, 0.0631184000, 0.1230420000, 0.3156195000, 0.9339815000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000");
-                    values("0.0430353000, 0.0411739000, 0.0358115000, 0.0205105000, -0.034691400, -0.226051600, -0.850947200", \
-                        "0.0427847000, 0.0409430000, 0.0358425000, 0.0203843000, -0.034834300, -0.226340600, -0.851186800", \
-                        "0.0424475000, 0.0405546000, 0.0353112000, 0.0198995000, -0.035236500, -0.226697300, -0.851573400", \
-                        "0.0420354000, 0.0403004000, 0.0349262000, 0.0195810000, -0.035663000, -0.227111100, -0.851946300", \
-                        "0.0415580000, 0.0398134000, 0.0344628000, 0.0190226000, -0.036367100, -0.227684700, -0.852493800", \
-                        "0.0423874000, 0.0405541000, 0.0350424000, 0.0193355000, -0.036321400, -0.227760300, -0.852331700", \
-                        "0.0542434000, 0.0520001000, 0.0454990000, 0.0261681000, -0.032659800, -0.225355000, -0.850275600");
-                }
-                related_pin : "B1";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000");
-                    values("0.0240264000, 0.0260792000, 0.0325039000, 0.0520580000, 0.1118664000, 0.3039243000, 0.9233464000", \
-                        "0.0239445000, 0.0259866000, 0.0324230000, 0.0519706000, 0.1118424000, 0.3039011000, 0.9239186000", \
-                        "0.0237323000, 0.0257321000, 0.0320857000, 0.0515570000, 0.1116545000, 0.3039013000, 0.9275183000", \
-                        "0.0232338000, 0.0251642000, 0.0314041000, 0.0507404000, 0.1109710000, 0.3029268000, 0.9207356000", \
-                        "0.0233640000, 0.0251964000, 0.0311067000, 0.0504307000, 0.1104745000, 0.3030241000, 0.9235364000", \
-                        "0.0248931000, 0.0266617000, 0.0324365000, 0.0512065000, 0.1105823000, 0.3022687000, 0.9223149000", \
-                        "0.0286964000, 0.0302440000, 0.0357174000, 0.0540921000, 0.1141410000, 0.3053202000, 0.9213523000");
-                }
-            }
-            max_capacitance : 0.5603130000;
-            max_transition : 1.5019620000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
-                    values("0.1752514000, 0.1791203000, 0.1892402000, 0.2123169000, 0.2610626000, 0.3765588000, 0.7077884000", \
-                        "0.1810962000, 0.1849696000, 0.1948706000, 0.2179863000, 0.2669347000, 0.3822915000, 0.7138947000", \
-                        "0.1943133000, 0.1982127000, 0.2083303000, 0.2312909000, 0.2802480000, 0.3955737000, 0.7265627000", \
-                        "0.2243421000, 0.2282099000, 0.2382933000, 0.2611022000, 0.3100811000, 0.4255340000, 0.7563434000", \
-                        "0.2892406000, 0.2930873000, 0.3031748000, 0.3260370000, 0.3750517000, 0.4905000000, 0.8220858000", \
-                        "0.4156313000, 0.4199282000, 0.4310825000, 0.4562251000, 0.5083077000, 0.6263552000, 0.9575946000", \
-                        "0.6293223000, 0.6346536000, 0.6483119000, 0.6784631000, 0.7396329000, 0.8676851000, 1.2019676000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
-                    values("0.1461379000, 0.1516169000, 0.1660870000, 0.2001547000, 0.2814216000, 0.5072767000, 1.2164513000", \
-                        "0.1493791000, 0.1548650000, 0.1693780000, 0.2034896000, 0.2847993000, 0.5105574000, 1.2197858000", \
-                        "0.1579377000, 0.1634175000, 0.1779224000, 0.2119935000, 0.2933181000, 0.5188925000, 1.2305049000", \
-                        "0.1781200000, 0.1836060000, 0.1981581000, 0.2322415000, 0.3134594000, 0.5393937000, 1.2486778000", \
-                        "0.2264467000, 0.2318251000, 0.2462109000, 0.2802640000, 0.3618031000, 0.5874718000, 1.2963699000", \
-                        "0.2969285000, 0.3027674000, 0.3175987000, 0.3521641000, 0.4342047000, 0.6609791000, 1.3700651000", \
-                        "0.3666661000, 0.3739380000, 0.3924625000, 0.4317737000, 0.5154365000, 0.7413850000, 1.4522047000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
-                    values("0.0252063000, 0.0274268000, 0.0340146000, 0.0509178000, 0.0943433000, 0.2239810000, 0.6630501000", \
-                        "0.0251819000, 0.0277002000, 0.0341288000, 0.0513620000, 0.0945233000, 0.2242965000, 0.6644656000", \
-                        "0.0250751000, 0.0274864000, 0.0341061000, 0.0506719000, 0.0944516000, 0.2245173000, 0.6635229000", \
-                        "0.0249974000, 0.0274492000, 0.0339728000, 0.0508639000, 0.0946908000, 0.2246556000, 0.6640458000", \
-                        "0.0250869000, 0.0274996000, 0.0341027000, 0.0511351000, 0.0944645000, 0.2244578000, 0.6637077000", \
-                        "0.0306109000, 0.0333115000, 0.0404313000, 0.0571171000, 0.0998780000, 0.2275906000, 0.6639230000", \
-                        "0.0428126000, 0.0456007000, 0.0539458000, 0.0724845000, 0.1175443000, 0.2413572000, 0.6663838000");
-                }
-                related_pin : "A1";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
-                    values("0.0312085000, 0.0353777000, 0.0469587000, 0.0780061000, 0.1705116000, 0.4794298000, 1.5005253000", \
-                        "0.0312782000, 0.0353818000, 0.0470021000, 0.0779977000, 0.1701456000, 0.4781017000, 1.4991723000", \
-                        "0.0311815000, 0.0355123000, 0.0470612000, 0.0780472000, 0.1706938000, 0.4792934000, 1.5018078000", \
-                        "0.0314397000, 0.0354191000, 0.0471003000, 0.0779307000, 0.1700635000, 0.4785637000, 1.4991850000", \
-                        "0.0317072000, 0.0357172000, 0.0473698000, 0.0785319000, 0.1705057000, 0.4791598000, 1.4980269000", \
-                        "0.0369726000, 0.0405209000, 0.0516069000, 0.0813609000, 0.1737468000, 0.4806289000, 1.4997764000", \
-                        "0.0495075000, 0.0540569000, 0.0656182000, 0.0928751000, 0.1787961000, 0.4822752000, 1.4960329000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
-                    values("0.2084035000, 0.2125618000, 0.2232894000, 0.2473198000, 0.2976568000, 0.4147988000, 0.7474036000", \
-                        "0.2141028000, 0.2182475000, 0.2289846000, 0.2530054000, 0.3037750000, 0.4206382000, 0.7526624000", \
-                        "0.2270611000, 0.2312170000, 0.2419979000, 0.2658729000, 0.3163270000, 0.4335012000, 0.7659363000", \
-                        "0.2571079000, 0.2612625000, 0.2719950000, 0.2960239000, 0.3464045000, 0.4635673000, 0.7962131000", \
-                        "0.3218594000, 0.3260863000, 0.3367729000, 0.3607606000, 0.4113594000, 0.5287186000, 0.8613418000", \
-                        "0.4549048000, 0.4593880000, 0.4709315000, 0.4965186000, 0.5488238000, 0.6676411000, 1.0002996000", \
-                        "0.6881120000, 0.6935225000, 0.7074501000, 0.7378831000, 0.7979073000, 0.9253940000, 1.2614298000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
-                    values("0.1626114000, 0.1680796000, 0.1825323000, 0.2166310000, 0.2979694000, 0.5234292000, 1.2326098000", \
-                        "0.1664008000, 0.1718874000, 0.1863561000, 0.2203952000, 0.3016112000, 0.5275075000, 1.2359093000", \
-                        "0.1749747000, 0.1804399000, 0.1948829000, 0.2290173000, 0.3103465000, 0.5357770000, 1.2469841000", \
-                        "0.1949106000, 0.2003215000, 0.2148216000, 0.2489157000, 0.3302970000, 0.5554036000, 1.2652615000", \
-                        "0.2395660000, 0.2450639000, 0.2596316000, 0.2937328000, 0.3750100000, 0.6003534000, 1.3094026000", \
-                        "0.3114933000, 0.3174848000, 0.3330377000, 0.3687185000, 0.4516343000, 0.6788103000, 1.3884410000", \
-                        "0.3895433000, 0.3970293000, 0.4159512000, 0.4567584000, 0.5429120000, 0.7698433000, 1.4798712000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
-                    values("0.0280233000, 0.0305922000, 0.0374384000, 0.0536687000, 0.0970564000, 0.2272409000, 0.6651744000", \
-                        "0.0280287000, 0.0305559000, 0.0371153000, 0.0540799000, 0.0970824000, 0.2270634000, 0.6651681000", \
-                        "0.0282405000, 0.0304380000, 0.0372756000, 0.0537527000, 0.0977265000, 0.2273754000, 0.6653935000", \
-                        "0.0280445000, 0.0306069000, 0.0374313000, 0.0536709000, 0.0970642000, 0.2272310000, 0.6652914000", \
-                        "0.0280469000, 0.0306168000, 0.0371371000, 0.0537485000, 0.0975663000, 0.2270545000, 0.6646811000", \
-                        "0.0320994000, 0.0348362000, 0.0413300000, 0.0586628000, 0.1009492000, 0.2286762000, 0.6659718000", \
-                        "0.0435616000, 0.0467400000, 0.0539672000, 0.0720928000, 0.1161741000, 0.2414245000, 0.6674505000");
-                }
-                related_pin : "A2";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
-                    values("0.0313448000, 0.0354562000, 0.0469858000, 0.0779929000, 0.1701743000, 0.4784647000, 1.5004373000", \
-                        "0.0312862000, 0.0354547000, 0.0470087000, 0.0781229000, 0.1701113000, 0.4788263000, 1.4971424000", \
-                        "0.0314535000, 0.0356178000, 0.0472135000, 0.0780695000, 0.1705415000, 0.4792411000, 1.5019579000", \
-                        "0.0314156000, 0.0354174000, 0.0471454000, 0.0781241000, 0.1700027000, 0.4785948000, 1.4981526000", \
-                        "0.0321451000, 0.0361981000, 0.0477292000, 0.0789871000, 0.1703809000, 0.4781920000, 1.4969748000", \
-                        "0.0363647000, 0.0407751000, 0.0523461000, 0.0826262000, 0.1738623000, 0.4807431000, 1.4988446000", \
-                        "0.0483433000, 0.0531669000, 0.0656092000, 0.0953566000, 0.1800782000, 0.4828592000, 1.4953170000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
-                    values("0.2208521000, 0.2250906000, 0.2359925000, 0.2602206000, 0.3111092000, 0.4279598000, 0.7610656000", \
-                        "0.2264531000, 0.2306852000, 0.2416375000, 0.2659224000, 0.3163745000, 0.4336926000, 0.7663901000", \
-                        "0.2399870000, 0.2442265000, 0.2551599000, 0.2793772000, 0.3302593000, 0.4471772000, 0.7802277000", \
-                        "0.2707384000, 0.2749689000, 0.2859101000, 0.3101157000, 0.3606507000, 0.4779604000, 0.8110062000", \
-                        "0.3355239000, 0.3397513000, 0.3506417000, 0.3749287000, 0.4257578000, 0.5430787000, 0.8761012000", \
-                        "0.4714280000, 0.4760451000, 0.4875532000, 0.5129129000, 0.5646264000, 0.6825269000, 1.0158043000", \
-                        "0.7116703000, 0.7171012000, 0.7307890000, 0.7604968000, 0.8199454000, 0.9462263000, 1.2816578000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
-                    values("0.1708478000, 0.1763283000, 0.1907895000, 0.2248420000, 0.3060447000, 0.5318668000, 1.2401106000", \
-                        "0.1745980000, 0.1800890000, 0.1945498000, 0.2286021000, 0.3098011000, 0.5355830000, 1.2440716000", \
-                        "0.1821749000, 0.1876431000, 0.2020933000, 0.2362389000, 0.3175778000, 0.5429726000, 1.2541402000", \
-                        "0.1979189000, 0.2033833000, 0.2177978000, 0.2518881000, 0.3332880000, 0.5584118000, 1.2680612000", \
-                        "0.2311284000, 0.2366685000, 0.2512844000, 0.2857349000, 0.3670065000, 0.5927756000, 1.3014522000", \
-                        "0.2873981000, 0.2933862000, 0.3090629000, 0.3450936000, 0.4282101000, 0.6550773000, 1.3673691000", \
-                        "0.3519727000, 0.3591384000, 0.3775853000, 0.4181636000, 0.5054936000, 0.7335346000, 1.4430807000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
-                    values("0.0290173000, 0.0315223000, 0.0388297000, 0.0544612000, 0.0978407000, 0.2268872000, 0.6660019000", \
-                        "0.0292310000, 0.0318142000, 0.0385830000, 0.0545914000, 0.0976621000, 0.2273587000, 0.6655940000", \
-                        "0.0290988000, 0.0316338000, 0.0384238000, 0.0545525000, 0.0978899000, 0.2274636000, 0.6660046000", \
-                        "0.0290727000, 0.0316210000, 0.0383773000, 0.0545987000, 0.0975420000, 0.2273429000, 0.6658036000", \
-                        "0.0292295000, 0.0318581000, 0.0381006000, 0.0546394000, 0.0979172000, 0.2269566000, 0.6650637000", \
-                        "0.0326398000, 0.0351477000, 0.0416795000, 0.0582123000, 0.0998739000, 0.2283109000, 0.6642956000", \
-                        "0.0427398000, 0.0457445000, 0.0542537000, 0.0708891000, 0.1143660000, 0.2396836000, 0.6670784000");
-                }
-                related_pin : "A3";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
-                    values("0.0313287000, 0.0354644000, 0.0470112000, 0.0781220000, 0.1702678000, 0.4791021000, 1.4981816000", \
-                        "0.0313246000, 0.0355038000, 0.0470115000, 0.0781049000, 0.1704204000, 0.4792357000, 1.4990194000", \
-                        "0.0311882000, 0.0354433000, 0.0472385000, 0.0780886000, 0.1705334000, 0.4792319000, 1.5019620000", \
-                        "0.0313997000, 0.0355936000, 0.0471749000, 0.0780951000, 0.1700144000, 0.4785612000, 1.4980901000", \
-                        "0.0319956000, 0.0362022000, 0.0477943000, 0.0785111000, 0.1705290000, 0.4792566000, 1.4978659000", \
-                        "0.0354013000, 0.0397251000, 0.0514088000, 0.0824821000, 0.1734943000, 0.4796122000, 1.5001551000", \
-                        "0.0460240000, 0.0505819000, 0.0626674000, 0.0940170000, 0.1800051000, 0.4826735000, 1.4964869000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
-                    values("0.2410485000, 0.2454709000, 0.2568412000, 0.2819583000, 0.3340698000, 0.4524888000, 0.7864164000", \
-                        "0.2460859000, 0.2505167000, 0.2618473000, 0.2868881000, 0.3391378000, 0.4574795000, 0.7917172000", \
-                        "0.2592834000, 0.2636923000, 0.2750424000, 0.3000772000, 0.3520401000, 0.4707898000, 0.8049722000", \
-                        "0.2888771000, 0.2933018000, 0.3046834000, 0.3298137000, 0.3819035000, 0.5003815000, 0.8343762000", \
-                        "0.3502996000, 0.3547797000, 0.3660220000, 0.3910245000, 0.4431869000, 0.5621863000, 0.8961218000", \
-                        "0.4789627000, 0.4835849000, 0.4955052000, 0.5213801000, 0.5743657000, 0.6936326000, 1.0278260000", \
-                        "0.7046645000, 0.7099994000, 0.7241543000, 0.7539749000, 0.8138581000, 0.9405312000, 1.2772817000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
-                    values("0.1761856000, 0.1816757000, 0.1961402000, 0.2301902000, 0.3113901000, 0.5371392000, 1.2456103000", \
-                        "0.1800949000, 0.1855772000, 0.2000881000, 0.2342394000, 0.3155481000, 0.5411459000, 1.2499256000", \
-                        "0.1879246000, 0.1934088000, 0.2079332000, 0.2421238000, 0.3234292000, 0.5485477000, 1.2577395000", \
-                        "0.2025091000, 0.2079813000, 0.2224550000, 0.2567253000, 0.3379951000, 0.5631967000, 1.2737137000", \
-                        "0.2303163000, 0.2358227000, 0.2503042000, 0.2846393000, 0.3659616000, 0.5916156000, 1.3003612000", \
-                        "0.2751373000, 0.2810445000, 0.2965994000, 0.3325309000, 0.4157631000, 0.6418768000, 1.3506855000", \
-                        "0.3292962000, 0.3361681000, 0.3540822000, 0.3940359000, 0.4812716000, 0.7094981000, 1.4178754000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
-                    values("0.0312560000, 0.0338341000, 0.0407997000, 0.0572744000, 0.1003391000, 0.2299890000, 0.6656039000", \
-                        "0.0311327000, 0.0336976000, 0.0411793000, 0.0567406000, 0.1001514000, 0.2294996000, 0.6677875000", \
-                        "0.0312299000, 0.0340222000, 0.0408639000, 0.0570483000, 0.1004597000, 0.2299502000, 0.6671865000", \
-                        "0.0312294000, 0.0337930000, 0.0407461000, 0.0572572000, 0.1002958000, 0.2298046000, 0.6682758000", \
-                        "0.0312520000, 0.0338740000, 0.0411378000, 0.0575608000, 0.0998021000, 0.2294376000, 0.6677904000", \
-                        "0.0339923000, 0.0365755000, 0.0432951000, 0.0595861000, 0.1022825000, 0.2306543000, 0.6678573000", \
-                        "0.0437593000, 0.0469199000, 0.0548762000, 0.0716434000, 0.1150917000, 0.2409118000, 0.6685779000");
-                }
-                related_pin : "A4";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
-                    values("0.0313309000, 0.0355146000, 0.0470147000, 0.0781027000, 0.1704333000, 0.4792434000, 1.4991051000", \
-                        "0.0314525000, 0.0354559000, 0.0472843000, 0.0779579000, 0.1704301000, 0.4791403000, 1.5003612000", \
-                        "0.0315719000, 0.0356266000, 0.0472609000, 0.0779706000, 0.1704370000, 0.4789264000, 1.4975199000", \
-                        "0.0311329000, 0.0353449000, 0.0471723000, 0.0780804000, 0.1702056000, 0.4790275000, 1.5010134000", \
-                        "0.0317766000, 0.0358824000, 0.0474277000, 0.0785842000, 0.1708894000, 0.4793825000, 1.4997511000", \
-                        "0.0346567000, 0.0391544000, 0.0509248000, 0.0823933000, 0.1735508000, 0.4792481000, 1.4982235000", \
-                        "0.0428182000, 0.0472415000, 0.0599733000, 0.0917148000, 0.1800962000, 0.4822683000, 1.4981930000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
-                    values("0.2072282000, 0.2116229000, 0.2229969000, 0.2480858000, 0.3003378000, 0.4191678000, 0.7535192000", \
-                        "0.2111326000, 0.2155620000, 0.2268983000, 0.2521068000, 0.3043012000, 0.4229365000, 0.7575783000", \
-                        "0.2214330000, 0.2258442000, 0.2372230000, 0.2620876000, 0.3139860000, 0.4330377000, 0.7671114000", \
-                        "0.2478567000, 0.2522755000, 0.2638543000, 0.2888894000, 0.3409798000, 0.4600459000, 0.7942103000", \
-                        "0.3142416000, 0.3186885000, 0.3299579000, 0.3549964000, 0.4071320000, 0.5261432000, 0.8605729000", \
-                        "0.4635771000, 0.4684100000, 0.4807453000, 0.5068427000, 0.5597615000, 0.6788016000, 1.0135619000", \
-                        "0.7089455000, 0.7152285000, 0.7309564000, 0.7640402000, 0.8240074000, 0.9478859000, 1.2849117000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
-                    values("0.0570989000, 0.0609560000, 0.0717899000, 0.1002234000, 0.1730440000, 0.3932248000, 1.0984375000", \
-                        "0.0618867000, 0.0657577000, 0.0765858000, 0.1050187000, 0.1779049000, 0.3978622000, 1.1054031000", \
-                        "0.0730108000, 0.0768356000, 0.0875808000, 0.1159710000, 0.1890914000, 0.4087506000, 1.1164475000", \
-                        "0.0951134000, 0.0991041000, 0.1101411000, 0.1386601000, 0.2119098000, 0.4315735000, 1.1478953000", \
-                        "0.1247925000, 0.1297778000, 0.1428091000, 0.1738966000, 0.2486437000, 0.4693222000, 1.1772505000", \
-                        "0.1552133000, 0.1620376000, 0.1798279000, 0.2180873000, 0.2970146000, 0.5180440000, 1.2279460000", \
-                        "0.1659175000, 0.1750542000, 0.1994561000, 0.2523741000, 0.3432131000, 0.5656097000, 1.2708894000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
-                    values("0.0313910000, 0.0340692000, 0.0403322000, 0.0574984000, 0.1002465000, 0.2292491000, 0.6677858000", \
-                        "0.0312936000, 0.0340093000, 0.0404162000, 0.0571154000, 0.1002455000, 0.2296427000, 0.6678712000", \
-                        "0.0315374000, 0.0337304000, 0.0404813000, 0.0573342000, 0.1004623000, 0.2296777000, 0.6678723000", \
-                        "0.0313809000, 0.0340219000, 0.0405700000, 0.0577597000, 0.1004392000, 0.2297419000, 0.6674458000", \
-                        "0.0312466000, 0.0336636000, 0.0411964000, 0.0574809000, 0.1002981000, 0.2295139000, 0.6651223000", \
-                        "0.0367009000, 0.0390709000, 0.0454577000, 0.0608918000, 0.1024936000, 0.2298953000, 0.6673047000", \
-                        "0.0539706000, 0.0576017000, 0.0645313000, 0.0808044000, 0.1185278000, 0.2392599000, 0.6693164000");
-                }
-                related_pin : "B1";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
-                    values("0.0187345000, 0.0222924000, 0.0334262000, 0.0646484000, 0.1576572000, 0.4716914000, 1.4957987000", \
-                        "0.0187035000, 0.0222944000, 0.0333752000, 0.0646505000, 0.1576898000, 0.4721440000, 1.4942688000", \
-                        "0.0187314000, 0.0223507000, 0.0334839000, 0.0646321000, 0.1577190000, 0.4701748000, 1.5001480000", \
-                        "0.0209076000, 0.0243064000, 0.0348916000, 0.0652364000, 0.1576108000, 0.4714336000, 1.4966234000", \
-                        "0.0281409000, 0.0315719000, 0.0418864000, 0.0704077000, 0.1595496000, 0.4720082000, 1.4969825000", \
-                        "0.0410716000, 0.0456649000, 0.0577893000, 0.0839021000, 0.1653925000, 0.4708894000, 1.4938556000", \
-                        "0.0615857000, 0.0679530000, 0.0844398000, 0.1138514000, 0.1817333000, 0.4741884000, 1.4864959000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-        }
-    }
-
-/* removed sky130_fd_sc_hd__a41oi_1 */
-
-/* removed sky130_fd_sc_hd__a41oi_2 */
-
-    cell ("sky130_fd_sc_hd__a41oi_4") {
-        leakage_power () {
-            value : 0.0035623000;
-            when : "A1&A2&!A3&!A4&B1";
-        }
-        leakage_power () {
-            value : 0.0017470000;
-            when : "A1&A2&!A3&!A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0035623000;
-            when : "A1&A2&!A3&A4&B1";
-        }
-        leakage_power () {
-            value : 0.0029806000;
-            when : "A1&A2&!A3&A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0035623000;
-            when : "A1&A2&A3&!A4&B1";
-        }
-        leakage_power () {
-            value : 0.0030025000;
-            when : "A1&A2&A3&!A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0004870000;
-            when : "A1&A2&A3&A4&B1";
-        }
-        leakage_power () {
-            value : 0.0048485000;
-            when : "A1&A2&A3&A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0035618000;
-            when : "!A1&!A2&!A3&!A4&B1";
-        }
-        leakage_power () {
-            value : 0.0015894000;
-            when : "!A1&!A2&!A3&!A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0035616000;
-            when : "!A1&!A2&!A3&A4&B1";
-        }
-        leakage_power () {
-            value : 0.0016137000;
-            when : "!A1&!A2&!A3&A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0035623000;
-            when : "!A1&!A2&A3&!A4&B1";
-        }
-        leakage_power () {
-            value : 0.0016153000;
-            when : "!A1&!A2&A3&!A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0035623000;
-            when : "!A1&!A2&A3&A4&B1";
-        }
-        leakage_power () {
-            value : 0.0016893000;
-            when : "!A1&!A2&A3&A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0035623000;
-            when : "!A1&A2&!A3&!A4&B1";
-        }
-        leakage_power () {
-            value : 0.0016184000;
-            when : "!A1&A2&!A3&!A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0035623000;
-            when : "!A1&A2&!A3&A4&B1";
-        }
-        leakage_power () {
-            value : 0.0016927000;
-            when : "!A1&A2&!A3&A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0035623000;
-            when : "!A1&A2&A3&!A4&B1";
-        }
-        leakage_power () {
-            value : 0.0016996000;
-            when : "!A1&A2&A3&!A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0035623000;
-            when : "!A1&A2&A3&A4&B1";
-        }
-        leakage_power () {
-            value : 0.0034253000;
-            when : "!A1&A2&A3&A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0035615000;
-            when : "A1&!A2&!A3&!A4&B1";
-        }
-        leakage_power () {
-            value : 0.0016390000;
-            when : "A1&!A2&!A3&!A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0035623000;
-            when : "A1&!A2&!A3&A4&B1";
-        }
-        leakage_power () {
-            value : 0.0017099000;
-            when : "A1&!A2&!A3&A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0035623000;
-            when : "A1&!A2&A3&!A4&B1";
-        }
-        leakage_power () {
-            value : 0.0017191000;
-            when : "A1&!A2&A3&!A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0035623000;
-            when : "A1&!A2&A3&A4&B1";
-        }
-        leakage_power () {
-            value : 0.0030054000;
-            when : "A1&!A2&A3&A4&!B1";
-        }
-        area : 27.526400000;
-        cell_footprint : "sky130_fd_sc_hd__a41oi";
-        cell_leakage_power : 0.0027973540;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("A1") {
-            capacitance : 0.0083200000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0081270000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0181588000, 0.0181538000, 0.0181422000, 0.0181360000, 0.0181217000, 0.0180888000, 0.0180128000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.013116700, -0.013142000, -0.013200400, -0.013166100, -0.013087100, -0.012905000, -0.012485200");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0085120000;
-        }
-        pin ("A2") {
-            capacitance : 0.0083460000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0081260000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0172103000, 0.0172160000, 0.0172292000, 0.0172914000, 0.0174348000, 0.0177654000, 0.0185273000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.015611900, -0.015610800, -0.015608100, -0.015606800, -0.015603900, -0.015597000, -0.015581300");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0085660000;
-        }
-        pin ("A3") {
-            capacitance : 0.0082840000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0079280000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0154083000, 0.0154089000, 0.0154105000, 0.0154108000, 0.0154115000, 0.0154131000, 0.0154169000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.015386000, -0.015374200, -0.015347000, -0.015344300, -0.015338100, -0.015323900, -0.015291000");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0086400000;
-        }
-        pin ("A4") {
-            capacitance : 0.0085280000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0080710000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0155199000, 0.0155295000, 0.0155519000, 0.0155562000, 0.0155661000, 0.0155889000, 0.0156415000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.015535100, -0.015536100, -0.015538300, -0.015537900, -0.015536800, -0.015534500, -0.015529000");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0089860000;
-        }
-        pin ("B1") {
-            capacitance : 0.0084790000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0077840000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0091441000, 0.0091140000, 0.0090446000, 0.0091221000, 0.0093007000, 0.0097122000, 0.0106609000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.006956200, -0.006955100, -0.006952500, -0.006954500, -0.006958900, -0.006969200, -0.006992800");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0091750000;
-        }
-        pin ("Y") {
-            direction : "output";
-            function : "(!A1&!B1) | (!A2&!B1) | (!A3&!B1) | (!A4&!B1)";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000");
-                    values("0.0210996000, 0.0197451000, 0.0161122000, 0.0062555000, -0.020592600, -0.093409000, -0.290829500", \
-                        "0.0207013000, 0.0193759000, 0.0156957000, 0.0058453000, -0.020904500, -0.093700600, -0.291148100", \
-                        "0.0200656000, 0.0187407000, 0.0150535000, 0.0052855000, -0.021348300, -0.094102300, -0.291485300", \
-                        "0.0190481000, 0.0178196000, 0.0141959000, 0.0044204000, -0.022129600, -0.094633500, -0.291847000", \
-                        "0.0184450000, 0.0171078000, 0.0135699000, 0.0039578000, -0.022542800, -0.094982500, -0.292459900", \
-                        "0.0189410000, 0.0175752000, 0.0136563000, 0.0037359000, -0.022894100, -0.095607800, -0.292689600", \
-                        "0.0220921000, 0.0206461000, 0.0166883000, 0.0065846000, -0.021018500, -0.094304000, -0.292966600");
-                }
-                related_pin : "A1";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000");
-                    values("0.0269287000, 0.0285283000, 0.0325341000, 0.0431330000, 0.0702773000, 0.1438896000, 0.3394828000", \
-                        "0.0262417000, 0.0278448000, 0.0319115000, 0.0425987000, 0.0702381000, 0.1431038000, 0.3389101000", \
-                        "0.0254292000, 0.0269665000, 0.0310770000, 0.0418832000, 0.0697554000, 0.1430219000, 0.3391501000", \
-                        "0.0246653000, 0.0261977000, 0.0301397000, 0.0407674000, 0.0686356000, 0.1433042000, 0.3387925000", \
-                        "0.0241405000, 0.0254732000, 0.0293263000, 0.0395481000, 0.0674588000, 0.1411128000, 0.3390321000", \
-                        "0.0240046000, 0.0253986000, 0.0291954000, 0.0394726000, 0.0666225000, 0.1401490000, 0.3383349000", \
-                        "0.0234588000, 0.0248138000, 0.0285130000, 0.0383690000, 0.0664813000, 0.1406958000, 0.3369995000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000");
-                    values("0.0236449000, 0.0222833000, 0.0186220000, 0.0086891000, -0.018145400, -0.090968300, -0.288470100", \
-                        "0.0232881000, 0.0218938000, 0.0182214000, 0.0082949000, -0.018551100, -0.091278600, -0.288728200", \
-                        "0.0226125000, 0.0212635000, 0.0176403000, 0.0078113000, -0.018997100, -0.091727600, -0.289147100", \
-                        "0.0218389000, 0.0204926000, 0.0168688000, 0.0070706000, -0.019598800, -0.092230300, -0.289499800", \
-                        "0.0211118000, 0.0197931000, 0.0163200000, 0.0065680000, -0.019961500, -0.092441300, -0.289505300", \
-                        "0.0210083000, 0.0196403000, 0.0160063000, 0.0060868000, -0.020806000, -0.093147500, -0.290115500", \
-                        "0.0231352000, 0.0217560000, 0.0180415000, 0.0077534000, -0.019542700, -0.093137700, -0.290251000");
-                }
-                related_pin : "A2";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000");
-                    values("0.0409951000, 0.0424013000, 0.0461126000, 0.0562054000, 0.0832303000, 0.1559318000, 0.3511684000", \
-                        "0.0404576000, 0.0418184000, 0.0456881000, 0.0559045000, 0.0831857000, 0.1558992000, 0.3511670000", \
-                        "0.0394725000, 0.0409864000, 0.0447955000, 0.0551511000, 0.0826898000, 0.1555924000, 0.3513504000", \
-                        "0.0382833000, 0.0397609000, 0.0436157000, 0.0540247000, 0.0817327000, 0.1551692000, 0.3508013000", \
-                        "0.0373301000, 0.0387243000, 0.0425219000, 0.0527757000, 0.0802755000, 0.1537956000, 0.3503297000", \
-                        "0.0367771000, 0.0380884000, 0.0419490000, 0.0521681000, 0.0793226000, 0.1525423000, 0.3489013000", \
-                        "0.0351514000, 0.0364607000, 0.0400957000, 0.0500105000, 0.0783653000, 0.1512880000, 0.3479411000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000");
-                    values("0.0235919000, 0.0221920000, 0.0185118000, 0.0085964000, -0.018262400, -0.091018400, -0.288447000", \
-                        "0.0231376000, 0.0217772000, 0.0181280000, 0.0082282000, -0.018642400, -0.091466700, -0.288803800", \
-                        "0.0224918000, 0.0211305000, 0.0175160000, 0.0076799000, -0.019163500, -0.091902600, -0.289278600", \
-                        "0.0216476000, 0.0203217000, 0.0166981000, 0.0069049000, -0.019733600, -0.092318200, -0.289638500", \
-                        "0.0210241000, 0.0197092000, 0.0161359000, 0.0064054000, -0.020212400, -0.092636400, -0.289752900", \
-                        "0.0207013000, 0.0193382000, 0.0156451000, 0.0058859000, -0.020840200, -0.093100400, -0.290150000", \
-                        "0.0224255000, 0.0209554000, 0.0172381000, 0.0070864000, -0.020119100, -0.093566700, -0.290427000");
-                }
-                related_pin : "A3";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000");
-                    values("0.0529691000, 0.0543472000, 0.0582282000, 0.0681957000, 0.0953214000, 0.1681049000, 0.3641367000", \
-                        "0.0525497000, 0.0540296000, 0.0578370000, 0.0678713000, 0.0949789000, 0.1678380000, 0.3634923000", \
-                        "0.0517781000, 0.0532116000, 0.0570898000, 0.0672701000, 0.0946285000, 0.1674925000, 0.3633252000", \
-                        "0.0508815000, 0.0523792000, 0.0562559000, 0.0665113000, 0.0940441000, 0.1670235000, 0.3629655000", \
-                        "0.0501598000, 0.0515863000, 0.0553671000, 0.0656118000, 0.0930257000, 0.1664024000, 0.3628354000", \
-                        "0.0500363000, 0.0514826000, 0.0553783000, 0.0655112000, 0.0927738000, 0.1657205000, 0.3621062000", \
-                        "0.0491210000, 0.0505517000, 0.0543277000, 0.0650332000, 0.0925824000, 0.1658629000, 0.3619161000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000");
-                    values("0.0233025000, 0.0219401000, 0.0182752000, 0.0083830000, -0.018496500, -0.091323600, -0.288696500", \
-                        "0.0229090000, 0.0215502000, 0.0178260000, 0.0079341000, -0.018935400, -0.091695100, -0.289126700", \
-                        "0.0222518000, 0.0209159000, 0.0172631000, 0.0073930000, -0.019407600, -0.092145200, -0.289536300", \
-                        "0.0214678000, 0.0201401000, 0.0165040000, 0.0066815000, -0.019917800, -0.092600200, -0.289906000", \
-                        "0.0209405000, 0.0196193000, 0.0160138000, 0.0061968000, -0.020374300, -0.092815700, -0.289995300", \
-                        "0.0209269000, 0.0196783000, 0.0159774000, 0.0056902000, -0.020968100, -0.093338100, -0.290250800", \
-                        "0.0225386000, 0.0212092000, 0.0173924000, 0.0072984000, -0.020048900, -0.093578800, -0.290603700");
-                }
-                related_pin : "A4";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000");
-                    values("0.0633504000, 0.0647317000, 0.0686156000, 0.0786465000, 0.1058574000, 0.1789756000, 0.3747835000", \
-                        "0.0630036000, 0.0643636000, 0.0680213000, 0.0782862000, 0.1055897000, 0.1787537000, 0.3750160000", \
-                        "0.0624420000, 0.0637591000, 0.0676258000, 0.0776838000, 0.1049724000, 0.1781006000, 0.3744684000", \
-                        "0.0618389000, 0.0632219000, 0.0669727000, 0.0772304000, 0.1046420000, 0.1777779000, 0.3738908000", \
-                        "0.0612624000, 0.0626409000, 0.0663749000, 0.0766417000, 0.1041629000, 0.1772347000, 0.3737618000", \
-                        "0.0613581000, 0.0624542000, 0.0664947000, 0.0766935000, 0.1039171000, 0.1772820000, 0.3738887000", \
-                        "0.0603609000, 0.0617041000, 0.0656434000, 0.0765368000, 0.1039453000, 0.1774838000, 0.3735353000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000");
-                    values("-0.000207900, -0.001374700, -0.004710100, -0.014278800, -0.041078600, -0.114212900, -0.312177000", \
-                        "-0.000836600, -0.001997800, -0.005175000, -0.014509800, -0.041022600, -0.113980700, -0.311828800", \
-                        "-0.001575100, -0.002669600, -0.005930100, -0.015096900, -0.041182100, -0.113834800, -0.311550700", \
-                        "-0.002049900, -0.003410800, -0.006787700, -0.015929000, -0.041811100, -0.113980600, -0.311470500", \
-                        "-0.001617100, -0.002969600, -0.006447500, -0.015933800, -0.042582500, -0.114575300, -0.311640200", \
-                        "-3.60000e-05, -0.001483200, -0.005357500, -0.015496400, -0.042378200, -0.115201600, -0.312062700", \
-                        "0.0053024000, 0.0036914000, -0.000470200, -0.011280700, -0.039653800, -0.112805300, -0.311210700");
-                }
-                related_pin : "B1";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000");
-                    values("0.0573521000, 0.0588112000, 0.0626932000, 0.0731982000, 0.1010249000, 0.1745929000, 0.3709590000", \
-                        "0.0567285000, 0.0581183000, 0.0619852000, 0.0726275000, 0.1003738000, 0.1740850000, 0.3704827000", \
-                        "0.0563596000, 0.0577053000, 0.0615370000, 0.0717868000, 0.0997729000, 0.1737676000, 0.3701033000", \
-                        "0.0556436000, 0.0570335000, 0.0608689000, 0.0712311000, 0.0988264000, 0.1728969000, 0.3695204000", \
-                        "0.0553328000, 0.0567300000, 0.0605486000, 0.0707100000, 0.0976659000, 0.1711605000, 0.3683524000", \
-                        "0.0572905000, 0.0586395000, 0.0624893000, 0.0725964000, 0.0990462000, 0.1715790000, 0.3672085000", \
-                        "0.0643458000, 0.0653273000, 0.0680435000, 0.0765530000, 0.1022747000, 0.1740364000, 0.3671860000");
-                }
-            }
-            max_capacitance : 0.1941020000;
-            max_transition : 1.4951550000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
-                    values("0.0530923000, 0.0562778000, 0.0645032000, 0.0856371000, 0.1385133000, 0.2736954000, 0.6332653000", \
-                        "0.0562041000, 0.0595061000, 0.0677353000, 0.0887991000, 0.1419823000, 0.2772830000, 0.6363858000", \
-                        "0.0640364000, 0.0672600000, 0.0755751000, 0.0970074000, 0.1500519000, 0.2854898000, 0.6446537000", \
-                        "0.0866097000, 0.0902618000, 0.0975717000, 0.1174750000, 0.1706140000, 0.3064547000, 0.6654097000", \
-                        "0.1183276000, 0.1227582000, 0.1339203000, 0.1605233000, 0.2191927000, 0.3549805000, 0.7153390000", \
-                        "0.1490663000, 0.1556216000, 0.1718002000, 0.2115635000, 0.2958952000, 0.4660740000, 0.8279867000", \
-                        "0.1521763000, 0.1617640000, 0.1858470000, 0.2446227000, 0.3744825000, 0.6270390000, 1.0916016000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
-                    values("0.0873878000, 0.0922667000, 0.1046624000, 0.1363570000, 0.2172988000, 0.4354779000, 1.0187614000", \
-                        "0.0916230000, 0.0965637000, 0.1091658000, 0.1413442000, 0.2232999000, 0.4400429000, 1.0216830000", \
-                        "0.1039195000, 0.1086349000, 0.1212384000, 0.1536418000, 0.2366945000, 0.4543870000, 1.0360054000", \
-                        "0.1341466000, 0.1387784000, 0.1507497000, 0.1827149000, 0.2661782000, 0.4866261000, 1.0684653000", \
-                        "0.1922970000, 0.1977637000, 0.2117182000, 0.2458405000, 0.3285338000, 0.5474739000, 1.1353456000", \
-                        "0.2870074000, 0.2945028000, 0.3148425000, 0.3607123000, 0.4623463000, 0.6875482000, 1.2729705000", \
-                        "0.4345158000, 0.4470002000, 0.4796276000, 0.5531882000, 0.7031411000, 0.9930939000, 1.5972303000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
-                    values("0.0662862000, 0.0691635000, 0.0780522000, 0.1019986000, 0.1690955000, 0.3515754000, 0.8478181000", \
-                        "0.0657016000, 0.0689337000, 0.0777405000, 0.1020538000, 0.1691642000, 0.3518935000, 0.8475759000", \
-                        "0.0620882000, 0.0653983000, 0.0750994000, 0.1013171000, 0.1689262000, 0.3516678000, 0.8471649000", \
-                        "0.0657510000, 0.0693549000, 0.0777707000, 0.1013113000, 0.1676023000, 0.3516940000, 0.8470279000", \
-                        "0.0819693000, 0.0863021000, 0.0975268000, 0.1257407000, 0.1835462000, 0.3528115000, 0.8469866000", \
-                        "0.1225321000, 0.1282674000, 0.1429248000, 0.1767299000, 0.2529283000, 0.4075427000, 0.8523430000", \
-                        "0.1952183000, 0.2037691000, 0.2258678000, 0.2758929000, 0.3749026000, 0.5734200000, 0.9807418000");
-                }
-                related_pin : "A1";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
-                    values("0.0481460000, 0.0536505000, 0.0686774000, 0.1097140000, 0.2196486000, 0.5183554000, 1.3143420000", \
-                        "0.0483109000, 0.0537893000, 0.0686916000, 0.1095831000, 0.2191027000, 0.5135174000, 1.3124914000", \
-                        "0.0485433000, 0.0540628000, 0.0690406000, 0.1096446000, 0.2193509000, 0.5144998000, 1.3112599000", \
-                        "0.0492946000, 0.0545516000, 0.0695034000, 0.1095291000, 0.2193039000, 0.5179702000, 1.3112497000", \
-                        "0.0629613000, 0.0683007000, 0.0808426000, 0.1167316000, 0.2203808000, 0.5141697000, 1.3118781000", \
-                        "0.0946753000, 0.1003876000, 0.1155132000, 0.1551599000, 0.2521263000, 0.5226122000, 1.3150281000", \
-                        "0.1695366000, 0.1765229000, 0.1957600000, 0.2434647000, 0.3544946000, 0.6115157000, 1.3284313000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
-                    values("0.0696841000, 0.0728650000, 0.0813675000, 0.1021293000, 0.1549233000, 0.2902436000, 0.6495340000", \
-                        "0.0733279000, 0.0763782000, 0.0847749000, 0.1057495000, 0.1583277000, 0.2940018000, 0.6530181000", \
-                        "0.0813352000, 0.0845622000, 0.0927231000, 0.1137435000, 0.1667055000, 0.3023031000, 0.6614432000", \
-                        "0.0998989000, 0.1032758000, 0.1117130000, 0.1326944000, 0.1855720000, 0.3218028000, 0.6817236000", \
-                        "0.1320422000, 0.1360918000, 0.1464158000, 0.1717273000, 0.2296243000, 0.3670214000, 0.7276906000", \
-                        "0.1678065000, 0.1737955000, 0.1897859000, 0.2255365000, 0.3041209000, 0.4663397000, 0.8332832000", \
-                        "0.1793291000, 0.1889776000, 0.2119944000, 0.2660721000, 0.3869711000, 0.6221116000, 1.0635689000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
-                    values("0.1205834000, 0.1249720000, 0.1367141000, 0.1685795000, 0.2518458000, 0.4749006000, 1.0755405000", \
-                        "0.1253600000, 0.1298108000, 0.1418033000, 0.1738656000, 0.2578876000, 0.4812384000, 1.0824014000", \
-                        "0.1371202000, 0.1417926000, 0.1538424000, 0.1865608000, 0.2713772000, 0.4955159000, 1.0961401000", \
-                        "0.1665334000, 0.1709576000, 0.1829943000, 0.2159124000, 0.3011156000, 0.5258623000, 1.1284420000", \
-                        "0.2286458000, 0.2337935000, 0.2466795000, 0.2787726000, 0.3636345000, 0.5893708000, 1.1920104000", \
-                        "0.3376991000, 0.3444110000, 0.3609259000, 0.4017088000, 0.4987563000, 0.7273407000, 1.3328845000", \
-                        "0.5187945000, 0.5294494000, 0.5550771000, 0.6162563000, 0.7506151000, 1.0307184000, 1.6503712000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
-                    values("0.0641800000, 0.0675001000, 0.0765781000, 0.1014406000, 0.1688425000, 0.3515545000, 0.8477761000", \
-                        "0.0640467000, 0.0675889000, 0.0765118000, 0.1013984000, 0.1685556000, 0.3518947000, 0.8474118000", \
-                        "0.0638029000, 0.0670203000, 0.0765000000, 0.1010843000, 0.1687300000, 0.3518275000, 0.8472746000", \
-                        "0.0664756000, 0.0695776000, 0.0782765000, 0.1024659000, 0.1683731000, 0.3515681000, 0.8476928000", \
-                        "0.0823435000, 0.0858112000, 0.0955271000, 0.1194126000, 0.1786460000, 0.3531744000, 0.8474237000", \
-                        "0.1223266000, 0.1265249000, 0.1375831000, 0.1654706000, 0.2309255000, 0.3874728000, 0.8518401000", \
-                        "0.1972112000, 0.2039931000, 0.2205208000, 0.2590468000, 0.3431285000, 0.5236706000, 0.9402258000");
-                }
-                related_pin : "A2";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
-                    values("0.0730009000, 0.0788288000, 0.0945165000, 0.1366828000, 0.2503192000, 0.5552522000, 1.3821142000", \
-                        "0.0730074000, 0.0787442000, 0.0945198000, 0.1369336000, 0.2502387000, 0.5551096000, 1.3782993000", \
-                        "0.0730788000, 0.0789061000, 0.0945004000, 0.1367221000, 0.2503382000, 0.5563244000, 1.3767786000", \
-                        "0.0734012000, 0.0789312000, 0.0946496000, 0.1369447000, 0.2502049000, 0.5553343000, 1.3798075000", \
-                        "0.0810012000, 0.0861873000, 0.1006157000, 0.1405698000, 0.2505348000, 0.5574022000, 1.3780396000", \
-                        "0.1124018000, 0.1180305000, 0.1337907000, 0.1744061000, 0.2747907000, 0.5614404000, 1.3821102000", \
-                        "0.1870672000, 0.1945360000, 0.2132603000, 0.2593319000, 0.3704617000, 0.6367900000, 1.3927993000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
-                    values("0.0787714000, 0.0818068000, 0.0901644000, 0.1110343000, 0.1638003000, 0.2992975000, 0.6579408000", \
-                        "0.0822579000, 0.0854382000, 0.0939272000, 0.1147387000, 0.1671613000, 0.3031381000, 0.6617102000", \
-                        "0.0895279000, 0.0927671000, 0.1009153000, 0.1218841000, 0.1748419000, 0.3101757000, 0.6704858000", \
-                        "0.1040788000, 0.1073946000, 0.1157481000, 0.1367576000, 0.1896705000, 0.3254813000, 0.6846221000", \
-                        "0.1285641000, 0.1321132000, 0.1413215000, 0.1653279000, 0.2212440000, 0.3585181000, 0.7181364000", \
-                        "0.1592810000, 0.1640723000, 0.1764801000, 0.2088043000, 0.2761401000, 0.4296001000, 0.7946379000", \
-                        "0.1644445000, 0.1723393000, 0.1906908000, 0.2377115000, 0.3405479000, 0.5448099000, 0.9626416000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
-                    values("0.1510060000, 0.1560213000, 0.1682257000, 0.2027719000, 0.2920396000, 0.5291405000, 1.1654336000", \
-                        "0.1556466000, 0.1599188000, 0.1734880000, 0.2079150000, 0.2974466000, 0.5345020000, 1.1703919000", \
-                        "0.1682460000, 0.1727384000, 0.1856253000, 0.2203626000, 0.3105921000, 0.5483164000, 1.1849601000", \
-                        "0.1977486000, 0.2019321000, 0.2158256000, 0.2498725000, 0.3403944000, 0.5792337000, 1.2155974000", \
-                        "0.2607644000, 0.2656265000, 0.2786369000, 0.3131403000, 0.4032839000, 0.6420205000, 1.2794273000", \
-                        "0.3780976000, 0.3839576000, 0.3987109000, 0.4401732000, 0.5392699000, 0.7785460000, 1.4168617000", \
-                        "0.5803269000, 0.5889874000, 0.6127007000, 0.6682157000, 0.7980768000, 1.0826008000, 1.7308774000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
-                    values("0.0640408000, 0.0675909000, 0.0765258000, 0.1013591000, 0.1687534000, 0.3518702000, 0.8470908000", \
-                        "0.0640397000, 0.0673376000, 0.0764267000, 0.1013293000, 0.1686874000, 0.3517538000, 0.8462242000", \
-                        "0.0637079000, 0.0670664000, 0.0764883000, 0.1013260000, 0.1686622000, 0.3514901000, 0.8481187000", \
-                        "0.0659458000, 0.0691696000, 0.0778883000, 0.1019963000, 0.1684701000, 0.3517454000, 0.8470740000", \
-                        "0.0772052000, 0.0804838000, 0.0889059000, 0.1132738000, 0.1755237000, 0.3532671000, 0.8471572000", \
-                        "0.1102247000, 0.1137814000, 0.1230950000, 0.1482450000, 0.2106105000, 0.3777839000, 0.8522694000", \
-                        "0.1819686000, 0.1873431000, 0.2005881000, 0.2317467000, 0.3056446000, 0.4728227000, 0.9182493000");
-                }
-                related_pin : "A3";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
-                    values("0.1004379000, 0.1062720000, 0.1232936000, 0.1678419000, 0.2880585000, 0.6112821000, 1.4811716000", \
-                        "0.1001576000, 0.1066144000, 0.1231854000, 0.1678448000, 0.2882627000, 0.6112923000, 1.4805845000", \
-                        "0.1004376000, 0.1063509000, 0.1232808000, 0.1677787000, 0.2880484000, 0.6121826000, 1.4850339000", \
-                        "0.1000851000, 0.1065285000, 0.1231928000, 0.1679017000, 0.2883090000, 0.6109180000, 1.4811298000", \
-                        "0.1049050000, 0.1106119000, 0.1265543000, 0.1697291000, 0.2883137000, 0.6118522000, 1.4808013000", \
-                        "0.1345934000, 0.1409532000, 0.1576764000, 0.1997117000, 0.3068384000, 0.6145005000, 1.4858879000", \
-                        "0.2089709000, 0.2170463000, 0.2349670000, 0.2819351000, 0.3976673000, 0.6818899000, 1.4951547000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
-                    values("0.0831762000, 0.0863924000, 0.0948232000, 0.1156474000, 0.1680505000, 0.3040017000, 0.6624434000", \
-                        "0.0869881000, 0.0900258000, 0.0983194000, 0.1191928000, 0.1719337000, 0.3072613000, 0.6675812000", \
-                        "0.0939015000, 0.0972166000, 0.1052201000, 0.1262015000, 0.1791795000, 0.3145037000, 0.6745699000", \
-                        "0.1065730000, 0.1098173000, 0.1181210000, 0.1392555000, 0.1920321000, 0.3281684000, 0.6872923000", \
-                        "0.1261504000, 0.1296034000, 0.1383035000, 0.1610499000, 0.2162801000, 0.3528886000, 0.7124101000", \
-                        "0.1490386000, 0.1541388000, 0.1646939000, 0.1909840000, 0.2542284000, 0.4023739000, 0.7659684000", \
-                        "0.1505794000, 0.1572514000, 0.1729369000, 0.2110742000, 0.2984293000, 0.4788007000, 0.8789223000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
-                    values("0.1625279000, 0.1678106000, 0.1810343000, 0.2150458000, 0.3033923000, 0.5344193000, 1.1503136000", \
-                        "0.1679079000, 0.1727670000, 0.1857063000, 0.2197664000, 0.3074151000, 0.5386393000, 1.1547755000", \
-                        "0.1807952000, 0.1857789000, 0.1976528000, 0.2325259000, 0.3213572000, 0.5525277000, 1.1682644000", \
-                        "0.2100490000, 0.2149185000, 0.2276068000, 0.2623112000, 0.3506996000, 0.5825482000, 1.1987474000", \
-                        "0.2704230000, 0.2751319000, 0.2882329000, 0.3224802000, 0.4095689000, 0.6421215000, 1.2585915000", \
-                        "0.3828522000, 0.3893551000, 0.4049467000, 0.4437629000, 0.5393404000, 0.7712702000, 1.3884408000", \
-                        "0.5820697000, 0.5901075000, 0.6101613000, 0.6601852000, 0.7833955000, 1.0556319000, 1.6831312000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
-                    values("0.0640324000, 0.0673475000, 0.0764207000, 0.1013609000, 0.1687221000, 0.3517585000, 0.8468868000", \
-                        "0.0640470000, 0.0673410000, 0.0764788000, 0.1012975000, 0.1686031000, 0.3514728000, 0.8475461000", \
-                        "0.0638742000, 0.0670979000, 0.0765811000, 0.1011410000, 0.1686735000, 0.3514169000, 0.8474879000", \
-                        "0.0648765000, 0.0683665000, 0.0771196000, 0.1017265000, 0.1686653000, 0.3516342000, 0.8474732000", \
-                        "0.0726359000, 0.0759796000, 0.0849165000, 0.1090596000, 0.1735248000, 0.3528744000, 0.8472210000", \
-                        "0.0954960000, 0.0989114000, 0.1078789000, 0.1324030000, 0.1971806000, 0.3712689000, 0.8517831000", \
-                        "0.1584155000, 0.1625824000, 0.1725157000, 0.2000714000, 0.2660934000, 0.4363842000, 0.8978009000");
-                }
-                related_pin : "A4";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
-                    values("0.1187874000, 0.1247748000, 0.1417435000, 0.1843587000, 0.3007526000, 0.6136441000, 1.4570587000", \
-                        "0.1192259000, 0.1252030000, 0.1411246000, 0.1848555000, 0.3010424000, 0.6137093000, 1.4549328000", \
-                        "0.1190289000, 0.1246713000, 0.1413272000, 0.1847522000, 0.3007431000, 0.6132809000, 1.4583859000", \
-                        "0.1189809000, 0.1249827000, 0.1411905000, 0.1849026000, 0.3016245000, 0.6139514000, 1.4588439000", \
-                        "0.1218830000, 0.1276961000, 0.1435797000, 0.1858676000, 0.3009991000, 0.6136613000, 1.4579793000", \
-                        "0.1508147000, 0.1555374000, 0.1721435000, 0.2134527000, 0.3182430000, 0.6176828000, 1.4556751000", \
-                        "0.2195134000, 0.2260808000, 0.2439667000, 0.2894032000, 0.4042216000, 0.6839001000, 1.4753619000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
-                    values("0.0139201000, 0.0147929000, 0.0170526000, 0.0228135000, 0.0375962000, 0.0770815000, 0.1832229000", \
-                        "0.0184397000, 0.0194160000, 0.0216777000, 0.0274816000, 0.0424872000, 0.0819488000, 0.1881928000", \
-                        "0.0244993000, 0.0261202000, 0.0299340000, 0.0379616000, 0.0535289000, 0.0932631000, 0.1995685000", \
-                        "0.0302844000, 0.0328834000, 0.0390988000, 0.0517762000, 0.0760483000, 0.1188856000, 0.2250975000", \
-                        "0.0320327000, 0.0354329000, 0.0450131000, 0.0657665000, 0.1043671000, 0.1709488000, 0.2858461000", \
-                        "0.0161002000, 0.0224952000, 0.0371998000, 0.0700939000, 0.1317640000, 0.2369666000, 0.4099182000", \
-                        "-0.047025600, -0.037098500, -0.013468800, 0.0387152000, 0.1366509000, 0.3028460000, 0.5747524000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
-                    values("0.1242895000, 0.1294140000, 0.1433708000, 0.1785351000, 0.2672481000, 0.4994049000, 1.1159752000", \
-                        "0.1276775000, 0.1328813000, 0.1454131000, 0.1812575000, 0.2711824000, 0.5036495000, 1.1203017000", \
-                        "0.1382974000, 0.1435033000, 0.1568400000, 0.1914459000, 0.2802888000, 0.5138448000, 1.1317360000", \
-                        "0.1649345000, 0.1695727000, 0.1832371000, 0.2172114000, 0.3065768000, 0.5396875000, 1.1581380000", \
-                        "0.2345044000, 0.2388629000, 0.2514190000, 0.2849294000, 0.3707515000, 0.6035237000, 1.2220156000", \
-                        "0.3678848000, 0.3747183000, 0.3908372000, 0.4334926000, 0.5297549000, 0.7573826000, 1.3715927000", \
-                        "0.5815742000, 0.5922757000, 0.6174434000, 0.6827597000, 0.8280323000, 1.1196831000, 1.7357924000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
-                    values("0.0104760000, 0.0117560000, 0.0150543000, 0.0237915000, 0.0460590000, 0.1025857000, 0.2477178000", \
-                        "0.0118209000, 0.0127791000, 0.0157177000, 0.0238431000, 0.0460796000, 0.1026128000, 0.2476473000", \
-                        "0.0205801000, 0.0212519000, 0.0232169000, 0.0285837000, 0.0475929000, 0.1026060000, 0.2475988000", \
-                        "0.0380136000, 0.0384438000, 0.0403971000, 0.0463163000, 0.0617884000, 0.1075378000, 0.2476192000", \
-                        "0.0725255000, 0.0732079000, 0.0752531000, 0.0817151000, 0.1000530000, 0.1416801000, 0.2573909000", \
-                        "0.1309884000, 0.1318571000, 0.1350298000, 0.1434505000, 0.1676085000, 0.2231564000, 0.3342462000", \
-                        "0.2354857000, 0.2363042000, 0.2405327000, 0.2518671000, 0.2869990000, 0.3686941000, 0.5221759000");
-                }
-                related_pin : "B1";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
-                    values("0.1192859000, 0.1251851000, 0.1411621000, 0.1844973000, 0.3008295000, 0.6131080000, 1.4544256000", \
-                        "0.1191252000, 0.1248050000, 0.1410409000, 0.1844719000, 0.3006306000, 0.6136238000, 1.4553424000", \
-                        "0.1192094000, 0.1248768000, 0.1407065000, 0.1844191000, 0.3008007000, 0.6136983000, 1.4582499000", \
-                        "0.1165067000, 0.1230146000, 0.1402851000, 0.1844750000, 0.3013359000, 0.6139853000, 1.4554596000", \
-                        "0.1275370000, 0.1327269000, 0.1471907000, 0.1874562000, 0.2999428000, 0.6134227000, 1.4569046000", \
-                        "0.1801594000, 0.1871363000, 0.2029633000, 0.2456498000, 0.3391263000, 0.6195948000, 1.4555108000", \
-                        "0.2670231000, 0.2773666000, 0.3032793000, 0.3650697000, 0.4877294000, 0.7390091000, 1.4771695000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-        }
-    }
-
-/* removed sky130_fd_sc_hd__and2_0 */
-
-/* removed sky130_fd_sc_hd__and2_1 */
-
-/* removed sky130_fd_sc_hd__and2_2 */
-
-    cell ("sky130_fd_sc_hd__and2_4") {
-        leakage_power () {
-            value : 0.0045182000;
-            when : "!A&B";
-        }
-        leakage_power () {
-            value : 0.0042181000;
-            when : "!A&!B";
-        }
-        leakage_power () {
-            value : 0.0049141000;
-            when : "A&B";
-        }
-        leakage_power () {
-            value : 0.0045368000;
-            when : "A&!B";
-        }
-        area : 8.7584000000;
-        cell_footprint : "sky130_fd_sc_hd__and2";
-        cell_leakage_power : 0.0045468170;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("A") {
-            capacitance : 0.0023220000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0022440000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0046441000, 0.0046450000, 0.0046471000, 0.0046473000, 0.0046477000, 0.0046486000, 0.0046508000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.003813900, -0.003815400, -0.003818900, -0.003812800, -0.003798900, -0.003766900, -0.003693100");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0023990000;
-        }
-        pin ("B") {
-            capacitance : 0.0024240000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0022890000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0043132000, 0.0043138000, 0.0043151000, 0.0043147000, 0.0043138000, 0.0043118000, 0.0043072000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.004314400, -0.004314000, -0.004313200, -0.004313100, -0.004312900, -0.004312500, -0.004311400");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0025590000;
-        }
-        pin ("X") {
-            direction : "output";
-            function : "(A&B)";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016011820, 0.0051275690, 0.0164203500, 0.0525839300, 0.1683929000, 0.5392554000");
-                    values("0.0219934000, 0.0203575000, 0.0153407000, -0.000571600, -0.056486100, -0.243191300, -0.843738500", \
-                        "0.0218637000, 0.0202414000, 0.0152177000, -0.000721400, -0.056630900, -0.243270800, -0.843826200", \
-                        "0.0216027000, 0.0199616000, 0.0148455000, -0.000961400, -0.056924000, -0.243539000, -0.844065200", \
-                        "0.0213800000, 0.0197347000, 0.0145553000, -0.001499200, -0.057400200, -0.243932800, -0.844342500", \
-                        "0.0217083000, 0.0199763000, 0.0145601000, -0.001760400, -0.057926300, -0.244305500, -0.844611500", \
-                        "0.0235541000, 0.0215797000, 0.0157522000, -0.002316000, -0.058359200, -0.243979100, -0.844365700", \
-                        "0.0291198000, 0.0269686000, 0.0199042000, 0.0011556000, -0.057032300, -0.243583300, -0.843218800");
-                }
-                related_pin : "A";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016011820, 0.0051275690, 0.0164203500, 0.0525839300, 0.1683929000, 0.5392554000");
-                    values("0.0268359000, 0.0287043000, 0.0347671000, 0.0535348000, 0.1121659000, 0.2986388000, 0.8936575000", \
-                        "0.0267891000, 0.0286378000, 0.0345587000, 0.0535035000, 0.1123113000, 0.2984654000, 0.8886216000", \
-                        "0.0265147000, 0.0283975000, 0.0344192000, 0.0534200000, 0.1121571000, 0.2983078000, 0.8937819000", \
-                        "0.0264661000, 0.0283159000, 0.0343476000, 0.0532022000, 0.1118517000, 0.2980327000, 0.8925930000", \
-                        "0.0265940000, 0.0284016000, 0.0342972000, 0.0525095000, 0.1109989000, 0.2977092000, 0.8935497000", \
-                        "0.0281363000, 0.0298973000, 0.0355536000, 0.0536864000, 0.1110265000, 0.2967123000, 0.8928317000", \
-                        "0.0300397000, 0.0317357000, 0.0372010000, 0.0551988000, 0.1127392000, 0.2987017000, 0.8901778000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016011820, 0.0051275690, 0.0164203500, 0.0525839300, 0.1683929000, 0.5392554000");
-                    values("0.0242342000, 0.0226232000, 0.0174575000, 0.0013143000, -0.054620700, -0.241329300, -0.841892600", \
-                        "0.0241061000, 0.0224827000, 0.0172657000, 0.0013069000, -0.054743600, -0.241436100, -0.842009200", \
-                        "0.0239825000, 0.0223074000, 0.0171334000, 0.0009634000, -0.055016900, -0.241636800, -0.842178200", \
-                        "0.0237839000, 0.0221014000, 0.0168350000, 0.0006720000, -0.055315700, -0.241879000, -0.842381100", \
-                        "0.0239839000, 0.0222619000, 0.0168394000, 0.0003758000, -0.055343300, -0.241928800, -0.842348400", \
-                        "0.0241729000, 0.0222595000, 0.0164405000, -0.000333700, -0.055474400, -0.241754300, -0.841946200", \
-                        "0.0310029000, 0.0288509000, 0.0225415000, 0.0047170000, -0.054509000, -0.241373200, -0.841389900");
-                }
-                related_pin : "B";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016011820, 0.0051275690, 0.0164203500, 0.0525839300, 0.1683929000, 0.5392554000");
-                    values("0.0274649000, 0.0293370000, 0.0352974000, 0.0541880000, 0.1129284000, 0.2988462000, 0.8976207000", \
-                        "0.0274234000, 0.0292947000, 0.0353569000, 0.0541434000, 0.1128546000, 0.2989904000, 0.8934235000", \
-                        "0.0271964000, 0.0290773000, 0.0350998000, 0.0540511000, 0.1127472000, 0.2986478000, 0.8940299000", \
-                        "0.0270387000, 0.0288931000, 0.0349200000, 0.0538420000, 0.1124182000, 0.2984832000, 0.8906550000", \
-                        "0.0269662000, 0.0288491000, 0.0347595000, 0.0531925000, 0.1118951000, 0.2983111000, 0.8934664000", \
-                        "0.0278650000, 0.0296570000, 0.0353535000, 0.0535465000, 0.1117268000, 0.2970995000, 0.8926131000", \
-                        "0.0291608000, 0.0308249000, 0.0364298000, 0.0544184000, 0.1126395000, 0.2987646000, 0.8925423000");
-                }
-            }
-            max_capacitance : 0.5392550000;
-            max_transition : 1.5073040000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000");
-                    values("0.1075722000, 0.1108711000, 0.1194063000, 0.1385359000, 0.1792587000, 0.2814725000, 0.5909777000", \
-                        "0.1128001000, 0.1160923000, 0.1245839000, 0.1437462000, 0.1845300000, 0.2868012000, 0.5967698000", \
-                        "0.1254879000, 0.1292502000, 0.1377147000, 0.1568275000, 0.1976561000, 0.2999596000, 0.6103894000", \
-                        "0.1569157000, 0.1602705000, 0.1687679000, 0.1878012000, 0.2287325000, 0.3310373000, 0.6413503000", \
-                        "0.2290461000, 0.2324625000, 0.2411661000, 0.2602894000, 0.3015657000, 0.4041014000, 0.7136133000", \
-                        "0.3514737000, 0.3558933000, 0.3672617000, 0.3916203000, 0.4386747000, 0.5434140000, 0.8522572000", \
-                        "0.5428690000, 0.5485799000, 0.5634483000, 0.5957725000, 0.6554340000, 0.7709175000, 1.0814671000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000");
-                    values("0.1001052000, 0.1044490000, 0.1158731000, 0.1439631000, 0.2175814000, 0.4404515000, 1.1489737000", \
-                        "0.1042852000, 0.1085675000, 0.1199086000, 0.1480933000, 0.2216752000, 0.4448077000, 1.1530928000", \
-                        "0.1145495000, 0.1188651000, 0.1303157000, 0.1584149000, 0.2319022000, 0.4550954000, 1.1663756000", \
-                        "0.1389479000, 0.1432470000, 0.1546415000, 0.1827197000, 0.2562166000, 0.4799561000, 1.1956172000", \
-                        "0.1854124000, 0.1900212000, 0.2022073000, 0.2309741000, 0.3050086000, 0.5274398000, 1.2392685000", \
-                        "0.2445943000, 0.2506001000, 0.2655731000, 0.2977021000, 0.3730493000, 0.5964311000, 1.3060746000", \
-                        "0.3022301000, 0.3098472000, 0.3292155000, 0.3698066000, 0.4514374000, 0.6732024000, 1.3816840000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000");
-                    values("0.0217115000, 0.0234817000, 0.0291566000, 0.0426379000, 0.0811186000, 0.2037033000, 0.6203453000", \
-                        "0.0214940000, 0.0235378000, 0.0291617000, 0.0428483000, 0.0810571000, 0.2035903000, 0.6206806000", \
-                        "0.0215759000, 0.0235712000, 0.0288988000, 0.0429835000, 0.0811935000, 0.2035723000, 0.6187569000", \
-                        "0.0215966000, 0.0236828000, 0.0291780000, 0.0429033000, 0.0810994000, 0.2037923000, 0.6189805000", \
-                        "0.0241568000, 0.0261043000, 0.0312016000, 0.0443087000, 0.0822326000, 0.2042058000, 0.6222320000", \
-                        "0.0363429000, 0.0388287000, 0.0446289000, 0.0585859000, 0.0932091000, 0.2086272000, 0.6198016000", \
-                        "0.0564236000, 0.0588638000, 0.0670648000, 0.0834888000, 0.1181764000, 0.2244491000, 0.6188730000");
-                }
-                related_pin : "A";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000");
-                    values("0.0249653000, 0.0284283000, 0.0381845000, 0.0673825000, 0.1621492000, 0.4790814000, 1.5012275000", \
-                        "0.0249947000, 0.0283563000, 0.0383610000, 0.0673585000, 0.1621393000, 0.4793477000, 1.5013665000", \
-                        "0.0249919000, 0.0284311000, 0.0383725000, 0.0672879000, 0.1619728000, 0.4793616000, 1.5033943000", \
-                        "0.0249676000, 0.0284299000, 0.0382230000, 0.0673482000, 0.1619671000, 0.4784575000, 1.5049285000", \
-                        "0.0293278000, 0.0325243000, 0.0420544000, 0.0700699000, 0.1630664000, 0.4788117000, 1.5054440000", \
-                        "0.0399405000, 0.0435059000, 0.0532515000, 0.0787437000, 0.1672937000, 0.4788523000, 1.5021409000", \
-                        "0.0576111000, 0.0612524000, 0.0734685000, 0.0982774000, 0.1780262000, 0.4818362000, 1.4969254000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000");
-                    values("0.1255966000, 0.1291250000, 0.1381782000, 0.1582270000, 0.2002370000, 0.3035514000, 0.6133271000", \
-                        "0.1310570000, 0.1345455000, 0.1434573000, 0.1634322000, 0.2055105000, 0.3088259000, 0.6187498000", \
-                        "0.1438751000, 0.1472799000, 0.1570833000, 0.1771827000, 0.2192748000, 0.3225942000, 0.6325042000", \
-                        "0.1762233000, 0.1797537000, 0.1888648000, 0.2088671000, 0.2507718000, 0.3541753000, 0.6643017000", \
-                        "0.2523053000, 0.2557794000, 0.2647994000, 0.2847366000, 0.3259102000, 0.4294096000, 0.7400690000", \
-                        "0.3940385000, 0.3985494000, 0.4100280000, 0.4343532000, 0.4810699000, 0.5871086000, 0.8975454000", \
-                        "0.6222710000, 0.6279829000, 0.6433246000, 0.6759321000, 0.7356510000, 0.8508389000, 1.1626645000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000");
-                    values("0.1052030000, 0.1095009000, 0.1209242000, 0.1491337000, 0.2226441000, 0.4451655000, 1.1547054000", \
-                        "0.1094998000, 0.1138446000, 0.1252630000, 0.1533589000, 0.2269185000, 0.4495909000, 1.1581007000", \
-                        "0.1185689000, 0.1228791000, 0.1343074000, 0.1624743000, 0.2358514000, 0.4586717000, 1.1697386000", \
-                        "0.1389187000, 0.1432541000, 0.1546988000, 0.1827786000, 0.2561527000, 0.4791273000, 1.1967589000", \
-                        "0.1778365000, 0.1824616000, 0.1945080000, 0.2235293000, 0.2976709000, 0.5202719000, 1.2281585000", \
-                        "0.2311435000, 0.2367548000, 0.2508218000, 0.2824046000, 0.3587645000, 0.5815975000, 1.2940511000", \
-                        "0.2793611000, 0.2865273000, 0.3049423000, 0.3435750000, 0.4241753000, 0.6473023000, 1.3555471000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000");
-                    values("0.0241419000, 0.0259677000, 0.0318131000, 0.0454388000, 0.0839345000, 0.2055038000, 0.6209739000", \
-                        "0.0241401000, 0.0262818000, 0.0314745000, 0.0455130000, 0.0838626000, 0.2055502000, 0.6215251000", \
-                        "0.0239680000, 0.0260806000, 0.0315359000, 0.0455867000, 0.0839582000, 0.2055777000, 0.6211461000", \
-                        "0.0241108000, 0.0262833000, 0.0314738000, 0.0458092000, 0.0839025000, 0.2055762000, 0.6216975000", \
-                        "0.0246488000, 0.0267049000, 0.0324516000, 0.0460825000, 0.0844081000, 0.2057645000, 0.6185589000", \
-                        "0.0371110000, 0.0395494000, 0.0451100000, 0.0582432000, 0.0934432000, 0.2088847000, 0.6175619000", \
-                        "0.0573322000, 0.0602757000, 0.0679052000, 0.0842567000, 0.1184644000, 0.2241975000, 0.6199924000");
-                }
-                related_pin : "B";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000");
-                    values("0.0249482000, 0.0283355000, 0.0382583000, 0.0674461000, 0.1619367000, 0.4789714000, 1.5073039000", \
-                        "0.0249776000, 0.0284419000, 0.0381678000, 0.0673835000, 0.1621627000, 0.4791031000, 1.5024892000", \
-                        "0.0249770000, 0.0284064000, 0.0383676000, 0.0672702000, 0.1618237000, 0.4792354000, 1.5032409000", \
-                        "0.0249320000, 0.0283174000, 0.0383013000, 0.0672791000, 0.1617747000, 0.4792219000, 1.5017381000", \
-                        "0.0279188000, 0.0314126000, 0.0412130000, 0.0695779000, 0.1629917000, 0.4805606000, 1.5029451000", \
-                        "0.0358768000, 0.0395254000, 0.0489308000, 0.0766307000, 0.1666984000, 0.4782073000, 1.5043545000", \
-                        "0.0512571000, 0.0550663000, 0.0661057000, 0.0927317000, 0.1760204000, 0.4814027000, 1.4971808000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-        }
-    }
-
-/* removed sky130_fd_sc_hd__and2b_1 */
-
-/* removed sky130_fd_sc_hd__and2b_2 */
-
-/* removed sky130_fd_sc_hd__and2b_4 */
-
-/* removed sky130_fd_sc_hd__and3_1 */
-
-/* removed sky130_fd_sc_hd__and3_2 */
-
-    cell ("sky130_fd_sc_hd__and3_4") {
-        leakage_power () {
-            value : 0.0042559000;
-            when : "!A&B&C";
-        }
-        leakage_power () {
-            value : 0.0039331000;
-            when : "!A&B&!C";
-        }
-        leakage_power () {
-            value : 0.0042789000;
-            when : "A&!B&C";
-        }
-        leakage_power () {
-            value : 0.0039392000;
-            when : "A&!B&!C";
-        }
-        leakage_power () {
-            value : 0.0049811000;
-            when : "A&B&C";
-        }
-        leakage_power () {
-            value : 0.0042932000;
-            when : "A&B&!C";
-        }
-        leakage_power () {
-            value : 0.0039306000;
-            when : "!A&!B&C";
-        }
-        leakage_power () {
-            value : 0.0039122000;
-            when : "!A&!B&!C";
-        }
-        area : 11.260800000;
-        cell_footprint : "sky130_fd_sc_hd__and3";
-        cell_leakage_power : 0.0041905290;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("A") {
-            capacitance : 0.0024720000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0024040000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0051349000, 0.0051372000, 0.0051424000, 0.0051409000, 0.0051374000, 0.0051294000, 0.0051108000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.004027000, -0.004030400, -0.004038100, -0.004030700, -0.004013800, -0.003974700, -0.003884700");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0025400000;
-        }
-        pin ("B") {
-            capacitance : 0.0023830000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0022890000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0044755000, 0.0044717000, 0.0044629000, 0.0044767000, 0.0045086000, 0.0045820000, 0.0047513000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.004064600, -0.004062700, -0.004058500, -0.004058100, -0.004057300, -0.004055300, -0.004050800");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0024760000;
-        }
-        pin ("C") {
-            capacitance : 0.0024070000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0022740000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0043091000, 0.0043098000, 0.0043114000, 0.0043091000, 0.0043037000, 0.0042914000, 0.0042629000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.004312700, -0.004311300, -0.004308100, -0.004307700, -0.004306700, -0.004304300, -0.004298800");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0025400000;
-        }
-        pin ("X") {
-            direction : "output";
-            function : "(A&B&C)";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000");
-                    values("0.0225850000, 0.0209644000, 0.0157295000, 0.0002470000, -0.054956800, -0.239495700, -0.832371700", \
-                        "0.0224587000, 0.0208180000, 0.0156780000, 0.0001067000, -0.055049400, -0.239614100, -0.832349300", \
-                        "0.0221840000, 0.0205991000, 0.0154280000, -0.000269300, -0.055285100, -0.239799900, -0.832689200", \
-                        "0.0219560000, 0.0202503000, 0.0150878000, -0.000717900, -0.055750300, -0.240207900, -0.832950400", \
-                        "0.0221768000, 0.0203665000, 0.0147660000, -0.000815000, -0.056429200, -0.240735600, -0.833324300", \
-                        "0.0235311000, 0.0216224000, 0.0157538000, -0.002138300, -0.056142400, -0.240433700, -0.833014400", \
-                        "0.0292414000, 0.0269504000, 0.0205621000, 0.0019517000, -0.055691000, -0.240160400, -0.832156100");
-                }
-                related_pin : "A";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000");
-                    values("0.0281984000, 0.0300139000, 0.0359616000, 0.0548615000, 0.1128240000, 0.2968729000, 0.8879999000", \
-                        "0.0281036000, 0.0299738000, 0.0358394000, 0.0547609000, 0.1130736000, 0.2969332000, 0.8887007000", \
-                        "0.0278949000, 0.0296990000, 0.0356326000, 0.0546573000, 0.1129668000, 0.2968335000, 0.8844410000", \
-                        "0.0276645000, 0.0294341000, 0.0353838000, 0.0542092000, 0.1125419000, 0.2964591000, 0.8878142000", \
-                        "0.0277961000, 0.0296036000, 0.0353953000, 0.0537361000, 0.1119904000, 0.2961638000, 0.8847197000", \
-                        "0.0294258000, 0.0311432000, 0.0367784000, 0.0546556000, 0.1118405000, 0.2957279000, 0.8843381000", \
-                        "0.0319205000, 0.0335141000, 0.0389073000, 0.0565368000, 0.1135980000, 0.2974668000, 0.8804212000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000");
-                    values("0.0251215000, 0.0234258000, 0.0181728000, 0.0025213000, -0.052433000, -0.236570400, -0.829309200", \
-                        "0.0250331000, 0.0232756000, 0.0181793000, 0.0023555000, -0.052393700, -0.236691800, -0.829380900", \
-                        "0.0248987000, 0.0231762000, 0.0180037000, 0.0023481000, -0.052586000, -0.236858800, -0.829613200", \
-                        "0.0246641000, 0.0229106000, 0.0176660000, 0.0019555000, -0.053027500, -0.237219300, -0.829864700", \
-                        "0.0246439000, 0.0227879000, 0.0176201000, 0.0014800000, -0.053335200, -0.237560500, -0.830072800", \
-                        "0.0248143000, 0.0227682000, 0.0173852000, 0.0011839000, -0.053961200, -0.237874900, -0.830159700", \
-                        "0.0316497000, 0.0295213000, 0.0234275000, 0.0047631000, -0.053076000, -0.237586700, -0.829660600");
-                }
-                related_pin : "B";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000");
-                    values("0.0286070000, 0.0304200000, 0.0363546000, 0.0552118000, 0.1134147000, 0.2970044000, 0.8840938000", \
-                        "0.0286020000, 0.0304069000, 0.0363382000, 0.0550809000, 0.1131036000, 0.2968835000, 0.8842847000", \
-                        "0.0284564000, 0.0302925000, 0.0362143000, 0.0549756000, 0.1133032000, 0.2970049000, 0.8842048000", \
-                        "0.0281202000, 0.0298940000, 0.0358436000, 0.0546731000, 0.1130499000, 0.2968477000, 0.8841786000", \
-                        "0.0281771000, 0.0299658000, 0.0356696000, 0.0544305000, 0.1125473000, 0.2965996000, 0.8838977000", \
-                        "0.0294072000, 0.0311341000, 0.0367020000, 0.0543173000, 0.1124445000, 0.2961559000, 0.8831550000", \
-                        "0.0308210000, 0.0324539000, 0.0379013000, 0.0557420000, 0.1143143000, 0.2971202000, 0.8832769000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000");
-                    values("0.0273607000, 0.0256983000, 0.0203092000, 0.0044320000, -0.050584100, -0.234928700, -0.827755300", \
-                        "0.0274142000, 0.0257122000, 0.0202359000, 0.0043609000, -0.050695600, -0.235065700, -0.827905800", \
-                        "0.0271344000, 0.0253465000, 0.0201096000, 0.0041092000, -0.050967200, -0.235246100, -0.827996100", \
-                        "0.0269880000, 0.0252881000, 0.0199173000, 0.0038731000, -0.051236600, -0.235426900, -0.828118600", \
-                        "0.0270037000, 0.0251614000, 0.0197754000, 0.0041117000, -0.051504200, -0.235786600, -0.828392200", \
-                        "0.0270112000, 0.0255823000, 0.0200467000, 0.0037390000, -0.051313900, -0.235686600, -0.828265600", \
-                        "0.0354998000, 0.0326390000, 0.0263836000, 0.0076294000, -0.049680800, -0.234665900, -0.827185200");
-                }
-                related_pin : "C";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000");
-                    values("0.0288811000, 0.0306947000, 0.0366415000, 0.0555533000, 0.1134194000, 0.2970561000, 0.8875795000", \
-                        "0.0287654000, 0.0306023000, 0.0364582000, 0.0554255000, 0.1136495000, 0.2972510000, 0.8833801000", \
-                        "0.0286067000, 0.0304335000, 0.0363776000, 0.0552031000, 0.1135074000, 0.2971033000, 0.8840194000", \
-                        "0.0283508000, 0.0301255000, 0.0360764000, 0.0548893000, 0.1132393000, 0.2968654000, 0.8794756000", \
-                        "0.0282780000, 0.0301043000, 0.0359767000, 0.0546081000, 0.1127473000, 0.2967030000, 0.8837102000", \
-                        "0.0293827000, 0.0313559000, 0.0370289000, 0.0548746000, 0.1127775000, 0.2961417000, 0.8875729000", \
-                        "0.0304733000, 0.0321818000, 0.0376970000, 0.0557506000, 0.1142832000, 0.2975456000, 0.8817977000");
-                }
-            }
-            max_capacitance : 0.5328470000;
-            max_transition : 1.5077670000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
-                    values("0.1176624000, 0.1211953000, 0.1302119000, 0.1504058000, 0.1927356000, 0.2959856000, 0.6031842000", \
-                        "0.1230620000, 0.1265467000, 0.1356027000, 0.1557674000, 0.1983010000, 0.3014897000, 0.6088806000", \
-                        "0.1362588000, 0.1397258000, 0.1488244000, 0.1690206000, 0.2113689000, 0.3146443000, 0.6218698000", \
-                        "0.1671590000, 0.1706760000, 0.1795174000, 0.2000300000, 0.2423069000, 0.3456893000, 0.6525671000", \
-                        "0.2403789000, 0.2438929000, 0.2524743000, 0.2724942000, 0.3157611000, 0.4187666000, 0.7262591000", \
-                        "0.3693820000, 0.3739397000, 0.3858142000, 0.4109687000, 0.4588423000, 0.5655961000, 0.8725635000", \
-                        "0.5720747000, 0.5781848000, 0.5927933000, 0.6261505000, 0.6882516000, 0.8061523000, 1.1158997000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
-                    values("0.1340763000, 0.1393270000, 0.1531677000, 0.1856525000, 0.2643026000, 0.4891171000, 1.1990191000", \
-                        "0.1378671000, 0.1431018000, 0.1568382000, 0.1894099000, 0.2682454000, 0.4924377000, 1.2045442000", \
-                        "0.1472179000, 0.1524737000, 0.1662910000, 0.1987366000, 0.2775485000, 0.5018227000, 1.2143205000", \
-                        "0.1696199000, 0.1748860000, 0.1887813000, 0.2212735000, 0.2999053000, 0.5247459000, 1.2356726000", \
-                        "0.2196725000, 0.2250672000, 0.2390340000, 0.2718083000, 0.3508999000, 0.5753048000, 1.2867362000", \
-                        "0.2899079000, 0.2963531000, 0.3125250000, 0.3483308000, 0.4292096000, 0.6539372000, 1.3658370000", \
-                        "0.3627692000, 0.3709281000, 0.3919285000, 0.4358308000, 0.5227573000, 0.7481811000, 1.4570243000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
-                    values("0.0229469000, 0.0250952000, 0.0307727000, 0.0452383000, 0.0837912000, 0.2046444000, 0.6158934000", \
-                        "0.0231319000, 0.0250296000, 0.0309189000, 0.0452554000, 0.0837586000, 0.2044359000, 0.6119869000", \
-                        "0.0230371000, 0.0250940000, 0.0310449000, 0.0453760000, 0.0837914000, 0.2046090000, 0.6157672000", \
-                        "0.0230382000, 0.0252683000, 0.0306832000, 0.0454021000, 0.0837584000, 0.2045494000, 0.6145139000", \
-                        "0.0246318000, 0.0268010000, 0.0324608000, 0.0464677000, 0.0843488000, 0.2047378000, 0.6111346000", \
-                        "0.0367968000, 0.0393522000, 0.0457113000, 0.0598095000, 0.0958726000, 0.2091593000, 0.6117996000", \
-                        "0.0571156000, 0.0599535000, 0.0687328000, 0.0855754000, 0.1213103000, 0.2269871000, 0.6145401000");
-                }
-                related_pin : "A";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
-                    values("0.0318369000, 0.0357385000, 0.0468336000, 0.0769581000, 0.1698014000, 0.4824757000, 1.5060750000", \
-                        "0.0320573000, 0.0357851000, 0.0468233000, 0.0770289000, 0.1695583000, 0.4822201000, 1.5077671000", \
-                        "0.0316870000, 0.0356099000, 0.0467802000, 0.0770180000, 0.1695917000, 0.4820878000, 1.5038971000", \
-                        "0.0317929000, 0.0357566000, 0.0468538000, 0.0769830000, 0.1698987000, 0.4810902000, 1.5056097000", \
-                        "0.0338949000, 0.0376806000, 0.0483406000, 0.0787425000, 0.1705395000, 0.4826682000, 1.5061586000", \
-                        "0.0440737000, 0.0479575000, 0.0584061000, 0.0867194000, 0.1756460000, 0.4836797000, 1.5053370000", \
-                        "0.0615800000, 0.0669115000, 0.0792771000, 0.1064354000, 0.1881244000, 0.4862012000, 1.4997754000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
-                    values("0.1359565000, 0.1396689000, 0.1492386000, 0.1700226000, 0.2143244000, 0.3183809000, 0.6259408000", \
-                        "0.1413544000, 0.1450709000, 0.1546215000, 0.1757347000, 0.2196924000, 0.3236059000, 0.6312798000", \
-                        "0.1548157000, 0.1585121000, 0.1677815000, 0.1887002000, 0.2322355000, 0.3366315000, 0.6443373000", \
-                        "0.1866876000, 0.1901470000, 0.2000052000, 0.2209877000, 0.2646539000, 0.3688401000, 0.6762538000", \
-                        "0.2626976000, 0.2657046000, 0.2759215000, 0.2968464000, 0.3396162000, 0.4444486000, 0.7518973000", \
-                        "0.4102824000, 0.4153270000, 0.4266339000, 0.4516502000, 0.5003866000, 0.6072751000, 0.9145803000", \
-                        "0.6500261000, 0.6558750000, 0.6715567000, 0.7050849000, 0.7665708000, 0.8840779000, 1.1934486000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
-                    values("0.1413094000, 0.1465486000, 0.1603386000, 0.1928597000, 0.2714039000, 0.4959720000, 1.2081963000", \
-                        "0.1454501000, 0.1507121000, 0.1645203000, 0.1969231000, 0.2757359000, 0.4996989000, 1.2120310000", \
-                        "0.1541238000, 0.1594017000, 0.1731951000, 0.2056292000, 0.2844261000, 0.5083565000, 1.2168315000", \
-                        "0.1740558000, 0.1793654000, 0.1931983000, 0.2256948000, 0.3044672000, 0.5284735000, 1.2370853000", \
-                        "0.2163721000, 0.2218114000, 0.2357964000, 0.2687423000, 0.3476789000, 0.5724896000, 1.2861576000", \
-                        "0.2801762000, 0.2863977000, 0.3023365000, 0.3379085000, 0.4194913000, 0.6449998000, 1.3573733000", \
-                        "0.3446815000, 0.3524749000, 0.3724984000, 0.4151260000, 0.5023190000, 0.7288417000, 1.4369101000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
-                    values("0.0254384000, 0.0277563000, 0.0335643000, 0.0482841000, 0.0859561000, 0.2059903000, 0.6126439000", \
-                        "0.0253164000, 0.0275687000, 0.0336115000, 0.0477444000, 0.0860646000, 0.2061037000, 0.6166605000", \
-                        "0.0253245000, 0.0275907000, 0.0335511000, 0.0478728000, 0.0863612000, 0.2062060000, 0.6133797000", \
-                        "0.0253257000, 0.0274571000, 0.0334929000, 0.0477311000, 0.0860630000, 0.2062706000, 0.6157208000", \
-                        "0.0259922000, 0.0278997000, 0.0339873000, 0.0481977000, 0.0867450000, 0.2061078000, 0.6156615000", \
-                        "0.0371672000, 0.0393218000, 0.0464858000, 0.0592353000, 0.0944703000, 0.2090278000, 0.6163081000", \
-                        "0.0575287000, 0.0605187000, 0.0683342000, 0.0858129000, 0.1208752000, 0.2256639000, 0.6157173000");
-                }
-                related_pin : "B";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
-                    values("0.0318695000, 0.0358283000, 0.0468862000, 0.0768902000, 0.1698781000, 0.4818674000, 1.5013298000", \
-                        "0.0318180000, 0.0357527000, 0.0467176000, 0.0771061000, 0.1699917000, 0.4820259000, 1.5023308000", \
-                        "0.0317292000, 0.0357395000, 0.0467174000, 0.0770136000, 0.1697009000, 0.4822380000, 1.5036692000", \
-                        "0.0317952000, 0.0357728000, 0.0469011000, 0.0769553000, 0.1695789000, 0.4822560000, 1.5028707000", \
-                        "0.0336174000, 0.0374186000, 0.0484465000, 0.0782071000, 0.1704859000, 0.4822355000, 1.5047241000", \
-                        "0.0411861000, 0.0450079000, 0.0558206000, 0.0858962000, 0.1749957000, 0.4824572000, 1.5006988000", \
-                        "0.0563722000, 0.0616121000, 0.0735963000, 0.1017686000, 0.1855476000, 0.4862266000, 1.4980526000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
-                    values("0.1463793000, 0.1501818000, 0.1599430000, 0.1814873000, 0.2258389000, 0.3307140000, 0.6382643000", \
-                        "0.1516765000, 0.1554792000, 0.1652955000, 0.1867589000, 0.2312042000, 0.3360996000, 0.6434325000", \
-                        "0.1647378000, 0.1685901000, 0.1782668000, 0.2005377000, 0.2448620000, 0.3499950000, 0.6577169000", \
-                        "0.1970174000, 0.2017078000, 0.2114547000, 0.2328421000, 0.2762625000, 0.3813738000, 0.6892688000", \
-                        "0.2742508000, 0.2771595000, 0.2868535000, 0.3080985000, 0.3534604000, 0.4587778000, 0.7664901000", \
-                        "0.4292484000, 0.4335562000, 0.4452826000, 0.4701610000, 0.5181920000, 0.6248703000, 0.9330694000", \
-                        "0.6834484000, 0.6889978000, 0.7045986000, 0.7376001000, 0.7985659000, 0.9154420000, 1.2250092000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
-                    values("0.1461514000, 0.1514042000, 0.1652431000, 0.1977486000, 0.2763633000, 0.5006842000, 1.2115609000", \
-                        "0.1501494000, 0.1554074000, 0.1691529000, 0.2017424000, 0.2804681000, 0.5043301000, 1.2153831000", \
-                        "0.1580085000, 0.1632249000, 0.1770173000, 0.2095514000, 0.2882395000, 0.5122807000, 1.2231666000", \
-                        "0.1740834000, 0.1793860000, 0.1932420000, 0.2257365000, 0.3044238000, 0.5284793000, 1.2364118000", \
-                        "0.2076969000, 0.2131234000, 0.2273186000, 0.2601973000, 0.3392449000, 0.5640593000, 1.2765784000", \
-                        "0.2596807000, 0.2658394000, 0.2814085000, 0.3169973000, 0.3986289000, 0.6238294000, 1.3330604000", \
-                        "0.3130191000, 0.3206668000, 0.3398999000, 0.3811383000, 0.4683718000, 0.6952622000, 1.4036469000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
-                    values("0.0266935000, 0.0290497000, 0.0349898000, 0.0491600000, 0.0875808000, 0.2071880000, 0.6157102000", \
-                        "0.0265098000, 0.0287434000, 0.0345559000, 0.0494573000, 0.0875377000, 0.2071500000, 0.6144041000", \
-                        "0.0266206000, 0.0287208000, 0.0346443000, 0.0493539000, 0.0876090000, 0.2071038000, 0.6128622000", \
-                        "0.0265612000, 0.0290123000, 0.0349111000, 0.0494729000, 0.0875919000, 0.2073620000, 0.6131180000", \
-                        "0.0267277000, 0.0288112000, 0.0347677000, 0.0495456000, 0.0876008000, 0.2070598000, 0.6156083000", \
-                        "0.0369957000, 0.0398173000, 0.0455767000, 0.0591666000, 0.0943562000, 0.2095610000, 0.6143105000", \
-                        "0.0571428000, 0.0602045000, 0.0685627000, 0.0849131000, 0.1198252000, 0.2255216000, 0.6161894000");
-                }
-                related_pin : "C";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
-                    values("0.0318313000, 0.0357206000, 0.0468039000, 0.0769674000, 0.1700028000, 0.4821328000, 1.5038950000", \
-                        "0.0319539000, 0.0357699000, 0.0469898000, 0.0769861000, 0.1697882000, 0.4821613000, 1.4991854000", \
-                        "0.0320042000, 0.0356360000, 0.0468606000, 0.0770101000, 0.1698551000, 0.4817081000, 1.5049189000", \
-                        "0.0317713000, 0.0357611000, 0.0468840000, 0.0769974000, 0.1697960000, 0.4820889000, 1.5021002000", \
-                        "0.0334629000, 0.0373941000, 0.0480144000, 0.0781122000, 0.1704180000, 0.4824395000, 1.5041959000", \
-                        "0.0387963000, 0.0429279000, 0.0545034000, 0.0844813000, 0.1743957000, 0.4829192000, 1.5069104000", \
-                        "0.0524485000, 0.0575287000, 0.0691846000, 0.0990066000, 0.1844223000, 0.4860258000, 1.4950077000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-        }
-    }
-
-/* removed sky130_fd_sc_hd__and3b_1 */
-
-/* removed sky130_fd_sc_hd__and3b_2 */
-
-/* removed sky130_fd_sc_hd__and3b_4 */
-
-/* removed sky130_fd_sc_hd__and4_1 */
-
-/* removed sky130_fd_sc_hd__and4_2 */
-
-    cell ("sky130_fd_sc_hd__and4_4") {
-        leakage_power () {
-            value : 0.0040885000;
-            when : "!A&!B&!C&D";
-        }
-        leakage_power () {
-            value : 0.0040826000;
-            when : "!A&!B&!C&!D";
-        }
-        leakage_power () {
-            value : 0.0041054000;
-            when : "!A&!B&C&D";
-        }
-        leakage_power () {
-            value : 0.0040890000;
-            when : "!A&!B&C&!D";
-        }
-        leakage_power () {
-            value : 0.0041100000;
-            when : "!A&B&!C&D";
-        }
-        leakage_power () {
-            value : 0.0040910000;
-            when : "!A&B&!C&!D";
-        }
-        leakage_power () {
-            value : 0.0044086000;
-            when : "!A&B&C&D";
-        }
-        leakage_power () {
-            value : 0.0041123000;
-            when : "!A&B&C&!D";
-        }
-        leakage_power () {
-            value : 0.0041161000;
-            when : "A&!B&!C&D";
-        }
-        leakage_power () {
-            value : 0.0040967000;
-            when : "A&!B&!C&!D";
-        }
-        leakage_power () {
-            value : 0.0044373000;
-            when : "A&!B&C&D";
-        }
-        leakage_power () {
-            value : 0.0041185000;
-            when : "A&!B&C&!D";
-        }
-        leakage_power () {
-            value : 0.0044719000;
-            when : "A&B&!C&D";
-        }
-        leakage_power () {
-            value : 0.0041245000;
-            when : "A&B&!C&!D";
-        }
-        leakage_power () {
-            value : 0.0059705000;
-            when : "A&B&C&D";
-        }
-        leakage_power () {
-            value : 0.0044864000;
-            when : "A&B&C&!D";
-        }
-        area : 11.260800000;
-        cell_footprint : "sky130_fd_sc_hd__and4";
-        cell_leakage_power : 0.0043068320;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("A") {
-            capacitance : 0.0023460000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0022950000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0049085000, 0.0049057000, 0.0048994000, 0.0049006000, 0.0049034000, 0.0049100000, 0.0049251000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.004021500, -0.004023400, -0.004027800, -0.004021400, -0.004006700, -0.003972700, -0.003894200");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0023970000;
-        }
-        pin ("B") {
-            capacitance : 0.0024100000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0023320000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0045905000, 0.0045862000, 0.0045764000, 0.0045897000, 0.0046203000, 0.0046909000, 0.0048537000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.004173700, -0.004173900, -0.004174400, -0.004173800, -0.004172300, -0.004168800, -0.004160800");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0024870000;
-        }
-        pin ("C") {
-            capacitance : 0.0023630000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0022720000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0039295000, 0.0039276000, 0.0039232000, 0.0039233000, 0.0039235000, 0.0039240000, 0.0039250000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.003933000, -0.003930600, -0.003925100, -0.003924700, -0.003923800, -0.003921700, -0.003917000");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0024540000;
-        }
-        pin ("D") {
-            capacitance : 0.0023420000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0022160000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0041907000, 0.0041865000, 0.0041768000, 0.0041775000, 0.0041791000, 0.0041829000, 0.0041916000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.004179100, -0.004178000, -0.004175300, -0.004175300, -0.004175300, -0.004175200, -0.004174900");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0024690000;
-        }
-        pin ("X") {
-            direction : "output";
-            function : "(A&B&C&D)";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
-                    values("0.0223359000, 0.0206942000, 0.0156045000, 4.220000e-05, -0.055116100, -0.239300300, -0.831073400", \
-                        "0.0223127000, 0.0206209000, 0.0155582000, 1.590000e-05, -0.055126100, -0.239430000, -0.831212900", \
-                        "0.0220741000, 0.0204953000, 0.0153623000, -0.000200200, -0.055301500, -0.239506100, -0.831285300", \
-                        "0.0218765000, 0.0202375000, 0.0151509000, -0.000573000, -0.055799900, -0.239957500, -0.831625400", \
-                        "0.0219509000, 0.0201994000, 0.0149125000, -0.001217400, -0.056130300, -0.240440400, -0.831948100", \
-                        "0.0235522000, 0.0216341000, 0.0158156000, -0.001528300, -0.056570800, -0.240284400, -0.831719400", \
-                        "0.0286287000, 0.0265396000, 0.0202548000, 0.0016847000, -0.055205300, -0.240054900, -0.830997900");
-                }
-                related_pin : "A";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
-                    values("0.0284573000, 0.0302812000, 0.0361407000, 0.0551465000, 0.1131036000, 0.2967632000, 0.8872369000", \
-                        "0.0283793000, 0.0302197000, 0.0361439000, 0.0548561000, 0.1132597000, 0.2969647000, 0.8872511000", \
-                        "0.0281455000, 0.0299734000, 0.0358226000, 0.0547779000, 0.1131833000, 0.2968166000, 0.8833840000", \
-                        "0.0278921000, 0.0296821000, 0.0354694000, 0.0543895000, 0.1130761000, 0.2963908000, 0.8833783000", \
-                        "0.0279423000, 0.0297159000, 0.0353788000, 0.0541404000, 0.1125677000, 0.2960288000, 0.8826487000", \
-                        "0.0291382000, 0.0307874000, 0.0363953000, 0.0549625000, 0.1120965000, 0.2959301000, 0.8783530000", \
-                        "0.0327781000, 0.0343445000, 0.0396643000, 0.0571872000, 0.1141342000, 0.2967248000, 0.8824727000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
-                    values("0.0247975000, 0.0230256000, 0.0177456000, 0.0022381000, -0.052691800, -0.236785000, -0.828399900", \
-                        "0.0245758000, 0.0228916000, 0.0176975000, 0.0020692000, -0.052857600, -0.236828700, -0.828438100", \
-                        "0.0244854000, 0.0227397000, 0.0175535000, 0.0019372000, -0.053008900, -0.236900500, -0.828564000", \
-                        "0.0241787000, 0.0226117000, 0.0173402000, 0.0015960000, -0.053480900, -0.237280800, -0.828831100", \
-                        "0.0242279000, 0.0225580000, 0.0172231000, 0.0011121000, -0.053687600, -0.237787600, -0.829263900", \
-                        "0.0240097000, 0.0222137000, 0.0165476000, 0.0011577000, -0.054455100, -0.237849900, -0.829189600", \
-                        "0.0310476000, 0.0289285000, 0.0226919000, 0.0040641000, -0.053836000, -0.237849800, -0.828842400");
-                }
-                related_pin : "B";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
-                    values("0.0287368000, 0.0305589000, 0.0363073000, 0.0554486000, 0.1134856000, 0.2970398000, 0.8875170000", \
-                        "0.0287187000, 0.0305341000, 0.0363965000, 0.0554072000, 0.1133914000, 0.2966236000, 0.8869823000", \
-                        "0.0285719000, 0.0304274000, 0.0363002000, 0.0550271000, 0.1136625000, 0.2967996000, 0.8826101000", \
-                        "0.0283737000, 0.0300647000, 0.0358767000, 0.0549079000, 0.1131893000, 0.2967619000, 0.8830748000", \
-                        "0.0283272000, 0.0300946000, 0.0358856000, 0.0547419000, 0.1128290000, 0.2964197000, 0.8781726000", \
-                        "0.0288607000, 0.0305274000, 0.0359438000, 0.0544730000, 0.1126375000, 0.2959417000, 0.8821440000", \
-                        "0.0317283000, 0.0333317000, 0.0386184000, 0.0567437000, 0.1146472000, 0.2973207000, 0.8800286000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
-                    values("0.0275123000, 0.0258557000, 0.0205512000, 0.0048590000, -0.049819500, -0.233648700, -0.825195000", \
-                        "0.0272980000, 0.0256433000, 0.0203339000, 0.0048576000, -0.049970800, -0.233745800, -0.825316600", \
-                        "0.0272095000, 0.0254446000, 0.0202116000, 0.0047170000, -0.050084300, -0.233892400, -0.825445800", \
-                        "0.0271271000, 0.0252294000, 0.0199679000, 0.0042639000, -0.050564000, -0.234187200, -0.825666000", \
-                        "0.0272945000, 0.0255937000, 0.0201600000, 0.0041259000, -0.051013200, -0.234538600, -0.825980400", \
-                        "0.0276065000, 0.0258002000, 0.0201196000, 0.0039484000, -0.050730600, -0.234467600, -0.825598100", \
-                        "0.0346538000, 0.0325391000, 0.0263123000, 0.0076375000, -0.050474600, -0.234347900, -0.825299400");
-                }
-                related_pin : "C";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
-                    values("0.0285316000, 0.0302937000, 0.0360872000, 0.0549935000, 0.1135903000, 0.2965637000, 0.8820369000", \
-                        "0.0284849000, 0.0303065000, 0.0361660000, 0.0551784000, 0.1131561000, 0.2964668000, 0.8866325000", \
-                        "0.0283377000, 0.0301509000, 0.0358885000, 0.0549302000, 0.1134166000, 0.2964336000, 0.8819552000", \
-                        "0.0281039000, 0.0299271000, 0.0356834000, 0.0546334000, 0.1130690000, 0.2962549000, 0.8819636000", \
-                        "0.0280097000, 0.0297767000, 0.0356227000, 0.0544653000, 0.1127741000, 0.2962287000, 0.8824468000", \
-                        "0.0287986000, 0.0304903000, 0.0363632000, 0.0546483000, 0.1125316000, 0.2958767000, 0.8777816000", \
-                        "0.0309454000, 0.0325917000, 0.0380269000, 0.0566377000, 0.1138781000, 0.2975539000, 0.8786315000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
-                    values("0.0295029000, 0.0277243000, 0.0223330000, 0.0065108000, -0.048418300, -0.232191300, -0.823779000", \
-                        "0.0293547000, 0.0277766000, 0.0223429000, 0.0064388000, -0.048441800, -0.232363700, -0.823941600", \
-                        "0.0291749000, 0.0274524000, 0.0220927000, 0.0063285000, -0.048581300, -0.232493700, -0.824035100", \
-                        "0.0289766000, 0.0272429000, 0.0218735000, 0.0060872000, -0.048835300, -0.232760800, -0.824198400", \
-                        "0.0291639000, 0.0273747000, 0.0220145000, 0.0059390000, -0.048941200, -0.232811500, -0.824181900", \
-                        "0.0293125000, 0.0274215000, 0.0217878000, 0.0064300000, -0.048985300, -0.233072900, -0.824342800", \
-                        "0.0376705000, 0.0355602000, 0.0294144000, 0.0105823000, -0.048334400, -0.232522200, -0.823691700");
-                }
-                related_pin : "D";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
-                    values("0.0288300000, 0.0306251000, 0.0365994000, 0.0553208000, 0.1137850000, 0.2968700000, 0.8782827000", \
-                        "0.0287686000, 0.0305736000, 0.0363999000, 0.0553072000, 0.1137779000, 0.2966361000, 0.8817872000", \
-                        "0.0285933000, 0.0304391000, 0.0361491000, 0.0551900000, 0.1136417000, 0.2965250000, 0.8817338000", \
-                        "0.0283295000, 0.0301529000, 0.0359643000, 0.0548340000, 0.1132877000, 0.2963190000, 0.8858348000", \
-                        "0.0282773000, 0.0299772000, 0.0358158000, 0.0545154000, 0.1129950000, 0.2963205000, 0.8824244000", \
-                        "0.0292814000, 0.0309908000, 0.0366533000, 0.0544459000, 0.1128973000, 0.2959466000, 0.8779109000", \
-                        "0.0312342000, 0.0328978000, 0.0383677000, 0.0565755000, 0.1138979000, 0.2971594000, 0.8800971000");
-                }
-            }
-            max_capacitance : 0.5319310000;
-            max_transition : 1.5064790000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
-                    values("0.1154774000, 0.1190116000, 0.1280661000, 0.1482224000, 0.1906042000, 0.2941229000, 0.6026539000", \
-                        "0.1209914000, 0.1244771000, 0.1335243000, 0.1536304000, 0.1962033000, 0.2996587000, 0.6083357000", \
-                        "0.1344616000, 0.1379507000, 0.1470132000, 0.1671641000, 0.2094988000, 0.3130463000, 0.6213901000", \
-                        "0.1651074000, 0.1686253000, 0.1776673000, 0.1976197000, 0.2408588000, 0.3444690000, 0.6528954000", \
-                        "0.2379787000, 0.2415085000, 0.2505905000, 0.2707340000, 0.3128440000, 0.4172132000, 0.7253331000", \
-                        "0.3641999000, 0.3688039000, 0.3805657000, 0.4056281000, 0.4546638000, 0.5612088000, 0.8693620000", \
-                        "0.5606758000, 0.5666413000, 0.5815357000, 0.6151660000, 0.6764486000, 0.7954639000, 1.1058705000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
-                    values("0.1618261000, 0.1679806000, 0.1839951000, 0.2204500000, 0.3046404000, 0.5313414000, 1.2394471000", \
-                        "0.1655860000, 0.1716438000, 0.1874874000, 0.2239701000, 0.3084047000, 0.5355444000, 1.2425126000", \
-                        "0.1742364000, 0.1803098000, 0.1961552000, 0.2326986000, 0.3171080000, 0.5442905000, 1.2515931000", \
-                        "0.1953239000, 0.2014007000, 0.2172416000, 0.2538579000, 0.3383099000, 0.5654400000, 1.2733906000", \
-                        "0.2456526000, 0.2517511000, 0.2673804000, 0.3043313000, 0.3885100000, 0.6159130000, 1.3227206000", \
-                        "0.3247661000, 0.3316766000, 0.3493024000, 0.3879140000, 0.4743006000, 0.7021113000, 1.4099921000", \
-                        "0.4132198000, 0.4217016000, 0.4435591000, 0.4901514000, 0.5817319000, 0.8106626000, 1.5193804000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
-                    values("0.0223430000, 0.0246128000, 0.0302678000, 0.0447774000, 0.0839331000, 0.2067106000, 0.6206612000", \
-                        "0.0224414000, 0.0247306000, 0.0304966000, 0.0449268000, 0.0838303000, 0.2063415000, 0.6234084000", \
-                        "0.0225930000, 0.0248214000, 0.0302851000, 0.0448801000, 0.0839642000, 0.2067321000, 0.6235474000", \
-                        "0.0223715000, 0.0245504000, 0.0306676000, 0.0450458000, 0.0837382000, 0.2064086000, 0.6205630000", \
-                        "0.0242041000, 0.0262011000, 0.0317512000, 0.0458043000, 0.0847194000, 0.2067239000, 0.6210005000", \
-                        "0.0362849000, 0.0388967000, 0.0450792000, 0.0596848000, 0.0956427000, 0.2113232000, 0.6210835000", \
-                        "0.0560531000, 0.0590802000, 0.0682859000, 0.0852594000, 0.1221502000, 0.2295372000, 0.6230528000");
-                }
-                related_pin : "A";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
-                    values("0.0372432000, 0.0419585000, 0.0541341000, 0.0858497000, 0.1783996000, 0.4843728000, 1.5059395000", \
-                        "0.0378340000, 0.0421830000, 0.0540432000, 0.0859591000, 0.1780799000, 0.4852774000, 1.5064787000", \
-                        "0.0375571000, 0.0421381000, 0.0539184000, 0.0860002000, 0.1780113000, 0.4852298000, 1.5018781000", \
-                        "0.0373231000, 0.0416442000, 0.0539614000, 0.0857794000, 0.1780061000, 0.4852910000, 1.5028785000", \
-                        "0.0382461000, 0.0427789000, 0.0551857000, 0.0870226000, 0.1786032000, 0.4845545000, 1.5027720000", \
-                        "0.0470706000, 0.0512691000, 0.0630987000, 0.0935239000, 0.1837430000, 0.4871425000, 1.4978636000", \
-                        "0.0647691000, 0.0700653000, 0.0844120000, 0.1126638000, 0.1963986000, 0.4913058000, 1.4985062000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
-                    values("0.1351915000, 0.1389019000, 0.1485162000, 0.1697996000, 0.2135846000, 0.3186717000, 0.6274285000", \
-                        "0.1410176000, 0.1447536000, 0.1543887000, 0.1753174000, 0.2195334000, 0.3243526000, 0.6325538000", \
-                        "0.1543166000, 0.1580446000, 0.1676197000, 0.1888534000, 0.2329599000, 0.3377335000, 0.6465828000", \
-                        "0.1859124000, 0.1896633000, 0.1992416000, 0.2202925000, 0.2651539000, 0.3691993000, 0.6775268000", \
-                        "0.2616216000, 0.2653380000, 0.2748951000, 0.2959567000, 0.3389735000, 0.4453091000, 0.7542109000", \
-                        "0.4075644000, 0.4122339000, 0.4240982000, 0.4491530000, 0.4981723000, 0.6051046000, 0.9139862000", \
-                        "0.6422922000, 0.6484000000, 0.6643088000, 0.6979367000, 0.7596609000, 0.8782939000, 1.1893055000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
-                    values("0.1721604000, 0.1782389000, 0.1940718000, 0.2307657000, 0.3150447000, 0.5420279000, 1.2507295000", \
-                        "0.1760492000, 0.1821361000, 0.1981470000, 0.2346042000, 0.3187217000, 0.5458507000, 1.2531877000", \
-                        "0.1843256000, 0.1905312000, 0.2064318000, 0.2429241000, 0.3272191000, 0.5541517000, 1.2656965000", \
-                        "0.2037948000, 0.2097282000, 0.2255944000, 0.2622454000, 0.3464831000, 0.5737610000, 1.2839647000", \
-                        "0.2472558000, 0.2533271000, 0.2693571000, 0.3060782000, 0.3904609000, 0.6176755000, 1.3242690000", \
-                        "0.3184426000, 0.3252217000, 0.3427058000, 0.3820121000, 0.4688517000, 0.6974830000, 1.4083676000", \
-                        "0.3982398000, 0.4064995000, 0.4279381000, 0.4739034000, 0.5658620000, 0.7961810000, 1.5036268000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
-                    values("0.0251216000, 0.0271957000, 0.0331307000, 0.0475818000, 0.0870770000, 0.2085749000, 0.6220923000", \
-                        "0.0249486000, 0.0271277000, 0.0330501000, 0.0480071000, 0.0867113000, 0.2089156000, 0.6224971000", \
-                        "0.0249382000, 0.0272322000, 0.0333251000, 0.0475917000, 0.0869425000, 0.2087537000, 0.6214944000", \
-                        "0.0251704000, 0.0274912000, 0.0330891000, 0.0477161000, 0.0867175000, 0.2088642000, 0.6216837000", \
-                        "0.0256924000, 0.0280091000, 0.0338933000, 0.0481644000, 0.0875700000, 0.2085049000, 0.6214717000", \
-                        "0.0366500000, 0.0393035000, 0.0452899000, 0.0600462000, 0.0952301000, 0.2117258000, 0.6221924000", \
-                        "0.0569307000, 0.0601477000, 0.0679122000, 0.0857381000, 0.1221010000, 0.2292605000, 0.6229365000");
-                }
-                related_pin : "B";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
-                    values("0.0375159000, 0.0419584000, 0.0543740000, 0.0856344000, 0.1781312000, 0.4853711000, 1.5060595000", \
-                        "0.0372849000, 0.0419663000, 0.0541703000, 0.0858733000, 0.1781817000, 0.4851320000, 1.5036611000", \
-                        "0.0372287000, 0.0419800000, 0.0541149000, 0.0860041000, 0.1782209000, 0.4852842000, 1.5003345000", \
-                        "0.0372291000, 0.0420371000, 0.0541510000, 0.0859437000, 0.1781561000, 0.4848589000, 1.5018692000", \
-                        "0.0385373000, 0.0426319000, 0.0549865000, 0.0866198000, 0.1786371000, 0.4854170000, 1.5012249000", \
-                        "0.0447787000, 0.0493413000, 0.0616251000, 0.0928859000, 0.1833954000, 0.4866011000, 1.5001472000", \
-                        "0.0609331000, 0.0652768000, 0.0790307000, 0.1097115000, 0.1943653000, 0.4910508000, 1.4984546000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
-                    values("0.1467733000, 0.1506427000, 0.1604906000, 0.1822487000, 0.2269433000, 0.3324958000, 0.6418012000", \
-                        "0.1522968000, 0.1561561000, 0.1660702000, 0.1877981000, 0.2323981000, 0.3380900000, 0.6471736000", \
-                        "0.1659313000, 0.1697819000, 0.1796604000, 0.2014667000, 0.2463371000, 0.3517104000, 0.6610225000", \
-                        "0.1974322000, 0.2021729000, 0.2120709000, 0.2337972000, 0.2788411000, 0.3842824000, 0.6933276000", \
-                        "0.2745976000, 0.2784324000, 0.2882573000, 0.3098543000, 0.3547526000, 0.4606526000, 0.7696250000", \
-                        "0.4297298000, 0.4344347000, 0.4462285000, 0.4702170000, 0.5192097000, 0.6261978000, 0.9355638000", \
-                        "0.6830261000, 0.6891629000, 0.7048563000, 0.7381142000, 0.7995490000, 0.9176295000, 1.2279751000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
-                    values("0.1783762000, 0.1844398000, 0.2002593000, 0.2369980000, 0.3212374000, 0.5479327000, 1.2592144000", \
-                        "0.1824067000, 0.1884947000, 0.2045085000, 0.2409701000, 0.3250851000, 0.5515412000, 1.2591125000", \
-                        "0.1902349000, 0.1963027000, 0.2121906000, 0.2487674000, 0.3331153000, 0.5598687000, 1.2711220000", \
-                        "0.2066470000, 0.2127142000, 0.2284306000, 0.2652193000, 0.3494184000, 0.5759780000, 1.2874829000", \
-                        "0.2421498000, 0.2483131000, 0.2642153000, 0.3010931000, 0.3854069000, 0.6126727000, 1.3226501000", \
-                        "0.3014214000, 0.3081482000, 0.3256763000, 0.3648332000, 0.4516741000, 0.6800546000, 1.3868733000", \
-                        "0.3685673000, 0.3766190000, 0.3975054000, 0.4429028000, 0.5352314000, 0.7660645000, 1.4731832000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
-                    values("0.0265051000, 0.0286030000, 0.0345934000, 0.0490009000, 0.0882838000, 0.2095363000, 0.6204924000", \
-                        "0.0264966000, 0.0285103000, 0.0346652000, 0.0493510000, 0.0884379000, 0.2096043000, 0.6215546000", \
-                        "0.0263002000, 0.0286381000, 0.0347175000, 0.0491521000, 0.0882315000, 0.2097685000, 0.6219056000", \
-                        "0.0263728000, 0.0286422000, 0.0348037000, 0.0492261000, 0.0880355000, 0.2095390000, 0.6215962000", \
-                        "0.0262971000, 0.0286593000, 0.0347612000, 0.0496866000, 0.0882156000, 0.2097182000, 0.6225412000", \
-                        "0.0362948000, 0.0392622000, 0.0449315000, 0.0589184000, 0.0950662000, 0.2119952000, 0.6223052000", \
-                        "0.0567096000, 0.0593829000, 0.0678020000, 0.0847376000, 0.1198340000, 0.2275281000, 0.6230826000");
-                }
-                related_pin : "C";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
-                    values("0.0372637000, 0.0417578000, 0.0543704000, 0.0859265000, 0.1782664000, 0.4852940000, 1.4995483000", \
-                        "0.0372771000, 0.0419621000, 0.0541402000, 0.0858434000, 0.1783607000, 0.4842125000, 1.5060915000", \
-                        "0.0376651000, 0.0422270000, 0.0540110000, 0.0861249000, 0.1780867000, 0.4852967000, 1.5002769000", \
-                        "0.0372280000, 0.0418129000, 0.0543025000, 0.0859234000, 0.1780014000, 0.4851013000, 1.5014644000", \
-                        "0.0384114000, 0.0428638000, 0.0546844000, 0.0868713000, 0.1784206000, 0.4844460000, 1.5015821000", \
-                        "0.0433935000, 0.0479994000, 0.0610742000, 0.0922001000, 0.1827716000, 0.4866322000, 1.4998501000", \
-                        "0.0576642000, 0.0622372000, 0.0755365000, 0.1071419000, 0.1942394000, 0.4906868000, 1.4962470000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
-                    values("0.1573006000, 0.1612686000, 0.1714377000, 0.1935999000, 0.2389674000, 0.3454555000, 0.6547476000", \
-                        "0.1627098000, 0.1666576000, 0.1768172000, 0.1989982000, 0.2444384000, 0.3510948000, 0.6605469000", \
-                        "0.1758312000, 0.1797927000, 0.1899100000, 0.2118921000, 0.2575107000, 0.3641410000, 0.6735976000", \
-                        "0.2090542000, 0.2130220000, 0.2231443000, 0.2451683000, 0.2907863000, 0.3972706000, 0.7070287000", \
-                        "0.2856593000, 0.2895906000, 0.2996870000, 0.3218956000, 0.3668066000, 0.4734129000, 0.7832026000", \
-                        "0.4467613000, 0.4514132000, 0.4631630000, 0.4878943000, 0.5355014000, 0.6438808000, 0.9530251000", \
-                        "0.7133423000, 0.7194712000, 0.7350763000, 0.7681912000, 0.8291262000, 0.9467468000, 1.2571830000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
-                    values("0.1842908000, 0.1903569000, 0.2062439000, 0.2427593000, 0.3271351000, 0.5537282000, 1.2602774000", \
-                        "0.1883339000, 0.1944191000, 0.2102990000, 0.2468808000, 0.3312116000, 0.5577258000, 1.2687689000", \
-                        "0.1960028000, 0.2021220000, 0.2178991000, 0.2545672000, 0.3389055000, 0.5654638000, 1.2765531000", \
-                        "0.2104510000, 0.2165937000, 0.2323287000, 0.2689965000, 0.3532473000, 0.5796046000, 1.2889553000", \
-                        "0.2390992000, 0.2451672000, 0.2611567000, 0.2979038000, 0.3822558000, 0.6092126000, 1.3184660000", \
-                        "0.2856425000, 0.2923259000, 0.3095852000, 0.3483366000, 0.4351952000, 0.6631895000, 1.3702808000", \
-                        "0.3390478000, 0.3467710000, 0.3666790000, 0.4106173000, 0.5028266000, 0.7336816000, 1.4408439000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
-                    values("0.0278960000, 0.0299277000, 0.0359874000, 0.0510382000, 0.0899998000, 0.2109438000, 0.6244767000", \
-                        "0.0278874000, 0.0303126000, 0.0361616000, 0.0512903000, 0.0902048000, 0.2109901000, 0.6222870000", \
-                        "0.0276530000, 0.0299756000, 0.0360118000, 0.0509640000, 0.0900843000, 0.2107631000, 0.6225013000", \
-                        "0.0276258000, 0.0299550000, 0.0359931000, 0.0514513000, 0.0899380000, 0.2105676000, 0.6231552000", \
-                        "0.0276784000, 0.0301239000, 0.0365527000, 0.0511559000, 0.0899401000, 0.2113352000, 0.6226322000", \
-                        "0.0367716000, 0.0392981000, 0.0450006000, 0.0596711000, 0.0945710000, 0.2123526000, 0.6235052000", \
-                        "0.0565349000, 0.0598234000, 0.0673152000, 0.0840537000, 0.1197179000, 0.2275397000, 0.6246642000");
-                }
-                related_pin : "D";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
-                    values("0.0373436000, 0.0418522000, 0.0542189000, 0.0860799000, 0.1780215000, 0.4852720000, 1.4993400000", \
-                        "0.0378065000, 0.0422919000, 0.0544099000, 0.0861325000, 0.1781413000, 0.4853052000, 1.4993682000", \
-                        "0.0377594000, 0.0416635000, 0.0539754000, 0.0861313000, 0.1780879000, 0.4853051000, 1.4999236000", \
-                        "0.0374317000, 0.0417922000, 0.0543889000, 0.0860905000, 0.1781392000, 0.4850739000, 1.5030414000", \
-                        "0.0381582000, 0.0426319000, 0.0547076000, 0.0866277000, 0.1785996000, 0.4850013000, 1.5023307000", \
-                        "0.0421045000, 0.0469784000, 0.0600019000, 0.0919297000, 0.1827630000, 0.4865288000, 1.4990891000", \
-                        "0.0530331000, 0.0583227000, 0.0721163000, 0.1046794000, 0.1927545000, 0.4898557000, 1.4964625000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-        }
-    }
-
-/* removed sky130_fd_sc_hd__and4b_1 */
-
-/* removed sky130_fd_sc_hd__and4b_2 */
-
-/* removed sky130_fd_sc_hd__and4b_4 */
-
-/* removed sky130_fd_sc_hd__and4bb_1 */
-
-/* removed sky130_fd_sc_hd__and4bb_2 */
-
-/* removed sky130_fd_sc_hd__and4bb_4 */
-
-/* removed sky130_fd_sc_hd__buf_1 */
-
-/* removed sky130_fd_sc_hd__buf_12 */
-
-/* removed sky130_fd_sc_hd__buf_16 */
-
-    cell ("sky130_fd_sc_hd__buf_2") {
-        leakage_power () {
-            value : 0.0022662000;
-            when : "A";
-        }
-        leakage_power () {
-            value : 0.0056021000;
-            when : "!A";
-        }
-        area : 5.0048000000;
-        cell_footprint : "sky130_fd_sc_hd__buf";
-        cell_leakage_power : 0.0039341160;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("A") {
-            capacitance : 0.0017270000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0016470000;
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0018070000;
-        }
-        pin ("X") {
-            direction : "output";
-            function : "(A)";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014646220, 0.0042902380, 0.0125671600, 0.0368122800, 0.1078322000, 0.3158668000");
-                    values("0.0140552000, 0.0126442000, 0.0087911000, -0.003411300, -0.042009300, -0.156917700, -0.493912600", \
-                        "0.0139263000, 0.0125071000, 0.0086699000, -0.003513500, -0.042160300, -0.157048900, -0.493994300", \
-                        "0.0137287000, 0.0123189000, 0.0084174000, -0.003752100, -0.042330400, -0.157214000, -0.494144400", \
-                        "0.0135482000, 0.0121169000, 0.0081683000, -0.004023800, -0.042588200, -0.157377600, -0.494321700", \
-                        "0.0135475000, 0.0119986000, 0.0079343000, -0.004322900, -0.042768800, -0.157394400, -0.494357600", \
-                        "0.0152041000, 0.0135653000, 0.0089886000, -0.004284200, -0.042783600, -0.157390300, -0.494036900", \
-                        "0.0175151000, 0.0157242000, 0.0108343000, -0.002649900, -0.041709900, -0.156306300, -0.492936900");
-                }
-                related_pin : "A";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014646220, 0.0042902380, 0.0125671600, 0.0368122800, 0.1078322000, 0.3158668000");
-                    values("0.0116392000, 0.0133460000, 0.0181670000, 0.0317444000, 0.0708086000, 0.1847454000, 0.5207413000", \
-                        "0.0115450000, 0.0132567000, 0.0180731000, 0.0317090000, 0.0707365000, 0.1848036000, 0.5181148000", \
-                        "0.0113765000, 0.0130574000, 0.0178759000, 0.0315052000, 0.0706164000, 0.1845360000, 0.5185803000", \
-                        "0.0112172000, 0.0128696000, 0.0176085000, 0.0310245000, 0.0702931000, 0.1844760000, 0.5164646000", \
-                        "0.0111513000, 0.0127277000, 0.0175003000, 0.0308402000, 0.0697736000, 0.1841383000, 0.5173316000", \
-                        "0.0119311000, 0.0135030000, 0.0180230000, 0.0312097000, 0.0699882000, 0.1832520000, 0.5201987000", \
-                        "0.0132045000, 0.0146753000, 0.0190707000, 0.0324496000, 0.0710814000, 0.1853545000, 0.5186740000");
-                }
-            }
-            max_capacitance : 0.3158670000;
-            max_transition : 1.5103890000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014646200, 0.0042902400, 0.0125672000, 0.0368123000, 0.1078320000, 0.3158670000");
-                    values("0.0893825000, 0.0940128000, 0.1043020000, 0.1253087000, 0.1706670000, 0.2867790000, 0.6215225000", \
-                        "0.0947109000, 0.0992768000, 0.1095723000, 0.1305028000, 0.1759432000, 0.2920352000, 0.6262424000", \
-                        "0.1073098000, 0.1122147000, 0.1224900000, 0.1435736000, 0.1888902000, 0.3050100000, 0.6394017000", \
-                        "0.1390839000, 0.1436487000, 0.1538906000, 0.1749740000, 0.2204538000, 0.3366603000, 0.6718233000", \
-                        "0.2069192000, 0.2119847000, 0.2231280000, 0.2451737000, 0.2912927000, 0.4071439000, 0.7431974000", \
-                        "0.3151437000, 0.3217471000, 0.3360783000, 0.3632810000, 0.4144178000, 0.5330332000, 0.8664172000", \
-                        "0.4806499000, 0.4891128000, 0.5079365000, 0.5444191000, 0.6065489000, 0.7308373000, 1.0635163000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014646200, 0.0042902400, 0.0125672000, 0.0368123000, 0.1078320000, 0.3158670000");
-                    values("0.0668910000, 0.0718924000, 0.0840012000, 0.1134072000, 0.1935354000, 0.4261705000, 1.1063915000", \
-                        "0.0716767000, 0.0766803000, 0.0887568000, 0.1182065000, 0.1984368000, 0.4311861000, 1.1152972000", \
-                        "0.0829645000, 0.0879570000, 0.0999870000, 0.1294499000, 0.2100037000, 0.4433682000, 1.1281723000", \
-                        "0.1086829000, 0.1137214000, 0.1257475000, 0.1551004000, 0.2355409000, 0.4675719000, 1.1647491000", \
-                        "0.1485626000, 0.1544699000, 0.1680141000, 0.1985122000, 0.2787439000, 0.5129941000, 1.1919699000", \
-                        "0.1975048000, 0.2056084000, 0.2226795000, 0.2560802000, 0.3372415000, 0.5701722000, 1.2502678000", \
-                        "0.2460262000, 0.2566468000, 0.2796226000, 0.3221350000, 0.4059333000, 0.6372368000, 1.3161603000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014646200, 0.0042902400, 0.0125672000, 0.0368123000, 0.1078320000, 0.3158670000");
-                    values("0.0178310000, 0.0207207000, 0.0278897000, 0.0455429000, 0.0939806000, 0.2427863000, 0.6972716000", \
-                        "0.0175943000, 0.0207175000, 0.0279071000, 0.0458368000, 0.0940654000, 0.2429276000, 0.6955793000", \
-                        "0.0178137000, 0.0205648000, 0.0279116000, 0.0457148000, 0.0938515000, 0.2421597000, 0.6948925000", \
-                        "0.0177391000, 0.0207459000, 0.0279198000, 0.0456478000, 0.0938481000, 0.2423421000, 0.6983182000", \
-                        "0.0218519000, 0.0246050000, 0.0314674000, 0.0480329000, 0.0950875000, 0.2430947000, 0.6911738000", \
-                        "0.0325958000, 0.0363413000, 0.0443101000, 0.0605935000, 0.1048121000, 0.2452953000, 0.6901063000", \
-                        "0.0498742000, 0.0548127000, 0.0644672000, 0.0838614000, 0.1254033000, 0.2553391000, 0.6907858000");
-                }
-                related_pin : "A";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014646200, 0.0042902400, 0.0125672000, 0.0368123000, 0.1078320000, 0.3158670000");
-                    values("0.0173427000, 0.0217460000, 0.0342817000, 0.0713277000, 0.1844091000, 0.5209731000, 1.5096145000", \
-                        "0.0172691000, 0.0217777000, 0.0342816000, 0.0713081000, 0.1846570000, 0.5218083000, 1.5055296000", \
-                        "0.0173301000, 0.0217210000, 0.0341901000, 0.0713260000, 0.1844059000, 0.5209821000, 1.5073524000", \
-                        "0.0181680000, 0.0224998000, 0.0347377000, 0.0715538000, 0.1849883000, 0.5212006000, 1.5081902000", \
-                        "0.0238874000, 0.0279114000, 0.0392879000, 0.0740700000, 0.1850683000, 0.5226318000, 1.5055001000", \
-                        "0.0337211000, 0.0383696000, 0.0494030000, 0.0810920000, 0.1869322000, 0.5191824000, 1.5103887000", \
-                        "0.0482771000, 0.0551313000, 0.0683274000, 0.0976699000, 0.1936813000, 0.5238303000, 1.4991499000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-        }
-    }
-
-    cell ("sky130_fd_sc_hd__buf_4") {
-        leakage_power () {
-            value : 0.0041507000;
-            when : "A";
-        }
-        leakage_power () {
-            value : 0.0054587000;
-            when : "!A";
-        }
-        area : 7.5072000000;
-        cell_footprint : "sky130_fd_sc_hd__buf";
-        cell_leakage_power : 0.0048047400;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("A") {
-            capacitance : 0.0024000000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0022760000;
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0025240000;
-        }
-        pin ("X") {
-            direction : "output";
-            function : "(A)";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016118760, 0.0051962870, 0.0167515400, 0.0540028000, 0.1740916000, 0.5612281000");
-                    values("0.0250387000, 0.0233860000, 0.0180599000, 0.0018219000, -0.056422600, -0.250180600, -0.877232400", \
-                        "0.0248583000, 0.0231565000, 0.0179592000, 0.0015346000, -0.056581000, -0.250323600, -0.877487500", \
-                        "0.0245577000, 0.0228640000, 0.0176583000, 0.0013406000, -0.056846800, -0.250677000, -0.877688000", \
-                        "0.0244145000, 0.0227070000, 0.0173772000, 0.0008926000, -0.057188000, -0.250845800, -0.877855800", \
-                        "0.0246491000, 0.0229037000, 0.0176337000, 0.0007448000, -0.057488900, -0.251136400, -0.877964600", \
-                        "0.0275949000, 0.0256361000, 0.0191608000, 0.0006189000, -0.057703700, -0.250607900, -0.877240100", \
-                        "0.0316715000, 0.0295242000, 0.0237961000, 0.0044928000, -0.055795900, -0.249579000, -0.876104200");
-                }
-                related_pin : "A";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016118760, 0.0051962870, 0.0167515400, 0.0540028000, 0.1740916000, 0.5612281000");
-                    values("0.0222015000, 0.0241544000, 0.0303931000, 0.0496628000, 0.1100583000, 0.3028661000, 0.9189129000", \
-                        "0.0220592000, 0.0239981000, 0.0302094000, 0.0494518000, 0.1100018000, 0.3028923000, 0.9244028000", \
-                        "0.0218224000, 0.0237731000, 0.0299566000, 0.0493260000, 0.1096749000, 0.3025119000, 0.9233273000", \
-                        "0.0217116000, 0.0234869000, 0.0297142000, 0.0487619000, 0.1092315000, 0.3008271000, 0.9243422000", \
-                        "0.0215443000, 0.0233946000, 0.0294358000, 0.0484112000, 0.1084514000, 0.3008764000, 0.9181804000", \
-                        "0.0230364000, 0.0248108000, 0.0305407000, 0.0489520000, 0.1083488000, 0.3004039000, 0.9226661000", \
-                        "0.0249862000, 0.0266607000, 0.0324804000, 0.0509590000, 0.1104343000, 0.3028834000, 0.9232268000");
-                }
-            }
-            max_capacitance : 0.5612280000;
-            max_transition : 1.5123900000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016118800, 0.0051962900, 0.0167515000, 0.0540028000, 0.1740920000, 0.5612280000");
-                    values("0.1033063000, 0.1066731000, 0.1151227000, 0.1343826000, 0.1753918000, 0.2799389000, 0.6006557000", \
-                        "0.1084570000, 0.1117917000, 0.1203476000, 0.1395818000, 0.1805146000, 0.2850405000, 0.6055256000", \
-                        "0.1211782000, 0.1244793000, 0.1334734000, 0.1526797000, 0.1937010000, 0.2982015000, 0.6186466000", \
-                        "0.1526276000, 0.1559945000, 0.1646440000, 0.1836851000, 0.2248794000, 0.3292693000, 0.6504507000", \
-                        "0.2244431000, 0.2279846000, 0.2368191000, 0.2561077000, 0.2976850000, 0.4024615000, 0.7229223000", \
-                        "0.3438407000, 0.3483518000, 0.3599956000, 0.3847228000, 0.4322217000, 0.5388950000, 0.8605761000", \
-                        "0.5304358000, 0.5363137000, 0.5516937000, 0.5844639000, 0.6445210000, 0.7617060000, 1.0810598000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016118800, 0.0051962900, 0.0167515000, 0.0540028000, 0.1740920000, 0.5612280000");
-                    values("0.0716590000, 0.0752438000, 0.0849344000, 0.1099593000, 0.1808649000, 0.4047891000, 1.1215164000", \
-                        "0.0763630000, 0.0799324000, 0.0896053000, 0.1146095000, 0.1853259000, 0.4086105000, 1.1279410000", \
-                        "0.0875039000, 0.0910776000, 0.1007494000, 0.1258084000, 0.1968163000, 0.4196898000, 1.1396052000", \
-                        "0.1130382000, 0.1164395000, 0.1261740000, 0.1512323000, 0.2221358000, 0.4449059000, 1.1665179000", \
-                        "0.1533121000, 0.1576147000, 0.1683870000, 0.1946475000, 0.2658182000, 0.4885611000, 1.2060772000", \
-                        "0.2017864000, 0.2074067000, 0.2210664000, 0.2507004000, 0.3234487000, 0.5466355000, 1.2618463000", \
-                        "0.2433957000, 0.2508014000, 0.2694802000, 0.3080674000, 0.3864523000, 0.6084016000, 1.3232415000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016118800, 0.0051962900, 0.0167515000, 0.0540028000, 0.1740920000, 0.5612280000");
-                    values("0.0199814000, 0.0220302000, 0.0275210000, 0.0415439000, 0.0806252000, 0.2066597000, 0.6386869000", \
-                        "0.0199761000, 0.0221205000, 0.0274545000, 0.0413562000, 0.0807757000, 0.2065725000, 0.6364259000", \
-                        "0.0201105000, 0.0220996000, 0.0275774000, 0.0418800000, 0.0804895000, 0.2068652000, 0.6375794000", \
-                        "0.0200461000, 0.0220183000, 0.0276151000, 0.0417760000, 0.0806683000, 0.2071212000, 0.6333999000", \
-                        "0.0228421000, 0.0247955000, 0.0302302000, 0.0433868000, 0.0818280000, 0.2071985000, 0.6406692000", \
-                        "0.0343573000, 0.0370809000, 0.0431339000, 0.0569227000, 0.0926200000, 0.2119176000, 0.6396464000", \
-                        "0.0530288000, 0.0561621000, 0.0646432000, 0.0811796000, 0.1164011000, 0.2261130000, 0.6370017000");
-                }
-                related_pin : "A";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016118800, 0.0051962900, 0.0167515000, 0.0540028000, 0.1740920000, 0.5612280000");
-                    values("0.0180426000, 0.0210630000, 0.0302983000, 0.0591957000, 0.1559694000, 0.4765683000, 1.5057069000", \
-                        "0.0181188000, 0.0211893000, 0.0303639000, 0.0592243000, 0.1561384000, 0.4762130000, 1.5111720000", \
-                        "0.0181283000, 0.0211047000, 0.0303460000, 0.0592145000, 0.1562200000, 0.4762723000, 1.5057129000", \
-                        "0.0187293000, 0.0218962000, 0.0308559000, 0.0594536000, 0.1560576000, 0.4761896000, 1.5123900000", \
-                        "0.0245098000, 0.0271357000, 0.0356889000, 0.0626464000, 0.1566824000, 0.4751168000, 1.5006379000", \
-                        "0.0343299000, 0.0375858000, 0.0459286000, 0.0712919000, 0.1600246000, 0.4747910000, 1.5061080000", \
-                        "0.0491897000, 0.0536030000, 0.0650059000, 0.0893642000, 0.1692217000, 0.4772340000, 1.4982489000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-        }
-    }
-
-/* removed sky130_fd_sc_hd__buf_6 */
-
-    cell ("sky130_fd_sc_hd__buf_8") {
-        leakage_power () {
-            value : 0.0076474000;
-            when : "A";
-        }
-        leakage_power () {
-            value : 0.0071930000;
-            when : "!A";
-        }
-        area : 15.014400000;
-        cell_footprint : "sky130_fd_sc_hd__buf";
-        cell_leakage_power : 0.0074201990;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("A") {
-            capacitance : 0.0070070000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0066760000;
-            max_transition : 5.0000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0073370000;
-        }
-        pin ("X") {
-            direction : "output";
-            function : "(A)";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
-                    index_2("0.0005000000, 0.0023207940, 0.0107721700, 0.0500000000, 0.2320794000, 1.0772170000, 5.0000000000");
-                    values("0.0594648000, 0.0569737000, 0.0451290000, -0.012488100, -0.304828900, -1.673602300, -8.028393200", \
-                        "0.0589364000, 0.0562515000, 0.0444163000, -0.013240900, -0.305520300, -1.674224500, -8.028995100", \
-                        "0.0581356000, 0.0555284000, 0.0434447000, -0.014452600, -0.306389600, -1.675074000, -8.029740200", \
-                        "0.0584278000, 0.0556579000, 0.0430897000, -0.015692200, -0.307194800, -1.675310300, -8.030067200", \
-                        "0.0627816000, 0.0596428000, 0.0459259000, -0.016393900, -0.306569300, -1.674148000, -8.028590900", \
-                        "0.0743088000, 0.0706798000, 0.0558629000, -0.008329800, -0.301726400, -1.667720100, -8.022229700", \
-                        "0.1021201000, 0.0982151000, 0.0810371000, 0.0136848000, -0.278867000, -1.645589300, -7.999159600");
-                }
-                related_pin : "A";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
-                    index_2("0.0005000000, 0.0023207940, 0.0107721700, 0.0500000000, 0.2320794000, 1.0772170000, 5.0000000000");
-                    values("0.0427107000, 0.0460135000, 0.0610513000, 0.1266503000, 0.4200511000, 1.7760417000, 8.0648437000", \
-                        "0.0421951000, 0.0455223000, 0.0605754000, 0.1261224000, 0.4191578000, 1.7668657000, 8.0626328000", \
-                        "0.0414089000, 0.0446402000, 0.0591473000, 0.1245859000, 0.4166932000, 1.7659490000, 8.0627551000", \
-                        "0.0408571000, 0.0440200000, 0.0584826000, 0.1222705000, 0.4152294000, 1.7661451000, 8.0523737000", \
-                        "0.0430943000, 0.0460533000, 0.0599271000, 0.1230448000, 0.4155668000, 1.7649929000, 8.0577062000", \
-                        "0.0501280000, 0.0528782000, 0.0663153000, 0.1296669000, 0.4206021000, 1.7696591000, 8.0617707000", \
-                        "0.0791212000, 0.0814390000, 0.0932837000, 0.1536334000, 0.4446522000, 1.7951581000, 8.0824963000");
-                }
-            }
-            max_capacitance : 5.0000000000;
-            max_transition : 7.6522390000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
-                    index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000");
-                    values("0.0898758000, 0.0926007000, 0.1023709000, 0.1310611000, 0.2217777000, 0.6103413000, 2.4076046000", \
-                        "0.0972142000, 0.0999246000, 0.1096444000, 0.1382519000, 0.2290637000, 0.6170997000, 2.4183229000", \
-                        "0.1199870000, 0.1226775000, 0.1323263000, 0.1607603000, 0.2517571000, 0.6393114000, 2.4340354000", \
-                        "0.1861122000, 0.1888561000, 0.1986590000, 0.2274883000, 0.3185937000, 0.7064965000, 2.5103484000", \
-                        "0.3178306000, 0.3216539000, 0.3352763000, 0.3713545000, 0.4680375000, 0.8571459000, 2.6518570000", \
-                        "0.5573670000, 0.5625915000, 0.5813042000, 0.6318730000, 0.7458290000, 1.1346160000, 2.9290783000", \
-                        "1.0403164000, 1.0467943000, 1.0714550000, 1.1408591000, 1.2921527000, 1.6904512000, 3.4862067000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
-                    index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000");
-                    values("0.0612234000, 0.0643212000, 0.0764559000, 0.1219139000, 0.3173798000, 1.2234274000, 5.3912519000", \
-                        "0.0676434000, 0.0707376000, 0.0829084000, 0.1283858000, 0.3240240000, 1.2232362000, 5.4256497000", \
-                        "0.0859690000, 0.0890238000, 0.1009935000, 0.1463633000, 0.3417019000, 1.2410657000, 5.4493232000", \
-                        "0.1199811000, 0.1233260000, 0.1360820000, 0.1824243000, 0.3783367000, 1.2818745000, 5.4515108000", \
-                        "0.1592457000, 0.1637711000, 0.1797083000, 0.2278973000, 0.4239317000, 1.3241658000, 5.4973266000", \
-                        "0.1652575000, 0.1717478000, 0.1941631000, 0.2547364000, 0.4509668000, 1.3530214000, 5.5215943000", \
-                        "0.0161652000, 0.0246055000, 0.0567909000, 0.1439944000, 0.3571018000, 1.2588504000, 5.4248739000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
-                    index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000");
-                    values("0.0176236000, 0.0191398000, 0.0256832000, 0.0505653000, 0.1598651000, 0.6960770000, 3.1832885000", \
-                        "0.0174651000, 0.0192454000, 0.0258406000, 0.0505902000, 0.1599342000, 0.6935716000, 3.1895941000", \
-                        "0.0176052000, 0.0191666000, 0.0257988000, 0.0505520000, 0.1597837000, 0.6934592000, 3.1853375000", \
-                        "0.0194796000, 0.0210842000, 0.0273309000, 0.0515301000, 0.1601077000, 0.6928711000, 3.1936236000", \
-                        "0.0322104000, 0.0339113000, 0.0413675000, 0.0636939000, 0.1657876000, 0.6944166000, 3.1828833000", \
-                        "0.0541379000, 0.0565577000, 0.0668154000, 0.0937494000, 0.1853528000, 0.6973335000, 3.1897851000", \
-                        "0.0914298000, 0.0943024000, 0.1068330000, 0.1424247000, 0.2337197000, 0.7069229000, 3.2030785000");
-                }
-                related_pin : "A";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
-                    index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000");
-                    values("0.0172973000, 0.0200772000, 0.0325186000, 0.0909194000, 0.3695902000, 1.6673350000, 7.6423667000", \
-                        "0.0172754000, 0.0200440000, 0.0325830000, 0.0909815000, 0.3701521000, 1.6571479000, 7.6522388000", \
-                        "0.0174418000, 0.0201777000, 0.0327355000, 0.0910592000, 0.3697503000, 1.6607066000, 7.6499953000", \
-                        "0.0212271000, 0.0239302000, 0.0357275000, 0.0922210000, 0.3689166000, 1.6622439000, 7.6486346000", \
-                        "0.0315809000, 0.0342105000, 0.0448285000, 0.0964010000, 0.3705766000, 1.6651750000, 7.6519324000", \
-                        "0.0500362000, 0.0540572000, 0.0683488000, 0.1137048000, 0.3724115000, 1.6607993000, 7.6407058000", \
-                        "0.0834987000, 0.0889313000, 0.1085243000, 0.1634299000, 0.3866372000, 1.6719754000, 7.6396258000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-        }
-    }
-
-/* removed sky130_fd_sc_hd__bufbuf_16 */
-
-/* removed sky130_fd_sc_hd__bufbuf_8 */
-
-/* removed sky130_fd_sc_hd__bufinv_16 */
-
-/* removed sky130_fd_sc_hd__bufinv_8 */
-
-/* removed sky130_fd_sc_hd__clkbuf_1 */
-
-/* removed sky130_fd_sc_hd__clkbuf_16 */
-
-/* removed sky130_fd_sc_hd__clkbuf_2 */
-
-/* removed sky130_fd_sc_hd__clkbuf_4 */
-
-/* removed sky130_fd_sc_hd__clkbuf_8 */
-
-/* removed sky130_fd_sc_hd__clkdlybuf4s15_1 */
-
-/* removed sky130_fd_sc_hd__clkdlybuf4s15_2 */
-
-/* removed sky130_fd_sc_hd__clkdlybuf4s18_1 */
-
-/* removed sky130_fd_sc_hd__clkdlybuf4s18_2 */
-
-/* removed sky130_fd_sc_hd__clkdlybuf4s25_1 */
-
-/* removed sky130_fd_sc_hd__clkdlybuf4s25_2 */
-
-/* removed sky130_fd_sc_hd__clkdlybuf4s50_1 */
-
-/* removed sky130_fd_sc_hd__clkdlybuf4s50_2 */
-
-/* removed sky130_fd_sc_hd__clkinv_1 */
-
-/* removed sky130_fd_sc_hd__clkinv_16 */
-
-/* removed sky130_fd_sc_hd__clkinv_2 */
-
-/* removed sky130_fd_sc_hd__clkinv_4 */
-
-/* removed sky130_fd_sc_hd__clkinv_8 */
-
-/* removed sky130_fd_sc_hd__clkinvlp_2 */
-
-/* removed sky130_fd_sc_hd__clkinvlp_4 */
-
-    cell ("sky130_fd_sc_hd__conb_1") {
-        area : 3.7536000000;
-        cell_footprint : "sky130_fd_sc_hd__conb";
-        cell_leakage_power : 0.0032400370;
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("HI") {
-            direction : "output";
-            function : "1";
-            max_capacitance : 1.9038000000;
-            max_transition : 1.0000000000;
-            power_down_function : "!VPWR";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-        }
-        pin ("LO") {
-            direction : "output";
-            function : "0";
-            max_capacitance : 2.0468000000;
-            max_transition : 1.0000000000;
-            power_down_function : "VGND";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-        }
-    }
-
-/* removed sky130_fd_sc_hd__decap_12 */
-
-/* removed sky130_fd_sc_hd__decap_3 */
-
-/* removed sky130_fd_sc_hd__decap_4 */
-
-/* removed sky130_fd_sc_hd__decap_6 */
-
-/* removed sky130_fd_sc_hd__decap_8 */
-
-/* removed sky130_fd_sc_hd__dfbbn_1 */
-
-    cell ("sky130_fd_sc_hd__dfbbn_2") {
-        leakage_power () {
-            value : 0.0117983000;
-            when : "!SET_B&RESET_B&CLK_N&D&Q&!Q_N";
-        }
-        leakage_power () {
-            value : 0.0137929000;
-            when : "SET_B&RESET_B&CLK_N&!D&Q&!Q_N";
-        }
-        leakage_power () {
-            value : 0.0116202000;
-            when : "!SET_B&!RESET_B&CLK_N&!D&Q&!Q_N";
-        }
-        leakage_power () {
-            value : 0.0143473000;
-            when : "SET_B&RESET_B&!CLK_N&D&Q&!Q_N";
-        }
-        leakage_power () {
-            value : 0.0116884000;
-            when : "!SET_B&!RESET_B&!CLK_N&D&Q&!Q_N";
-        }
-        leakage_power () {
-            value : 0.0114876000;
-            when : "!SET_B&!RESET_B&CLK_N&D&Q&!Q_N";
-        }
-        leakage_power () {
-            value : 0.0116142000;
-            when : "SET_B&!RESET_B&CLK_N&!D&!Q&Q_N";
-        }
-        leakage_power () {
-            value : 0.0137305000;
-            when : "SET_B&RESET_B&!CLK_N&!D&!Q&Q_N";
-        }
-        leakage_power () {
-            value : 0.0117410000;
-            when : "SET_B&!RESET_B&CLK_N&D&!Q&Q_N";
-        }
-        leakage_power () {
-            value : 0.0143260000;
-            when : "SET_B&RESET_B&CLK_N&D&!Q&Q_N";
-        }
-        leakage_power () {
-            value : 0.0147321000;
-            when : "SET_B&RESET_B&!CLK_N&D&!Q&Q_N";
-        }
-        leakage_power () {
-            value : 0.0134107000;
-            when : "SET_B&RESET_B&CLK_N&!D&!Q&Q_N";
-        }
-        leakage_power () {
-            value : 0.0124126000;
-            when : "!SET_B&RESET_B&!CLK_N&!D&Q&!Q_N";
-        }
-        leakage_power () {
-            value : 0.0119341000;
-            when : "SET_B&!RESET_B&!CLK_N&!D&!Q&Q_N";
-        }
-        leakage_power () {
-            value : 0.0147607000;
-            when : "SET_B&RESET_B&!CLK_N&!D&Q&!Q_N";
-        }
-        leakage_power () {
-            value : 0.0141465000;
-            when : "SET_B&RESET_B&CLK_N&D&Q&!Q_N";
-        }
-        leakage_power () {
-            value : 0.0119992000;
-            when : "!SET_B&RESET_B&!CLK_N&D&Q&!Q_N";
-        }
-        leakage_power () {
-            value : 0.0129356000;
-            when : "SET_B&!RESET_B&!CLK_N&D&!Q&Q_N";
-        }
-        leakage_power () {
-            value : 0.0123327000;
-            when : "!SET_B&RESET_B&CLK_N&!D&Q&!Q_N";
-        }
-        leakage_power () {
-            value : 0.0121019000;
-            when : "!SET_B&!RESET_B&!CLK_N&!D&Q&!Q_N";
-        }
-        area : 35.033600000;
-        cell_footprint : "sky130_fd_sc_hd__dfbbn";
-        cell_leakage_power : 0.0128456200;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        ff ("IQ","IQ_N") {
-            clear : "!RESET_B";
-            clear_preset_var1 : "H";
-            clear_preset_var2 : "L";
-            clocked_on : "!CLK_N";
-            next_state : "D";
-            preset : "!SET_B";
-        }
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("CLK_N") {
-            capacitance : 0.0017970000;
-            clock : "true";
-            direction : "input";
-            fall_capacitance : 0.0017280000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0338824000, 0.0337452000, 0.0334290000, 0.0334754000, 0.0335827000, 0.0338300000, 0.0343999000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0161190000, 0.0160333000, 0.0158358000, 0.0158482000, 0.0158771000, 0.0159436000, 0.0160970000");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0018660000;
-            timing () {
-                fall_constraint ("constraint_3_0_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("0.2884238000, 0.8333333000, 2.5000000000");
-                }
-                related_output_pin : "Q";
-                related_pin : "CLK_N";
-                rise_constraint ("constraint_3_0_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("0.1994444000, 0.8333333000, 2.5000000000");
-                }
-                sim_opt : "runlvl=5 accurate=1";
-                timing_type : "min_pulse_width";
-                violation_delay_degrade_pct : 10.000000000;
-            }
-        }
-        pin ("D") {
-            capacitance : 0.0015930000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0015380000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0055914000, 0.0055096000, 0.0053212000, 0.0053975000, 0.0055737000, 0.0059798000, 0.0069158000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("1.6588513e-05, -5.5872937e-05, -0.000222800, -0.000179400, -7.9130524e-05, 0.0001520000, 0.0006848000");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0016480000;
-            timing () {
-                fall_constraint ("vio_3_3_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("0.1460430000, 0.3590475000, 0.6512025000", \
-                        "0.0148255000, 0.2253887000, 0.5077780000", \
-                        "-0.138169300, 0.0723939000, 0.3499004000");
-                }
-                related_pin : "CLK_N";
-                rise_constraint ("vio_3_3_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("0.0837871000, 0.1991354000, 0.2849915000", \
-                        "-0.129217500, -0.012648400, 0.0732077000", \
-                        "-0.367661500, -0.252313200, -0.164015600");
-                }
-                sim_opt : "runlvl=5 accurate=1";
-                timing_type : "setup_falling";
-                violation_delay_degrade_pct : 10.000000000;
-            }
-            timing () {
-                fall_constraint ("vio_3_3_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("-0.126173800, -0.339178400, -0.625229800", \
-                        "0.0062643000, -0.203078100, -0.481805300", \
-                        "0.1629212000, -0.046421200, -0.322707000");
-                }
-                related_pin : "CLK_N";
-                rise_constraint ("vio_3_3_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("0.0471660000, -0.066961600, -0.149155600", \
-                        "0.2394186000, 0.1301738000, 0.0504212000", \
-                        "0.4607728000, 0.3600729000, 0.2864238000");
-                }
-                sim_opt : "runlvl=5 accurate=1";
-                timing_type : "hold_falling";
-                violation_delay_degrade_pct : 10.000000000;
-            }
-        }
-        pin ("Q") {
-            direction : "output";
-            function : "IQ";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014624920, 0.0042777660, 0.0125124000, 0.0365985700, 0.1070502000, 0.3131202000");
-                    values("-0.013582700, -0.007945000, 0.0038542000, 0.0173618000, 0.0056755000, -0.091616700, -0.418048700", \
-                        "-0.013520600, -0.007899200, 0.0038800000, 0.0173497000, 0.0056181000, -0.091695900, -0.418134300", \
-                        "-0.013364900, -0.007747300, 0.0040084000, 0.0174511000, 0.0056757000, -0.091682400, -0.418128000", \
-                        "-0.013396400, -0.007796100, 0.0039343000, 0.0173054000, 0.0055037000, -0.091949800, -0.418361800", \
-                        "-0.013459700, -0.007869500, 0.0038093000, 0.0171715000, 0.0052897000, -0.092112400, -0.418594600", \
-                        "-0.013580100, -0.007986700, 0.0037205000, 0.0170762000, 0.0052348000, -0.092219300, -0.418698700", \
-                        "-0.013838000, -0.008196800, 0.0036139000, 0.0171538000, 0.0055752000, -0.091695100, -0.418122800");
-                }
-                related_pin : "CLK_N";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014624920, 0.0042777660, 0.0125124000, 0.0365985700, 0.1070502000, 0.3131202000");
-                    values("-0.015157100, -0.011889400, -0.003284300, 0.0171469000, 0.0632073000, 0.1801531000, 0.5123678000", \
-                        "-0.015091400, -0.011834400, -0.003229300, 0.0171669000, 0.0633156000, 0.1800816000, 0.5143452000", \
-                        "-0.014938700, -0.011691700, -0.003124500, 0.0172421000, 0.0632012000, 0.1798299000, 0.5117702000", \
-                        "-0.014975600, -0.011747100, -0.003218000, 0.0170543000, 0.0630194000, 0.1805871000, 0.5112811000", \
-                        "-0.015037300, -0.011829200, -0.003355100, 0.0168557000, 0.0629699000, 0.1803904000, 0.5133650000", \
-                        "-0.015165900, -0.011959200, -0.003479000, 0.0167173000, 0.0625888000, 0.1790431000, 0.5130447000", \
-                        "-0.015341300, -0.011971800, -0.003290800, 0.0166937000, 0.0632292000, 0.1793322000, 0.5112425000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014624920, 0.0042777660, 0.0125124000, 0.0365985700, 0.1070502000, 0.3131202000");
-                    values("-0.002733600, 0.0035533000, 0.0168500000, 0.0327309000, 0.0242815000, -0.070676400, -0.396364300", \
-                        "-0.002689200, 0.0035894000, 0.0168347000, 0.0327097000, 0.0241419000, -0.070794000, -0.396312200", \
-                        "-0.002593600, 0.0036745000, 0.0168923000, 0.0327279000, 0.0241175000, -0.070840400, -0.396453300", \
-                        "-0.002623000, 0.0036443000, 0.0168624000, 0.0326993000, 0.0241267000, -0.070887500, -0.396613500", \
-                        "-0.002689900, 0.0035573000, 0.0167598000, 0.0325112000, 0.0238976000, -0.071129200, -0.396860200", \
-                        "-0.002834900, 0.0033971000, 0.0165593000, 0.0322492000, 0.0235593000, -0.071584900, -0.397295900", \
-                        "-0.003063800, 0.0033043000, 0.0167832000, 0.0330063000, 0.0237330000, -0.071541900, -0.397248700");
-                }
-                related_pin : "RESET_B";
-                rise_power ("scalar") {
-                    values("0.0000000000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014624920, 0.0042777660, 0.0125124000, 0.0365985700, 0.1070502000, 0.3131202000");
-                    values("0.0056062000, 0.0108587000, 0.0217829000, 0.0338720000, 0.0215949000, -0.075581600, -0.402235300", \
-                        "0.0056264000, 0.0108826000, 0.0217990000, 0.0338825000, 0.0215742000, -0.075603100, -0.402244100", \
-                        "0.0056639000, 0.0109032000, 0.0218122000, 0.0338656000, 0.0215658000, -0.075649200, -0.402287600", \
-                        "0.0056481000, 0.0108581000, 0.0217071000, 0.0336587000, 0.0211804000, -0.076116200, -0.402722700", \
-                        "0.0056312000, 0.0108023000, 0.0215591000, 0.0333552000, 0.0207464000, -0.076706600, -0.403433500", \
-                        "0.0056385000, 0.0108127000, 0.0215393000, 0.0333563000, 0.0204697000, -0.077246500, -0.404004300", \
-                        "0.0057374000, 0.0110437000, 0.0220861000, 0.0343416000, 0.0211170000, -0.077332700, -0.404203200");
-                }
-                related_pin : "SET_B";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014624920, 0.0042777660, 0.0125124000, 0.0365985700, 0.1070502000, 0.3131202000");
-                    values("-0.000512900, 0.0031516000, 0.0127026000, 0.0349354000, 0.0829933000, 0.2008713000, 0.5334206000", \
-                        "-0.000515500, 0.0031364000, 0.0126336000, 0.0347823000, 0.0830971000, 0.2010458000, 0.5350268000", \
-                        "-0.000515000, 0.0031144000, 0.0125490000, 0.0346452000, 0.0828610000, 0.2007271000, 0.5329991000", \
-                        "-0.000530000, 0.0030857000, 0.0125237000, 0.0345623000, 0.0825600000, 0.2011088000, 0.5343497000", \
-                        "-0.000559200, 0.0030381000, 0.0123850000, 0.0343584000, 0.0823033000, 0.2004075000, 0.5314888000", \
-                        "-0.000614200, 0.0029516000, 0.0122477000, 0.0340774000, 0.0817771000, 0.1998085000, 0.5317224000", \
-                        "-0.000677900, 0.0029207000, 0.0123101000, 0.0344652000, 0.0825377000, 0.2006496000, 0.5349586000");
-                }
-            }
-            max_capacitance : 0.3131200000;
-            max_transition : 1.4976940000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000");
-                    values("0.5715146000, 0.5764608000, 0.5872999000, 0.6094978000, 0.6562273000, 0.7728852000, 1.1070838000", \
-                        "0.5763654000, 0.5813140000, 0.5921482000, 0.6143386000, 0.6610686000, 0.7777333000, 1.1119631000", \
-                        "0.5888512000, 0.5937825000, 0.6047230000, 0.6268766000, 0.6736517000, 0.7902700000, 1.1248132000", \
-                        "0.6198478000, 0.6247883000, 0.6357509000, 0.6578812000, 0.7045739000, 0.8213871000, 1.1548854000", \
-                        "0.6903620000, 0.6953002000, 0.7062560000, 0.7282338000, 0.7750668000, 0.8917673000, 1.2255494000", \
-                        "0.8164339000, 0.8213774000, 0.8323279000, 0.8544688000, 0.9011354000, 1.0179231000, 1.3516137000", \
-                        "1.0122578000, 1.0172030000, 1.0280993000, 1.0502539000, 1.0970421000, 1.2136561000, 1.5477983000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000");
-                    values("0.4959562000, 0.5023387000, 0.5161479000, 0.5469950000, 0.6271237000, 0.8580184000, 1.5340924000", \
-                        "0.5006354000, 0.5070349000, 0.5209054000, 0.5518923000, 0.6322072000, 0.8632844000, 1.5393135000", \
-                        "0.5131978000, 0.5195176000, 0.5335894000, 0.5643231000, 0.6445486000, 0.8759715000, 1.5501265000", \
-                        "0.5441849000, 0.5505837000, 0.5644421000, 0.5954131000, 0.6757394000, 0.9068185000, 1.5835114000", \
-                        "0.6145719000, 0.6209193000, 0.6348982000, 0.6657329000, 0.7461110000, 0.9769409000, 1.6530290000", \
-                        "0.7392129000, 0.7456168000, 0.7594805000, 0.7904654000, 0.8707812000, 1.1018603000, 1.7758524000", \
-                        "0.9295227000, 0.9358828000, 0.9497857000, 0.9805695000, 1.0610020000, 1.2916317000, 1.9667528000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000");
-                    values("0.0201573000, 0.0232459000, 0.0306186000, 0.0486452000, 0.0965352000, 0.2431940000, 0.6940848000", \
-                        "0.0201437000, 0.0232326000, 0.0306586000, 0.0486549000, 0.0965757000, 0.2431721000, 0.6876032000", \
-                        "0.0201667000, 0.0232569000, 0.0306308000, 0.0486124000, 0.0960247000, 0.2429552000, 0.6900953000", \
-                        "0.0202455000, 0.0233484000, 0.0308481000, 0.0484582000, 0.0961830000, 0.2426410000, 0.6931582000", \
-                        "0.0202019000, 0.0233392000, 0.0305482000, 0.0483223000, 0.0964494000, 0.2421681000, 0.6853469000", \
-                        "0.0201581000, 0.0232498000, 0.0308296000, 0.0482484000, 0.0962860000, 0.2430605000, 0.6859591000", \
-                        "0.0201717000, 0.0232519000, 0.0309418000, 0.0486065000, 0.0960826000, 0.2419765000, 0.6944766000");
-                }
-                related_pin : "CLK_N";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000");
-                    values("0.0251050000, 0.0294903000, 0.0409939000, 0.0752368000, 0.1855240000, 0.5167228000, 1.4945660000", \
-                        "0.0251651000, 0.0295626000, 0.0409769000, 0.0753114000, 0.1853144000, 0.5173152000, 1.4937516000", \
-                        "0.0251410000, 0.0297028000, 0.0410298000, 0.0752777000, 0.1852855000, 0.5176567000, 1.4939925000", \
-                        "0.0252007000, 0.0296153000, 0.0409639000, 0.0753174000, 0.1853070000, 0.5171768000, 1.4944919000", \
-                        "0.0251212000, 0.0297909000, 0.0410251000, 0.0752155000, 0.1853753000, 0.5164013000, 1.4976937000", \
-                        "0.0251700000, 0.0295755000, 0.0409753000, 0.0753103000, 0.1853055000, 0.5171507000, 1.4917667000", \
-                        "0.0252085000, 0.0295810000, 0.0411068000, 0.0752978000, 0.1851638000, 0.5174594000, 1.4949680000");
-                }
-                timing_sense : "non_unate";
-                timing_type : "falling_edge";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000");
-                    values("0.3569500000, 0.3619844000, 0.3731203000, 0.3956128000, 0.4426570000, 0.5597244000, 0.8931262000", \
-                        "0.3622413000, 0.3672809000, 0.3783640000, 0.4008872000, 0.4480329000, 0.5649282000, 0.8983347000", \
-                        "0.3747519000, 0.3797861000, 0.3908745000, 0.4133839000, 0.4605446000, 0.5774463000, 0.9108437000", \
-                        "0.4064584000, 0.4114798000, 0.4225946000, 0.4451139000, 0.4922558000, 0.6092485000, 0.9423490000", \
-                        "0.4776291000, 0.4826729000, 0.4937882000, 0.5163199000, 0.5633441000, 0.6804374000, 1.0136628000", \
-                        "0.6092404000, 0.6143447000, 0.6254986000, 0.6480298000, 0.6950774000, 0.8121235000, 1.1454626000", \
-                        "0.8190955000, 0.8241580000, 0.8353403000, 0.8578829000, 0.9050162000, 1.0220408000, 1.3553527000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000");
-                    values("0.0210729000, 0.0239388000, 0.0316691000, 0.0491964000, 0.0973032000, 0.2428239000, 0.6870486000", \
-                        "0.0208970000, 0.0240413000, 0.0314562000, 0.0494659000, 0.0968653000, 0.2427730000, 0.6874631000", \
-                        "0.0208662000, 0.0240445000, 0.0314135000, 0.0495144000, 0.0968391000, 0.2428051000, 0.6881803000", \
-                        "0.0208755000, 0.0240514000, 0.0314949000, 0.0494066000, 0.0971266000, 0.2428580000, 0.6877711000", \
-                        "0.0210764000, 0.0243349000, 0.0315942000, 0.0492395000, 0.0973115000, 0.2426421000, 0.6885970000", \
-                        "0.0211746000, 0.0241588000, 0.0316939000, 0.0492636000, 0.0970446000, 0.2428679000, 0.6881231000", \
-                        "0.0209860000, 0.0241299000, 0.0321432000, 0.0493834000, 0.0966777000, 0.2428122000, 0.6875218000");
-                }
-                related_pin : "RESET_B";
-                timing_sense : "positive_unate";
-                timing_type : "clear";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000");
-                    values("0.2564176000, 0.2614302000, 0.2725387000, 0.2950076000, 0.3421301000, 0.4591907000, 0.7924238000", \
-                        "0.2612437000, 0.2662476000, 0.2773562000, 0.2998325000, 0.3470260000, 0.4640048000, 0.7973817000", \
-                        "0.2711862000, 0.2762307000, 0.2873224000, 0.3098577000, 0.3568919000, 0.4740291000, 0.8071379000", \
-                        "0.2926458000, 0.2976569000, 0.3087689000, 0.3312862000, 0.3784337000, 0.4953704000, 0.8288411000", \
-                        "0.3408331000, 0.3458815000, 0.3569794000, 0.3795236000, 0.4265699000, 0.5437021000, 0.8769099000", \
-                        "0.4302336000, 0.4353806000, 0.4467414000, 0.4693780000, 0.5167812000, 0.6339890000, 0.9672280000", \
-                        "0.5529300000, 0.5583712000, 0.5702434000, 0.5937570000, 0.6416908000, 0.7590423000, 1.0925317000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000");
-                    values("0.4224749000, 0.4293239000, 0.4441836000, 0.4758974000, 0.5563016000, 0.7871183000, 1.4626006000", \
-                        "0.4277420000, 0.4345400000, 0.4494435000, 0.4810595000, 0.5615504000, 0.7921701000, 1.4684824000", \
-                        "0.4408006000, 0.4476916000, 0.4624884000, 0.4941161000, 0.5745947000, 0.8057763000, 1.4800906000", \
-                        "0.4725484000, 0.4794249000, 0.4942122000, 0.5259663000, 0.6062797000, 0.8372941000, 1.5144710000", \
-                        "0.5485211000, 0.5554448000, 0.5701400000, 0.6019671000, 0.6822549000, 0.9132370000, 1.5878433000", \
-                        "0.7268801000, 0.7337704000, 0.7485358000, 0.7801466000, 0.8606407000, 1.0917617000, 1.7661357000", \
-                        "1.1070097000, 1.1143877000, 1.1301959000, 1.1625885000, 1.2432194000, 1.4740369000, 2.1501847000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000");
-                    values("0.0208376000, 0.0239501000, 0.0314154000, 0.0493645000, 0.0969845000, 0.2427779000, 0.6871465000", \
-                        "0.0208271000, 0.0239649000, 0.0313758000, 0.0494088000, 0.0968049000, 0.2427891000, 0.6869477000", \
-                        "0.0209953000, 0.0242384000, 0.0314810000, 0.0492280000, 0.0972327000, 0.2427051000, 0.6877030000", \
-                        "0.0210263000, 0.0242703000, 0.0314585000, 0.0493937000, 0.0969478000, 0.2427619000, 0.6885201000", \
-                        "0.0210291000, 0.0242992000, 0.0314454000, 0.0492789000, 0.0972438000, 0.2424513000, 0.6875051000", \
-                        "0.0216658000, 0.0248560000, 0.0320964000, 0.0501723000, 0.0970783000, 0.2425572000, 0.6878934000", \
-                        "0.0238759000, 0.0271400000, 0.0346973000, 0.0518432000, 0.0986450000, 0.2434849000, 0.6856774000");
-                }
-                related_pin : "SET_B";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000");
-                    values("0.0280258000, 0.0323414000, 0.0436556000, 0.0766772000, 0.1857171000, 0.5163333000, 1.4912522000", \
-                        "0.0278694000, 0.0321259000, 0.0438937000, 0.0767928000, 0.1855847000, 0.5176554000, 1.4942477000", \
-                        "0.0278744000, 0.0324466000, 0.0435953000, 0.0767825000, 0.1854573000, 0.5177235000, 1.4913802000", \
-                        "0.0278548000, 0.0325195000, 0.0438040000, 0.0767411000, 0.1855839000, 0.5174336000, 1.4899287000", \
-                        "0.0279749000, 0.0324133000, 0.0435580000, 0.0768631000, 0.1858638000, 0.5168027000, 1.4892525000", \
-                        "0.0278495000, 0.0324141000, 0.0438861000, 0.0767792000, 0.1859082000, 0.5173258000, 1.4936221000", \
-                        "0.0305126000, 0.0349375000, 0.0463838000, 0.0784154000, 0.1860613000, 0.5173134000, 1.4933341000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "preset";
-            }
-        }
-        pin ("Q_N") {
-            direction : "output";
-            function : "IQ_N";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014425840, 0.0041620980, 0.0120083500, 0.0346461100, 0.0999598700, 0.2884010000");
-                    values("-0.013476100, -0.007808700, 0.0038433000, 0.0171758000, 0.0065654000, -0.081863800, -0.379520200", \
-                        "-0.013413300, -0.007758400, 0.0038717000, 0.0171706000, 0.0064893000, -0.081951100, -0.379598300", \
-                        "-0.013259300, -0.007611400, 0.0040074000, 0.0172783000, 0.0065403000, -0.081860900, -0.379524200", \
-                        "-0.013296300, -0.007675400, 0.0038984000, 0.0170793000, 0.0062984000, -0.082157100, -0.379820700", \
-                        "-0.013354200, -0.007735700, 0.0038050000, 0.0169635000, 0.0061589000, -0.082334900, -0.380016000", \
-                        "-0.013480200, -0.007877700, 0.0036513000, 0.0167671000, 0.0059716000, -0.082507300, -0.380098300", \
-                        "-0.013736600, -0.008091600, 0.0035349000, 0.0167024000, 0.0060748000, -0.081939800, -0.379541200");
-                }
-                related_pin : "CLK_N";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014425840, 0.0041620980, 0.0120083500, 0.0346461100, 0.0999598700, 0.2884010000");
-                    values("-0.014966100, -0.011481700, -0.002692200, 0.0173191000, 0.0612639000, 0.1706042000, 0.4775002000", \
-                        "-0.014900900, -0.011420700, -0.002646600, 0.0173734000, 0.0614351000, 0.1705743000, 0.4750387000", \
-                        "-0.014748900, -0.011282700, -0.002544800, 0.0174225000, 0.0614160000, 0.1704346000, 0.4750288000", \
-                        "-0.014780600, -0.011332100, -0.002622100, 0.0172729000, 0.0611449000, 0.1702684000, 0.4746105000", \
-                        "-0.014842800, -0.011400100, -0.002726200, 0.0171496000, 0.0609693000, 0.1704520000, 0.4735776000", \
-                        "-0.014966300, -0.011527300, -0.002845600, 0.0170281000, 0.0609239000, 0.1698528000, 0.4761877000", \
-                        "-0.015228400, -0.011747200, -0.002996600, 0.0170494000, 0.0612463000, 0.1704112000, 0.4744893000");
-                }
-            }
-            internal_power () {
-                fall_power ("scalar") {
-                    values("0.0000000000");
-                }
-                related_pin : "RESET_B";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014425840, 0.0041620980, 0.0120083500, 0.0346461100, 0.0999598700, 0.2884010000");
-                    values("-0.004178200, -0.000159300, 0.0097846000, 0.0326144000, 0.0804297000, 0.1912296000, 0.4951224000", \
-                        "-0.004137000, -0.000126900, 0.0097786000, 0.0326240000, 0.0803886000, 0.1915080000, 0.4956842000", \
-                        "-0.004037400, -3.84500e-05, 0.0098461000, 0.0326603000, 0.0803854000, 0.1911351000, 0.4951339000", \
-                        "-0.004068300, -7.90000e-05, 0.0098098000, 0.0326044000, 0.0803131000, 0.1911612000, 0.4957210000", \
-                        "-0.004134400, -0.000155400, 0.0096869000, 0.0324272000, 0.0800889000, 0.1908029000, 0.4947644000", \
-                        "-0.004269800, -0.000299700, 0.0095395000, 0.0321836000, 0.0797697000, 0.1904695000, 0.4949565000", \
-                        "-0.004411000, -0.000143100, 0.0103750000, 0.0330539000, 0.0796050000, 0.1902904000, 0.4951534000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014425840, 0.0041620980, 0.0120083500, 0.0346461100, 0.0999598700, 0.2884010000");
-                    values("0.0012235000, 0.0073562000, 0.0200119000, 0.0348383000, 0.0259699000, -0.060895200, -0.357806900", \
-                        "0.0012190000, 0.0073384000, 0.0199611000, 0.0347551000, 0.0258022000, -0.061127600, -0.358110200", \
-                        "0.0012171000, 0.0073185000, 0.0198962000, 0.0345811000, 0.0255906000, -0.061374500, -0.358364500", \
-                        "0.0012026000, 0.0072897000, 0.0198529000, 0.0344933000, 0.0255109000, -0.061532200, -0.358517000", \
-                        "0.0011749000, 0.0072404000, 0.0197402000, 0.0342966000, 0.0251183000, -0.061896100, -0.358855500", \
-                        "0.0011241000, 0.0071628000, 0.0196107000, 0.0340021000, 0.0247226000, -0.062439900, -0.359336500", \
-                        "0.0011122000, 0.0072628000, 0.0198846000, 0.0344209000, 0.0250764000, -0.062106000, -0.358990800");
-                }
-                related_pin : "SET_B";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014425840, 0.0041620980, 0.0120083500, 0.0346461100, 0.0999598700, 0.2884010000");
-                    values("0.0041299000, 0.0070904000, 0.0146812000, 0.0337384000, 0.0778454000, 0.1861175000, 0.4889928000", \
-                        "0.0041507000, 0.0071087000, 0.0146959000, 0.0337497000, 0.0778442000, 0.1858724000, 0.4892711000", \
-                        "0.0041933000, 0.0071467000, 0.0147064000, 0.0337361000, 0.0777015000, 0.1861670000, 0.4895061000", \
-                        "0.0041774000, 0.0070978000, 0.0146046000, 0.0335392000, 0.0774036000, 0.1857269000, 0.4886537000", \
-                        "0.0041681000, 0.0070683000, 0.0144972000, 0.0332385000, 0.0768523000, 0.1850578000, 0.4883267000", \
-                        "0.0041890000, 0.0071046000, 0.0145781000, 0.0332356000, 0.0764008000, 0.1844872000, 0.4881155000", \
-                        "0.0043723000, 0.0075617000, 0.0156551000, 0.0343006000, 0.0765542000, 0.1846870000, 0.4876137000");
-                }
-            }
-            max_capacitance : 0.2884010000;
-            max_transition : 1.5033670000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000");
-                    values("0.3763170000, 0.3843919000, 0.4022006000, 0.4373844000, 0.5041373000, 0.6392230000, 0.9662938000", \
-                        "0.3808234000, 0.3888878000, 0.4067260000, 0.4419132000, 0.5086438000, 0.6437326000, 0.9705128000", \
-                        "0.3939042000, 0.4019684000, 0.4197971000, 0.4549827000, 0.5217258000, 0.6568194000, 0.9838784000", \
-                        "0.4246916000, 0.4327795000, 0.4505756000, 0.4857624000, 0.5525091000, 0.6875935000, 1.0146693000", \
-                        "0.4944100000, 0.5024735000, 0.5203009000, 0.5554834000, 0.6222327000, 0.7573190000, 1.0843944000", \
-                        "0.6187532000, 0.6267971000, 0.6446536000, 0.6798690000, 0.7465731000, 0.8816615000, 1.2084892000", \
-                        "0.8099303000, 0.8180147000, 0.8358883000, 0.8710416000, 0.9377908000, 1.0729271000, 1.3998034000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000");
-                    values("0.4754696000, 0.4830780000, 0.5008433000, 0.5392443000, 0.6287660000, 0.8655988000, 1.5396003000", \
-                        "0.4805815000, 0.4882857000, 0.5060173000, 0.5444646000, 0.6339805000, 0.8713137000, 1.5456157000", \
-                        "0.4931007000, 0.5008496000, 0.5185862000, 0.5570550000, 0.6465295000, 0.8841574000, 1.5580285000", \
-                        "0.5235747000, 0.5313353000, 0.5490779000, 0.5875020000, 0.6769806000, 0.9139453000, 1.5898461000", \
-                        "0.5945758000, 0.6022753000, 0.6199652000, 0.6584014000, 0.7478982000, 0.9848462000, 1.6619591000", \
-                        "0.7205400000, 0.7282464000, 0.7460075000, 0.7844685000, 0.8739461000, 1.1111632000, 1.7870448000", \
-                        "0.9166170000, 0.9243228000, 0.9420562000, 0.9805304000, 1.0700153000, 1.3070661000, 1.9858468000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000");
-                    values("0.0370523000, 0.0419324000, 0.0533276000, 0.0772435000, 0.1307089000, 0.2660635000, 0.6779193000", \
-                        "0.0370687000, 0.0419386000, 0.0534289000, 0.0767435000, 0.1306876000, 0.2660154000, 0.6764859000", \
-                        "0.0370633000, 0.0419384000, 0.0533073000, 0.0768195000, 0.1306748000, 0.2659988000, 0.6776751000", \
-                        "0.0370477000, 0.0419122000, 0.0532975000, 0.0768258000, 0.1306663000, 0.2660959000, 0.6747758000", \
-                        "0.0370646000, 0.0419414000, 0.0533103000, 0.0768253000, 0.1306870000, 0.2661036000, 0.6780513000", \
-                        "0.0369792000, 0.0419855000, 0.0533307000, 0.0768537000, 0.1306940000, 0.2661192000, 0.6766238000", \
-                        "0.0370948000, 0.0420519000, 0.0532604000, 0.0777762000, 0.1305829000, 0.2660807000, 0.6781769000");
-                }
-                related_pin : "CLK_N";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000");
-                    values("0.0305772000, 0.0364714000, 0.0513931000, 0.0896778000, 0.2007032000, 0.5296048000, 1.5033670000", \
-                        "0.0305755000, 0.0364470000, 0.0513472000, 0.0896054000, 0.2001613000, 0.5278695000, 1.4977162000", \
-                        "0.0305543000, 0.0364010000, 0.0512663000, 0.0896626000, 0.2001110000, 0.5286631000, 1.4981242000", \
-                        "0.0305773000, 0.0363822000, 0.0513131000, 0.0896417000, 0.2005884000, 0.5287522000, 1.4961706000", \
-                        "0.0305969000, 0.0363960000, 0.0512587000, 0.0896154000, 0.2005280000, 0.5286129000, 1.4948237000", \
-                        "0.0306586000, 0.0364783000, 0.0513742000, 0.0897105000, 0.2004444000, 0.5291740000, 1.4993334000", \
-                        "0.0305771000, 0.0364532000, 0.0512186000, 0.0895857000, 0.2001291000, 0.5276284000, 1.4972554000");
-                }
-                timing_sense : "non_unate";
-                timing_type : "falling_edge";
-            }
-            timing () {
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000");
-                    values("0.2579718000, 0.2662404000, 0.2849825000, 0.3252107000, 0.4183699000, 0.6589023000, 1.3327327000", \
-                        "0.2631244000, 0.2713303000, 0.2900478000, 0.3302696000, 0.4235161000, 0.6641178000, 1.3385035000", \
-                        "0.2759796000, 0.2842035000, 0.3029214000, 0.3432003000, 0.4364481000, 0.6767924000, 1.3501018000", \
-                        "0.3070084000, 0.3151611000, 0.3338586000, 0.3741493000, 0.4673684000, 0.7078765000, 1.3825232000", \
-                        "0.3782418000, 0.3864337000, 0.4051553000, 0.4453937000, 0.5386382000, 0.7790814000, 1.4524060000", \
-                        "0.5102199000, 0.5184727000, 0.5371878000, 0.5774532000, 0.6708721000, 0.9113459000, 1.5859747000", \
-                        "0.7187809000, 0.7272160000, 0.7465111000, 0.7874764000, 0.8811937000, 1.1216489000, 1.7961473000");
-                }
-                related_pin : "RESET_B";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000");
-                    values("0.0326389000, 0.0389085000, 0.0545597000, 0.0940370000, 0.2072846000, 0.5333507000, 1.4960871000", \
-                        "0.0326196000, 0.0390445000, 0.0545900000, 0.0938518000, 0.2073848000, 0.5314798000, 1.4942110000", \
-                        "0.0325565000, 0.0390432000, 0.0545643000, 0.0938117000, 0.2074500000, 0.5318563000, 1.4957408000", \
-                        "0.0327080000, 0.0388376000, 0.0544156000, 0.0940721000, 0.2075588000, 0.5316399000, 1.4920928000", \
-                        "0.0326710000, 0.0390309000, 0.0546622000, 0.0939512000, 0.2076845000, 0.5319357000, 1.4956139000", \
-                        "0.0332866000, 0.0391823000, 0.0545846000, 0.0941880000, 0.2073648000, 0.5316025000, 1.4936953000", \
-                        "0.0348623000, 0.0413633000, 0.0567728000, 0.0958143000, 0.2078697000, 0.5309524000, 1.4938975000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "preset";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000");
-                    values("0.2840244000, 0.2940421000, 0.3156840000, 0.3568763000, 0.4336555000, 0.5791062000, 0.9118324000", \
-                        "0.2892669000, 0.2993277000, 0.3209460000, 0.3621923000, 0.4388827000, 0.5843513000, 0.9173692000", \
-                        "0.3024941000, 0.3125306000, 0.3340317000, 0.3752088000, 0.4519465000, 0.5973877000, 0.9304499000", \
-                        "0.3343806000, 0.3443502000, 0.3658794000, 0.4070605000, 0.4837159000, 0.6291659000, 0.9621020000", \
-                        "0.4102363000, 0.4202280000, 0.4417694000, 0.4829302000, 0.5596233000, 0.7051197000, 1.0381752000", \
-                        "0.5894699000, 0.5993039000, 0.6205453000, 0.6613042000, 0.7377268000, 0.8832052000, 1.2163378000", \
-                        "0.9502040000, 0.9613732000, 0.9857726000, 1.0330520000, 1.1179807000, 1.2701173000, 1.6047741000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000");
-                    values("0.1571745000, 0.1653154000, 0.1840620000, 0.2242950000, 0.3173657000, 0.5576715000, 1.2317614000", \
-                        "0.1619898000, 0.1701290000, 0.1888710000, 0.2291084000, 0.3221931000, 0.5625730000, 1.2359485000", \
-                        "0.1718952000, 0.1801483000, 0.1988399000, 0.2390926000, 0.3321684000, 0.5725935000, 1.2474715000", \
-                        "0.1934017000, 0.2015734000, 0.2202813000, 0.2604924000, 0.3536291000, 0.5940646000, 1.2676989000", \
-                        "0.2413692000, 0.2496410000, 0.2684963000, 0.3087541000, 0.4019135000, 0.6422802000, 1.3152959000", \
-                        "0.3228895000, 0.3323538000, 0.3536570000, 0.3973344000, 0.4929182000, 0.7328386000, 1.4074725000", \
-                        "0.4257004000, 0.4379832000, 0.4651272000, 0.5172880000, 0.6196997000, 0.8604086000, 1.5340766000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000");
-                    values("0.0519080000, 0.0569859000, 0.0680630000, 0.0931940000, 0.1481292000, 0.2807891000, 0.6830574000", \
-                        "0.0519784000, 0.0569527000, 0.0680881000, 0.0931880000, 0.1480642000, 0.2809579000, 0.6816239000", \
-                        "0.0518302000, 0.0568045000, 0.0680587000, 0.0929660000, 0.1480467000, 0.2808311000, 0.6819342000", \
-                        "0.0518008000, 0.0567819000, 0.0678475000, 0.0930791000, 0.1480207000, 0.2808920000, 0.6819548000", \
-                        "0.0518260000, 0.0568121000, 0.0680332000, 0.0930991000, 0.1481966000, 0.2805039000, 0.6826213000", \
-                        "0.0514759000, 0.0565259000, 0.0676343000, 0.0928684000, 0.1480915000, 0.2807626000, 0.6825895000", \
-                        "0.0692583000, 0.0740596000, 0.0853881000, 0.1121941000, 0.1650567000, 0.2907655000, 0.6834641000");
-                }
-                related_pin : "SET_B";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000");
-                    values("0.0325712000, 0.0387431000, 0.0543530000, 0.0938335000, 0.2075386000, 0.5317147000, 1.4935027000", \
-                        "0.0325354000, 0.0387433000, 0.0543381000, 0.0938543000, 0.2074263000, 0.5317742000, 1.4930300000", \
-                        "0.0324105000, 0.0388797000, 0.0544411000, 0.0939312000, 0.2069821000, 0.5321171000, 1.4963973000", \
-                        "0.0325088000, 0.0388857000, 0.0544555000, 0.0939149000, 0.2076045000, 0.5314267000, 1.4957734000", \
-                        "0.0332692000, 0.0394543000, 0.0547731000, 0.0942030000, 0.2068993000, 0.5317229000, 1.4946612000", \
-                        "0.0399578000, 0.0466155000, 0.0620999000, 0.1008018000, 0.2100010000, 0.5311183000, 1.4964007000", \
-                        "0.0548367000, 0.0632438000, 0.0810785000, 0.1188394000, 0.2200218000, 0.5333459000, 1.4950472000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "clear";
-            }
-        }
-        pin ("RESET_B") {
-            capacitance : 0.0016230000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0015370000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0129392000, 0.0128486000, 0.0126395000, 0.0126902000, 0.0128071000, 0.0130768000, 0.0136983000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0081727000, 0.0081196000, 0.0079971000, 0.0080244000, 0.0080871000, 0.0082320000, 0.0085661000");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0017090000;
-            timing () {
-                related_pin : "CLK_N";
-                rise_constraint ("vio_3_3_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("0.0728008000, 0.1966940000, 0.2886536000", \
-                        "-0.145086600, -0.019972700, 0.0719870000", \
-                        "-0.394516900, -0.269403000, -0.176222700");
-                }
-                sim_opt : "runlvl=5 accurate=1";
-                timing_type : "recovery_falling";
-                violation_delay_degrade_pct : 10.000000000;
-            }
-            timing () {
-                related_pin : "CLK_N";
-                rise_constraint ("vio_3_3_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("0.0764629000, -0.044988900, -0.125962200", \
-                        "0.2748190000, 0.1545879000, 0.0723939000", \
-                        "0.5157044000, 0.3954733000, 0.3145000000");
-                }
-                sim_opt : "runlvl=5 accurate=1";
-                timing_type : "removal_falling";
-                violation_delay_degrade_pct : 10.000000000;
-            }
-            timing () {
-                fall_constraint ("constraint_3_0_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("0.2532714000, 0.8333333000, 2.5000000000");
-                }
-                related_output_pin : "Q";
-                related_pin : "RESET_B";
-                sim_opt : "runlvl=5 accurate=1";
-                timing_type : "min_pulse_width";
-                violation_delay_degrade_pct : 10.000000000;
-            }
-            timing () {
-                related_pin : "SET_B";
-                rise_constraint ("vio_3_3_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("-0.104201200, -0.059653600, -0.076336600", \
-                        "-0.226873700, -0.167677700, -0.179477900", \
-                        "-0.332261100, -0.254754600, -0.253126900");
-                }
-                sim_opt : "runlvl=5 accurate=1";
-                timing_type : "non_seq_setup_rising";
-                violation_delay_degrade_pct : 10.000000000;
-            }
-            timing () {
-                related_pin : "SET_B";
-                rise_constraint ("vio_3_3_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("0.1582500000, 0.1429994000, 0.2463522000", \
-                        "0.2967917000, 0.2534648000, 0.3104310000", \
-                        "0.4473450000, 0.3747214000, 0.3999492000");
-                }
-                sim_opt : "runlvl=5 accurate=1";
-                timing_type : "non_seq_hold_rising";
-                violation_delay_degrade_pct : 10.000000000;
-            }
-        }
-        pin ("SET_B") {
-            capacitance : 0.0034300000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0033430000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0050604000, 0.0050512000, 0.0050299000, 0.0050437000, 0.0050756000, 0.0051492000, 0.0053189000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.004934000, -0.004974100, -0.005066600, -0.005069800, -0.005077400, -0.005094600, -0.005134200");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0035170000;
-            timing () {
-                related_pin : "CLK_N";
-                rise_constraint ("vio_3_3_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("-0.061476600, 0.0306784000, 0.1519349000", \
-                        "-0.247625700, -0.156691400, -0.086704400", \
-                        "-0.454331400, -0.363397100, -0.325148400");
-                }
-                sim_opt : "runlvl=5 accurate=1";
-                timing_type : "recovery_falling";
-                violation_delay_degrade_pct : 10.000000000;
-            }
-            timing () {
-                related_pin : "CLK_N";
-                rise_constraint ("vio_3_3_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("0.0679180000, -0.021795600, -0.053940800", \
-                        "0.2528463000, 0.1643535000, 0.1322083000", \
-                        "0.4595521000, 0.3698385000, 0.3413555000");
-                }
-                sim_opt : "runlvl=5 accurate=1";
-                timing_type : "removal_falling";
-                violation_delay_degrade_pct : 10.000000000;
-            }
-            timing () {
-                related_pin : "RESET_B";
-                rise_constraint ("vio_3_3_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("0.1582500000, 0.2967917000, 0.4473450000", \
-                        "0.1429994000, 0.2534648000, 0.3747214000", \
-                        "0.2463522000, 0.3104310000, 0.3999492000");
-                }
-                sim_opt : "runlvl=5 accurate=1";
-                timing_type : "non_seq_setup_rising";
-                violation_delay_degrade_pct : 10.000000000;
-            }
-            timing () {
-                fall_constraint ("constraint_3_0_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("0.3060000000, 0.8333333000, 2.5000000000");
-                }
-                related_output_pin : "Q";
-                related_pin : "SET_B";
-                sim_opt : "runlvl=5 accurate=1";
-                timing_type : "min_pulse_width";
-                violation_delay_degrade_pct : 10.000000000;
-            }
-            timing () {
-                related_pin : "RESET_B";
-                rise_constraint ("vio_3_3_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("-0.104201200, -0.226873700, -0.332261100", \
-                        "-0.059653600, -0.167677700, -0.254754600", \
-                        "-0.076336600, -0.179477900, -0.253126900");
-                }
-                sim_opt : "runlvl=5 accurate=1";
-                timing_type : "non_seq_hold_rising";
-                violation_delay_degrade_pct : 10.000000000;
-            }
-        }
-    }
-
-/* removed sky130_fd_sc_hd__dfbbp_1 */
-
-/* removed sky130_fd_sc_hd__dfrbp_1 */
-
-    cell ("sky130_fd_sc_hd__dfrbp_2") {
-        leakage_power () {
-            value : 0.0109677000;
-            when : "RESET_B&CLK&D&!Q&Q_N";
-        }
-        leakage_power () {
-            value : 0.0096383000;
-            when : "RESET_B&!CLK&!D&!Q&Q_N";
-        }
-        leakage_power () {
-            value : 0.0091173000;
-            when : "!RESET_B&CLK&!D&!Q&Q_N";
-        }
-        leakage_power () {
-            value : 0.0103999000;
-            when : "RESET_B&CLK&!D&Q&!Q_N";
-        }
-        leakage_power () {
-            value : 0.0096019000;
-            when : "RESET_B&!CLK&D&Q&!Q_N";
-        }
-        leakage_power () {
-            value : 0.0101696000;
-            when : "!RESET_B&CLK&D&!Q&Q_N";
-        }
-        leakage_power () {
-            value : 0.0097544000;
-            when : "RESET_B&!CLK&D&!Q&Q_N";
-        }
-        leakage_power () {
-            value : 0.0099155000;
-            when : "RESET_B&CLK&!D&!Q&Q_N";
-        }
-        leakage_power () {
-            value : 0.0092637000;
-            when : "!RESET_B&!CLK&!D&!Q&Q_N";
-        }
-        leakage_power () {
-            value : 0.0098513000;
-            when : "RESET_B&CLK&D&Q&!Q_N";
-        }
-        leakage_power () {
-            value : 0.0111421000;
-            when : "RESET_B&!CLK&!D&Q&!Q_N";
-        }
-        leakage_power () {
-            value : 0.0095879000;
-            when : "!RESET_B&!CLK&D&!Q&Q_N";
-        }
-        area : 30.028800000;
-        cell_footprint : "sky130_fd_sc_hd__dfrbp";
-        cell_leakage_power : 0.0099507900;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        ff ("IQ","IQ_N") {
-            clear : "!RESET_B";
-            clocked_on : "CLK";
-            next_state : "D";
-        }
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("CLK") {
-            capacitance : 0.0018000000;
-            clock : "true";
-            direction : "input";
-            fall_capacitance : 0.0017250000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0247885000, 0.0246654000, 0.0243817000, 0.0244533000, 0.0246183000, 0.0249988000, 0.0258759000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0162728000, 0.0161928000, 0.0160083000, 0.0160284000, 0.0160747000, 0.0161816000, 0.0164281000");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0018740000;
-            timing () {
-                fall_constraint ("constraint_3_0_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("0.2104295000, 0.8333333000, 2.5000000000");
-                }
-                related_output_pin : "Q";
-                related_pin : "CLK";
-                rise_constraint ("constraint_3_0_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("0.1774742000, 0.8333333000, 2.5000000000");
-                }
-                sim_opt : "runlvl=5 accurate=1";
-                timing_type : "min_pulse_width";
-                violation_delay_degrade_pct : 10.000000000;
-            }
-        }
-        pin ("D") {
-            capacitance : 0.0019770000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0019490000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0061315000, 0.0060925000, 0.0060028000, 0.0060651000, 0.0062085000, 0.0065393000, 0.0073019000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.000823300, -0.000892800, -0.001052900, -0.001002500, -0.000886200, -0.000618000, 3.2670112e-07");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0020060000;
-            timing () {
-                fall_constraint ("vio_3_3_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("0.1069805000, 0.3212057000, 0.6280091000", \
-                        "-0.004705700, 0.2034160000, 0.5041159000", \
-                        "-0.090561800, 0.1138978000, 0.4097148000");
-                }
-                related_pin : "CLK";
-                rise_constraint ("vio_3_3_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("0.0581523000, 0.1722799000, 0.2483705000", \
-                        "-0.014471400, 0.0862285000, 0.1488913000", \
-                        "-0.047837200, 0.0492005000, 0.1057598000");
-                }
-                sim_opt : "runlvl=5 accurate=1";
-                timing_type : "setup_rising";
-                violation_delay_degrade_pct : 10.000000000;
-            }
-            timing () {
-                fall_constraint ("vio_3_3_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("-0.038283200, -0.237860000, -0.492173200", \
-                        "0.0734030000, -0.124953100, -0.389031900", \
-                        "0.1519349000, -0.041538400, -0.305617200");
-                }
-                related_pin : "CLK";
-                rise_constraint ("vio_3_3_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("-0.032179700, -0.135321000, -0.188218100", \
-                        "0.0343405000, -0.061476600, -0.113153000", \
-                        "0.0603822000, -0.032993500, -0.083449200");
-                }
-                sim_opt : "runlvl=5 accurate=1";
-                timing_type : "hold_rising";
-                violation_delay_degrade_pct : 10.000000000;
-            }
-        }
-        pin ("Q") {
-            direction : "output";
-            function : "IQ";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014120050, 0.0039875180, 0.0112607900, 0.0318006100, 0.0898052500, 0.2536110000");
-                    values("-0.004912400, 0.0001440000, 0.0102231000, 0.0210937000, 0.0107906000, -0.068122400, -0.326630000", \
-                        "-0.004874700, 0.0001710000, 0.0102666000, 0.0211051000, 0.0107580000, -0.068165000, -0.326719400", \
-                        "-0.004790100, 0.0002433000, 0.0102981000, 0.0211196000, 0.0106240000, -0.068316100, -0.326823900", \
-                        "-0.004819500, 0.0001947000, 0.0101782000, 0.0208654000, 0.0103752000, -0.068761000, -0.327318400", \
-                        "-0.004852100, 0.0001593000, 0.0100916000, 0.0207695000, 0.0101465000, -0.068911800, -0.327504600", \
-                        "-0.004900000, 0.0001127000, 0.0100754000, 0.0207763000, 0.0101425000, -0.068922700, -0.327413500", \
-                        "-0.004951500, 0.0001602000, 0.0103488000, 0.0214027000, 0.0112047000, -0.068214800, -0.326677100");
-                }
-                related_pin : "CLK";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014120050, 0.0039875180, 0.0112607900, 0.0318006100, 0.0898052500, 0.2536110000");
-                    values("-0.006513000, -0.003726800, 0.0033187000, 0.0199595000, 0.0581184000, 0.1541227000, 0.4182336000", \
-                        "-0.006476500, -0.003698100, 0.0033259000, 0.0199514000, 0.0580520000, 0.1540508000, 0.4181601000", \
-                        "-0.006392000, -0.003623400, 0.0033860000, 0.0199742000, 0.0581636000, 0.1540056000, 0.4183692000", \
-                        "-0.006421100, -0.003685300, 0.0032588000, 0.0197410000, 0.0576814000, 0.1535272000, 0.4187129000", \
-                        "-0.006456600, -0.003733900, 0.0031611000, 0.0195473000, 0.0575311000, 0.1532510000, 0.4179635000", \
-                        "-0.006510900, -0.003793800, 0.0030828000, 0.0194766000, 0.0572673000, 0.1531942000, 0.4168823000", \
-                        "-0.006543600, -0.003678700, 0.0035008000, 0.0201231000, 0.0579020000, 0.1539889000, 0.4178439000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014120050, 0.0039875180, 0.0112607900, 0.0318006100, 0.0898052500, 0.2536110000");
-                    values("0.0004682000, 0.0049110000, 0.0136244000, 0.0223287000, 0.0097856000, -0.070699700, -0.329807100", \
-                        "0.0004522000, 0.0048853000, 0.0135647000, 0.0222265000, 0.0097026000, -0.070855900, -0.330008100", \
-                        "0.0004269000, 0.0048461000, 0.0134969000, 0.0221358000, 0.0095944000, -0.070985600, -0.330150900", \
-                        "0.0004211000, 0.0048381000, 0.0134947000, 0.0221081000, 0.0095339000, -0.071010300, -0.330170100", \
-                        "0.0004020000, 0.0048039000, 0.0134352000, 0.0219894000, 0.0093041000, -0.071236800, -0.330402700", \
-                        "0.0003772000, 0.0047745000, 0.0133786000, 0.0218022000, 0.0089823000, -0.071629700, -0.330708000", \
-                        "0.0003637000, 0.0048237000, 0.0134849000, 0.0218965000, 0.0090074000, -0.071622400, -0.330682900");
-                }
-                related_pin : "RESET_B";
-                rise_power ("scalar") {
-                    values("0.0000000000");
-                }
-            }
-            max_capacitance : 0.2536110000;
-            max_transition : 1.5036290000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014120100, 0.0039875200, 0.0112608000, 0.0318006000, 0.0898053000, 0.2536110000");
-                    values("0.3750218000, 0.3827018000, 0.3993438000, 0.4316703000, 0.4920138000, 0.6119065000, 0.8916552000", \
-                        "0.3797575000, 0.3874059000, 0.4041366000, 0.4364091000, 0.4967755000, 0.6166837000, 0.8963069000", \
-                        "0.3908320000, 0.3984300000, 0.4151392000, 0.4474233000, 0.5077836000, 0.6276644000, 0.9075385000", \
-                        "0.4165462000, 0.4241646000, 0.4408818000, 0.4731570000, 0.5335239000, 0.6534142000, 0.9332538000", \
-                        "0.4643122000, 0.4719879000, 0.4886934000, 0.5209966000, 0.5813354000, 0.7012169000, 0.9808055000", \
-                        "0.5319638000, 0.5396055000, 0.5562855000, 0.5885686000, 0.6489364000, 0.7687978000, 1.0485707000", \
-                        "0.6153743000, 0.6230517000, 0.6396912000, 0.6719856000, 0.7324141000, 0.8522693000, 1.1318625000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014120100, 0.0039875200, 0.0112608000, 0.0318006000, 0.0898053000, 0.2536110000");
-                    values("0.3287315000, 0.3371092000, 0.3560996000, 0.3968400000, 0.4913176000, 0.7308129000, 1.3944776000", \
-                        "0.3332426000, 0.3415758000, 0.3605100000, 0.4013722000, 0.4957664000, 0.7351584000, 1.3940117000", \
-                        "0.3444811000, 0.3528801000, 0.3717980000, 0.4126517000, 0.5070220000, 0.7464020000, 1.4055788000", \
-                        "0.3702577000, 0.3786589000, 0.3975984000, 0.4384692000, 0.5328541000, 0.7722568000, 1.4332089000", \
-                        "0.4195694000, 0.4280006000, 0.4469650000, 0.4877060000, 0.5821690000, 0.8215027000, 1.4826185000", \
-                        "0.4908987000, 0.4993095000, 0.5182434000, 0.5589220000, 0.6534511000, 0.8928175000, 1.5523803000", \
-                        "0.5827753000, 0.5911211000, 0.6100752000, 0.6509521000, 0.7452993000, 0.9847912000, 1.6419607000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014120100, 0.0039875200, 0.0112608000, 0.0318006000, 0.0898053000, 0.2536110000");
-                    values("0.0349067000, 0.0396163000, 0.0498968000, 0.0717465000, 0.1209608000, 0.2389145000, 0.5878253000", \
-                        "0.0348774000, 0.0395309000, 0.0498955000, 0.0724141000, 0.1208292000, 0.2387473000, 0.5876803000", \
-                        "0.0348814000, 0.0396452000, 0.0501158000, 0.0717535000, 0.1204692000, 0.2383791000, 0.5877521000", \
-                        "0.0347745000, 0.0395305000, 0.0503982000, 0.0715852000, 0.1209752000, 0.2382899000, 0.5874061000", \
-                        "0.0349255000, 0.0397046000, 0.0500908000, 0.0717554000, 0.1205795000, 0.2383644000, 0.5872517000", \
-                        "0.0347657000, 0.0395644000, 0.0500745000, 0.0716968000, 0.1208852000, 0.2383286000, 0.5875072000", \
-                        "0.0349168000, 0.0396863000, 0.0499002000, 0.0719512000, 0.1208132000, 0.2390555000, 0.5845296000");
-                }
-                related_pin : "CLK";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014120100, 0.0039875200, 0.0112608000, 0.0318006000, 0.0898053000, 0.2536110000");
-                    values("0.0336280000, 0.0402270000, 0.0565966000, 0.0989872000, 0.2163850000, 0.5448580000, 1.4996966000", \
-                        "0.0336512000, 0.0402007000, 0.0565606000, 0.0989392000, 0.2163598000, 0.5471942000, 1.5006069000", \
-                        "0.0335591000, 0.0401426000, 0.0565528000, 0.0989504000, 0.2163704000, 0.5447219000, 1.5029711000", \
-                        "0.0336409000, 0.0402629000, 0.0566089000, 0.0989416000, 0.2163857000, 0.5458125000, 1.5012891000", \
-                        "0.0337031000, 0.0403272000, 0.0567000000, 0.0989678000, 0.2163700000, 0.5466726000, 1.5036286000", \
-                        "0.0336093000, 0.0402292000, 0.0565156000, 0.0988475000, 0.2163701000, 0.5471031000, 1.5010717000", \
-                        "0.0337356000, 0.0402818000, 0.0566719000, 0.0989935000, 0.2164457000, 0.5446222000, 1.4978706000");
-                }
-                timing_sense : "non_unate";
-                timing_type : "rising_edge";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014120100, 0.0039875200, 0.0112608000, 0.0318006000, 0.0898053000, 0.2536110000");
-                    values("0.2151135000, 0.2232139000, 0.2411547000, 0.2761873000, 0.3412790000, 0.4541424000, 0.7282459000", \
-                        "0.2201978000, 0.2283082000, 0.2462495000, 0.2813103000, 0.3464035000, 0.4592895000, 0.7333479000", \
-                        "0.2329246000, 0.2410103000, 0.2590009000, 0.2940912000, 0.3591503000, 0.4720448000, 0.7462038000", \
-                        "0.2644511000, 0.2725311000, 0.2904945000, 0.3255510000, 0.3906705000, 0.5035496000, 0.7776840000", \
-                        "0.3398284000, 0.3479166000, 0.3658502000, 0.4007461000, 0.4658238000, 0.5787353000, 0.8529041000", \
-                        "0.5123360000, 0.5209939000, 0.5396999000, 0.5753176000, 0.6408609000, 0.7537808000, 1.0278233000", \
-                        "0.8115572000, 0.8229812000, 0.8479382000, 0.8953939000, 0.9757523000, 1.0920397000, 1.3662377000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014120100, 0.0039875200, 0.0112608000, 0.0318006000, 0.0898053000, 0.2536110000");
-                    values("0.0377890000, 0.0429614000, 0.0550681000, 0.0788634000, 0.1236998000, 0.2272537000, 0.5787851000", \
-                        "0.0378412000, 0.0429827000, 0.0551929000, 0.0789968000, 0.1236318000, 0.2269841000, 0.5800272000", \
-                        "0.0381257000, 0.0430006000, 0.0545491000, 0.0792846000, 0.1238377000, 0.2269082000, 0.5794498000", \
-                        "0.0380877000, 0.0430498000, 0.0544541000, 0.0791572000, 0.1239754000, 0.2271450000, 0.5778361000", \
-                        "0.0377795000, 0.0429557000, 0.0550662000, 0.0789376000, 0.1235909000, 0.2275439000, 0.5797030000", \
-                        "0.0427478000, 0.0478576000, 0.0586850000, 0.0819653000, 0.1249775000, 0.2276119000, 0.5798194000", \
-                        "0.0650289000, 0.0715783000, 0.0854173000, 0.1133138000, 0.1482841000, 0.2336720000, 0.5810534000");
-                }
-                related_pin : "RESET_B";
-                timing_sense : "positive_unate";
-                timing_type : "clear";
-            }
-        }
-        pin ("Q_N") {
-            direction : "output";
-            function : "IQ_N";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014418200, 0.0041576890, 0.0119892800, 0.0345727500, 0.0996953600, 0.2874855000");
-                    values("-0.005152800, -0.000290700, 0.0095772000, 0.0199881000, 0.0067346000, -0.084139200, -0.381886600", \
-                        "-0.005118200, -0.000265400, 0.0095889000, 0.0199834000, 0.0066582000, -0.084256000, -0.382003200", \
-                        "-0.005032400, -0.000186400, 0.0096475000, 0.0200075000, 0.0066549000, -0.084293500, -0.382039200", \
-                        "-0.005059100, -0.000244100, 0.0095163000, 0.0197743000, 0.0063068000, -0.084692200, -0.382387900", \
-                        "-0.005094100, -0.000302800, 0.0094096000, 0.0195768000, 0.0060840000, -0.084919100, -0.382730800", \
-                        "-0.005149600, -0.000363300, 0.0093391000, 0.0194731000, 0.0059543000, -0.085077800, -0.382884100", \
-                        "-0.005214600, -0.000325400, 0.0096054000, 0.0201568000, 0.0068664000, -0.084399000, -0.382109000");
-                }
-                related_pin : "CLK";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014418200, 0.0041576890, 0.0119892800, 0.0345727500, 0.0996953600, 0.2874855000");
-                    values("-0.006596300, -0.003825300, 0.0034699000, 0.0211197000, 0.0622547000, 0.1695894000, 0.4705227000", \
-                        "-0.006558400, -0.003785700, 0.0035057000, 0.0211409000, 0.0622927000, 0.1689042000, 0.4709691000", \
-                        "-0.006474400, -0.003719500, 0.0035517000, 0.0211406000, 0.0622241000, 0.1687196000, 0.4732607000", \
-                        "-0.006502200, -0.003777600, 0.0034253000, 0.0208766000, 0.0618394000, 0.1691694000, 0.4722253000", \
-                        "-0.006533100, -0.003825300, 0.0033568000, 0.0207864000, 0.0617034000, 0.1688639000, 0.4726649000", \
-                        "-0.006581200, -0.003860600, 0.0033297000, 0.0207907000, 0.0617426000, 0.1690692000, 0.4694948000", \
-                        "-0.006586700, -0.003685200, 0.0037887000, 0.0214200000, 0.0622710000, 0.1685795000, 0.4731011000");
-                }
-            }
-            internal_power () {
-                fall_power ("scalar") {
-                    values("0.0000000000");
-                }
-                related_pin : "RESET_B";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014418200, 0.0041576890, 0.0119892800, 0.0345727500, 0.0996953600, 0.2874855000");
-                    values("-0.001183600, 0.0010088000, 0.0069931000, 0.0223172000, 0.0611865000, 0.1664123000, 0.4677455000", \
-                        "-0.001199500, 0.0009796000, 0.0069506000, 0.0222403000, 0.0609714000, 0.1662322000, 0.4695551000", \
-                        "-0.001222300, 0.0009447000, 0.0068906000, 0.0221413000, 0.0608202000, 0.1662221000, 0.4669570000", \
-                        "-0.001229800, 0.0009421000, 0.0068795000, 0.0221204000, 0.0607473000, 0.1659663000, 0.4669906000", \
-                        "-0.001250200, 0.0009015000, 0.0067963000, 0.0219779000, 0.0605564000, 0.1659139000, 0.4690256000", \
-                        "-0.001289100, 0.0008409000, 0.0066898000, 0.0217922000, 0.0602952000, 0.1662621000, 0.4670330000", \
-                        "-0.001311700, 0.0008586000, 0.0066696000, 0.0219068000, 0.0606736000, 0.1659398000, 0.4690497000");
-                }
-            }
-            max_capacitance : 0.2874860000;
-            max_transition : 1.4978490000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014418200, 0.0041576900, 0.0119893000, 0.0345728000, 0.0996954000, 0.2874860000");
-                    values("0.4444617000, 0.4495632000, 0.4608365000, 0.4833460000, 0.5292952000, 0.6377331000, 0.9376793000", \
-                        "0.4488485000, 0.4539771000, 0.4651830000, 0.4878793000, 0.5338599000, 0.6422071000, 0.9417331000", \
-                        "0.4601230000, 0.4652232000, 0.4764465000, 0.4991223000, 0.5451271000, 0.6534892000, 0.9528112000", \
-                        "0.4859582000, 0.4910385000, 0.5022719000, 0.5249329000, 0.5709566000, 0.6793287000, 0.9784070000", \
-                        "0.5352741000, 0.5403815000, 0.5516985000, 0.5742239000, 0.6201437000, 0.7285808000, 1.0285528000", \
-                        "0.6064396000, 0.6115426000, 0.6228331000, 0.6454580000, 0.6913936000, 0.7998152000, 1.0997454000", \
-                        "0.6984217000, 0.7034331000, 0.7147363000, 0.7373466000, 0.7832981000, 0.8917804000, 1.1909173000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014418200, 0.0041576900, 0.0119893000, 0.0345728000, 0.0996954000, 0.2874860000");
-                    values("0.5091128000, 0.5150327000, 0.5290557000, 0.5608269000, 0.6431697000, 0.8766453000, 1.5522718000", \
-                        "0.5139127000, 0.5200007000, 0.5338728000, 0.5657935000, 0.6480682000, 0.8816763000, 1.5558392000", \
-                        "0.5248753000, 0.5309547000, 0.5450994000, 0.5769181000, 0.6591900000, 0.8932169000, 1.5676589000", \
-                        "0.5505368000, 0.5566826000, 0.5707882000, 0.6025039000, 0.6847399000, 0.9181192000, 1.5938323000", \
-                        "0.5984396000, 0.6045294000, 0.6186711000, 0.6504708000, 0.7327161000, 0.9665221000, 1.6406222000", \
-                        "0.6660443000, 0.6722374000, 0.6862178000, 0.7181171000, 0.8004733000, 1.0338498000, 1.7086877000", \
-                        "0.7494573000, 0.7556372000, 0.7695858000, 0.8013248000, 0.8834701000, 1.1173266000, 1.7924551000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014418200, 0.0041576900, 0.0119893000, 0.0345728000, 0.0996954000, 0.2874860000");
-                    values("0.0238601000, 0.0270532000, 0.0343562000, 0.0520093000, 0.0957604000, 0.2253816000, 0.6233958000", \
-                        "0.0238018000, 0.0275474000, 0.0346027000, 0.0518428000, 0.0957069000, 0.2256872000, 0.6194541000", \
-                        "0.0238221000, 0.0275916000, 0.0345926000, 0.0518765000, 0.0957375000, 0.2256754000, 0.6197134000", \
-                        "0.0238267000, 0.0276128000, 0.0345822000, 0.0518848000, 0.0957654000, 0.2255237000, 0.6225588000", \
-                        "0.0238146000, 0.0269382000, 0.0342992000, 0.0518862000, 0.0959524000, 0.2261832000, 0.6248491000", \
-                        "0.0239054000, 0.0270759000, 0.0343446000, 0.0517673000, 0.0957054000, 0.2256249000, 0.6251185000", \
-                        "0.0238029000, 0.0269242000, 0.0342466000, 0.0520645000, 0.0958811000, 0.2257965000, 0.6185886000");
-                }
-                related_pin : "CLK";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014418200, 0.0041576900, 0.0119893000, 0.0345728000, 0.0996954000, 0.2874860000");
-                    values("0.0265913000, 0.0315751000, 0.0434840000, 0.0793870000, 0.1921284000, 0.5250628000, 1.4920953000", \
-                        "0.0267268000, 0.0310952000, 0.0433873000, 0.0793394000, 0.1923410000, 0.5242928000, 1.4932569000", \
-                        "0.0266143000, 0.0310800000, 0.0434692000, 0.0794102000, 0.1918319000, 0.5254466000, 1.4978487000", \
-                        "0.0267036000, 0.0312000000, 0.0434627000, 0.0795286000, 0.1917921000, 0.5253613000, 1.4940023000", \
-                        "0.0266177000, 0.0311351000, 0.0434178000, 0.0793558000, 0.1921098000, 0.5251247000, 1.4974310000", \
-                        "0.0266827000, 0.0310882000, 0.0432751000, 0.0792681000, 0.1919655000, 0.5252783000, 1.4863309000", \
-                        "0.0266717000, 0.0314192000, 0.0434597000, 0.0794617000, 0.1920046000, 0.5245480000, 1.4976218000");
-                }
-                timing_sense : "non_unate";
-                timing_type : "rising_edge";
-            }
-            timing () {
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014418200, 0.0041576900, 0.0119893000, 0.0345728000, 0.0996954000, 0.2874860000");
-                    values("0.3591467000, 0.3653671000, 0.3793474000, 0.4111615000, 0.4932298000, 0.7263921000, 1.4025161000", \
-                        "0.3641279000, 0.3703992000, 0.3844144000, 0.4160639000, 0.4981908000, 0.7316658000, 1.4095377000", \
-                        "0.3769269000, 0.3832428000, 0.3972297000, 0.4288333000, 0.5107956000, 0.7448138000, 1.4188557000", \
-                        "0.4083950000, 0.4147346000, 0.4286925000, 0.4603372000, 0.5423095000, 0.7760879000, 1.4510124000", \
-                        "0.4836705000, 0.4898944000, 0.5038788000, 0.5356986000, 0.6177703000, 0.8511475000, 1.5289715000", \
-                        "0.6590759000, 0.6652836000, 0.6792784000, 0.7110895000, 0.7932759000, 1.0270262000, 1.7038765000", \
-                        "0.9979385000, 1.0045696000, 1.0191461000, 1.0512449000, 1.1336030000, 1.3667927000, 2.0443258000");
-                }
-                related_pin : "RESET_B";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014418200, 0.0041576900, 0.0119893000, 0.0345728000, 0.0996954000, 0.2874860000");
-                    values("0.0272248000, 0.0318839000, 0.0435165000, 0.0793941000, 0.1915594000, 0.5247791000, 1.4946353000", \
-                        "0.0273734000, 0.0316127000, 0.0435538000, 0.0793460000, 0.1915622000, 0.5241099000, 1.4945482000", \
-                        "0.0272340000, 0.0315257000, 0.0436186000, 0.0793063000, 0.1917807000, 0.5243422000, 1.4886162000", \
-                        "0.0273313000, 0.0318344000, 0.0435523000, 0.0793500000, 0.1916519000, 0.5243906000, 1.4908457000", \
-                        "0.0272493000, 0.0319063000, 0.0435329000, 0.0794082000, 0.1915806000, 0.5255293000, 1.4930526000", \
-                        "0.0273581000, 0.0319904000, 0.0435985000, 0.0794389000, 0.1915477000, 0.5254915000, 1.4956003000", \
-                        "0.0303613000, 0.0341291000, 0.0455938000, 0.0803116000, 0.1919709000, 0.5246381000, 1.4951477000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "preset";
-            }
-        }
-        pin ("RESET_B") {
-            capacitance : 0.0035500000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0034910000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0047550000, 0.0047710000, 0.0048079000, 0.0048177000, 0.0048403000, 0.0048926000, 0.0050132000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.005487700, -0.005474000, -0.005442300, -0.005456800, -0.005490200, -0.005567400, -0.005745200");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0036090000;
-            timing () {
-                related_pin : "CLK";
-                rise_constraint ("vio_3_3_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("-0.225050800, -0.080405600, 0.2752259000", \
-                        "-0.374578800, -0.239699200, 0.0829733000", \
-                        "-0.500718100, -0.371942000, -0.076125000");
-                }
-                sim_opt : "runlvl=5 accurate=1";
-                timing_type : "recovery_rising";
-                violation_delay_degrade_pct : 10.000000000;
-            }
-            timing () {
-                related_pin : "CLK";
-                rise_constraint ("vio_3_3_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("0.3010723000, 0.4359681000, 0.7028952000", \
-                        "0.4359518000, 0.5684063000, 0.8292298000", \
-                        "0.5547669000, 0.6835593000, 0.9370586000");
-                }
-                sim_opt : "runlvl=5 accurate=1";
-                timing_type : "removal_rising";
-                violation_delay_degrade_pct : 10.000000000;
-            }
-            timing () {
-                fall_constraint ("constraint_3_0_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("0.2697491000, 0.8333333000, 2.5000000000");
-                }
-                related_output_pin : "Q";
-                related_pin : "RESET_B";
-                sim_opt : "runlvl=5 accurate=1";
-                timing_type : "min_pulse_width";
-                violation_delay_degrade_pct : 10.000000000;
-            }
-        }
-    }
-
-/* removed sky130_fd_sc_hd__dfrtn_1 */
-
-/* removed sky130_fd_sc_hd__dfrtp_1 */
-
-/* removed sky130_fd_sc_hd__dfrtp_2 */
-
-    cell ("sky130_fd_sc_hd__dfrtp_4") {
-        leakage_power () {
-            value : 0.0134502000;
-            when : "RESET_B&CLK&D&!Q";
-        }
-        leakage_power () {
-            value : 0.0121215000;
-            when : "RESET_B&!CLK&!D&!Q";
-        }
-        leakage_power () {
-            value : 0.0115999000;
-            when : "!RESET_B&CLK&!D&!Q";
-        }
-        leakage_power () {
-            value : 0.0078330000;
-            when : "RESET_B&CLK&!D&Q";
-        }
-        leakage_power () {
-            value : 0.0070350000;
-            when : "RESET_B&!CLK&D&Q";
-        }
-        leakage_power () {
-            value : 0.0126521000;
-            when : "!RESET_B&CLK&D&!Q";
-        }
-        leakage_power () {
-            value : 0.0122373000;
-            when : "RESET_B&!CLK&D&!Q";
-        }
-        leakage_power () {
-            value : 0.0123980000;
-            when : "RESET_B&CLK&!D&!Q";
-        }
-        leakage_power () {
-            value : 0.0117469000;
-            when : "!RESET_B&!CLK&!D&!Q";
-        }
-        leakage_power () {
-            value : 0.0072844000;
-            when : "RESET_B&CLK&D&Q";
-        }
-        leakage_power () {
-            value : 0.0085764000;
-            when : "RESET_B&!CLK&!D&Q";
-        }
-        leakage_power () {
-            value : 0.0120709000;
-            when : "!RESET_B&!CLK&D&!Q";
-        }
-        area : 28.777600000;
-        cell_footprint : "sky130_fd_sc_hd__dfrtp";
-        cell_leakage_power : 0.0107504600;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        ff ("IQ","IQ_N") {
-            clear : "!RESET_B";
-            clocked_on : "CLK";
-            next_state : "D";
-        }
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("CLK") {
-            capacitance : 0.0017940000;
-            clock : "true";
-            direction : "input";
-            fall_capacitance : 0.0017180000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0247727000, 0.0246491000, 0.0243641000, 0.0244335000, 0.0245934000, 0.0249623000, 0.0258124000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0163760000, 0.0162584000, 0.0159874000, 0.0160035000, 0.0160404000, 0.0161257000, 0.0163223000");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0018700000;
-            timing () {
-                fall_constraint ("constraint_3_0_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("0.2104295000, 0.8333333000, 2.5000000000");
-                }
-                related_output_pin : "Q";
-                related_pin : "CLK";
-                rise_constraint ("constraint_3_0_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("0.1972474000, 0.8333333000, 2.5000000000");
-                }
-                sim_opt : "runlvl=5 accurate=1";
-                timing_type : "min_pulse_width";
-                violation_delay_degrade_pct : 10.000000000;
-            }
-        }
-        pin ("D") {
-            capacitance : 0.0019770000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0019490000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0061312000, 0.0060923000, 0.0060027000, 0.0060655000, 0.0062100000, 0.0065434000, 0.0073120000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.000826300, -0.000895100, -0.001053500, -0.001002700, -0.000885600, -0.000615600, 6.746164e-06");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0020060000;
-            timing () {
-                fall_constraint ("vio_3_3_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("0.1069805000, 0.3212057000, 0.6280091000", \
-                        "-0.004705700, 0.2034160000, 0.5028952000", \
-                        "-0.090561800, 0.1126771000, 0.4084941000");
-                }
-                related_pin : "CLK";
-                rise_constraint ("vio_3_3_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("0.0605937000, 0.1759421000, 0.2532533000", \
-                        "-0.013250700, 0.0898906000, 0.1537741000", \
-                        "-0.046616500, 0.0504212000, 0.1082012000");
-                }
-                sim_opt : "runlvl=5 accurate=1";
-                timing_type : "setup_rising";
-                violation_delay_degrade_pct : 10.000000000;
-            }
-            timing () {
-                fall_constraint ("vio_3_3_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("-0.035841800, -0.234197900, -0.484849000", \
-                        "0.0758444000, -0.122511700, -0.384149100", \
-                        "0.1543763000, -0.039097000, -0.300734400");
-                }
-                related_pin : "CLK";
-                rise_constraint ("vio_3_3_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("-0.032179700, -0.135321000, -0.188218100", \
-                        "0.0343405000, -0.062697300, -0.113153000", \
-                        "0.0603822000, -0.032993500, -0.083449200");
-                }
-                sim_opt : "runlvl=5 accurate=1";
-                timing_type : "hold_rising";
-                violation_delay_degrade_pct : 10.000000000;
-            }
-        }
-        pin ("Q") {
-            direction : "output";
-            function : "IQ";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016070190, 0.0051650180, 0.0166005600, 0.0533548200, 0.1714844000, 0.5511573000");
-                    values("0.0299695000, 0.0279332000, 0.0219585000, 0.0054091000, -0.050107800, -0.238744700, -0.853077600", \
-                        "0.0299944000, 0.0279360000, 0.0220453000, 0.0054443000, -0.050062500, -0.238642800, -0.853007100", \
-                        "0.0300718000, 0.0280837000, 0.0221125000, 0.0055147000, -0.049969800, -0.238632800, -0.852971800", \
-                        "0.0296403000, 0.0276000000, 0.0216699000, 0.0050146000, -0.050430700, -0.239058000, -0.853392800", \
-                        "0.0293773000, 0.0273888000, 0.0214311000, 0.0048182000, -0.050663500, -0.239325900, -0.853667900", \
-                        "0.0293486000, 0.0273638000, 0.0214234000, 0.0048024000, -0.050621400, -0.239305600, -0.853608600", \
-                        "0.0370078000, 0.0349519000, 0.0288566000, 0.0103630000, -0.048337400, -0.238551500, -0.852885200");
-                }
-                related_pin : "CLK";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016070190, 0.0051650180, 0.0166005600, 0.0533548200, 0.1714844000, 0.5511573000");
-                    values("0.0347175000, 0.0365158000, 0.0424081000, 0.0616755000, 0.1218193000, 0.3131402000, 0.9192339000", \
-                        "0.0347044000, 0.0364778000, 0.0423421000, 0.0616478000, 0.1218964000, 0.3127662000, 0.9197844000", \
-                        "0.0348540000, 0.0366139000, 0.0425371000, 0.0618122000, 0.1220448000, 0.3116969000, 0.9213186000", \
-                        "0.0343887000, 0.0361597000, 0.0420608000, 0.0613458000, 0.1215771000, 0.3117331000, 0.9209227000", \
-                        "0.0339810000, 0.0357782000, 0.0416577000, 0.0609860000, 0.1211210000, 0.3114851000, 0.9245174000", \
-                        "0.0339275000, 0.0357173000, 0.0415838000, 0.0608545000, 0.1210585000, 0.3112393000, 0.9247014000", \
-                        "0.0364558000, 0.0382480000, 0.0440252000, 0.0627561000, 0.1219810000, 0.3120629000, 0.9195208000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016070190, 0.0051650180, 0.0166005600, 0.0533548200, 0.1714844000, 0.5511573000");
-                    values("0.0338738000, 0.0318746000, 0.0259394000, 0.0084556000, -0.046990300, -0.236451000, -0.850829300", \
-                        "0.0337973000, 0.0317783000, 0.0258342000, 0.0082823000, -0.047123000, -0.236609600, -0.851038100", \
-                        "0.0335307000, 0.0315160000, 0.0255985000, 0.0080700000, -0.047353400, -0.236766800, -0.851209200", \
-                        "0.0335631000, 0.0315661000, 0.0256394000, 0.0081175000, -0.047320100, -0.236794600, -0.851200100", \
-                        "0.0333516000, 0.0313601000, 0.0254519000, 0.0078388000, -0.047657200, -0.237097500, -0.851416500", \
-                        "0.0333880000, 0.0313433000, 0.0253175000, 0.0075635000, -0.048187700, -0.237681000, -0.851803800", \
-                        "0.0363885000, 0.0341078000, 0.0274030000, 0.0081871000, -0.048051600, -0.237911200, -0.852013100");
-                }
-                related_pin : "RESET_B";
-                rise_power ("scalar") {
-                    values("0.0000000000");
-                }
-            }
-            max_capacitance : 0.5511570000;
-            max_transition : 1.5066460000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000");
-                    values("0.4278874000, 0.4333774000, 0.4478247000, 0.4798925000, 0.5433685000, 0.6765929000, 1.0213966000", \
-                        "0.4326854000, 0.4382105000, 0.4526138000, 0.4847573000, 0.5484528000, 0.6811902000, 1.0260175000", \
-                        "0.4436976000, 0.4491410000, 0.4635965000, 0.4956470000, 0.5594823000, 0.6923557000, 1.0370583000", \
-                        "0.4694380000, 0.4749215000, 0.4893426000, 0.5214400000, 0.5852945000, 0.7182639000, 1.0626089000", \
-                        "0.5172320000, 0.5226768000, 0.5371328000, 0.5691848000, 0.6330212000, 0.7658952000, 1.1105971000", \
-                        "0.5847856000, 0.5902963000, 0.6047497000, 0.6368280000, 0.7008215000, 0.8336002000, 1.1780426000", \
-                        "0.6682113000, 0.6737185000, 0.6881254000, 0.7202116000, 0.7840909000, 0.9170253000, 1.2613036000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000");
-                    values("0.3572830000, 0.3631876000, 0.3786668000, 0.4143570000, 0.4970749000, 0.7233552000, 1.4371371000", \
-                        "0.3617534000, 0.3676758000, 0.3831430000, 0.4188302000, 0.5014407000, 0.7284192000, 1.4434673000", \
-                        "0.3729384000, 0.3788586000, 0.3944281000, 0.4301267000, 0.5128277000, 0.7393517000, 1.4570324000", \
-                        "0.3988477000, 0.4047517000, 0.4202051000, 0.4559296000, 0.5385452000, 0.7655248000, 1.4803054000", \
-                        "0.4482011000, 0.4541415000, 0.4696181000, 0.5053349000, 0.5880437000, 0.8144909000, 1.5291550000", \
-                        "0.5194781000, 0.5253744000, 0.5409241000, 0.5765455000, 0.6592902000, 0.8861345000, 1.5992812000", \
-                        "0.6114050000, 0.6173301000, 0.6328089000, 0.6685227000, 0.7512245000, 0.9777996000, 1.6934989000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000");
-                    values("0.0474066000, 0.0505642000, 0.0593778000, 0.0785944000, 0.1249593000, 0.2533997000, 0.6811097000", \
-                        "0.0473712000, 0.0505077000, 0.0591728000, 0.0788036000, 0.1247328000, 0.2530802000, 0.6820092000", \
-                        "0.0475022000, 0.0504711000, 0.0592665000, 0.0785787000, 0.1243856000, 0.2534271000, 0.6820495000", \
-                        "0.0473406000, 0.0505583000, 0.0588699000, 0.0784091000, 0.1246490000, 0.2531278000, 0.6811394000", \
-                        "0.0475053000, 0.0504704000, 0.0592665000, 0.0785743000, 0.1243768000, 0.2518425000, 0.6820486000", \
-                        "0.0474097000, 0.0506080000, 0.0591290000, 0.0795225000, 0.1255951000, 0.2530649000, 0.6814603000", \
-                        "0.0472337000, 0.0505736000, 0.0589243000, 0.0784877000, 0.1249136000, 0.2530928000, 0.6793409000");
-                }
-                related_pin : "CLK";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000");
-                    values("0.0386571000, 0.0426187000, 0.0541777000, 0.0841579000, 0.1750732000, 0.4824007000, 1.4984988000", \
-                        "0.0385766000, 0.0425911000, 0.0540197000, 0.0843471000, 0.1750427000, 0.4816626000, 1.5013254000", \
-                        "0.0386927000, 0.0428007000, 0.0540112000, 0.0844763000, 0.1749538000, 0.4823544000, 1.5066455000", \
-                        "0.0386188000, 0.0425989000, 0.0539225000, 0.0844749000, 0.1750902000, 0.4818559000, 1.5024750000", \
-                        "0.0385050000, 0.0426073000, 0.0540259000, 0.0843623000, 0.1750873000, 0.4822105000, 1.5017908000", \
-                        "0.0385929000, 0.0423346000, 0.0539535000, 0.0845894000, 0.1750607000, 0.4815070000, 1.5060172000", \
-                        "0.0386478000, 0.0425863000, 0.0540873000, 0.0842954000, 0.1750144000, 0.4808806000, 1.5000137000");
-                }
-                timing_sense : "non_unate";
-                timing_type : "rising_edge";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000");
-                    values("0.2726160000, 0.2784066000, 0.2938796000, 0.3284342000, 0.3968920000, 0.5207850000, 0.8564664000", \
-                        "0.2778151000, 0.2836729000, 0.2990899000, 0.3336493000, 0.4021628000, 0.5260182000, 0.8617533000", \
-                        "0.2909576000, 0.2967514000, 0.3122574000, 0.3467697000, 0.4152801000, 0.5391452000, 0.8749398000", \
-                        "0.3224239000, 0.3282123000, 0.3436771000, 0.3782305000, 0.4467129000, 0.5706233000, 0.9061194000", \
-                        "0.3973799000, 0.4032437000, 0.4186159000, 0.4531827000, 0.5216273000, 0.6455099000, 0.9812883000", \
-                        "0.5742110000, 0.5800556000, 0.5953559000, 0.6297496000, 0.6979708000, 0.8217648000, 1.1575997000", \
-                        "0.9124713000, 0.9197019000, 0.9385788000, 0.9806795000, 1.0600536000, 1.1860584000, 1.5207570000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000");
-                    values("0.0516987000, 0.0550003000, 0.0642348000, 0.0861671000, 0.1273802000, 0.2358921000, 0.6706659000", \
-                        "0.0519331000, 0.0553446000, 0.0648162000, 0.0867895000, 0.1271400000, 0.2362608000, 0.6703673000", \
-                        "0.0516173000, 0.0554186000, 0.0642397000, 0.0863431000, 0.1274503000, 0.2362114000, 0.6711176000", \
-                        "0.0517273000, 0.0550219000, 0.0642619000, 0.0861693000, 0.1272256000, 0.2363089000, 0.6703250000", \
-                        "0.0521422000, 0.0552000000, 0.0647128000, 0.0865526000, 0.1274985000, 0.2362744000, 0.6704258000", \
-                        "0.0526795000, 0.0559651000, 0.0653596000, 0.0872004000, 0.1275650000, 0.2362612000, 0.6702304000", \
-                        "0.0795400000, 0.0830614000, 0.0923383000, 0.1153623000, 0.1486292000, 0.2409714000, 0.6689861000");
-                }
-                related_pin : "RESET_B";
-                timing_sense : "positive_unate";
-                timing_type : "clear";
-            }
-        }
-        pin ("RESET_B") {
-            capacitance : 0.0035610000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0034840000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0047825000, 0.0047938000, 0.0048198000, 0.0048295000, 0.0048520000, 0.0049039000, 0.0050236000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.005531400, -0.005506400, -0.005448700, -0.005463200, -0.005496700, -0.005573700, -0.005751000");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0036380000;
-            timing () {
-                related_pin : "CLK";
-                rise_constraint ("vio_3_3_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("-0.217726600, -0.058432900, 0.3643372000", \
-                        "-0.368475300, -0.220168000, 0.1635397000", \
-                        "-0.494614600, -0.354852200, 0.0020000000");
-                }
-                sim_opt : "runlvl=5 accurate=1";
-                timing_type : "recovery_rising";
-                violation_delay_degrade_pct : 10.000000000;
-            }
-            timing () {
-                related_pin : "CLK";
-                rise_constraint ("vio_3_3_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("0.3010723000, 0.4359681000, 0.7028952000", \
-                        "0.4359518000, 0.5684063000, 0.8292298000", \
-                        "0.5547669000, 0.6835593000, 0.9370586000");
-                }
-                sim_opt : "runlvl=5 accurate=1";
-                timing_type : "removal_rising";
-                violation_delay_degrade_pct : 10.000000000;
-            }
-            timing () {
-                fall_constraint ("constraint_3_0_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("0.3213791000, 0.8333333000, 2.5000000000");
-                }
-                related_output_pin : "Q";
-                related_pin : "RESET_B";
-                sim_opt : "runlvl=5 accurate=1";
-                timing_type : "min_pulse_width";
-                violation_delay_degrade_pct : 10.000000000;
-            }
-        }
-    }
-
-/* removed sky130_fd_sc_hd__dfsbp_1 */
-
-/* removed sky130_fd_sc_hd__dfsbp_2 */
-
-/* removed sky130_fd_sc_hd__dfstp_1 */
-
-/* removed sky130_fd_sc_hd__dfstp_2 */
-
-    cell ("sky130_fd_sc_hd__dfstp_4") {
-        leakage_power () {
-            value : 0.0137195000;
-            when : "CLK&D&SET_B&!Q";
-        }
-        leakage_power () {
-            value : 0.0116592000;
-            when : "!CLK&!D&SET_B&!Q";
-        }
-        leakage_power () {
-            value : 0.0097567000;
-            when : "CLK&!D&!SET_B&Q";
-        }
-        leakage_power () {
-            value : 0.0101180000;
-            when : "CLK&!D&SET_B&Q";
-        }
-        leakage_power () {
-            value : 0.0106245000;
-            when : "!CLK&D&SET_B&Q";
-        }
-        leakage_power () {
-            value : 0.0102729000;
-            when : "CLK&D&!SET_B&Q";
-        }
-        leakage_power () {
-            value : 0.0130189000;
-            when : "!CLK&D&SET_B&!Q";
-        }
-        leakage_power () {
-            value : 0.0117775000;
-            when : "CLK&!D&SET_B&!Q";
-        }
-        leakage_power () {
-            value : 0.0099070000;
-            when : "!CLK&!D&!SET_B&Q";
-        }
-        leakage_power () {
-            value : 0.0106342000;
-            when : "CLK&D&SET_B&Q";
-        }
-        leakage_power () {
-            value : 0.0099706000;
-            when : "!CLK&!D&SET_B&Q";
-        }
-        leakage_power () {
-            value : 0.0098873000;
-            when : "!CLK&D&!SET_B&Q";
-        }
-        area : 30.028800000;
-        cell_footprint : "sky130_fd_sc_hd__dfstp";
-        cell_leakage_power : 0.0109455200;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        ff ("IQ","IQ_N") {
-            clocked_on : "CLK";
-            next_state : "D";
-            preset : "!SET_B";
-        }
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("CLK") {
-            capacitance : 0.0017760000;
-            clock : "true";
-            direction : "input";
-            fall_capacitance : 0.0016940000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0232686000, 0.0231860000, 0.0229957000, 0.0230470000, 0.0231652000, 0.0234380000, 0.0240668000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0172554000, 0.0171832000, 0.0170168000, 0.0170337000, 0.0170725000, 0.0171621000, 0.0173687000");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0018570000;
-            timing () {
-                fall_constraint ("constraint_3_0_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("0.1928533000, 0.8333333000, 2.5000000000");
-                }
-                related_output_pin : "Q";
-                related_pin : "CLK";
-                rise_constraint ("constraint_3_0_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("0.3796002000, 0.8333333000, 2.5000000000");
-                }
-                sim_opt : "runlvl=5 accurate=1";
-                timing_type : "min_pulse_width";
-                violation_delay_degrade_pct : 10.000000000;
-            }
-        }
-        pin ("D") {
-            capacitance : 0.0023610000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0022330000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0073635000, 0.0072883000, 0.0071149000, 0.0072660000, 0.0076142000, 0.0084169000, 0.0102673000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.000121800, -0.000306100, -0.000731100, -0.000592000, -0.000271500, 0.0004675000, 0.0021711000");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0024880000;
-            timing () {
-                fall_constraint ("vio_3_3_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("0.0642559000, 0.2418600000, 0.4510072000", \
-                        "-0.044988900, 0.1228496000, 0.3271139000", \
-                        "-0.124741500, 0.0357728000, 0.2339336000");
-                }
-                related_pin : "CLK";
-                rise_constraint ("vio_3_3_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("0.0520488000, 0.1588522000, 0.2386048000", \
-                        "-0.014471400, 0.0825664000, 0.1513327000", \
-                        "-0.042954400, 0.0516419000, 0.1167461000");
-                }
-                sim_opt : "runlvl=5 accurate=1";
-                timing_type : "setup_rising";
-                violation_delay_degrade_pct : 10.000000000;
-            }
-            timing () {
-                fall_constraint ("vio_3_3_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("-0.006544900, -0.158514300, -0.322495400", \
-                        "0.1014792000, -0.050490200, -0.226678400", \
-                        "0.1726869000, 0.0243796000, -0.153029300");
-                }
-                related_pin : "CLK";
-                rise_constraint ("vio_3_3_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("-0.032179700, -0.130438200, -0.194321600", \
-                        "0.0282370000, -0.065138700, -0.127801400", \
-                        "0.0506165000, -0.041538400, -0.102980500");
-                }
-                sim_opt : "runlvl=5 accurate=1";
-                timing_type : "hold_rising";
-                violation_delay_degrade_pct : 10.000000000;
-            }
-        }
-        pin ("Q") {
-            direction : "output";
-            function : "IQ";
-            internal_power () {
-                fall_power ("scalar") {
-                    values("0.0000000000");
-                }
-                related_pin : "SET_B";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016399840, 0.0053790920, 0.0176432500, 0.0578692800, 0.1898093000, 0.6225684000");
-                    values("0.0771726000, 0.0791071000, 0.0852948000, 0.1057173000, 0.1706499000, 0.3821558000, 1.0761255000", \
-                        "0.0767719000, 0.0786339000, 0.0848185000, 0.1051668000, 0.1704811000, 0.3837635000, 1.0805878000", \
-                        "0.0763454000, 0.0781876000, 0.0845166000, 0.1049720000, 0.1701005000, 0.3831408000, 1.0793313000", \
-                        "0.0764802000, 0.0783781000, 0.0846643000, 0.1051368000, 0.1702346000, 0.3816382000, 1.0768124000", \
-                        "0.0768287000, 0.0786569000, 0.0849341000, 0.1052710000, 0.1704958000, 0.3819774000, 1.0698654000", \
-                        "0.0767680000, 0.0787412000, 0.0849465000, 0.1053341000, 0.1704507000, 0.3818011000, 1.0766770000", \
-                        "0.0781065000, 0.0799810000, 0.0859369000, 0.1059022000, 0.1718116000, 0.3822543000, 1.0767176000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016399840, 0.0053790920, 0.0176432500, 0.0578692800, 0.1898093000, 0.6225684000");
-                    values("0.0433419000, 0.0414575000, 0.0354933000, 0.0172290000, -0.044194500, -0.255907800, -0.956502100", \
-                        "0.0433064000, 0.0414535000, 0.0354470000, 0.0172435000, -0.044264500, -0.255912000, -0.956476800", \
-                        "0.0433349000, 0.0414240000, 0.0354909000, 0.0172677000, -0.044343000, -0.255923000, -0.956471900", \
-                        "0.0428454000, 0.0408816000, 0.0349298000, 0.0167896000, -0.044696700, -0.256338600, -0.956929200", \
-                        "0.0425830000, 0.0407013000, 0.0347944000, 0.0166174000, -0.044911900, -0.256617200, -0.957152800", \
-                        "0.0426975000, 0.0408423000, 0.0347541000, 0.0165389000, -0.044889200, -0.256485400, -0.957171000", \
-                        "0.0484822000, 0.0465386000, 0.0404538000, 0.0210941000, -0.043076500, -0.256025800, -0.956605100");
-                }
-                related_pin : "CLK";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016399840, 0.0053790920, 0.0176432500, 0.0578692800, 0.1898093000, 0.6225684000");
-                    values("0.0490495000, 0.0508989000, 0.0571053000, 0.0775204000, 0.1427179000, 0.3553499000, 1.0524642000", \
-                        "0.0489720000, 0.0507552000, 0.0570165000, 0.0774362000, 0.1427736000, 0.3535812000, 1.0476493000", \
-                        "0.0488576000, 0.0507099000, 0.0567990000, 0.0773255000, 0.1426942000, 0.3543849000, 1.0513180000", \
-                        "0.0485259000, 0.0503820000, 0.0564485000, 0.0770206000, 0.1418549000, 0.3534555000, 1.0520203000", \
-                        "0.0481845000, 0.0500706000, 0.0561003000, 0.0765821000, 0.1415671000, 0.3528701000, 1.0443870000", \
-                        "0.0479770000, 0.0497329000, 0.0559182000, 0.0764541000, 0.1415934000, 0.3544899000, 1.0461071000", \
-                        "0.0501290000, 0.0519469000, 0.0579307000, 0.0779220000, 0.1420391000, 0.3527414000, 1.0467670000");
-                }
-            }
-            max_capacitance : 0.6225680000;
-            max_transition : 1.5019950000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016399800, 0.0053790900, 0.0176432000, 0.0578693000, 0.1898090000, 0.6225680000");
-                    values("0.4284925000, 0.4321941000, 0.4423047000, 0.4659346000, 0.5151804000, 0.6272079000, 0.9447566000", \
-                        "0.4331685000, 0.4368633000, 0.4470976000, 0.4707306000, 0.5202038000, 0.6319299000, 0.9497633000", \
-                        "0.4443255000, 0.4480489000, 0.4582560000, 0.4819148000, 0.5314576000, 0.6430140000, 0.9609673000", \
-                        "0.4699173000, 0.4736653000, 0.4838367000, 0.5076669000, 0.5568392000, 0.6686635000, 0.9860633000", \
-                        "0.5179819000, 0.5217022000, 0.5319227000, 0.5555842000, 0.6050702000, 0.7166566000, 1.0342954000", \
-                        "0.5865703000, 0.5903557000, 0.6005407000, 0.6242005000, 0.6734555000, 0.7853897000, 1.1027536000", \
-                        "0.6709817000, 0.6747072000, 0.6848607000, 0.7085558000, 0.7581344000, 0.8697149000, 1.1872144000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016399800, 0.0053790900, 0.0176432000, 0.0578693000, 0.1898090000, 0.6225680000");
-                    values("0.5717622000, 0.5765045000, 0.5893120000, 0.6190649000, 0.6924932000, 0.9136427000, 1.6341102000", \
-                        "0.5762104000, 0.5809723000, 0.5936487000, 0.6235505000, 0.6973653000, 0.9176381000, 1.6383025000", \
-                        "0.5874511000, 0.5922746000, 0.6048618000, 0.6349319000, 0.7086817000, 0.9293988000, 1.6504240000", \
-                        "0.6123801000, 0.6172082000, 0.6297872000, 0.6598624000, 0.7334103000, 0.9536896000, 1.6746365000", \
-                        "0.6597666000, 0.6645022000, 0.6770449000, 0.7070663000, 0.7806367000, 1.0008995000, 1.7207104000", \
-                        "0.7290220000, 0.7337266000, 0.7466210000, 0.7763912000, 0.8503242000, 1.0712047000, 1.7929405000", \
-                        "0.8186149000, 0.8233222000, 0.8360287000, 0.8660978000, 0.9398573000, 1.1600250000, 1.8817088000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016399800, 0.0053790900, 0.0176432000, 0.0578693000, 0.1898090000, 0.6225680000");
-                    values("0.0360117000, 0.0380370000, 0.0446432000, 0.0593286000, 0.0987997000, 0.2173298000, 0.6311101000", \
-                        "0.0360349000, 0.0381436000, 0.0443646000, 0.0594668000, 0.0984293000, 0.2170178000, 0.6310075000", \
-                        "0.0360619000, 0.0383774000, 0.0443498000, 0.0601324000, 0.0981054000, 0.2168160000, 0.6312500000", \
-                        "0.0363050000, 0.0384261000, 0.0446557000, 0.0594409000, 0.0990135000, 0.2171381000, 0.6274269000", \
-                        "0.0357993000, 0.0381389000, 0.0443522000, 0.0601772000, 0.0991845000, 0.2174672000, 0.6321128000", \
-                        "0.0361063000, 0.0383930000, 0.0441314000, 0.0593441000, 0.0991100000, 0.2170476000, 0.6283670000", \
-                        "0.0360744000, 0.0383484000, 0.0445071000, 0.0601710000, 0.0987109000, 0.2174121000, 0.6270097000");
-                }
-                related_pin : "CLK";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016399800, 0.0053790900, 0.0176432000, 0.0578693000, 0.1898090000, 0.6225680000");
-                    values("0.0390928000, 0.0420609000, 0.0507045000, 0.0757399000, 0.1620567000, 0.4683606000, 1.4944875000", \
-                        "0.0389755000, 0.0419461000, 0.0507103000, 0.0757944000, 0.1619539000, 0.4680689000, 1.4927245000", \
-                        "0.0393475000, 0.0418872000, 0.0504232000, 0.0758617000, 0.1619926000, 0.4675559000, 1.4954976000", \
-                        "0.0393080000, 0.0420011000, 0.0504184000, 0.0758780000, 0.1617079000, 0.4678594000, 1.4947664000", \
-                        "0.0389229000, 0.0420632000, 0.0505382000, 0.0761564000, 0.1621217000, 0.4676407000, 1.4945839000", \
-                        "0.0391077000, 0.0421535000, 0.0508203000, 0.0759162000, 0.1619707000, 0.4688219000, 1.4941088000", \
-                        "0.0392064000, 0.0423718000, 0.0504592000, 0.0758573000, 0.1620008000, 0.4679588000, 1.4958811000");
-                }
-                timing_sense : "non_unate";
-                timing_type : "rising_edge";
-            }
-            timing () {
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016399800, 0.0053790900, 0.0176432000, 0.0578693000, 0.1898090000, 0.6225680000");
-                    values("0.3906759000, 0.3947574000, 0.4059705000, 0.4337536000, 0.5061108000, 0.7268277000, 1.4478989000", \
-                        "0.3951418000, 0.3989618000, 0.4101809000, 0.4381290000, 0.5106534000, 0.7309117000, 1.4517330000", \
-                        "0.4075777000, 0.4115141000, 0.4227912000, 0.4506797000, 0.5231020000, 0.7436625000, 1.4648177000", \
-                        "0.4401818000, 0.4444075000, 0.4556580000, 0.4835905000, 0.5559058000, 0.7770359000, 1.4968637000", \
-                        "0.5169347000, 0.5207990000, 0.5319921000, 0.5598734000, 0.6324171000, 0.8536604000, 1.5730965000", \
-                        "0.6793061000, 0.6833747000, 0.6945112000, 0.7224204000, 0.7948366000, 1.0161770000, 1.7356486000", \
-                        "0.9691504000, 0.9733365000, 0.9845822000, 1.0126103000, 1.0850896000, 1.3052686000, 2.0249802000");
-                }
-                related_pin : "SET_B";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016399800, 0.0053790900, 0.0176432000, 0.0578693000, 0.1898090000, 0.6225680000");
-                    values("0.0318401000, 0.0349739000, 0.0437718000, 0.0700532000, 0.1587046000, 0.4690467000, 1.4937702000", \
-                        "0.0316945000, 0.0347259000, 0.0433704000, 0.0700053000, 0.1591753000, 0.4695433000, 1.4985602000", \
-                        "0.0316039000, 0.0346788000, 0.0435802000, 0.0700766000, 0.1592733000, 0.4703238000, 1.4987888000", \
-                        "0.0318493000, 0.0348725000, 0.0434566000, 0.0700030000, 0.1593679000, 0.4688346000, 1.4973740000", \
-                        "0.0316487000, 0.0348440000, 0.0436162000, 0.0702510000, 0.1590421000, 0.4695487000, 1.4927148000", \
-                        "0.0317936000, 0.0347784000, 0.0436296000, 0.0700047000, 0.1593451000, 0.4698462000, 1.4976833000", \
-                        "0.0322716000, 0.0355918000, 0.0441644000, 0.0701699000, 0.1592196000, 0.4669418000, 1.5019950000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "preset";
-            }
-        }
-        pin ("SET_B") {
-            capacitance : 0.0033590000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0033000000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0051231000, 0.0051557000, 0.0052307000, 0.0052374000, 0.0052529000, 0.0052886000, 0.0053710000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0012562000, 0.0012139000, 0.0011164000, 0.0011072000, 0.0010859000, 0.0010369000, 0.0009240000");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0034190000;
-            timing () {
-                related_pin : "CLK";
-                rise_constraint ("vio_3_3_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("-0.131056600, -0.082847000, -0.103192100", \
-                        "-0.268377600, -0.218947300, -0.238071600", \
-                        "-0.374985700, -0.325555300, -0.341017600");
-                }
-                sim_opt : "runlvl=5 accurate=1";
-                timing_type : "recovery_rising";
-                violation_delay_degrade_pct : 10.000000000;
-            }
-            timing () {
-                related_pin : "CLK";
-                rise_constraint ("vio_3_3_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("0.1484844000, 0.1051576000, 0.1303854000", \
-                        "0.2833639000, 0.2375957000, 0.2603821000", \
-                        "0.3887513000, 0.3429831000, 0.3621074000");
-                }
-                sim_opt : "runlvl=5 accurate=1";
-                timing_type : "removal_rising";
-                violation_delay_degrade_pct : 10.000000000;
-            }
-            timing () {
-                fall_constraint ("constraint_3_0_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("0.2400893000, 0.8333333000, 2.5000000000");
-                }
-                related_output_pin : "Q";
-                related_pin : "SET_B";
-                sim_opt : "runlvl=5 accurate=1";
-                timing_type : "min_pulse_width";
-                violation_delay_degrade_pct : 10.000000000;
-            }
-        }
-    }
-
-/* removed sky130_fd_sc_hd__dfxbp_1 */
-
-    cell ("sky130_fd_sc_hd__dfxbp_2") {
-        leakage_power () {
-            value : 0.0119064000;
-            when : "CLK&D&!Q&Q_N";
-        }
-        leakage_power () {
-            value : 0.0108319000;
-            when : "!CLK&!D&!Q&Q_N";
-        }
-        leakage_power () {
-            value : 0.0134870000;
-            when : "CLK&!D&Q&!Q_N";
-        }
-        leakage_power () {
-            value : 0.0131686000;
-            when : "!CLK&D&Q&!Q_N";
-        }
-        leakage_power () {
-            value : 0.0120101000;
-            when : "!CLK&D&!Q&Q_N";
-        }
-        leakage_power () {
-            value : 0.0108270000;
-            when : "CLK&!D&!Q&Q_N";
-        }
-        leakage_power () {
-            value : 0.0130602000;
-            when : "CLK&D&Q&!Q_N";
-        }
-        leakage_power () {
-            value : 0.0134159000;
-            when : "!CLK&!D&Q&!Q_N";
-        }
-        area : 26.275200000;
-        cell_footprint : "sky130_fd_sc_hd__dfxbp";
-        cell_leakage_power : 0.0123383900;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        ff ("IQ","IQ_N") {
-            clocked_on : "CLK";
-            next_state : "D";
-        }
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("CLK") {
-            capacitance : 0.0017800000;
-            clock : "true";
-            direction : "input";
-            fall_capacitance : 0.0016950000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0226628000, 0.0225479000, 0.0222832000, 0.0223632000, 0.0225475000, 0.0229725000, 0.0239523000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0178197000, 0.0176966000, 0.0174129000, 0.0174501000, 0.0175357000, 0.0177334000, 0.0181890000");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0018650000;
-            timing () {
-                fall_constraint ("constraint_3_0_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("0.2093310000, 0.8333333000, 2.5000000000");
-                }
-                related_output_pin : "Q";
-                related_pin : "CLK";
-                rise_constraint ("constraint_3_0_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("0.1851638000, 0.8333333000, 2.5000000000");
-                }
-                sim_opt : "runlvl=5 accurate=1";
-                timing_type : "min_pulse_width";
-                violation_delay_degrade_pct : 10.000000000;
-            }
-        }
-        pin ("D") {
-            capacitance : 0.0016770000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0016810000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0055389000, 0.0054574000, 0.0052694000, 0.0053319000, 0.0054760000, 0.0058082000, 0.0065740000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.000455300, -0.000515100, -0.000652700, -0.000604900, -0.000494700, -0.000240400, 0.0003457000");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0016730000;
-            timing () {
-                fall_constraint ("vio_3_3_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("0.1045391000, 0.3199850000, 0.6219056000", \
-                        "-0.008367800, 0.2009746000, 0.4992331000", \
-                        "-0.096665400, 0.1090150000, 0.4048320000");
-                }
-                related_pin : "CLK";
-                rise_constraint ("vio_3_3_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("0.0544902000, 0.1710592000, 0.2544740000", \
-                        "-0.015692100, 0.0862285000, 0.1513327000", \
-                        "-0.045395800, 0.0516419000, 0.1118633000");
-                }
-                sim_opt : "runlvl=5 accurate=1";
-                timing_type : "setup_rising";
-                violation_delay_degrade_pct : 10.000000000;
-            }
-            timing () {
-                fall_constraint ("vio_3_3_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("-0.040724600, -0.242742800, -0.500718100", \
-                        "0.0685202000, -0.133498000, -0.403680400", \
-                        "0.1446107000, -0.054966100, -0.327589900");
-                }
-                related_pin : "CLK";
-                rise_constraint ("vio_3_3_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("-0.028517600, -0.130438200, -0.184556000", \
-                        "0.0355612000, -0.060255900, -0.114373700", \
-                        "0.0579408000, -0.035434900, -0.089552700");
-                }
-                sim_opt : "runlvl=5 accurate=1";
-                timing_type : "hold_rising";
-                violation_delay_degrade_pct : 10.000000000;
-            }
-        }
-        pin ("Q") {
-            direction : "output";
-            function : "IQ";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014456210, 0.0041796400, 0.0120843500, 0.0349387800, 0.1010165000, 0.2920631000");
-                    values("-0.005746100, -0.000554700, 0.0101077000, 0.0217896000, 0.0092629000, -0.082375300, -0.385012600", \
-                        "-0.005684500, -0.000511000, 0.0101369000, 0.0218088000, 0.0093105000, -0.082316500, -0.384993000", \
-                        "-0.005549000, -0.000380900, 0.0102170000, 0.0218235000, 0.0092582000, -0.082443800, -0.385156400", \
-                        "-0.005590000, -0.000451400, 0.0100912000, 0.0216018000, 0.0088505000, -0.082874000, -0.385586500", \
-                        "-0.005643700, -0.000520200, 0.0099935000, 0.0214542000, 0.0086827000, -0.083102900, -0.385870200", \
-                        "-0.005740500, -0.000604900, 0.0099037000, 0.0213946000, 0.0086319000, -0.083117700, -0.385877900", \
-                        "-0.005914100, -0.000697700, 0.0100129000, 0.0218508000, 0.0094369000, -0.082497700, -0.385270600");
-                }
-                related_pin : "CLK";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014456210, 0.0041796400, 0.0120843500, 0.0349387800, 0.1010165000, 0.2920631000");
-                    values("-0.007368300, -0.004603000, 0.0026009000, 0.0200155000, 0.0613637000, 0.1692873000, 0.4763728000", \
-                        "-0.007316400, -0.004562000, 0.0025962000, 0.0199795000, 0.0613405000, 0.1692190000, 0.4794763000", \
-                        "-0.007182300, -0.004442600, 0.0026952000, 0.0200202000, 0.0612611000, 0.1696448000, 0.4776952000", \
-                        "-0.007216800, -0.004504800, 0.0025657000, 0.0197987000, 0.0609143000, 0.1690306000, 0.4775162000", \
-                        "-0.007271500, -0.004578300, 0.0024493000, 0.0196147000, 0.0606326000, 0.1693911000, 0.4748742000", \
-                        "-0.007370500, -0.004678500, 0.0023446000, 0.0195079000, 0.0606021000, 0.1685264000, 0.4780004000", \
-                        "-0.007539900, -0.004748600, 0.0024999000, 0.0199316000, 0.0609999000, 0.1692889000, 0.4788320000");
-                }
-            }
-            max_capacitance : 0.2920630000;
-            max_transition : 1.5026260000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014456200, 0.0041796400, 0.0120844000, 0.0349388000, 0.1010160000, 0.2920630000");
-                    values("0.2939337000, 0.2991456000, 0.3105704000, 0.3338237000, 0.3828770000, 0.5017026000, 0.8331890000", \
-                        "0.2986641000, 0.3038158000, 0.3152867000, 0.3383178000, 0.3875935000, 0.5064137000, 0.8379199000", \
-                        "0.3097049000, 0.3148803000, 0.3263292000, 0.3496073000, 0.3986365000, 0.5174657000, 0.8483946000", \
-                        "0.3354556000, 0.3405949000, 0.3520446000, 0.3752912000, 0.4243495000, 0.5431761000, 0.8750231000", \
-                        "0.3825875000, 0.3877902000, 0.3992241000, 0.4224771000, 0.4715326000, 0.5903603000, 0.9218285000", \
-                        "0.4494993000, 0.4546689000, 0.4660974000, 0.4893612000, 0.5384150000, 0.6572429000, 0.9885449000", \
-                        "0.5320565000, 0.5372565000, 0.5487030000, 0.5719559000, 0.6210189000, 0.7398491000, 1.0713375000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014456200, 0.0041796400, 0.0120844000, 0.0349388000, 0.1010160000, 0.2920630000");
-                    values("0.2915415000, 0.2974732000, 0.3111724000, 0.3428633000, 0.4263008000, 0.6614584000, 1.3434092000", \
-                        "0.2963151000, 0.3021714000, 0.3158973000, 0.3476527000, 0.4310308000, 0.6660844000, 1.3439048000", \
-                        "0.3070964000, 0.3130338000, 0.3267790000, 0.3584773000, 0.4419116000, 0.6769550000, 1.3543232000", \
-                        "0.3331387000, 0.3390565000, 0.3527865000, 0.3845348000, 0.4678624000, 0.7029640000, 1.3811806000", \
-                        "0.3814105000, 0.3873324000, 0.4010140000, 0.4327500000, 0.5161817000, 0.7511866000, 1.4292345000", \
-                        "0.4523299000, 0.4582610000, 0.4719295000, 0.5036253000, 0.5871542000, 0.8222781000, 1.4993913000", \
-                        "0.5449576000, 0.5509430000, 0.5646837000, 0.5963235000, 0.6797641000, 0.9150148000, 1.5924395000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014456200, 0.0041796400, 0.0120844000, 0.0349388000, 0.1010160000, 0.2920630000");
-                    values("0.0198373000, 0.0231327000, 0.0309251000, 0.0498398000, 0.0997312000, 0.2437546000, 0.6823145000", \
-                        "0.0196640000, 0.0230318000, 0.0308999000, 0.0501471000, 0.0995483000, 0.2437247000, 0.6822563000", \
-                        "0.0198109000, 0.0230543000, 0.0311045000, 0.0501161000, 0.0994619000, 0.2439108000, 0.6830778000", \
-                        "0.0196387000, 0.0230427000, 0.0309121000, 0.0502598000, 0.0996850000, 0.2441380000, 0.6856588000", \
-                        "0.0198104000, 0.0231680000, 0.0309211000, 0.0498269000, 0.0997233000, 0.2437237000, 0.6874989000", \
-                        "0.0197163000, 0.0231023000, 0.0310053000, 0.0501585000, 0.0994668000, 0.2441724000, 0.6866173000", \
-                        "0.0198658000, 0.0230767000, 0.0310097000, 0.0502092000, 0.0994081000, 0.2439696000, 0.6793464000");
-                }
-                related_pin : "CLK";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014456200, 0.0041796400, 0.0120844000, 0.0349388000, 0.1010160000, 0.2920630000");
-                    values("0.0228861000, 0.0274590000, 0.0402981000, 0.0773530000, 0.1918802000, 0.5256561000, 1.5026261000", \
-                        "0.0227768000, 0.0274077000, 0.0401665000, 0.0773535000, 0.1917161000, 0.5254573000, 1.4945482000", \
-                        "0.0229023000, 0.0274904000, 0.0402333000, 0.0773668000, 0.1916745000, 0.5253888000, 1.4970435000", \
-                        "0.0229005000, 0.0273991000, 0.0401264000, 0.0773527000, 0.1912976000, 0.5252174000, 1.4933316000", \
-                        "0.0228419000, 0.0274808000, 0.0401537000, 0.0773541000, 0.1916395000, 0.5240703000, 1.4943603000", \
-                        "0.0229576000, 0.0276408000, 0.0402595000, 0.0774330000, 0.1914362000, 0.5244811000, 1.5008912000", \
-                        "0.0230037000, 0.0275850000, 0.0402911000, 0.0775002000, 0.1916715000, 0.5243518000, 1.5016002000");
-                }
-                timing_sense : "non_unate";
-                timing_type : "rising_edge";
-            }
-        }
-        pin ("Q_N") {
-            direction : "output";
-            function : "IQ_N";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014681390, 0.0043108630, 0.0126578900, 0.0371670900, 0.1091329000, 0.3204445000");
-                    values("-0.005990500, -0.001073900, 0.0091447000, 0.0200345000, 0.0052049000, -0.096170000, -0.431829400", \
-                        "-0.005934900, -0.001032300, 0.0091507000, 0.0200077000, 0.0051357000, -0.096286600, -0.431961400", \
-                        "-0.005803400, -0.000913600, 0.0092290000, 0.0200410000, 0.0051192000, -0.096315400, -0.432047400", \
-                        "-0.005832500, -0.000963100, 0.0091367000, 0.0198648000, 0.0048579000, -0.096618000, -0.432330600", \
-                        "-0.005890700, -0.001045800, 0.0090095000, 0.0196516000, 0.0045285000, -0.097008300, -0.432747400", \
-                        "-0.005988500, -0.001145400, 0.0089047000, 0.0195553000, 0.0044387000, -0.097105100, -0.432807400", \
-                        "-0.006169300, -0.001241900, 0.0089971000, 0.0199684000, 0.0048968000, -0.096703500, -0.432337100");
-                }
-                related_pin : "CLK";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014681390, 0.0043108630, 0.0126578900, 0.0371670900, 0.1091329000, 0.3204445000");
-                    values("-0.007361000, -0.004489900, 0.0031840000, 0.0218063000, 0.0663540000, 0.1856135000, 0.5269221000", \
-                        "-0.007303200, -0.004441400, 0.0032150000, 0.0218290000, 0.0663637000, 0.1856424000, 0.5271029000", \
-                        "-0.007166700, -0.004313200, 0.0033147000, 0.0218378000, 0.0662840000, 0.1853440000, 0.5282354000", \
-                        "-0.007204100, -0.004380500, 0.0031588000, 0.0216322000, 0.0659281000, 0.1852988000, 0.5277705000", \
-                        "-0.007256300, -0.004450300, 0.0030777000, 0.0214638000, 0.0657698000, 0.1846813000, 0.5262124000", \
-                        "-0.007352400, -0.004544500, 0.0029827000, 0.0213871000, 0.0656759000, 0.1838250000, 0.5251569000", \
-                        "-0.007506200, -0.004581600, 0.0031514000, 0.0218117000, 0.0661818000, 0.1847365000, 0.5233616000");
-                }
-            }
-            max_capacitance : 0.3204440000;
-            max_transition : 1.5000040000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014681400, 0.0043108600, 0.0126579000, 0.0371671000, 0.1091330000, 0.3204440000");
-                    values("0.3731912000, 0.3778953000, 0.3884605000, 0.4101524000, 0.4564214000, 0.5735504000, 0.9111091000", \
-                        "0.3779075000, 0.3826397000, 0.3931673000, 0.4148214000, 0.4611629000, 0.5782286000, 0.9153185000", \
-                        "0.3890191000, 0.3937645000, 0.4042767000, 0.4259400000, 0.4721960000, 0.5893718000, 0.9270197000", \
-                        "0.4143366000, 0.4190992000, 0.4296436000, 0.4512953000, 0.4975888000, 0.6145358000, 0.9511834000", \
-                        "0.4628384000, 0.4675429000, 0.4781117000, 0.4997537000, 0.5460413000, 0.6631465000, 1.0007911000", \
-                        "0.5338146000, 0.5385105000, 0.5489842000, 0.5705450000, 0.6168849000, 0.7339707000, 1.0713894000", \
-                        "0.6267308000, 0.6315005000, 0.6420655000, 0.6636441000, 0.7099950000, 0.8271099000, 1.1647078000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014681400, 0.0043108600, 0.0126579000, 0.0371671000, 0.1091330000, 0.3204440000");
-                    values("0.3774744000, 0.3827781000, 0.3953425000, 0.4250546000, 0.5052750000, 0.7373323000, 1.4205001000", \
-                        "0.3821422000, 0.3874530000, 0.3999971000, 0.4298188000, 0.5100953000, 0.7423455000, 1.4252451000", \
-                        "0.3932538000, 0.3985847000, 0.4111124000, 0.4408532000, 0.5210570000, 0.7531054000, 1.4362779000", \
-                        "0.4189314000, 0.4242763000, 0.4366814000, 0.4665681000, 0.5467103000, 0.7787018000, 1.4618713000", \
-                        "0.4660918000, 0.4714143000, 0.4839641000, 0.5136814000, 0.5937965000, 0.8259054000, 1.5089726000", \
-                        "0.5329846000, 0.5382764000, 0.5507867000, 0.5806411000, 0.6607120000, 0.8930132000, 1.5757120000", \
-                        "0.6156549000, 0.6209541000, 0.6335176000, 0.6631512000, 0.7434952000, 0.9765194000, 1.6592211000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014681400, 0.0043108600, 0.0126579000, 0.0371671000, 0.1091330000, 0.3204440000");
-                    values("0.0187029000, 0.0218779000, 0.0289293000, 0.0468728000, 0.0953051000, 0.2454093000, 0.7025816000", \
-                        "0.0186896000, 0.0216368000, 0.0288264000, 0.0470670000, 0.0956636000, 0.2444467000, 0.7022690000", \
-                        "0.0187617000, 0.0216733000, 0.0288741000, 0.0470651000, 0.0957222000, 0.2452724000, 0.7025432000", \
-                        "0.0187542000, 0.0216799000, 0.0288893000, 0.0470978000, 0.0954611000, 0.2446547000, 0.7032816000", \
-                        "0.0185553000, 0.0215163000, 0.0293217000, 0.0471148000, 0.0956309000, 0.2452111000, 0.6978825000", \
-                        "0.0185762000, 0.0215259000, 0.0290220000, 0.0470565000, 0.0959132000, 0.2451253000, 0.7007563000", \
-                        "0.0185898000, 0.0215943000, 0.0288889000, 0.0471331000, 0.0955531000, 0.2451730000, 0.6958025000");
-                }
-                related_pin : "CLK";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014681400, 0.0043108600, 0.0126579000, 0.0371671000, 0.1091330000, 0.3204440000");
-                    values("0.0198138000, 0.0241140000, 0.0360235000, 0.0717001000, 0.1830411000, 0.5148825000, 1.4977699000", \
-                        "0.0199296000, 0.0240958000, 0.0360666000, 0.0716995000, 0.1830006000, 0.5160203000, 1.4997453000", \
-                        "0.0196496000, 0.0239790000, 0.0359694000, 0.0717413000, 0.1830314000, 0.5149990000, 1.4967306000", \
-                        "0.0198208000, 0.0240416000, 0.0359606000, 0.0717954000, 0.1826920000, 0.5155061000, 1.4979881000", \
-                        "0.0198502000, 0.0241582000, 0.0360400000, 0.0716244000, 0.1830282000, 0.5155956000, 1.4983632000", \
-                        "0.0196348000, 0.0240388000, 0.0360382000, 0.0717838000, 0.1828325000, 0.5159727000, 1.5000038000", \
-                        "0.0197884000, 0.0241350000, 0.0360132000, 0.0718167000, 0.1828389000, 0.5155632000, 1.4953894000");
-                }
-                timing_sense : "non_unate";
-                timing_type : "rising_edge";
-            }
-        }
-    }
-
-/* removed sky130_fd_sc_hd__dfxtp_1 */
-
-/* removed sky130_fd_sc_hd__dfxtp_2 */
-
-    cell ("sky130_fd_sc_hd__dfxtp_4") {
-        leakage_power () {
-            value : 0.0083293000;
-            when : "CLK&!D&Q";
-        }
-        leakage_power () {
-            value : 0.0080413000;
-            when : "!CLK&D&Q";
-        }
-        leakage_power () {
-            value : 0.0100255000;
-            when : "!CLK&D&!Q";
-        }
-        leakage_power () {
-            value : 0.0088792000;
-            when : "CLK&!D&!Q";
-        }
-        leakage_power () {
-            value : 0.0079211000;
-            when : "CLK&D&Q";
-        }
-        leakage_power () {
-            value : 0.0082837000;
-            when : "!CLK&!D&Q";
-        }
-        leakage_power () {
-            value : 0.0099385000;
-            when : "CLK&D&!Q";
-        }
-        leakage_power () {
-            value : 0.0088461000;
-            when : "!CLK&!D&!Q";
-        }
-        area : 23.772800000;
-        cell_footprint : "sky130_fd_sc_hd__dfxtp";
-        cell_leakage_power : 0.0087830730;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        ff ("IQ","IQ_N") {
-            clocked_on : "CLK";
-            next_state : "D";
-        }
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("CLK") {
-            capacitance : 0.0017750000;
-            clock : "true";
-            direction : "input";
-            fall_capacitance : 0.0017010000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0215156000, 0.0214006000, 0.0211353000, 0.0212090000, 0.0213791000, 0.0217709000, 0.0226744000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0163159000, 0.0161908000, 0.0159023000, 0.0159198000, 0.0159602000, 0.0160533000, 0.0162681000");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0018480000;
-            timing () {
-                fall_constraint ("constraint_3_0_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("0.2016414000, 0.8333333000, 2.5000000000");
-                }
-                related_output_pin : "Q";
-                related_pin : "CLK";
-                rise_constraint ("constraint_3_0_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("0.1895578000, 0.8333333000, 2.5000000000");
-                }
-                sim_opt : "runlvl=5 accurate=1";
-                timing_type : "min_pulse_width";
-                violation_delay_degrade_pct : 10.000000000;
-            }
-        }
-        pin ("D") {
-            capacitance : 0.0015530000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0015090000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0049067000, 0.0048332000, 0.0046638000, 0.0047201000, 0.0048498000, 0.0051487000, 0.0058380000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("4.5862743e-06, -5.9611988e-05, -0.000207600, -0.000158700, -4.6108282e-05, 0.0002135000, 0.0008119000");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0015970000;
-            timing () {
-                fall_constraint ("vio_3_3_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("0.1045391000, 0.3187643000, 0.6145814000", \
-                        "-0.005926400, 0.2021953000, 0.4943503000", \
-                        "-0.085679000, 0.1187806000, 0.4060527000");
-                }
-                related_pin : "CLK";
-                rise_constraint ("vio_3_3_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("0.0569316000, 0.1747214000, 0.2569154000", \
-                        "-0.014471400, 0.0886699000, 0.1562155000", \
-                        "-0.042954400, 0.0553040000, 0.1167461000");
-                }
-                sim_opt : "runlvl=5 accurate=1";
-                timing_type : "setup_rising";
-                violation_delay_degrade_pct : 10.000000000;
-            }
-            timing () {
-                fall_constraint ("vio_3_3_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("-0.038283200, -0.237860000, -0.486069600", \
-                        "0.0648581000, -0.134718700, -0.396356100", \
-                        "0.1336244000, -0.062290400, -0.327589900");
-                }
-                related_pin : "CLK";
-                rise_constraint ("vio_3_3_1") {
-                    index_1("0.0100000000, 0.5000000000, 1.5000000000");
-                    index_2("0.0100000000, 0.5000000000, 1.5000000000");
-                    values("-0.028517600, -0.131658800, -0.185776700", \
-                        "0.0343405000, -0.061476600, -0.115594400", \
-                        "0.0567201000, -0.037876300, -0.091994100");
-                }
-                sim_opt : "runlvl=5 accurate=1";
-                timing_type : "hold_rising";
-                violation_delay_degrade_pct : 10.000000000;
-            }
-        }
-        pin ("Q") {
-            direction : "output";
-            function : "IQ";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016047860, 0.0051506790, 0.0165314800, 0.0530589900, 0.1702967000, 0.5465797000");
-                    values("0.0325915000, 0.0308688000, 0.0255683000, 0.0092707000, -0.046987400, -0.235887600, -0.845214800", \
-                        "0.0325283000, 0.0309160000, 0.0255453000, 0.0092825000, -0.046977600, -0.235860400, -0.845192200", \
-                        "0.0326638000, 0.0309763000, 0.0256880000, 0.0094334000, -0.046863500, -0.235767900, -0.845093000", \
-                        "0.0322145000, 0.0304730000, 0.0252135000, 0.0089116000, -0.047353200, -0.236251200, -0.845550100", \
-                        "0.0319152000, 0.0302867000, 0.0249496000, 0.0086724000, -0.047567300, -0.236471200, -0.845805000", \
-                        "0.0320546000, 0.0303536000, 0.0249884000, 0.0088424000, -0.047508100, -0.236396500, -0.845744200", \
-                        "0.0368505000, 0.0351573000, 0.0297109000, 0.0120326000, -0.046139000, -0.235628000, -0.845086200");
-                }
-                related_pin : "CLK";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016047860, 0.0051506790, 0.0165314800, 0.0530589900, 0.1702967000, 0.5465797000");
-                    values("0.0360772000, 0.0380032000, 0.0440492000, 0.0631591000, 0.1223195000, 0.3099228000, 0.9141283000", \
-                        "0.0360516000, 0.0380029000, 0.0440639000, 0.0631722000, 0.1223545000, 0.3099230000, 0.9194788000", \
-                        "0.0362177000, 0.0381220000, 0.0441914000, 0.0632922000, 0.1224452000, 0.3101700000, 0.9170330000", \
-                        "0.0357324000, 0.0376431000, 0.0437066000, 0.0628049000, 0.1219554000, 0.3095204000, 0.9134385000", \
-                        "0.0353261000, 0.0372813000, 0.0433476000, 0.0624429000, 0.1215846000, 0.3090473000, 0.9103406000", \
-                        "0.0352455000, 0.0371233000, 0.0432971000, 0.0624707000, 0.1215812000, 0.3087925000, 0.9140495000", \
-                        "0.0371598000, 0.0389855000, 0.0448687000, 0.0636276000, 0.1229831000, 0.3110095000, 0.9114014000");
-                }
-            }
-            max_capacitance : 0.5465800000;
-            max_transition : 1.5072410000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016047900, 0.0051506800, 0.0165315000, 0.0530590000, 0.1702970000, 0.5465800000");
-                    values("0.3049624000, 0.3085415000, 0.3178988000, 0.3386857000, 0.3829389000, 0.4904024000, 0.8119742000", \
-                        "0.3096234000, 0.3132014000, 0.3225409000, 0.3434068000, 0.3876060000, 0.4950955000, 0.8173437000", \
-                        "0.3208047000, 0.3243626000, 0.3336722000, 0.3545471000, 0.3986181000, 0.5062199000, 0.8284514000", \
-                        "0.3464531000, 0.3500527000, 0.3594342000, 0.3802832000, 0.4244696000, 0.5319589000, 0.8549259000", \
-                        "0.3926958000, 0.3962367000, 0.4055965000, 0.4264785000, 0.4706843000, 0.5781396000, 0.9009751000", \
-                        "0.4571456000, 0.4606838000, 0.4700447000, 0.4909137000, 0.5352387000, 0.6426148000, 0.9648238000", \
-                        "0.5352493000, 0.5388660000, 0.5481988000, 0.5690108000, 0.6132437000, 0.7207601000, 1.0424230000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016047900, 0.0051506800, 0.0165315000, 0.0530590000, 0.1702970000, 0.5465800000");
-                    values("0.3036754000, 0.3076816000, 0.3183963000, 0.3450651000, 0.4173999000, 0.6421093000, 1.3662941000", \
-                        "0.3082892000, 0.3123284000, 0.3230377000, 0.3496917000, 0.4220244000, 0.6467577000, 1.3692040000", \
-                        "0.3193385000, 0.3232853000, 0.3340500000, 0.3607293000, 0.4330705000, 0.6577638000, 1.3819251000", \
-                        "0.3449731000, 0.3489183000, 0.3596802000, 0.3863653000, 0.4587125000, 0.6833926000, 1.4076605000", \
-                        "0.3922779000, 0.3963088000, 0.4070815000, 0.4337645000, 0.5061230000, 0.7307539000, 1.4492644000", \
-                        "0.4599940000, 0.4640512000, 0.4748102000, 0.5014663000, 0.5737781000, 0.7984674000, 1.5172652000", \
-                        "0.5475814000, 0.5515320000, 0.5623177000, 0.5890354000, 0.6614193000, 0.8859746000, 1.6055151000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016047900, 0.0051506800, 0.0165315000, 0.0530590000, 0.1702970000, 0.5465800000");
-                    values("0.0248724000, 0.0270659000, 0.0328093000, 0.0472901000, 0.0868302000, 0.2110268000, 0.6402268000", \
-                        "0.0249009000, 0.0271889000, 0.0327922000, 0.0474050000, 0.0870755000, 0.2106803000, 0.6343376000", \
-                        "0.0248607000, 0.0270856000, 0.0328353000, 0.0474125000, 0.0868028000, 0.2105795000, 0.6343514000", \
-                        "0.0251094000, 0.0271272000, 0.0330610000, 0.0477060000, 0.0870384000, 0.2107602000, 0.6364916000", \
-                        "0.0249102000, 0.0271312000, 0.0330175000, 0.0473735000, 0.0870776000, 0.2107678000, 0.6408650000", \
-                        "0.0249287000, 0.0271549000, 0.0328394000, 0.0476896000, 0.0862904000, 0.2106304000, 0.6355685000", \
-                        "0.0251143000, 0.0271376000, 0.0330894000, 0.0473594000, 0.0869250000, 0.2105509000, 0.6342062000");
-                }
-                related_pin : "CLK";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016047900, 0.0051506800, 0.0165315000, 0.0530590000, 0.1702970000, 0.5465800000");
-                    values("0.0253058000, 0.0285074000, 0.0372656000, 0.0650833000, 0.1600722000, 0.4768411000, 1.5051608000", \
-                        "0.0255086000, 0.0283707000, 0.0372961000, 0.0650920000, 0.1599277000, 0.4764398000, 1.5072412000", \
-                        "0.0252954000, 0.0284443000, 0.0372562000, 0.0650629000, 0.1600551000, 0.4769070000, 1.5031556000", \
-                        "0.0252985000, 0.0284381000, 0.0372488000, 0.0650498000, 0.1600406000, 0.4769751000, 1.5048108000", \
-                        "0.0255825000, 0.0284138000, 0.0373266000, 0.0650019000, 0.1599855000, 0.4772211000, 1.4988961000", \
-                        "0.0255681000, 0.0284190000, 0.0372491000, 0.0650777000, 0.1597754000, 0.4765168000, 1.5062674000", \
-                        "0.0254853000, 0.0285354000, 0.0374050000, 0.0651745000, 0.1598625000, 0.4772151000, 1.5028120000");
-                }
-                timing_sense : "non_unate";
-                timing_type : "rising_edge";
-            }
-        }
-    }
-
-/* removed sky130_fd_sc_hd__diode_2 */
-
-/* removed sky130_fd_sc_hd__dlclkp_1 */
-
-/* removed sky130_fd_sc_hd__dlclkp_2 */
-
-/* removed sky130_fd_sc_hd__dlclkp_4 */
-
-/* removed sky130_fd_sc_hd__dlrbn_1 */
-
-/* removed sky130_fd_sc_hd__dlrbn_2 */
-
-/* removed sky130_fd_sc_hd__dlrbp_1 */
-
-/* removed sky130_fd_sc_hd__dlrbp_2 */
-
-/* removed sky130_fd_sc_hd__dlrtn_1 */
-
-/* removed sky130_fd_sc_hd__dlrtn_2 */
-
-/* removed sky130_fd_sc_hd__dlrtn_4 */
-
-/* removed sky130_fd_sc_hd__dlrtp_1 */
-
-/* removed sky130_fd_sc_hd__dlrtp_2 */
-
-/* removed sky130_fd_sc_hd__dlrtp_4 */
-
-/* removed sky130_fd_sc_hd__dlxbn_1 */
-
-/* removed sky130_fd_sc_hd__dlxbn_2 */
-
-/* removed sky130_fd_sc_hd__dlxbp_1 */
-
-/* removed sky130_fd_sc_hd__dlxtn_1 */
-
-/* removed sky130_fd_sc_hd__dlxtn_2 */
-
-/* removed sky130_fd_sc_hd__dlxtn_4 */
-
-/* removed sky130_fd_sc_hd__dlxtp_1 */
-
-/* removed sky130_fd_sc_hd__dlygate4sd1_1 */
-
-/* removed sky130_fd_sc_hd__dlygate4sd2_1 */
-
-/* removed sky130_fd_sc_hd__dlygate4sd3_1 */
-
-/* removed sky130_fd_sc_hd__dlymetal6s2s_1 */
-
-/* removed sky130_fd_sc_hd__dlymetal6s4s_1 */
-
-/* removed sky130_fd_sc_hd__dlymetal6s6s_1 */
-
-/* removed sky130_fd_sc_hd__ebufn_1 */
-
-/* removed sky130_fd_sc_hd__ebufn_2 */
-
-/* removed sky130_fd_sc_hd__ebufn_4 */
-
-/* removed sky130_fd_sc_hd__ebufn_8 */
-
-/* removed sky130_fd_sc_hd__edfxbp_1 */
-
-/* removed sky130_fd_sc_hd__edfxtp_1 */
-
-/* removed sky130_fd_sc_hd__einvn_0 */
-
-/* removed sky130_fd_sc_hd__einvn_1 */
-
-/* removed sky130_fd_sc_hd__einvn_2 */
-
-/* removed sky130_fd_sc_hd__einvn_4 */
-
-/* removed sky130_fd_sc_hd__einvn_8 */
-
-/* removed sky130_fd_sc_hd__einvp_1 */
-
-/* removed sky130_fd_sc_hd__einvp_2 */
-
-/* removed sky130_fd_sc_hd__einvp_4 */
-
-/* removed sky130_fd_sc_hd__einvp_8 */
-
-/* removed sky130_fd_sc_hd__fa_1 */
-
-/* removed sky130_fd_sc_hd__fa_2 */
-
-/* removed sky130_fd_sc_hd__fa_4 */
-
-/* removed sky130_fd_sc_hd__fah_1 */
-
-/* removed sky130_fd_sc_hd__fahcin_1 */
-
-/* removed sky130_fd_sc_hd__fahcon_1 */
-
-/* removed sky130_fd_sc_hd__ha_1 */
-
-/* removed sky130_fd_sc_hd__ha_2 */
-
-/* removed sky130_fd_sc_hd__ha_4 */
-
-/* removed sky130_fd_sc_hd__inv_1 */
-
-/* removed sky130_fd_sc_hd__inv_12 */
-
-    cell ("sky130_fd_sc_hd__inv_16") {
-        leakage_power () {
-            value : 0.0106779000;
-            when : "A";
-        }
-        leakage_power () {
-            value : 0.0088949000;
-            when : "!A";
-        }
-        area : 20.019200000;
-        cell_footprint : "sky130_fd_sc_hd__inv";
-        cell_leakage_power : 0.0097863830;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("A") {
-            capacitance : 0.0334420000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0318840000;
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0349990000;
-        }
-        pin ("Y") {
-            direction : "output";
-            function : "(!A)";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0019354060, 0.0074915960, 0.0289985600, 0.1122480000, 0.4344910000, 1.6818330000");
-                    values("-0.030674500, -0.032467200, -0.039748000, -0.071664200, -0.204577500, -0.725957200, -2.746443500", \
-                        "-0.034291900, -0.036135800, -0.043434800, -0.074455100, -0.205774200, -0.726450400, -2.746769000", \
-                        "-0.037187700, -0.039198400, -0.047016800, -0.078200400, -0.207941200, -0.727231500, -2.747056700", \
-                        "-0.038362800, -0.040592900, -0.049030100, -0.081728000, -0.211029500, -0.728448800, -2.747455000", \
-                        "-0.033762100, -0.036185600, -0.045457900, -0.079643200, -0.213383200, -0.730580500, -2.748166300", \
-                        "-0.022081300, -0.024792500, -0.035079300, -0.072970700, -0.210198800, -0.728775500, -2.748363600", \
-                        "0.0097426000, 0.0063139000, -0.005425700, -0.046842300, -0.193580100, -0.723880000, -2.746717800");
-                }
-                related_pin : "A";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0019354060, 0.0074915960, 0.0289985600, 0.1122480000, 0.4344910000, 1.6818330000");
-                    values("0.0967107000, 0.0997483000, 0.1109936000, 0.1501386000, 0.2871024000, 0.8057040000, 2.7963814000", \
-                        "0.0944329000, 0.0971734000, 0.1076123000, 0.1461634000, 0.2850891000, 0.8039616000, 2.8025622000", \
-                        "0.0936338000, 0.0960910000, 0.1057220000, 0.1422643000, 0.2814786000, 0.8028242000, 2.8037671000", \
-                        "0.0941157000, 0.0965133000, 0.1071366000, 0.1409863000, 0.2776375000, 0.7989318000, 2.7958338000", \
-                        "0.1002031000, 0.1024628000, 0.1110491000, 0.1432086000, 0.2768670000, 0.7948048000, 2.7899073000", \
-                        "0.1095516000, 0.1114562000, 0.1191311000, 0.1511014000, 0.2812488000, 0.7933454000, 2.7910548000", \
-                        "0.1374594000, 0.1389749000, 0.1452969000, 0.1735626000, 0.2969403000, 0.8050621000, 2.7883007000");
-                }
-            }
-            max_capacitance : 1.6818330000;
-            max_transition : 1.5007520000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0019354100, 0.0074916000, 0.0289986000, 0.1122480000, 0.4344910000, 1.6818300000");
-                    values("0.0152930000, 0.0158333000, 0.0177892000, 0.0242292000, 0.0463429000, 0.1299108000, 0.4538259000", \
-                        "0.0188253000, 0.0194521000, 0.0215532000, 0.0281749000, 0.0504815000, 0.1343457000, 0.4582893000", \
-                        "0.0229632000, 0.0239117000, 0.0272025000, 0.0368235000, 0.0608603000, 0.1450231000, 0.4687391000", \
-                        "0.0254070000, 0.0268786000, 0.0320302000, 0.0471170000, 0.0826403000, 0.1696619000, 0.4924210000", \
-                        "0.0210408000, 0.0233437000, 0.0313762000, 0.0549018000, 0.1106650000, 0.2270754000, 0.5504865000", \
-                        "-0.003563900, 1.840000e-05, 0.0123568000, 0.0487574000, 0.1365364000, 0.3142955000, 0.6832507000", \
-                        "-0.080721300, -0.075057500, -0.056605800, -0.000913900, 0.1341887000, 0.4148222000, 0.9557204000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0019354100, 0.0074916000, 0.0289986000, 0.1122480000, 0.4344910000, 1.6818300000");
-                    values("0.0244637000, 0.0256173000, 0.0298987000, 0.0444630000, 0.0949800000, 0.2856741000, 1.0256670000", \
-                        "0.0293181000, 0.0304239000, 0.0344107000, 0.0487690000, 0.0998363000, 0.2905712000, 1.0239755000", \
-                        "0.0418382000, 0.0431627000, 0.0475056000, 0.0612770000, 0.1121823000, 0.3035129000, 1.0346417000", \
-                        "0.0616400000, 0.0637383000, 0.0709355000, 0.0910300000, 0.1431699000, 0.3341031000, 1.0729195000", \
-                        "0.0944502000, 0.0976167000, 0.1086020000, 0.1408403000, 0.2159773000, 0.4070581000, 1.1408607000", \
-                        "0.1531090000, 0.1576815000, 0.1737020000, 0.2227999000, 0.3401355000, 0.5801024000, 1.3090837000", \
-                        "0.2686322000, 0.2748245000, 0.2970122000, 0.3678749000, 0.5477521000, 0.9217622000, 1.7041840000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0019354100, 0.0074916000, 0.0289986000, 0.1122480000, 0.4344910000, 1.6818300000");
-                    values("0.0065605000, 0.0070460000, 0.0090156000, 0.0170993000, 0.0492442000, 0.1747627000, 0.6592694000", \
-                        "0.0077545000, 0.0081687000, 0.0098562000, 0.0173326000, 0.0493403000, 0.1748288000, 0.6599624000", \
-                        "0.0122431000, 0.0128359000, 0.0151293000, 0.0225164000, 0.0503217000, 0.1746397000, 0.6601223000", \
-                        "0.0208532000, 0.0216998000, 0.0249784000, 0.0349794000, 0.0630429000, 0.1749580000, 0.6604945000", \
-                        "0.0364075000, 0.0379101000, 0.0430022000, 0.0577685000, 0.0953186000, 0.1974999000, 0.6590105000", \
-                        "0.0650616000, 0.0669402000, 0.0747888000, 0.0981152000, 0.1536593000, 0.2800197000, 0.6833182000", \
-                        "0.1193746000, 0.1228139000, 0.1347092000, 0.1710026000, 0.2540403000, 0.4320174000, 0.8453999000");
-                }
-                related_pin : "A";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0019354100, 0.0074916000, 0.0289986000, 0.1122480000, 0.4344910000, 1.6818300000");
-                    values("0.0138044000, 0.0150460000, 0.0198161000, 0.0386202000, 0.1116631000, 0.3939241000, 1.4836817000", \
-                        "0.0140210000, 0.0152017000, 0.0199031000, 0.0385668000, 0.1113631000, 0.3935619000, 1.4872183000", \
-                        "0.0188524000, 0.0196174000, 0.0230981000, 0.0391955000, 0.1115320000, 0.3936547000, 1.4838160000", \
-                        "0.0307897000, 0.0320439000, 0.0370288000, 0.0515338000, 0.1128347000, 0.3925901000, 1.4850964000", \
-                        "0.0495808000, 0.0517571000, 0.0593213000, 0.0811927000, 0.1383305000, 0.3924309000, 1.4797776000", \
-                        "0.0802118000, 0.0836347000, 0.0956465000, 0.1303082000, 0.2111467000, 0.4273958000, 1.4814652000", \
-                        "0.1361709000, 0.1405824000, 0.1566964000, 0.2082857000, 0.3366694000, 0.5981944000, 1.5007523000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-        }
-    }
-
-    cell ("sky130_fd_sc_hd__inv_2") {
-        leakage_power () {
-            value : 0.0079423000;
-            when : "A";
-        }
-        leakage_power () {
-            value : 0.0005535000;
-            when : "!A";
-        }
-        area : 3.7536000000;
-        cell_footprint : "sky130_fd_sc_hd__inv";
-        cell_leakage_power : 0.0042479070;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("A") {
-            capacitance : 0.0044590000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0042760000;
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0046420000;
-        }
-        pin ("Y") {
-            direction : "output";
-            function : "(!A)";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014764110, 0.0043595770, 0.0128730500, 0.0380118100, 0.1122421000, 0.3314308000");
-                    values("-0.004872900, -0.006180700, -0.010453400, -0.023931400, -0.064515700, -0.184718100, -0.539778600", \
-                        "-0.005354600, -0.006681800, -0.010863900, -0.024165200, -0.064604400, -0.184753500, -0.539797800", \
-                        "-0.005688900, -0.007092100, -0.011387800, -0.024547400, -0.064787200, -0.184817000, -0.539825700", \
-                        "-0.005417200, -0.007053000, -0.011521900, -0.024937800, -0.065092600, -0.184962400, -0.539885700", \
-                        "-0.004931500, -0.006566900, -0.011345100, -0.024948000, -0.065357200, -0.185164900, -0.539963400", \
-                        "-0.002729700, -0.004576800, -0.009821000, -0.023764500, -0.064850300, -0.185216200, -0.540020800", \
-                        "0.0026942000, 0.0005644000, -0.005112800, -0.020675300, -0.062857500, -0.184263200, -0.539774700");
-                }
-                related_pin : "A";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014764110, 0.0043595770, 0.0128730500, 0.0380118100, 0.1122421000, 0.3314308000");
-                    values("0.0129073000, 0.0147627000, 0.0198879000, 0.0340719000, 0.0747268000, 0.1938930000, 0.5478985000", \
-                        "0.0126104000, 0.0143517000, 0.0194124000, 0.0336506000, 0.0744926000, 0.1940106000, 0.5436985000", \
-                        "0.0125047000, 0.0143132000, 0.0190767000, 0.0331759000, 0.0742976000, 0.1924800000, 0.5446534000", \
-                        "0.0126884000, 0.0144153000, 0.0189569000, 0.0328509000, 0.0734457000, 0.1937375000, 0.5453426000", \
-                        "0.0135492000, 0.0149941000, 0.0194349000, 0.0329725000, 0.0731844000, 0.1931974000, 0.5464750000", \
-                        "0.0149532000, 0.0163193000, 0.0205688000, 0.0337550000, 0.0737759000, 0.1921072000, 0.5425809000", \
-                        "0.0206515000, 0.0217040000, 0.0253143000, 0.0377926000, 0.0767143000, 0.1949605000, 0.5439724000");
-                }
-            }
-            max_capacitance : 0.3314310000;
-            max_transition : 1.4978170000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014764100, 0.0043595800, 0.0128730000, 0.0380118000, 0.1122420000, 0.3314310000");
-                    values("0.0119446000, 0.0137840000, 0.0188149000, 0.0327326000, 0.0729366000, 0.1922578000, 0.5454940000", \
-                        "0.0157429000, 0.0180991000, 0.0233701000, 0.0374622000, 0.0781416000, 0.1961942000, 0.5456924000", \
-                        "0.0203785000, 0.0240707000, 0.0324561000, 0.0487044000, 0.0892794000, 0.2076327000, 0.5571236000", \
-                        "0.0248258000, 0.0307580000, 0.0439276000, 0.0689207000, 0.1156458000, 0.2359077000, 0.5860208000", \
-                        "0.0262075000, 0.0354142000, 0.0559354000, 0.0961932000, 0.1673476000, 0.2955090000, 0.6455342000", \
-                        "0.0157468000, 0.0301166000, 0.0619237000, 0.1246900000, 0.2371069000, 0.4263973000, 0.7871234000", \
-                        "-0.027559700, -0.005392600, 0.0434940000, 0.1403033000, 0.3151930000, 0.6122458000, 1.1101468000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014764100, 0.0043595800, 0.0128730000, 0.0380118000, 0.1122420000, 0.3314310000");
-                    values("0.0175587000, 0.0211484000, 0.0310262000, 0.0584472000, 0.1371815000, 0.3662591000, 1.0435811000", \
-                        "0.0230691000, 0.0264576000, 0.0360719000, 0.0633862000, 0.1425054000, 0.3734522000, 1.0627602000", \
-                        "0.0339028000, 0.0388338000, 0.0493056000, 0.0760130000, 0.1545360000, 0.3864530000, 1.0598706000", \
-                        "0.0498930000, 0.0577606000, 0.0750599000, 0.1075488000, 0.1862726000, 0.4152755000, 1.0963705000", \
-                        "0.0744968000, 0.0868541000, 0.1145327000, 0.1673453000, 0.2583118000, 0.4867961000, 1.1619753000", \
-                        "0.1156072000, 0.1340389000, 0.1760385000, 0.2596266000, 0.4057179000, 0.6556388000, 1.3298844000", \
-                        "0.1928740000, 0.2183706000, 0.2783548000, 0.4048308000, 0.6381117000, 1.0233501000, 1.7170592000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014764100, 0.0043595800, 0.0128730000, 0.0380118000, 0.1122420000, 0.3314310000");
-                    values("0.0048909000, 0.0069497000, 0.0130525000, 0.0311683000, 0.0847074000, 0.2419201000, 0.7066229000", \
-                        "0.0069554000, 0.0084546000, 0.0135709000, 0.0312350000, 0.0846748000, 0.2428864000, 0.7101457000", \
-                        "0.0116927000, 0.0141965000, 0.0197198000, 0.0335758000, 0.0847194000, 0.2435099000, 0.7091378000", \
-                        "0.0203289000, 0.0240677000, 0.0323348000, 0.0495335000, 0.0902360000, 0.2418217000, 0.7064893000", \
-                        "0.0354595000, 0.0413981000, 0.0546071000, 0.0793592000, 0.1253289000, 0.2517686000, 0.7084765000", \
-                        "0.0637251000, 0.0731900000, 0.0941919000, 0.1324136000, 0.2017282000, 0.3250714000, 0.7157009000", \
-                        "0.1183897000, 0.1329738000, 0.1635937000, 0.2237756000, 0.3292207000, 0.5112171000, 0.8526758000");
-                }
-                related_pin : "A";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014764100, 0.0043595800, 0.0128730000, 0.0380118000, 0.1122420000, 0.3314310000");
-                    values("0.0102030000, 0.0145665000, 0.0274391000, 0.0655113000, 0.1778623000, 0.5106880000, 1.4869649000", \
-                        "0.0107758000, 0.0146772000, 0.0273936000, 0.0654823000, 0.1774442000, 0.5091666000, 1.4832895000", \
-                        "0.0176433000, 0.0206470000, 0.0298357000, 0.0653682000, 0.1774688000, 0.5082211000, 1.4799179000", \
-                        "0.0287666000, 0.0338285000, 0.0452178000, 0.0722948000, 0.1771081000, 0.5095251000, 1.4848178000", \
-                        "0.0472331000, 0.0557641000, 0.0742180000, 0.1079812000, 0.1903953000, 0.5072639000, 1.4842672000", \
-                        "0.0774171000, 0.0903774000, 0.1203311000, 0.1758978000, 0.2700296000, 0.5253227000, 1.4794522000", \
-                        "0.1325493000, 0.1519492000, 0.1976181000, 0.2847110000, 0.4388531000, 0.6966839000, 1.4978170000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-        }
-    }
-
-    cell ("sky130_fd_sc_hd__inv_4") {
-        leakage_power () {
-            value : 0.0074091000;
-            when : "A";
-        }
-        leakage_power () {
-            value : 0.0015164000;
-            when : "!A";
-        }
-        area : 6.2560000000;
-        cell_footprint : "sky130_fd_sc_hd__inv";
-        cell_leakage_power : 0.0044627330;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("A") {
-            capacitance : 0.0090040000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0086000000;
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0094080000;
-        }
-        pin ("Y") {
-            direction : "output";
-            function : "(!A)";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016127510, 0.0052019320, 0.0167788400, 0.0541201900, 0.1745648000, 0.5630591000");
-                    values("-0.008451400, -0.009880900, -0.014971700, -0.032921400, -0.093053200, -0.288042800, -0.917349100", \
-                        "-0.009451600, -0.010960200, -0.015935200, -0.033523000, -0.093247600, -0.288115500, -0.917391700", \
-                        "-0.010269900, -0.011829900, -0.016978200, -0.034467100, -0.093737800, -0.288264700, -0.917419800", \
-                        "-0.010436900, -0.012138800, -0.017483800, -0.035422700, -0.094399700, -0.288565100, -0.917547500", \
-                        "-0.009055600, -0.010931200, -0.016856100, -0.035390000, -0.095277800, -0.289054500, -0.917716900", \
-                        "-0.005590600, -0.006977500, -0.013778700, -0.032831400, -0.094059800, -0.289007700, -0.917863100", \
-                        "0.0043734000, 0.0017246000, -0.005377400, -0.027012600, -0.090442300, -0.284430300, -0.916375900");
-                }
-                related_pin : "A";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016127510, 0.0052019320, 0.0167788400, 0.0541201900, 0.1745648000, 0.5630591000");
-                    values("0.0256205000, 0.0278349000, 0.0345681000, 0.0543527000, 0.1148598000, 0.3082690000, 0.9322412000", \
-                        "0.0250545000, 0.0271237000, 0.0334835000, 0.0534180000, 0.1143068000, 0.3084980000, 0.9313100000", \
-                        "0.0247994000, 0.0267260000, 0.0328323000, 0.0520222000, 0.1131029000, 0.3078423000, 0.9258184000", \
-                        "0.0250401000, 0.0268387000, 0.0326378000, 0.0519108000, 0.1121986000, 0.3066267000, 0.9276555000", \
-                        "0.0263479000, 0.0280637000, 0.0335432000, 0.0519775000, 0.1113991000, 0.3069891000, 0.9282885000", \
-                        "0.0290579000, 0.0307895000, 0.0351982000, 0.0532784000, 0.1129382000, 0.3043172000, 0.9301420000", \
-                        "0.0402571000, 0.0406549000, 0.0445595000, 0.0624209000, 0.1186249000, 0.3124213000, 0.9257100000");
-                }
-            }
-            max_capacitance : 0.5630590000;
-            max_transition : 1.5002550000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016127500, 0.0052019300, 0.0167788000, 0.0541202000, 0.1745650000, 0.5630590000");
-                    values("0.0119441000, 0.0131118000, 0.0165791000, 0.0269376000, 0.0588054000, 0.1629306000, 0.4909586000", \
-                        "0.0156473000, 0.0171343000, 0.0209993000, 0.0315480000, 0.0634309000, 0.1663003000, 0.4954380000", \
-                        "0.0198136000, 0.0221854000, 0.0283758000, 0.0422551000, 0.0746385000, 0.1770034000, 0.5087731000", \
-                        "0.0232107000, 0.0269733000, 0.0367368000, 0.0585419000, 0.1002393000, 0.2026346000, 0.5338917000", \
-                        "0.0216104000, 0.0276301000, 0.0429641000, 0.0770100000, 0.1427411000, 0.2629926000, 0.5917846000", \
-                        "0.0048442000, 0.0139726000, 0.0377789000, 0.0904055000, 0.1929853000, 0.3781642000, 0.7304123000", \
-                        "-0.053742200, -0.039839200, -0.003689300, 0.0783581000, 0.2392779000, 0.5265917000, 1.0342573000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016127500, 0.0052019300, 0.0167788000, 0.0541202000, 0.1745650000, 0.5630590000");
-                    values("0.0190114000, 0.0215393000, 0.0291288000, 0.0516131000, 0.1202000000, 0.3388957000, 1.0502127000", \
-                        "0.0245228000, 0.0268413000, 0.0340168000, 0.0565974000, 0.1254323000, 0.3475673000, 1.0493975000", \
-                        "0.0363076000, 0.0395220000, 0.0475006000, 0.0689426000, 0.1381457000, 0.3582837000, 1.0632979000", \
-                        "0.0540201000, 0.0592453000, 0.0722161000, 0.1008018000, 0.1697215000, 0.3898457000, 1.1047234000", \
-                        "0.0821914000, 0.0901583000, 0.1112681000, 0.1572504000, 0.2433978000, 0.4624623000, 1.1637457000", \
-                        "0.1312726000, 0.1430227000, 0.1746365000, 0.2465241000, 0.3849189000, 0.6321969000, 1.3318200000", \
-                        "0.2255666000, 0.2420417000, 0.2873321000, 0.3934108000, 0.6110205000, 1.0017863000, 1.7277610000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016127500, 0.0052019300, 0.0167788000, 0.0541202000, 0.1745650000, 0.5630590000");
-                    values("0.0049571000, 0.0062375000, 0.0103719000, 0.0238937000, 0.0668871000, 0.2087285000, 0.6548602000", \
-                        "0.0070977000, 0.0080130000, 0.0112236000, 0.0238611000, 0.0670169000, 0.2058758000, 0.6553406000", \
-                        "0.0116617000, 0.0131789000, 0.0173638000, 0.0276296000, 0.0669909000, 0.2060657000, 0.6575888000", \
-                        "0.0202925000, 0.0226678000, 0.0287989000, 0.0429853000, 0.0756389000, 0.2062924000, 0.6550083000", \
-                        "0.0354624000, 0.0390051000, 0.0489234000, 0.0703691000, 0.1125576000, 0.2207891000, 0.6543583000", \
-                        "0.0636220000, 0.0696130000, 0.0849741000, 0.1179729000, 0.1810032000, 0.3041805000, 0.6730234000", \
-                        "0.1177379000, 0.1271844000, 0.1500653000, 0.2014630000, 0.2996650000, 0.4817202000, 0.8318283000");
-                }
-                related_pin : "A";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016127500, 0.0052019300, 0.0167788000, 0.0541202000, 0.1745650000, 0.5630590000");
-                    values("0.0114302000, 0.0142771000, 0.0237116000, 0.0540961000, 0.1514573000, 0.4651357000, 1.4865850000", \
-                        "0.0115673000, 0.0143379000, 0.0237317000, 0.0540688000, 0.1513773000, 0.4700103000, 1.4776085000", \
-                        "0.0182523000, 0.0199466000, 0.0265154000, 0.0540666000, 0.1514956000, 0.4667554000, 1.4746315000", \
-                        "0.0294702000, 0.0329037000, 0.0417888000, 0.0631231000, 0.1514760000, 0.4667907000, 1.4800738000", \
-                        "0.0482627000, 0.0545717000, 0.0680175000, 0.0974604000, 0.1686737000, 0.4681324000, 1.4801701000", \
-                        "0.0791388000, 0.0869239000, 0.1099131000, 0.1596422000, 0.2484881000, 0.4876861000, 1.4865859000", \
-                        "0.1335121000, 0.1448872000, 0.1779738000, 0.2570885000, 0.4026016000, 0.6618689000, 1.5002554000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-        }
-    }
-
-/* removed sky130_fd_sc_hd__inv_6 */
-
-    cell ("sky130_fd_sc_hd__inv_8") {
-        leakage_power () {
-            value : 0.0082791000;
-            when : "A";
-        }
-        leakage_power () {
-            value : 0.0038210000;
-            when : "!A";
-        }
-        area : 11.260800000;
-        cell_footprint : "sky130_fd_sc_hd__inv";
-        cell_leakage_power : 0.0060500540;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("A") {
-            capacitance : 0.0176530000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0168400000;
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0184670000;
-        }
-        pin ("Y") {
-            direction : "output";
-            function : "(!A)";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0017851090, 0.0063732260, 0.0227538000, 0.0812360200, 0.2900303000, 1.0354710000");
-                    values("-0.015426100, -0.017031700, -0.023203100, -0.048025700, -0.141756500, -0.479709900, -1.687156600", \
-                        "-0.017287400, -0.019023500, -0.025157200, -0.049371900, -0.142235500, -0.479822600, -1.687276100", \
-                        "-0.018889200, -0.020716100, -0.027260400, -0.051365100, -0.143363300, -0.480162500, -1.687365700", \
-                        "-0.019349100, -0.021354700, -0.028201800, -0.053315000, -0.145097800, -0.480914900, -1.687397500", \
-                        "-0.016866600, -0.019047500, -0.026670600, -0.052967000, -0.146290000, -0.481858200, -1.687955100", \
-                        "-0.009756300, -0.012544400, -0.021248200, -0.049267200, -0.143573400, -0.482199100, -1.688358100", \
-                        "0.0074301000, 0.0042855000, -0.005131200, -0.036199500, -0.136855400, -0.478916000, -1.688094700");
-                }
-                related_pin : "A";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0017851090, 0.0063732260, 0.0227538000, 0.0812360200, 0.2900303000, 1.0354710000");
-                    values("0.0500517000, 0.0526781000, 0.0616626000, 0.0907907000, 0.1858872000, 0.5229385000, 1.7159675000", \
-                        "0.0489046000, 0.0513336000, 0.0597713000, 0.0884790000, 0.1843756000, 0.5199276000, 1.7200452000", \
-                        "0.0488000000, 0.0510048000, 0.0586787000, 0.0865749000, 0.1839729000, 0.5171257000, 1.7152928000", \
-                        "0.0488373000, 0.0510046000, 0.0583381000, 0.0856850000, 0.1809917000, 0.5195810000, 1.7148138000", \
-                        "0.0509897000, 0.0529823000, 0.0600315000, 0.0859068000, 0.1795073000, 0.5171847000, 1.7103024000", \
-                        "0.0552576000, 0.0570631000, 0.0636992000, 0.0889754000, 0.1826954000, 0.5123181000, 1.7093391000", \
-                        "0.0728096000, 0.0741087000, 0.0795625000, 0.1022556000, 0.1917460000, 0.5219979000, 1.7044405000");
-                }
-            }
-            max_capacitance : 1.0354710000;
-            max_transition : 1.4996250000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0017851100, 0.0063732300, 0.0227538000, 0.0812360000, 0.2900300000, 1.0354700000");
-                    values("0.0123297000, 0.0130319000, 0.0154135000, 0.0230701000, 0.0489012000, 0.1402316000, 0.4666703000", \
-                        "0.0159471000, 0.0168723000, 0.0196942000, 0.0275204000, 0.0536900000, 0.1451606000, 0.4703811000", \
-                        "0.0197728000, 0.0212245000, 0.0258394000, 0.0373346000, 0.0644274000, 0.1556234000, 0.4815072000", \
-                        "0.0224926000, 0.0248146000, 0.0318879000, 0.0500402000, 0.0889616000, 0.1814948000, 0.5052737000", \
-                        "0.0191159000, 0.0227360000, 0.0337779000, 0.0625044000, 0.1231077000, 0.2404573000, 0.5653590000", \
-                        "-0.002589400, 0.0030309000, 0.0201839000, 0.0651178000, 0.1601613000, 0.3434292000, 0.7014345000", \
-                        "-0.072666000, -0.063999000, -0.037823900, 0.0310945000, 0.1805251000, 0.4688840000, 0.9955849000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0017851100, 0.0063732300, 0.0227538000, 0.0812360000, 0.2900300000, 1.0354700000");
-                    values("0.0202742000, 0.0219295000, 0.0275017000, 0.0455936000, 0.1049458000, 0.3147736000, 1.0550638000", \
-                        "0.0257929000, 0.0273028000, 0.0325167000, 0.0502622000, 0.1101536000, 0.3218706000, 1.0694470000", \
-                        "0.0382296000, 0.0403048000, 0.0461477000, 0.0631958000, 0.1234292000, 0.3310772000, 1.0747949000", \
-                        "0.0571632000, 0.0604406000, 0.0699357000, 0.0942658000, 0.1538116000, 0.3634873000, 1.1030601000", \
-                        "0.0880401000, 0.0931328000, 0.1086796000, 0.1474176000, 0.2291143000, 0.4343165000, 1.1747938000", \
-                        "0.1428338000, 0.1501958000, 0.1732611000, 0.2337166000, 0.3640337000, 0.6095962000, 1.3501105000", \
-                        "0.2501082000, 0.2603140000, 0.2927534000, 0.3813830000, 0.5841018000, 0.9721643000, 1.7479136000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0017851100, 0.0063732300, 0.0227538000, 0.0812360000, 0.2900300000, 1.0354700000");
-                    values("0.0050158000, 0.0057564000, 0.0084430000, 0.0181318000, 0.0525400000, 0.1754386000, 0.6195076000", \
-                        "0.0070648000, 0.0076214000, 0.0095686000, 0.0181883000, 0.0527483000, 0.1765888000, 0.6151475000", \
-                        "0.0116018000, 0.0125604000, 0.0153961000, 0.0233952000, 0.0533055000, 0.1758861000, 0.6146265000", \
-                        "0.0200380000, 0.0216953000, 0.0260132000, 0.0374396000, 0.0647711000, 0.1753940000, 0.6144052000", \
-                        "0.0352642000, 0.0377053000, 0.0447282000, 0.0622156000, 0.1008997000, 0.1941964000, 0.6150727000", \
-                        "0.0632523000, 0.0669490000, 0.0782894000, 0.1059187000, 0.1652254000, 0.2829769000, 0.6345332000", \
-                        "0.1174102000, 0.1230575000, 0.1396005000, 0.1834808000, 0.2740662000, 0.4483394000, 0.8027686000");
-                }
-                related_pin : "A";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0017851100, 0.0063732300, 0.0227538000, 0.0812360000, 0.2900300000, 1.0354700000");
-                    values("0.0119599000, 0.0138121000, 0.0203450000, 0.0438593000, 0.1268351000, 0.4257829000, 1.4839129000", \
-                        "0.0120751000, 0.0138756000, 0.0203696000, 0.0437900000, 0.1265724000, 0.4254328000, 1.4898222000", \
-                        "0.0180851000, 0.0192025000, 0.0235640000, 0.0438997000, 0.1280050000, 0.4225611000, 1.4858990000", \
-                        "0.0296468000, 0.0318590000, 0.0383461000, 0.0549473000, 0.1276238000, 0.4272980000, 1.4821471000", \
-                        "0.0485468000, 0.0519465000, 0.0622100000, 0.0877744000, 0.1483328000, 0.4255445000, 1.4844611000", \
-                        "0.0794384000, 0.0848726000, 0.1020007000, 0.1425726000, 0.2278606000, 0.4510004000, 1.4871820000", \
-                        "0.1345213000, 0.1419485000, 0.1656099000, 0.2296689000, 0.3676179000, 0.6189584000, 1.4996250000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-        }
-    }
-
-/* removed sky130_fd_sc_hd__lpflow_bleeder_1 */
-
-/* removed sky130_fd_sc_hd__lpflow_clkbufkapwr_1 */
-
-/* removed sky130_fd_sc_hd__lpflow_clkbufkapwr_16 */
-
-/* removed sky130_fd_sc_hd__lpflow_clkbufkapwr_2 */
-
-/* removed sky130_fd_sc_hd__lpflow_clkbufkapwr_4 */
-
-/* removed sky130_fd_sc_hd__lpflow_clkbufkapwr_8 */
-
-/* removed sky130_fd_sc_hd__lpflow_clkinvkapwr_1 */
-
-/* removed sky130_fd_sc_hd__lpflow_clkinvkapwr_16 */
-
-/* removed sky130_fd_sc_hd__lpflow_clkinvkapwr_2 */
-
-/* removed sky130_fd_sc_hd__lpflow_clkinvkapwr_4 */
-
-/* removed sky130_fd_sc_hd__lpflow_clkinvkapwr_8 */
-
-/* removed sky130_fd_sc_hd__lpflow_decapkapwr_12 */
-
-/* removed sky130_fd_sc_hd__lpflow_decapkapwr_3 */
-
-/* removed sky130_fd_sc_hd__lpflow_decapkapwr_4 */
-
-/* removed sky130_fd_sc_hd__lpflow_decapkapwr_6 */
-
-/* removed sky130_fd_sc_hd__lpflow_decapkapwr_8 */
-
-/* removed sky130_fd_sc_hd__lpflow_inputiso0n_1 */
-
-/* removed sky130_fd_sc_hd__lpflow_inputiso0p_1 */
-
-/* removed sky130_fd_sc_hd__lpflow_inputiso1n_1 */
-
-/* removed sky130_fd_sc_hd__lpflow_inputiso1p_1 */
-
-/* removed sky130_fd_sc_hd__lpflow_inputisolatch_1 */
-
-/* removed sky130_fd_sc_hd__lpflow_isobufsrc_1 */
-
-/* removed sky130_fd_sc_hd__lpflow_isobufsrc_16 */
-
-/* removed sky130_fd_sc_hd__lpflow_isobufsrc_2 */
-
-/* removed sky130_fd_sc_hd__lpflow_isobufsrc_4 */
-
-/* removed sky130_fd_sc_hd__lpflow_isobufsrc_8 */
-
-/* removed sky130_fd_sc_hd__lpflow_isobufsrckapwr_16 */
-
-/* removed sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1 */
-
-/* removed sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2 */
-
-/* removed sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4 */
-
-/* removed sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4 */
-
-/* removed sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1 */
-
-/* removed sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2 */
-
-/* removed sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4 */
-
-/* removed sky130_fd_sc_hd__macro_sparecell */
-
-/* removed sky130_fd_sc_hd__maj3_1 */
-
-/* removed sky130_fd_sc_hd__maj3_2 */
-
-/* removed sky130_fd_sc_hd__maj3_4 */
-
-/* removed sky130_fd_sc_hd__mux2_1 */
-
-/* removed sky130_fd_sc_hd__mux2_2 */
-
-/* removed sky130_fd_sc_hd__mux2_4 */
-
-/* removed sky130_fd_sc_hd__mux2_8 */
-
-/* removed sky130_fd_sc_hd__mux2i_1 */
-
-/* removed sky130_fd_sc_hd__mux2i_2 */
-
-/* removed sky130_fd_sc_hd__mux2i_4 */
-
-/* removed sky130_fd_sc_hd__mux4_1 */
-
-/* removed sky130_fd_sc_hd__mux4_2 */
-
-/* removed sky130_fd_sc_hd__mux4_4 */
-
-/* removed sky130_fd_sc_hd__nand2_1 */
-
-/* removed sky130_fd_sc_hd__nand2_2 */
-
-    cell ("sky130_fd_sc_hd__nand2_4") {
-        leakage_power () {
-            value : 0.0019122000;
-            when : "!A&B";
-        }
-        leakage_power () {
-            value : 0.0168098000;
-            when : "!A&!B";
-        }
-        leakage_power () {
-            value : 0.0082791000;
-            when : "A&B";
-        }
-        leakage_power () {
-            value : 0.0014905000;
-            when : "A&!B";
-        }
-        area : 11.260800000;
-        cell_footprint : "sky130_fd_sc_hd__nand2";
-        cell_leakage_power : 0.0071228910;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("A") {
-            capacitance : 0.0085370000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0082790000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0181423000, 0.0181690000, 0.0182305000, 0.0182212000, 0.0181998000, 0.0181504000, 0.0180365000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.012965000, -0.012981700, -0.013020300, -0.012986000, -0.012906800, -0.012724100, -0.012303100");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0087960000;
-        }
-        pin ("B") {
-            capacitance : 0.0088300000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0083880000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0158302000, 0.0158272000, 0.0158201000, 0.0158218000, 0.0158258000, 0.0158349000, 0.0158559000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.015780500, -0.015776400, -0.015766800, -0.015765400, -0.015761800, -0.015753500, -0.015734500");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0092720000;
-        }
-        pin ("Y") {
-            direction : "output";
-            function : "(!A) | (!B)";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
-                    values("0.0092709000, 0.0077009000, 0.0025027000, -0.015025000, -0.072574000, -0.257171200, -0.847001100", \
-                        "0.0082969000, 0.0067735000, 0.0016752000, -0.015512900, -0.072721600, -0.257184300, -0.846950300", \
-                        "0.0074126000, 0.0057640000, 0.0006926000, -0.016316200, -0.073149300, -0.257310100, -0.846966500", \
-                        "0.0068373000, 0.0053001000, -0.000165400, -0.017304700, -0.073918100, -0.257701000, -0.847107100", \
-                        "0.0074511000, 0.0053845000, -0.000227900, -0.018013700, -0.074543500, -0.258244900, -0.847347200", \
-                        "0.0100396000, 0.0088558000, 0.0026606000, -0.016283000, -0.074757700, -0.258933800, -0.847823400", \
-                        "0.0173091000, 0.0151494000, 0.0083075000, -0.011870700, -0.071891100, -0.258441100, -0.848122700");
-                }
-                related_pin : "A";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
-                    values("0.0091146000, 0.0112783000, 0.0178392000, 0.0369367000, 0.0950041000, 0.2763970000, 0.8621528000", \
-                        "0.0081918000, 0.0102920000, 0.0166638000, 0.0360023000, 0.0947208000, 0.2788672000, 0.8564815000", \
-                        "0.0074836000, 0.0094487000, 0.0154921000, 0.0348579000, 0.0936324000, 0.2760390000, 0.8564804000", \
-                        "0.0075544000, 0.0093879000, 0.0151739000, 0.0334676000, 0.0924796000, 0.2767033000, 0.8606083000", \
-                        "0.0082828000, 0.0100198000, 0.0155066000, 0.0342195000, 0.0906974000, 0.2755006000, 0.8596083000", \
-                        "0.0100462000, 0.0115774000, 0.0168437000, 0.0348121000, 0.0918673000, 0.2721794000, 0.8578122000", \
-                        "0.0211584000, 0.0192862000, 0.0241122000, 0.0402916000, 0.0954585000, 0.2754714000, 0.8561925000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
-                    values("0.0124667000, 0.0108860000, 0.0055330000, -0.012192100, -0.069814400, -0.254450100, -0.844262700", \
-                        "0.0115513000, 0.0099962000, 0.0048302000, -0.012614800, -0.070006000, -0.254502500, -0.844283200", \
-                        "0.0105588000, 0.0089911000, 0.0038382000, -0.013366100, -0.070359800, -0.254624800, -0.844307900", \
-                        "0.0098371000, 0.0082435000, 0.0028662000, -0.014336500, -0.071013900, -0.254935600, -0.844440300", \
-                        "0.0103310000, 0.0086112000, 0.0031815000, -0.014982500, -0.071688200, -0.255262900, -0.844565600", \
-                        "0.0110791000, 0.0092420000, 0.0035066000, -0.014649000, -0.072463200, -0.256062100, -0.844943400", \
-                        "0.0162366000, 0.0142006000, 0.0078286000, -0.011624200, -0.070533000, -0.255904100, -0.845158800");
-                }
-                related_pin : "B";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
-                    values("0.0246982000, 0.0265806000, 0.0324368000, 0.0507196000, 0.1082635000, 0.2906759000, 0.8724890000", \
-                        "0.0236517000, 0.0255482000, 0.0315826000, 0.0501034000, 0.1077713000, 0.2905790000, 0.8720048000", \
-                        "0.0226210000, 0.0245063000, 0.0306335000, 0.0491354000, 0.1071174000, 0.2901346000, 0.8721392000", \
-                        "0.0222230000, 0.0240774000, 0.0298671000, 0.0482436000, 0.1062879000, 0.2891320000, 0.8720190000", \
-                        "0.0233464000, 0.0251660000, 0.0310043000, 0.0490305000, 0.1064789000, 0.2890935000, 0.8712707000", \
-                        "0.0248859000, 0.0265116000, 0.0319589000, 0.0509890000, 0.1085646000, 0.2896306000, 0.8713115000", \
-                        "0.0315546000, 0.0333030000, 0.0386418000, 0.0553548000, 0.1107202000, 0.2930465000, 0.8722406000");
-                }
-            }
-            max_capacitance : 0.5301000000;
-            max_transition : 1.4986590000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
-                    values("0.0196846000, 0.0214894000, 0.0270948000, 0.0441384000, 0.0963893000, 0.2626743000, 0.7983328000", \
-                        "0.0232463000, 0.0250872000, 0.0307584000, 0.0478779000, 0.1014861000, 0.2674229000, 0.7975810000", \
-                        "0.0301511000, 0.0327263000, 0.0399473000, 0.0575092000, 0.1106251000, 0.2770611000, 0.8073930000", \
-                        "0.0372339000, 0.0410862000, 0.0521713000, 0.0781962000, 0.1340250000, 0.3011591000, 0.8350764000", \
-                        "0.0401552000, 0.0463746000, 0.0631236000, 0.1029376000, 0.1836212000, 0.3545091000, 0.8851356000", \
-                        "0.0282955000, 0.0379621000, 0.0634936000, 0.1240876000, 0.2477358000, 0.4819245000, 1.0147604000", \
-                        "-0.025064200, -0.011335100, 0.0263611000, 0.1176377000, 0.3079844000, 0.6639810000, 1.3116122000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
-                    values("0.0242340000, 0.0269078000, 0.0348598000, 0.0579881000, 0.1288697000, 0.3500559000, 1.0564722000", \
-                        "0.0293365000, 0.0318920000, 0.0395086000, 0.0629239000, 0.1342490000, 0.3580886000, 1.0637792000", \
-                        "0.0424911000, 0.0452213000, 0.0526283000, 0.0759010000, 0.1465874000, 0.3692190000, 1.0762998000", \
-                        "0.0641670000, 0.0686250000, 0.0805502000, 0.1072780000, 0.1773256000, 0.3990797000, 1.1052306000", \
-                        "0.0987517000, 0.1059299000, 0.1248592000, 0.1683010000, 0.2522434000, 0.4750554000, 1.1799575000", \
-                        "0.1581990000, 0.1687093000, 0.1984489000, 0.2672093000, 0.4015263000, 0.6471254000, 1.3525815000", \
-                        "0.2686611000, 0.2839625000, 0.3268878000, 0.4302959000, 0.6430589000, 1.0218250000, 1.7544647000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
-                    values("0.0130327000, 0.0151905000, 0.0223079000, 0.0450649000, 0.1167571000, 0.3458200000, 1.0805018000", \
-                        "0.0131986000, 0.0152698000, 0.0223447000, 0.0449553000, 0.1176859000, 0.3468408000, 1.0782312000", \
-                        "0.0184825000, 0.0208300000, 0.0262382000, 0.0458781000, 0.1173606000, 0.3456738000, 1.0795913000", \
-                        "0.0283107000, 0.0312156000, 0.0391559000, 0.0595476000, 0.1196149000, 0.3465407000, 1.0788846000", \
-                        "0.0473497000, 0.0512064000, 0.0625856000, 0.0893939000, 0.1500424000, 0.3495459000, 1.0761634000", \
-                        "0.0797161000, 0.0861683000, 0.1031389000, 0.1433381000, 0.2262718000, 0.4096607000, 1.0780071000", \
-                        "0.1394519000, 0.1490594000, 0.1757089000, 0.2373024000, 0.3605228000, 0.5942562000, 1.1732155000");
-                }
-                related_pin : "A";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
-                    values("0.0163728000, 0.0193365000, 0.0291154000, 0.0602568000, 0.1598662000, 0.4740094000, 1.4826818000", \
-                        "0.0163340000, 0.0193897000, 0.0290425000, 0.0600941000, 0.1596554000, 0.4764955000, 1.4817469000", \
-                        "0.0207267000, 0.0227527000, 0.0305370000, 0.0600965000, 0.1596094000, 0.4769091000, 1.4796694000", \
-                        "0.0344062000, 0.0372092000, 0.0452310000, 0.0666464000, 0.1593882000, 0.4757272000, 1.4806347000", \
-                        "0.0570896000, 0.0618423000, 0.0737096000, 0.1015869000, 0.1730242000, 0.4760021000, 1.4867120000", \
-                        "0.0930665000, 0.1007368000, 0.1208184000, 0.1653146000, 0.2510803000, 0.4943750000, 1.4874799000", \
-                        "0.1562131000, 0.1687451000, 0.1971350000, 0.2695675000, 0.4079915000, 0.6520386000, 1.4986586000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
-                    values("0.0253961000, 0.0272441000, 0.0327091000, 0.0495859000, 0.1016656000, 0.2691097000, 0.7995458000", \
-                        "0.0292059000, 0.0310275000, 0.0367110000, 0.0535885000, 0.1069765000, 0.2727188000, 0.8032400000", \
-                        "0.0360932000, 0.0383465000, 0.0446087000, 0.0620557000, 0.1152077000, 0.2825578000, 0.8124311000", \
-                        "0.0452887000, 0.0484803000, 0.0569603000, 0.0789576000, 0.1344180000, 0.3014440000, 0.8323233000", \
-                        "0.0523876000, 0.0572227000, 0.0711605000, 0.1033989000, 0.1756529000, 0.3473160000, 0.8786184000", \
-                        "0.0445039000, 0.0524351000, 0.0752641000, 0.1267944000, 0.2336107000, 0.4488819000, 0.9877016000", \
-                        "-0.007831800, 0.0051118000, 0.0406924000, 0.1246323000, 0.2935974000, 0.6075307000, 1.2241136000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
-                    values("0.0350992000, 0.0375969000, 0.0449204000, 0.0672063000, 0.1365061000, 0.3554612000, 1.0523779000", \
-                        "0.0401893000, 0.0425308000, 0.0500041000, 0.0725969000, 0.1418545000, 0.3608226000, 1.0587001000", \
-                        "0.0533601000, 0.0556450000, 0.0631076000, 0.0852729000, 0.1554152000, 0.3748254000, 1.0715463000", \
-                        "0.0825839000, 0.0855946000, 0.0945014000, 0.1176278000, 0.1873090000, 0.4060128000, 1.1023487000", \
-                        "0.1305368000, 0.1354267000, 0.1493281000, 0.1848286000, 0.2619334000, 0.4802199000, 1.1769874000", \
-                        "0.2086142000, 0.2163482000, 0.2385785000, 0.2956733000, 0.4165240000, 0.6538708000, 1.3473886000", \
-                        "0.3422315000, 0.3554846000, 0.3890883000, 0.4763761000, 0.6703939000, 1.0324812000, 1.7501620000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
-                    values("0.0130486000, 0.0153045000, 0.0223979000, 0.0449948000, 0.1170474000, 0.3462942000, 1.0776381000", \
-                        "0.0131341000, 0.0153396000, 0.0224098000, 0.0450121000, 0.1170983000, 0.3457965000, 1.0763236000", \
-                        "0.0160618000, 0.0179452000, 0.0240640000, 0.0454251000, 0.1169452000, 0.3460591000, 1.0776199000", \
-                        "0.0239101000, 0.0261751000, 0.0328030000, 0.0527195000, 0.1186293000, 0.3455221000, 1.0775539000", \
-                        "0.0408777000, 0.0438993000, 0.0524337000, 0.0746259000, 0.1377432000, 0.3488929000, 1.0765813000", \
-                        "0.0737383000, 0.0778088000, 0.0899509000, 0.1204960000, 0.1915944000, 0.3861498000, 1.0824506000", \
-                        "0.1393429000, 0.1447637000, 0.1602991000, 0.2029102000, 0.2995143000, 0.5164569000, 1.1353274000");
-                }
-                related_pin : "B";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
-                    values("0.0262074000, 0.0290839000, 0.0385814000, 0.0688890000, 0.1665689000, 0.4785676000, 1.4708065000", \
-                        "0.0260423000, 0.0290809000, 0.0385178000, 0.0691440000, 0.1666881000, 0.4777270000, 1.4763981000", \
-                        "0.0268695000, 0.0294992000, 0.0384833000, 0.0690093000, 0.1665566000, 0.4775256000, 1.4712840000", \
-                        "0.0392180000, 0.0418221000, 0.0484628000, 0.0729160000, 0.1667060000, 0.4799063000, 1.4715091000", \
-                        "0.0636660000, 0.0676837000, 0.0785134000, 0.1047819000, 0.1790759000, 0.4781919000, 1.4725076000", \
-                        "0.1038778000, 0.1105205000, 0.1281283000, 0.1701179000, 0.2544870000, 0.4989449000, 1.4719324000", \
-                        "0.1682099000, 0.1790331000, 0.2076618000, 0.2767220000, 0.4093141000, 0.6541236000, 1.4922104000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-        }
-    }
-
-/* removed sky130_fd_sc_hd__nand2_8 */
-
-/* removed sky130_fd_sc_hd__nand2b_1 */
-
-/* removed sky130_fd_sc_hd__nand2b_2 */
-
-/* removed sky130_fd_sc_hd__nand2b_4 */
-
-/* removed sky130_fd_sc_hd__nand3_1 */
-
-/* removed sky130_fd_sc_hd__nand3_2 */
-
-    cell ("sky130_fd_sc_hd__nand3_4") {
-        leakage_power () {
-            value : 0.0001713000;
-            when : "!A&!B&C";
-        }
-        leakage_power () {
-            value : 9.9474228e-05;
-            when : "!A&!B&!C";
-        }
-        leakage_power () {
-            value : 0.0015251000;
-            when : "!A&B&C";
-        }
-        leakage_power () {
-            value : 0.0001757000;
-            when : "!A&B&!C";
-        }
-        leakage_power () {
-            value : 0.0014820000;
-            when : "A&!B&C";
-        }
-        leakage_power () {
-            value : 0.0001986000;
-            when : "A&!B&!C";
-        }
-        leakage_power () {
-            value : 0.0155295000;
-            when : "A&B&C";
-        }
-        leakage_power () {
-            value : 0.0014760000;
-            when : "A&B&!C";
-        }
-        area : 17.516800000;
-        cell_footprint : "sky130_fd_sc_hd__nand3";
-        cell_leakage_power : 0.0025822140;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("A") {
-            capacitance : 0.0086630000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0084820000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0186038000, 0.0186415000, 0.0187282000, 0.0187153000, 0.0186854000, 0.0186164000, 0.0184575000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.013647500, -0.013592800, -0.013466800, -0.013441300, -0.013382400, -0.013246700, -0.012933900");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0088430000;
-        }
-        pin ("B") {
-            capacitance : 0.0085870000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0082850000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0171354000, 0.0171216000, 0.0170895000, 0.0171503000, 0.0172903000, 0.0176130000, 0.0183568000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.015922200, -0.015897700, -0.015841300, -0.015836700, -0.015826200, -0.015802000, -0.015746300");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0088890000;
-        }
-        pin ("C") {
-            capacitance : 0.0087760000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0083260000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0157436000, 0.0157321000, 0.0157057000, 0.0157098000, 0.0157192000, 0.0157409000, 0.0157910000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.015691500, -0.015690900, -0.015689700, -0.015690600, -0.015692700, -0.015697700, -0.015709000");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0092250000;
-        }
-        pin ("Y") {
-            direction : "output";
-            function : "(!A) | (!B) | (!C)";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015647370, 0.0048968030, 0.0153244200, 0.0479573500, 0.1500813000, 0.4696754000");
-                    values("0.0148238000, 0.0132860000, 0.0082393000, -0.008183700, -0.060750800, -0.226094800, -0.743746200", \
-                        "0.0138061000, 0.0122942000, 0.0073528000, -0.008774400, -0.061012000, -0.226236100, -0.743880400", \
-                        "0.0125288000, 0.0109903000, 0.0060999000, -0.009828900, -0.061658300, -0.226563600, -0.744066700", \
-                        "0.0119390000, 0.0102876000, 0.0050808000, -0.010900500, -0.062536400, -0.226944100, -0.744244600", \
-                        "0.0118880000, 0.0101811000, 0.0048744000, -0.011581300, -0.063419000, -0.227617300, -0.744549000", \
-                        "0.0141030000, 0.0123688000, 0.0068597000, -0.010015400, -0.062998100, -0.228236400, -0.745082400", \
-                        "0.0212559000, 0.0196006000, 0.0135686000, -0.005512500, -0.060148100, -0.226065800, -0.744652200");
-                }
-                related_pin : "A";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015647370, 0.0048968030, 0.0153244200, 0.0479573500, 0.1500813000, 0.4696754000");
-                    values("0.0123830000, 0.0144335000, 0.0204769000, 0.0380743000, 0.0910411000, 0.2553705000, 0.7636961000", \
-                        "0.0114321000, 0.0134404000, 0.0195086000, 0.0374665000, 0.0908859000, 0.2550968000, 0.7633567000", \
-                        "0.0105647000, 0.0124402000, 0.0183613000, 0.0362378000, 0.0896426000, 0.2563069000, 0.7686824000", \
-                        "0.0101080000, 0.0119612000, 0.0175856000, 0.0350887000, 0.0887259000, 0.2552921000, 0.7722336000", \
-                        "0.0111988000, 0.0128972000, 0.0180674000, 0.0346287000, 0.0869915000, 0.2538234000, 0.7661253000", \
-                        "0.0124349000, 0.0140626000, 0.0192018000, 0.0365230000, 0.0890295000, 0.2517047000, 0.7659576000", \
-                        "0.0205954000, 0.0213936000, 0.0260153000, 0.0412429000, 0.0911729000, 0.2562551000, 0.7657882000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015647370, 0.0048968030, 0.0153244200, 0.0479573500, 0.1500813000, 0.4696754000");
-                    values("0.0177715000, 0.0161247000, 0.0108678000, -0.005797000, -0.058521300, -0.223936400, -0.741606900", \
-                        "0.0169147000, 0.0153343000, 0.0102336000, -0.006199000, -0.058716800, -0.223995800, -0.741633900", \
-                        "0.0157981000, 0.0142461000, 0.0092353000, -0.006948100, -0.059119600, -0.224190200, -0.741785400", \
-                        "0.0148902000, 0.0132826000, 0.0082118000, -0.007933100, -0.059765500, -0.224464700, -0.741899100", \
-                        "0.0146943000, 0.0130180000, 0.0077961000, -0.008573000, -0.060486000, -0.224947500, -0.742052700", \
-                        "0.0154620000, 0.0137562000, 0.0082897000, -0.008485400, -0.061302400, -0.225651500, -0.742607700", \
-                        "0.0196160000, 0.0176976000, 0.0117613000, -0.005998100, -0.059636600, -0.225641500, -0.742782400");
-                }
-                related_pin : "B";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015647370, 0.0048968030, 0.0153244200, 0.0479573500, 0.1500813000, 0.4696754000");
-                    values("0.0261560000, 0.0279487000, 0.0334644000, 0.0504479000, 0.1029416000, 0.2663360000, 0.7774018000", \
-                        "0.0252622000, 0.0270793000, 0.0326903000, 0.0499507000, 0.1027871000, 0.2662114000, 0.7770667000", \
-                        "0.0241001000, 0.0259593000, 0.0317359000, 0.0491697000, 0.1022096000, 0.2662915000, 0.7774053000", \
-                        "0.0233544000, 0.0252897000, 0.0308375000, 0.0480021000, 0.1011163000, 0.2652256000, 0.7762032000", \
-                        "0.0232486000, 0.0249626000, 0.0303128000, 0.0471554000, 0.0998599000, 0.2642862000, 0.7756777000", \
-                        "0.0249198000, 0.0264727000, 0.0320165000, 0.0485873000, 0.1013283000, 0.2645859000, 0.7747176000", \
-                        "0.0297222000, 0.0311918000, 0.0361543000, 0.0519407000, 0.1030897000, 0.2652951000, 0.7737678000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015647370, 0.0048968030, 0.0153244200, 0.0479573500, 0.1500813000, 0.4696754000");
-                    values("0.0172616000, 0.0156488000, 0.0103614000, -0.006312100, -0.059099300, -0.224514100, -0.742150900", \
-                        "0.0164811000, 0.0148750000, 0.0097771000, -0.006712200, -0.059248200, -0.224574200, -0.742268800", \
-                        "0.0155140000, 0.0139388000, 0.0089018000, -0.007351100, -0.059571400, -0.224705500, -0.742320500", \
-                        "0.0146571000, 0.0130339000, 0.0079425000, -0.008291900, -0.060179900, -0.224957000, -0.742394500", \
-                        "0.0146066000, 0.0127506000, 0.0074676000, -0.008939300, -0.060870000, -0.225245300, -0.742517000", \
-                        "0.0147590000, 0.0131024000, 0.0077270000, -0.008992100, -0.061685800, -0.226126000, -0.743031600", \
-                        "0.0179792000, 0.0160696000, 0.0103607000, -0.007113100, -0.060446700, -0.226088900, -0.743204600");
-                }
-                related_pin : "C";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015647370, 0.0048968030, 0.0153244200, 0.0479573500, 0.1500813000, 0.4696754000");
-                    values("0.0385330000, 0.0402708000, 0.0457332000, 0.0627508000, 0.1156065000, 0.2791567000, 0.7904297000", \
-                        "0.0377395000, 0.0395026000, 0.0449968000, 0.0621528000, 0.1151536000, 0.2789635000, 0.7896619000", \
-                        "0.0368356000, 0.0386319000, 0.0441755000, 0.0614560000, 0.1146391000, 0.2788045000, 0.7897168000", \
-                        "0.0360106000, 0.0377415000, 0.0433576000, 0.0606083000, 0.1139195000, 0.2778599000, 0.7891288000", \
-                        "0.0358799000, 0.0376210000, 0.0431625000, 0.0599462000, 0.1132483000, 0.2771696000, 0.7896121000", \
-                        "0.0397742000, 0.0415249000, 0.0468238000, 0.0612678000, 0.1136505000, 0.2773930000, 0.7889463000", \
-                        "0.0441251000, 0.0454404000, 0.0504740000, 0.0665281000, 0.1181064000, 0.2806854000, 0.7891014000");
-                }
-            }
-            max_capacitance : 0.4696750000;
-            max_transition : 1.5000220000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
-                    values("0.0302676000, 0.0329060000, 0.0406888000, 0.0645687000, 0.1361186000, 0.3590482000, 1.0648394000", \
-                        "0.0331539000, 0.0358655000, 0.0438181000, 0.0677186000, 0.1406878000, 0.3630337000, 1.0602202000", \
-                        "0.0421428000, 0.0447874000, 0.0524350000, 0.0765361000, 0.1491480000, 0.3731184000, 1.0701783000", \
-                        "0.0555814000, 0.0595294000, 0.0703919000, 0.0987270000, 0.1712134000, 0.3956915000, 1.0931490000", \
-                        "0.0685256000, 0.0747302000, 0.0915916000, 0.1342628000, 0.2242455000, 0.4477924000, 1.1509889000", \
-                        "0.0735063000, 0.0824164000, 0.1078502000, 0.1712698000, 0.3066237000, 0.5700685000, 1.2707281000", \
-                        "0.0506534000, 0.0640933000, 0.1009098000, 0.1936399000, 0.3981120000, 0.7923255000, 1.5549986000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
-                    values("0.0277117000, 0.0300593000, 0.0371650000, 0.0576961000, 0.1188868000, 0.3099523000, 0.9046163000", \
-                        "0.0327574000, 0.0350679000, 0.0420725000, 0.0628037000, 0.1248805000, 0.3176835000, 0.9079208000", \
-                        "0.0459637000, 0.0481965000, 0.0550020000, 0.0757706000, 0.1377625000, 0.3288765000, 0.9247297000", \
-                        "0.0692441000, 0.0728561000, 0.0828008000, 0.1065208000, 0.1685722000, 0.3593920000, 0.9526359000", \
-                        "0.1052746000, 0.1112052000, 0.1270643000, 0.1652565000, 0.2414686000, 0.4322622000, 1.0224208000", \
-                        "0.1632590000, 0.1725183000, 0.1978565000, 0.2580154000, 0.3798337000, 0.6000623000, 1.1929892000", \
-                        "0.2647666000, 0.2775693000, 0.3151261000, 0.4065552000, 0.5990414000, 0.9541149000, 1.5856080000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
-                    values("0.0285984000, 0.0319071000, 0.0420519000, 0.0740418000, 0.1729742000, 0.4821433000, 1.4601245000", \
-                        "0.0282909000, 0.0316011000, 0.0418894000, 0.0736938000, 0.1729777000, 0.4813023000, 1.4474754000", \
-                        "0.0305539000, 0.0333223000, 0.0424051000, 0.0733760000, 0.1727166000, 0.4813105000, 1.4491495000", \
-                        "0.0417622000, 0.0456030000, 0.0555326000, 0.0808436000, 0.1729255000, 0.4829409000, 1.4512634000", \
-                        "0.0635358000, 0.0678036000, 0.0803162000, 0.1129683000, 0.1935836000, 0.4844428000, 1.4500522000", \
-                        "0.1023974000, 0.1095058000, 0.1265735000, 0.1717025000, 0.2714832000, 0.5192799000, 1.4531373000", \
-                        "0.1703103000, 0.1806344000, 0.2053011000, 0.2720454000, 0.4114367000, 0.7010760000, 1.5000218000");
-                }
-                related_pin : "A";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
-                    values("0.0213387000, 0.0241156000, 0.0329913000, 0.0606726000, 0.1476590000, 0.4216956000, 1.2751485000", \
-                        "0.0212796000, 0.0241537000, 0.0329463000, 0.0605811000, 0.1478673000, 0.4221709000, 1.2675441000", \
-                        "0.0242274000, 0.0263747000, 0.0338502000, 0.0606846000, 0.1475153000, 0.4226350000, 1.2765687000", \
-                        "0.0394323000, 0.0414751000, 0.0480517000, 0.0675341000, 0.1478872000, 0.4210181000, 1.2754989000", \
-                        "0.0650113000, 0.0687163000, 0.0788491000, 0.1029325000, 0.1648665000, 0.4203217000, 1.2739746000", \
-                        "0.1072404000, 0.1129065000, 0.1293168000, 0.1693689000, 0.2466275000, 0.4499186000, 1.2714556000", \
-                        "0.1777549000, 0.1893831000, 0.2125928000, 0.2748110000, 0.4005875000, 0.6352814000, 1.3081766000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
-                    values("0.0406368000, 0.0431720000, 0.0506949000, 0.0741405000, 0.1454709000, 0.3709738000, 1.0666669000", \
-                        "0.0439725000, 0.0465655000, 0.0543576000, 0.0779216000, 0.1496468000, 0.3745434000, 1.0723233000", \
-                        "0.0511655000, 0.0538625000, 0.0618621000, 0.0859823000, 0.1579888000, 0.3812181000, 1.0785375000", \
-                        "0.0632241000, 0.0665652000, 0.0763222000, 0.1031718000, 0.1763061000, 0.4002172000, 1.0983492000", \
-                        "0.0774984000, 0.0825068000, 0.0967978000, 0.1330572000, 0.2182724000, 0.4449335000, 1.1430519000", \
-                        "0.0807745000, 0.0898954000, 0.1112197000, 0.1669533000, 0.2868765000, 0.5446084000, 1.2481962000", \
-                        "0.0468086000, 0.0601038000, 0.0938935000, 0.1805796000, 0.3657763000, 0.7207090000, 1.4780133000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
-                    values("0.0422749000, 0.0446074000, 0.0518243000, 0.0739549000, 0.1410707000, 0.3489302000, 0.9978199000", \
-                        "0.0472646000, 0.0496986000, 0.0569113000, 0.0792699000, 0.1466850000, 0.3546542000, 1.0039474000", \
-                        "0.0599214000, 0.0623179000, 0.0698152000, 0.0922496000, 0.1600875000, 0.3680974000, 1.0183124000", \
-                        "0.0904054000, 0.0931291000, 0.1013718000, 0.1236222000, 0.1915141000, 0.3998429000, 1.0505085000", \
-                        "0.1409214000, 0.1454535000, 0.1583781000, 0.1916118000, 0.2653373000, 0.4735076000, 1.1226788000", \
-                        "0.2242766000, 0.2312963000, 0.2514369000, 0.3046199000, 0.4190462000, 0.6453268000, 1.2916576000", \
-                        "0.3651656000, 0.3753554000, 0.4060830000, 0.4875207000, 0.6706186000, 1.0182574000, 1.6909090000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
-                    values("0.0288416000, 0.0320583000, 0.0420794000, 0.0737625000, 0.1727410000, 0.4838017000, 1.4491321000", \
-                        "0.0288789000, 0.0320855000, 0.0421504000, 0.0737191000, 0.1725986000, 0.4828791000, 1.4525401000", \
-                        "0.0302127000, 0.0331529000, 0.0426858000, 0.0739383000, 0.1727034000, 0.4815253000, 1.4484696000", \
-                        "0.0383599000, 0.0413884000, 0.0507352000, 0.0782470000, 0.1731502000, 0.4817615000, 1.4483872000", \
-                        "0.0574879000, 0.0608620000, 0.0710450000, 0.1002170000, 0.1856801000, 0.4835302000, 1.4514718000", \
-                        "0.0961440000, 0.1007437000, 0.1135630000, 0.1495910000, 0.2395392000, 0.5065027000, 1.4539727000", \
-                        "0.1683338000, 0.1748161000, 0.1930253000, 0.2419098000, 0.3571268000, 0.6298424000, 1.4838255000");
-                }
-                related_pin : "B";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
-                    values("0.0332386000, 0.0364586000, 0.0461934000, 0.0767189000, 0.1723928000, 0.4718840000, 1.4075198000", \
-                        "0.0332320000, 0.0363533000, 0.0461717000, 0.0766876000, 0.1724896000, 0.4714933000, 1.4072856000", \
-                        "0.0333722000, 0.0363228000, 0.0460782000, 0.0767273000, 0.1724071000, 0.4716995000, 1.4144720000", \
-                        "0.0443688000, 0.0468638000, 0.0539828000, 0.0797556000, 0.1725886000, 0.4716662000, 1.4135408000", \
-                        "0.0723089000, 0.0756689000, 0.0849731000, 0.1099021000, 0.1839327000, 0.4723677000, 1.4092869000", \
-                        "0.1184961000, 0.1240928000, 0.1395747000, 0.1766017000, 0.2582480000, 0.4934469000, 1.4093442000", \
-                        "0.1930836000, 0.2023822000, 0.2282166000, 0.2899719000, 0.4150365000, 0.6521169000, 1.4317170000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
-                    values("0.0456467000, 0.0482875000, 0.0557768000, 0.0793095000, 0.1504686000, 0.3768995000, 1.0715164000", \
-                        "0.0491742000, 0.0517222000, 0.0595632000, 0.0830003000, 0.1550859000, 0.3779358000, 1.0754178000", \
-                        "0.0561914000, 0.0587681000, 0.0668162000, 0.0904905000, 0.1640248000, 0.3863628000, 1.0832191000", \
-                        "0.0676077000, 0.0705731000, 0.0792880000, 0.1046303000, 0.1772709000, 0.4019325000, 1.0986809000", \
-                        "0.0816723000, 0.0858016000, 0.0969860000, 0.1278579000, 0.2079219000, 0.4336209000, 1.1320377000", \
-                        "0.0861761000, 0.0930543000, 0.1105985000, 0.1562593000, 0.2606250000, 0.5056250000, 1.2075890000", \
-                        "0.0490743000, 0.0594548000, 0.0894226000, 0.1629416000, 0.3195011000, 0.6354369000, 1.3741289000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
-                    values("0.0485061000, 0.0509094000, 0.0582133000, 0.0801880000, 0.1462676000, 0.3476912000, 0.9748141000", \
-                        "0.0535530000, 0.0560682000, 0.0634748000, 0.0855859000, 0.1514781000, 0.3530546000, 0.9801169000", \
-                        "0.0664559000, 0.0689102000, 0.0762979000, 0.0986043000, 0.1647680000, 0.3664512000, 0.9931819000", \
-                        "0.0983284000, 0.1007228000, 0.1087613000, 0.1305863000, 0.1967528000, 0.3985919000, 1.0261660000", \
-                        "0.1575121000, 0.1613745000, 0.1724018000, 0.2017820000, 0.2712915000, 0.4733411000, 1.0998743000", \
-                        "0.2533974000, 0.2592144000, 0.2765941000, 0.3219479000, 0.4292643000, 0.6438756000, 1.2687630000", \
-                        "0.4125420000, 0.4211259000, 0.4478486000, 0.5200061000, 0.6877767000, 1.0171779000, 1.6710165000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
-                    values("0.0287981000, 0.0321048000, 0.0420776000, 0.0739446000, 0.1734321000, 0.4838959000, 1.4490191000", \
-                        "0.0288680000, 0.0320621000, 0.0421544000, 0.0737938000, 0.1727225000, 0.4818771000, 1.4481408000", \
-                        "0.0293318000, 0.0324409000, 0.0423117000, 0.0737508000, 0.1735110000, 0.4816545000, 1.4492808000", \
-                        "0.0345681000, 0.0375915000, 0.0471108000, 0.0762035000, 0.1729069000, 0.4822058000, 1.4488956000", \
-                        "0.0486582000, 0.0515914000, 0.0609690000, 0.0905885000, 0.1808126000, 0.4817513000, 1.4471573000", \
-                        "0.0825321000, 0.0862074000, 0.0971497000, 0.1286256000, 0.2207680000, 0.4984441000, 1.4581208000", \
-                        "0.1533309000, 0.1577750000, 0.1721941000, 0.2121399000, 0.3121181000, 0.5905266000, 1.4756406000");
-                }
-                related_pin : "C";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
-                    values("0.0426683000, 0.0458258000, 0.0552861000, 0.0849477000, 0.1786247000, 0.4712017000, 1.3888447000", \
-                        "0.0425819000, 0.0456585000, 0.0552628000, 0.0850394000, 0.1786357000, 0.4719144000, 1.3900809000", \
-                        "0.0418626000, 0.0450100000, 0.0548506000, 0.0849683000, 0.1783886000, 0.4715334000, 1.3899726000", \
-                        "0.0491979000, 0.0520409000, 0.0597491000, 0.0864532000, 0.1784078000, 0.4715643000, 1.3899165000", \
-                        "0.0767094000, 0.0799735000, 0.0894328000, 0.1127320000, 0.1877672000, 0.4717598000, 1.3938735000", \
-                        "0.1253093000, 0.1300486000, 0.1444019000, 0.1797871000, 0.2590445000, 0.4929216000, 1.3900300000", \
-                        "0.2031041000, 0.2120537000, 0.2351861000, 0.2920672000, 0.4152522000, 0.6466940000, 1.4127383000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-        }
-    }
-
-/* removed sky130_fd_sc_hd__nand3b_1 */
-
-/* removed sky130_fd_sc_hd__nand3b_2 */
-
-/* removed sky130_fd_sc_hd__nand3b_4 */
-
-/* removed sky130_fd_sc_hd__nand4_1 */
-
-/* removed sky130_fd_sc_hd__nand4_2 */
-
-    cell ("sky130_fd_sc_hd__nand4_4") {
-        leakage_power () {
-            value : 0.0167977000;
-            when : "!A&!B&!C&D";
-        }
-        leakage_power () {
-            value : 0.0167972000;
-            when : "!A&!B&!C&!D";
-        }
-        leakage_power () {
-            value : 0.0001765000;
-            when : "!A&!B&C&D";
-        }
-        leakage_power () {
-            value : 0.0001012000;
-            when : "!A&!B&C&!D";
-        }
-        leakage_power () {
-            value : 0.0001796000;
-            when : "!A&B&!C&D";
-        }
-        leakage_power () {
-            value : 0.0001051000;
-            when : "!A&B&!C&!D";
-        }
-        leakage_power () {
-            value : 0.0019163000;
-            when : "!A&B&C&D";
-        }
-        leakage_power () {
-            value : 0.0001843000;
-            when : "!A&B&C&!D";
-        }
-        leakage_power () {
-            value : 0.0001981000;
-            when : "A&!B&!C&D";
-        }
-        leakage_power () {
-            value : 0.0001091000;
-            when : "A&!B&!C&!D";
-        }
-        leakage_power () {
-            value : 0.0014939000;
-            when : "A&!B&C&D";
-        }
-        leakage_power () {
-            value : 0.0002020000;
-            when : "A&!B&C&!D";
-        }
-        leakage_power () {
-            value : 0.0014645000;
-            when : "A&B&!C&D";
-        }
-        leakage_power () {
-            value : 0.0002237000;
-            when : "A&B&!C&!D";
-        }
-        leakage_power () {
-            value : 0.0105515000;
-            when : "A&B&C&D";
-        }
-        leakage_power () {
-            value : 0.0014670000;
-            when : "A&B&C&!D";
-        }
-        area : 21.270400000;
-        cell_footprint : "sky130_fd_sc_hd__nand4";
-        cell_leakage_power : 0.0032479900;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("A") {
-            capacitance : 0.0084590000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0083150000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0182239000, 0.0182852000, 0.0184264000, 0.0184119000, 0.0183786000, 0.0183016000, 0.0181242000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.012986800, -0.012976000, -0.012951000, -0.012918800, -0.012844700, -0.012673700, -0.012279600");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0086040000;
-        }
-        pin ("B") {
-            capacitance : 0.0084720000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0082470000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0173805000, 0.0173653000, 0.0173305000, 0.0173935000, 0.0175389000, 0.0178738000, 0.0186459000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.015845200, -0.015836000, -0.015815000, -0.015810800, -0.015801200, -0.015778900, -0.015727600");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0086960000;
-        }
-        pin ("C") {
-            capacitance : 0.0085330000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0081880000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0156250000, 0.0156266000, 0.0156301000, 0.0156303000, 0.0156307000, 0.0156316000, 0.0156337000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.015610200, -0.015606800, -0.015598800, -0.015594500, -0.015584600, -0.015561900, -0.015509500");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0088780000;
-        }
-        pin ("D") {
-            capacitance : 0.0087390000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0082760000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0157077000, 0.0156991000, 0.0156792000, 0.0156825000, 0.0156903000, 0.0157082000, 0.0157494000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.015654100, -0.015659700, -0.015672500, -0.015670500, -0.015665900, -0.015655500, -0.015631300");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0092020000;
-        }
-        pin ("Y") {
-            direction : "output";
-            function : "(!A) | (!B) | (!C) | (!D)";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014954950, 0.0044730120, 0.0133787300, 0.0400156700, 0.1196865000, 0.3579811000");
-                    values("0.0186030000, 0.0170370000, 0.0124358000, -0.001633200, -0.044553200, -0.173494900, -0.559542800", \
-                        "0.0177491000, 0.0162761000, 0.0117649000, -0.002091900, -0.044761300, -0.173593800, -0.559543000", \
-                        "0.0165783000, 0.0151106000, 0.0107011000, -0.002933900, -0.045243900, -0.173788700, -0.559582200", \
-                        "0.0156784000, 0.0141756000, 0.0097288000, -0.004058600, -0.046174400, -0.174300200, -0.559803200", \
-                        "0.0155492000, 0.0139650000, 0.0092610000, -0.004754400, -0.047018300, -0.175035600, -0.560206700", \
-                        "0.0171759000, 0.0154705000, 0.0106172000, -0.003960600, -0.047219800, -0.175686300, -0.560886300", \
-                        "0.0229424000, 0.0210998000, 0.0155070000, -9.55000e-05, -0.044499700, -0.174742500, -0.560929800");
-                }
-                related_pin : "A";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014954950, 0.0044730120, 0.0133787300, 0.0400156700, 0.1196865000, 0.3579811000");
-                    values("0.0140159000, 0.0158510000, 0.0211570000, 0.0362073000, 0.0792957000, 0.2076847000, 0.5882907000", \
-                        "0.0132190000, 0.0150592000, 0.0204067000, 0.0356714000, 0.0792600000, 0.2077523000, 0.5863967000", \
-                        "0.0123073000, 0.0141224000, 0.0193596000, 0.0346594000, 0.0786105000, 0.2062564000, 0.5889083000", \
-                        "0.0118642000, 0.0136303000, 0.0186093000, 0.0334509000, 0.0778607000, 0.2066729000, 0.5903794000", \
-                        "0.0119212000, 0.0135386000, 0.0183647000, 0.0330424000, 0.0761926000, 0.2066711000, 0.5851748000", \
-                        "0.0127122000, 0.0142293000, 0.0188276000, 0.0339861000, 0.0766944000, 0.2032347000, 0.5902992000", \
-                        "0.0219010000, 0.0206307000, 0.0248099000, 0.0402238000, 0.0817538000, 0.2065960000, 0.5862779000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014954950, 0.0044730120, 0.0133787300, 0.0400156700, 0.1196865000, 0.3579811000");
-                    values("0.0220777000, 0.0204876000, 0.0157842000, 0.0015510000, -0.041459600, -0.170487500, -0.556516600", \
-                        "0.0213333000, 0.0198920000, 0.0152493000, 0.0011976000, -0.041636400, -0.170541700, -0.556488100", \
-                        "0.0203947000, 0.0188965000, 0.0143745000, 0.0004848000, -0.041993100, -0.170656900, -0.556556300", \
-                        "0.0193535000, 0.0178673000, 0.0132940000, -0.000469500, -0.042687900, -0.171021200, -0.556673600", \
-                        "0.0189795000, 0.0173926000, 0.0127677000, -0.001221800, -0.043496700, -0.171489000, -0.556764300", \
-                        "0.0187839000, 0.0171246000, 0.0123499000, -0.001829600, -0.044503900, -0.172448200, -0.557404000", \
-                        "0.0215435000, 0.0197755000, 0.0147902000, -3.00000e-06, -0.043467700, -0.172771900, -0.558006500");
-                }
-                related_pin : "B";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014954950, 0.0044730120, 0.0133787300, 0.0400156700, 0.1196865000, 0.3579811000");
-                    values("0.0281140000, 0.0297558000, 0.0347118000, 0.0492496000, 0.0921554000, 0.2199161000, 0.6001347000", \
-                        "0.0274511000, 0.0291415000, 0.0341624000, 0.0489121000, 0.0921846000, 0.2199866000, 0.6003756000", \
-                        "0.0263587000, 0.0281735000, 0.0331630000, 0.0481618000, 0.0915770000, 0.2196078000, 0.6011851000", \
-                        "0.0252572000, 0.0270670000, 0.0319664000, 0.0469176000, 0.0904634000, 0.2183960000, 0.6010176000", \
-                        "0.0246571000, 0.0263426000, 0.0313667000, 0.0460568000, 0.0897376000, 0.2173856000, 0.6008098000", \
-                        "0.0254584000, 0.0270093000, 0.0316935000, 0.0458470000, 0.0893974000, 0.2168787000, 0.5987188000", \
-                        "0.0304787000, 0.0331522000, 0.0343500000, 0.0501817000, 0.0926933000, 0.2155185000, 0.5959053000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014954950, 0.0044730120, 0.0133787300, 0.0400156700, 0.1196865000, 0.3579811000");
-                    values("0.0218047000, 0.0202398000, 0.0155529000, 0.0012084000, -0.041831800, -0.170855600, -0.556788400", \
-                        "0.0211840000, 0.0196713000, 0.0149985000, 0.0008696000, -0.041999000, -0.170923000, -0.556909700", \
-                        "0.0201740000, 0.0186807000, 0.0141241000, 0.0002420000, -0.042361700, -0.171102200, -0.556985100", \
-                        "0.0192233000, 0.0176839000, 0.0130869000, -0.000716400, -0.043008400, -0.171382800, -0.557166400", \
-                        "0.0186758000, 0.0171753000, 0.0124886000, -0.001448100, -0.043778400, -0.171794500, -0.557230800", \
-                        "0.0187194000, 0.0171936000, 0.0124139000, -0.002236900, -0.044775500, -0.172748500, -0.557733000", \
-                        "0.0203069000, 0.0186431000, 0.0136697000, -0.000916300, -0.044122200, -0.173260100, -0.558327800");
-                }
-                related_pin : "C";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014954950, 0.0044730120, 0.0133787300, 0.0400156700, 0.1196865000, 0.3579811000");
-                    values("0.0403066000, 0.0419327000, 0.0468289000, 0.0613239000, 0.1044702000, 0.2322445000, 0.6138008000", \
-                        "0.0397094000, 0.0413489000, 0.0462730000, 0.0609067000, 0.1040309000, 0.2319618000, 0.6134357000", \
-                        "0.0387135000, 0.0403693000, 0.0453671000, 0.0601428000, 0.1035639000, 0.2315899000, 0.6129827000", \
-                        "0.0376617000, 0.0395313000, 0.0443535000, 0.0590728000, 0.1026803000, 0.2307591000, 0.6126902000", \
-                        "0.0376329000, 0.0392940000, 0.0442787000, 0.0588390000, 0.1023200000, 0.2307906000, 0.6122943000", \
-                        "0.0385033000, 0.0400442000, 0.0447379000, 0.0592341000, 0.1023321000, 0.2301129000, 0.6092007000", \
-                        "0.0420935000, 0.0437422000, 0.0482471000, 0.0617512000, 0.1038994000, 0.2306266000, 0.6105945000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014954950, 0.0044730120, 0.0133787300, 0.0400156700, 0.1196865000, 0.3579811000");
-                    values("0.0215637000, 0.0199817000, 0.0151932000, 0.0009185000, -0.042162100, -0.171155300, -0.557244900", \
-                        "0.0208993000, 0.0193653000, 0.0146752000, 0.0005654000, -0.042346800, -0.171279500, -0.557253000", \
-                        "0.0199021000, 0.0184326000, 0.0138601000, -7.71000e-05, -0.042658900, -0.171425600, -0.557322700", \
-                        "0.0189905000, 0.0174441000, 0.0128708000, -0.000981100, -0.043300400, -0.171716200, -0.557491100", \
-                        "0.0185800000, 0.0170227000, 0.0123749000, -0.001659700, -0.044011500, -0.172131500, -0.557625800", \
-                        "0.0186345000, 0.0170465000, 0.0122777000, -0.002104700, -0.044927100, -0.173096400, -0.558217600", \
-                        "0.0204040000, 0.0187338000, 0.0137973000, -0.000897300, -0.044114000, -0.173317200, -0.558573000");
-                }
-                related_pin : "D";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014954950, 0.0044730120, 0.0133787300, 0.0400156700, 0.1196865000, 0.3579811000");
-                    values("0.0505226000, 0.0521053000, 0.0569047000, 0.0713579000, 0.1148573000, 0.2429654000, 0.6249235000", \
-                        "0.0498700000, 0.0515053000, 0.0562804000, 0.0709126000, 0.1143761000, 0.2425654000, 0.6239257000", \
-                        "0.0491379000, 0.0507624000, 0.0556031000, 0.0701663000, 0.1138153000, 0.2423749000, 0.6237191000", \
-                        "0.0482390000, 0.0498540000, 0.0547318000, 0.0694301000, 0.1131392000, 0.2415523000, 0.6234690000", \
-                        "0.0486304000, 0.0502364000, 0.0550297000, 0.0696924000, 0.1124403000, 0.2412978000, 0.6230694000", \
-                        "0.0502503000, 0.0518118000, 0.0565862000, 0.0707688000, 0.1137540000, 0.2416436000, 0.6219960000", \
-                        "0.0547124000, 0.0562051000, 0.0606972000, 0.0742861000, 0.1165083000, 0.2442691000, 0.6236484000");
-                }
-            }
-            max_capacitance : 0.3579810000;
-            max_transition : 1.4971000000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
-                    values("0.0383248000, 0.0412170000, 0.0502259000, 0.0763721000, 0.1521192000, 0.3783246000, 1.0518199000", \
-                        "0.0409280000, 0.0439713000, 0.0530262000, 0.0795689000, 0.1562497000, 0.3839961000, 1.0562029000", \
-                        "0.0491333000, 0.0519686000, 0.0608142000, 0.0871435000, 0.1640941000, 0.3909202000, 1.0647678000", \
-                        "0.0661648000, 0.0699966000, 0.0809398000, 0.1074941000, 0.1847658000, 0.4131731000, 1.0869045000", \
-                        "0.0846683000, 0.0904326000, 0.1063797000, 0.1465356000, 0.2344251000, 0.4609907000, 1.1395664000", \
-                        "0.0951928000, 0.1038334000, 0.1274654000, 0.1867923000, 0.3172921000, 0.5769888000, 1.2556032000", \
-                        "0.0751527000, 0.0874035000, 0.1220142000, 0.2096603000, 0.4040361000, 0.7831524000, 1.5182311000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
-                    values("0.0320231000, 0.0342172000, 0.0407722000, 0.0591831000, 0.1119233000, 0.2688587000, 0.7346339000", \
-                        "0.0372808000, 0.0395164000, 0.0460518000, 0.0646804000, 0.1177196000, 0.2744474000, 0.7397638000", \
-                        "0.0506795000, 0.0528485000, 0.0592148000, 0.0780178000, 0.1307937000, 0.2878198000, 0.7528559000", \
-                        "0.0778445000, 0.0810338000, 0.0894054000, 0.1095882000, 0.1629745000, 0.3209841000, 0.7890347000", \
-                        "0.1208821000, 0.1260411000, 0.1395053000, 0.1723104000, 0.2377375000, 0.3946107000, 0.8587291000", \
-                        "0.1908684000, 0.1989702000, 0.2205514000, 0.2729200000, 0.3786590000, 0.5678089000, 1.0323331000", \
-                        "0.3128083000, 0.3246755000, 0.3573060000, 0.4376828000, 0.6045421000, 0.9110664000, 1.4357773000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
-                    values("0.0465908000, 0.0506948000, 0.0627696000, 0.0980039000, 0.2022073000, 0.5150299000, 1.4446551000", \
-                        "0.0459403000, 0.0501147000, 0.0622082000, 0.0978410000, 0.2030880000, 0.5152956000, 1.4435187000", \
-                        "0.0456785000, 0.0494798000, 0.0610608000, 0.0971547000, 0.2022802000, 0.5142787000, 1.4446755000", \
-                        "0.0568068000, 0.0608516000, 0.0705341000, 0.1013083000, 0.2014810000, 0.5143643000, 1.4456937000", \
-                        "0.0779018000, 0.0823986000, 0.0953350000, 0.1304455000, 0.2177257000, 0.5145317000, 1.4513617000", \
-                        "0.1224332000, 0.1290262000, 0.1454763000, 0.1893772000, 0.2904866000, 0.5497775000, 1.4490301000", \
-                        "0.1992094000, 0.2078732000, 0.2329899000, 0.2954795000, 0.4333107000, 0.7241588000, 1.4971004000");
-                }
-                related_pin : "A";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
-                    values("0.0269890000, 0.0298184000, 0.0379982000, 0.0627903000, 0.1366193000, 0.3594950000, 1.0246688000", \
-                        "0.0270209000, 0.0297435000, 0.0380144000, 0.0626669000, 0.1371527000, 0.3604161000, 1.0210832000", \
-                        "0.0285000000, 0.0308154000, 0.0382813000, 0.0627584000, 0.1367493000, 0.3578145000, 1.0233289000", \
-                        "0.0430854000, 0.0450419000, 0.0501162000, 0.0683148000, 0.1371154000, 0.3615489000, 1.0326445000", \
-                        "0.0712801000, 0.0744411000, 0.0825470000, 0.1025241000, 0.1540045000, 0.3595024000, 1.0200362000", \
-                        "0.1191396000, 0.1240185000, 0.1372889000, 0.1691132000, 0.2346934000, 0.3939952000, 1.0271434000", \
-                        "0.1972724000, 0.2056550000, 0.2273586000, 0.2791839000, 0.3862603000, 0.5751788000, 1.0792415000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
-                    values("0.0564232000, 0.0592972000, 0.0679257000, 0.0936411000, 0.1695033000, 0.3950422000, 1.0733350000", \
-                        "0.0592226000, 0.0626147000, 0.0714253000, 0.0975395000, 0.1736428000, 0.3996748000, 1.0733352000", \
-                        "0.0667824000, 0.0698247000, 0.0789806000, 0.1053322000, 0.1832331000, 0.4086647000, 1.0828047000", \
-                        "0.0820233000, 0.0856965000, 0.0961493000, 0.1236358000, 0.2014552000, 0.4279437000, 1.1095331000", \
-                        "0.1040514000, 0.1089479000, 0.1230334000, 0.1589021000, 0.2456726000, 0.4741236000, 1.1590279000", \
-                        "0.1180670000, 0.1260621000, 0.1478439000, 0.2029441000, 0.3225964000, 0.5792105000, 1.2568759000", \
-                        "0.0943839000, 0.1068383000, 0.1412613000, 0.2268518000, 0.4119000000, 0.7686818000, 1.5029664000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
-                    values("0.0494571000, 0.0518314000, 0.0586071000, 0.0788354000, 0.1377354000, 0.3117282000, 0.8302024000", \
-                        "0.0545690000, 0.0571105000, 0.0640539000, 0.0845347000, 0.1435140000, 0.3176506000, 0.8364252000", \
-                        "0.0676315000, 0.0700706000, 0.0769611000, 0.0976507000, 0.1572765000, 0.3315875000, 0.8500119000", \
-                        "0.0994617000, 0.1021278000, 0.1090072000, 0.1290798000, 0.1892663000, 0.3622175000, 0.8810899000", \
-                        "0.1574275000, 0.1615592000, 0.1726635000, 0.2008218000, 0.2643418000, 0.4382104000, 0.9590705000", \
-                        "0.2526361000, 0.2590287000, 0.2769087000, 0.3226133000, 0.4221757000, 0.6146514000, 1.1324321000", \
-                        "0.4146563000, 0.4234545000, 0.4515470000, 0.5239902000, 0.6816458000, 0.9840294000, 1.5378615000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
-                    values("0.0475955000, 0.0516306000, 0.0632936000, 0.0980462000, 0.2022046000, 0.5136244000, 1.4484471000", \
-                        "0.0474994000, 0.0514681000, 0.0632948000, 0.0982687000, 0.2024012000, 0.5151591000, 1.4444035000", \
-                        "0.0479012000, 0.0515960000, 0.0631903000, 0.0981167000, 0.2031312000, 0.5145391000, 1.4455008000", \
-                        "0.0551396000, 0.0584668000, 0.0686356000, 0.1008030000, 0.2027597000, 0.5140930000, 1.4492454000", \
-                        "0.0753073000, 0.0792231000, 0.0906304000, 0.1223639000, 0.2134811000, 0.5137601000, 1.4541939000", \
-                        "0.1193523000, 0.1244552000, 0.1384168000, 0.1754402000, 0.2689590000, 0.5384117000, 1.4453108000", \
-                        "0.2014291000, 0.2082447000, 0.2274552000, 0.2781693000, 0.3969077000, 0.6706690000, 1.4817925000");
-                }
-                related_pin : "B";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
-                    values("0.0405677000, 0.0436433000, 0.0528979000, 0.0803751000, 0.1630906000, 0.4097949000, 1.1458070000", \
-                        "0.0405957000, 0.0436456000, 0.0529711000, 0.0803546000, 0.1627089000, 0.4085547000, 1.1455072000", \
-                        "0.0405017000, 0.0436525000, 0.0528305000, 0.0804912000, 0.1626279000, 0.4088739000, 1.1471558000", \
-                        "0.0482658000, 0.0507814000, 0.0580445000, 0.0822674000, 0.1627850000, 0.4090896000, 1.1473397000", \
-                        "0.0777250000, 0.0806908000, 0.0891086000, 0.1091726000, 0.1739770000, 0.4085788000, 1.1492137000", \
-                        "0.1305172000, 0.1351136000, 0.1476630000, 0.1791698000, 0.2468423000, 0.4343444000, 1.1456480000", \
-                        "0.2149340000, 0.2227109000, 0.2452325000, 0.2961059000, 0.4021536000, 0.5994781000, 1.1820025000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
-                    values("0.0652890000, 0.0681994000, 0.0772449000, 0.1028491000, 0.1783361000, 0.4080239000, 1.0798369000", \
-                        "0.0686755000, 0.0717234000, 0.0804349000, 0.1063814000, 0.1827352000, 0.4087265000, 1.0826818000", \
-                        "0.0752996000, 0.0784901000, 0.0873877000, 0.1140676000, 0.1903404000, 0.4162143000, 1.0993739000", \
-                        "0.0879464000, 0.0913843000, 0.1012223000, 0.1283717000, 0.2055718000, 0.4318974000, 1.1080041000", \
-                        "0.1065578000, 0.1113923000, 0.1230420000, 0.1549371000, 0.2378476000, 0.4671782000, 1.1407296000", \
-                        "0.1208487000, 0.1281018000, 0.1453682000, 0.1913714000, 0.2955071000, 0.5427521000, 1.2253755000", \
-                        "0.0949631000, 0.1053537000, 0.1352848000, 0.2077879000, 0.3666922000, 0.6823452000, 1.3972768000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
-                    values("0.0588805000, 0.0613960000, 0.0687566000, 0.0893115000, 0.1496031000, 0.3258106000, 0.8489254000", \
-                        "0.0644227000, 0.0668696000, 0.0740525000, 0.0948002000, 0.1552635000, 0.3314635000, 0.8554013000", \
-                        "0.0773820000, 0.0799932000, 0.0873357000, 0.1083606000, 0.1688762000, 0.3453456000, 0.8694455000", \
-                        "0.1093876000, 0.1119298000, 0.1189918000, 0.1402438000, 0.1994954000, 0.3761177000, 0.8997130000", \
-                        "0.1746809000, 0.1776163000, 0.1881863000, 0.2137537000, 0.2760516000, 0.4523831000, 0.9754229000", \
-                        "0.2830987000, 0.2887965000, 0.3047029000, 0.3454793000, 0.4382564000, 0.6284473000, 1.1483676000", \
-                        "0.4641965000, 0.4722497000, 0.4968665000, 0.5613176000, 0.7101667000, 1.0020798000, 1.5569466000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
-                    values("0.0477103000, 0.0516366000, 0.0631245000, 0.0981748000, 0.2022045000, 0.5158033000, 1.4480550000", \
-                        "0.0476622000, 0.0515453000, 0.0632446000, 0.0979800000, 0.2031891000, 0.5146217000, 1.4448752000", \
-                        "0.0478032000, 0.0516728000, 0.0634169000, 0.0983092000, 0.2022283000, 0.5135656000, 1.4494973000", \
-                        "0.0526658000, 0.0562183000, 0.0668323000, 0.1000082000, 0.2025289000, 0.5136342000, 1.4467236000", \
-                        "0.0674148000, 0.0712040000, 0.0821461000, 0.1143053000, 0.2097198000, 0.5153352000, 1.4454734000", \
-                        "0.1060815000, 0.1100126000, 0.1222642000, 0.1560352000, 0.2486376000, 0.5296001000, 1.4492019000", \
-                        "0.1849770000, 0.1908465000, 0.2059091000, 0.2488781000, 0.3535762000, 0.6260367000, 1.4754215000");
-                }
-                related_pin : "C";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
-                    values("0.0516851000, 0.0546727000, 0.0641072000, 0.0922306000, 0.1756981000, 0.4267575000, 1.1786517000", \
-                        "0.0515366000, 0.0547869000, 0.0640353000, 0.0921322000, 0.1762265000, 0.4278311000, 1.1795757000", \
-                        "0.0512628000, 0.0544465000, 0.0639008000, 0.0921495000, 0.1763074000, 0.4267857000, 1.1779488000", \
-                        "0.0555242000, 0.0582411000, 0.0665978000, 0.0926970000, 0.1761127000, 0.4277127000, 1.1796075000", \
-                        "0.0836743000, 0.0873643000, 0.0944414000, 0.1154349000, 0.1848166000, 0.4278768000, 1.1826061000", \
-                        "0.1375031000, 0.1420333000, 0.1541808000, 0.1858552000, 0.2527233000, 0.4503838000, 1.1789381000", \
-                        "0.2273271000, 0.2348182000, 0.2553369000, 0.3042236000, 0.4090115000, 0.6099796000, 1.2176564000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
-                    values("0.0699904000, 0.0729105000, 0.0814610000, 0.1075825000, 0.1829296000, 0.4083222000, 1.0889757000", \
-                        "0.0732969000, 0.0763512000, 0.0850481000, 0.1115129000, 0.1871218000, 0.4127720000, 1.0866029000", \
-                        "0.0796682000, 0.0829070000, 0.0918910000, 0.1180824000, 0.1958487000, 0.4231515000, 1.0952482000", \
-                        "0.0917260000, 0.0949946000, 0.1042593000, 0.1308856000, 0.2084355000, 0.4343566000, 1.1107135000", \
-                        "0.1081683000, 0.1118881000, 0.1225397000, 0.1518666000, 0.2327760000, 0.4614814000, 1.1380267000", \
-                        "0.1214042000, 0.1266018000, 0.1411784000, 0.1795803000, 0.2739188000, 0.5150339000, 1.1938822000", \
-                        "0.0978673000, 0.1066714000, 0.1304459000, 0.1904859000, 0.3246467000, 0.6125376000, 1.3180546000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
-                    values("0.0591857000, 0.0615771000, 0.0685171000, 0.0884810000, 0.1445956000, 0.3061654000, 0.7826487000", \
-                        "0.0643185000, 0.0667922000, 0.0738800000, 0.0937000000, 0.1499404000, 0.3123845000, 0.7888840000", \
-                        "0.0774459000, 0.0798603000, 0.0868999000, 0.1068108000, 0.1631279000, 0.3248235000, 0.8009272000", \
-                        "0.1100781000, 0.1123780000, 0.1191995000, 0.1391314000, 0.1954645000, 0.3574258000, 0.8358731000", \
-                        "0.1766741000, 0.1800810000, 0.1891874000, 0.2130239000, 0.2698201000, 0.4312131000, 0.9091851000", \
-                        "0.2865642000, 0.2915498000, 0.3058855000, 0.3417643000, 0.4289881000, 0.6055874000, 1.0792164000", \
-                        "0.4686883000, 0.4762776000, 0.4977991000, 0.5552884000, 0.6910016000, 0.9666764000, 1.4822619000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
-                    values("0.0476257000, 0.0514888000, 0.0633135000, 0.0983604000, 0.2020957000, 0.5132398000, 1.4489897000", \
-                        "0.0476120000, 0.0515083000, 0.0633064000, 0.0983355000, 0.2025261000, 0.5137091000, 1.4435493000", \
-                        "0.0477677000, 0.0515824000, 0.0633988000, 0.0982325000, 0.2032239000, 0.5153836000, 1.4453997000", \
-                        "0.0505571000, 0.0541632000, 0.0651085000, 0.0990137000, 0.2029405000, 0.5136631000, 1.4472985000", \
-                        "0.0602490000, 0.0640152000, 0.0751794000, 0.1086941000, 0.2073316000, 0.5147535000, 1.4461722000", \
-                        "0.0893699000, 0.0930717000, 0.1039494000, 0.1364164000, 0.2344835000, 0.5271774000, 1.4469789000", \
-                        "0.1594051000, 0.1639729000, 0.1770822000, 0.2134494000, 0.3110788000, 0.5946446000, 1.4693026000");
-                }
-                related_pin : "D";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
-                    values("0.0581279000, 0.0611742000, 0.0697912000, 0.0958916000, 0.1733021000, 0.4052824000, 1.1035320000", \
-                        "0.0579230000, 0.0608157000, 0.0698022000, 0.0957365000, 0.1733129000, 0.4059695000, 1.1025553000", \
-                        "0.0569226000, 0.0600051000, 0.0691459000, 0.0955081000, 0.1730569000, 0.4052540000, 1.1019998000", \
-                        "0.0601238000, 0.0628157000, 0.0709048000, 0.0956330000, 0.1728382000, 0.4058907000, 1.1046422000", \
-                        "0.0880659000, 0.0908521000, 0.0986434000, 0.1185795000, 0.1821149000, 0.4053787000, 1.1041379000", \
-                        "0.1409855000, 0.1450918000, 0.1565868000, 0.1855604000, 0.2515229000, 0.4331570000, 1.1024696000", \
-                        "0.2302440000, 0.2369736000, 0.2556582000, 0.3021644000, 0.4020892000, 0.5989952000, 1.1520200000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-        }
-    }
-
-/* removed sky130_fd_sc_hd__nand4b_1 */
-
-/* removed sky130_fd_sc_hd__nand4b_2 */
-
-/* removed sky130_fd_sc_hd__nand4b_4 */
-
-/* removed sky130_fd_sc_hd__nand4bb_1 */
-
-/* removed sky130_fd_sc_hd__nand4bb_2 */
-
-/* removed sky130_fd_sc_hd__nand4bb_4 */
-
-/* removed sky130_fd_sc_hd__nor2_1 */
-
-/* removed sky130_fd_sc_hd__nor2_2 */
-
-    cell ("sky130_fd_sc_hd__nor2_4") {
-        leakage_power () {
-            value : 0.0040121000;
-            when : "!A&B";
-        }
-        leakage_power () {
-            value : 0.0038425000;
-            when : "!A&!B";
-        }
-        leakage_power () {
-            value : 0.0004326000;
-            when : "A&B";
-        }
-        leakage_power () {
-            value : 0.0025266000;
-            when : "A&!B";
-        }
-        area : 11.260800000;
-        cell_footprint : "sky130_fd_sc_hd__nor2";
-        cell_leakage_power : 0.0027034450;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("A") {
-            capacitance : 0.0087330000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0083040000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0166262000, 0.0166196000, 0.0166045000, 0.0166015000, 0.0165948000, 0.0165793000, 0.0165435000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.014203000, -0.014451100, -0.015023100, -0.015052500, -0.015120400, -0.015276800, -0.015637300");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0091620000;
-        }
-        pin ("B") {
-            capacitance : 0.0086870000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0080850000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0081436000, 0.0081226000, 0.0080741000, 0.0081109000, 0.0081958000, 0.0083914000, 0.0088424000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.006803300, -0.006799600, -0.006791000, -0.006789500, -0.006786200, -0.006778400, -0.006760500");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0092890000;
-        }
-        pin ("Y") {
-            direction : "output";
-            function : "(!A&!B)";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
-                    values("0.0145888000, 0.0131288000, 0.0090218000, -0.002561700, -0.035331800, -0.128296000, -0.391244100", \
-                        "0.0142153000, 0.0127769000, 0.0086766000, -0.002845900, -0.035513400, -0.128443600, -0.391371600", \
-                        "0.0138944000, 0.0125050000, 0.0084683000, -0.002870700, -0.035438400, -0.128239700, -0.391195500", \
-                        "0.0130502000, 0.0116242000, 0.0076562000, -0.003648700, -0.035904100, -0.128573600, -0.391304800", \
-                        "0.0136694000, 0.0122110000, 0.0081994000, -0.003201600, -0.036291200, -0.128826400, -0.391466700", \
-                        "0.0160415000, 0.0146191000, 0.0104409000, -0.002234800, -0.035401700, -0.128272600, -0.391073900", \
-                        "0.0215214000, 0.0200398000, 0.0154086000, 0.0030774000, -0.031095100, -0.125725300, -0.390139800");
-                }
-                related_pin : "A";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
-                    values("0.0249433000, 0.0265426000, 0.0309809000, 0.0430860000, 0.0763783000, 0.1683058000, 0.4283017000", \
-                        "0.0242285000, 0.0258172000, 0.0302205000, 0.0424180000, 0.0757326000, 0.1680930000, 0.4281469000", \
-                        "0.0237443000, 0.0252968000, 0.0295957000, 0.0415580000, 0.0753023000, 0.1681136000, 0.4278681000", \
-                        "0.0234331000, 0.0249690000, 0.0291991000, 0.0410534000, 0.0741796000, 0.1671085000, 0.4310648000", \
-                        "0.0231148000, 0.0246845000, 0.0288640000, 0.0405805000, 0.0736300000, 0.1667428000, 0.4301930000", \
-                        "0.0232302000, 0.0247032000, 0.0288746000, 0.0406072000, 0.0737207000, 0.1665469000, 0.4262356000", \
-                        "0.0249650000, 0.0262105000, 0.0300107000, 0.0411471000, 0.0743474000, 0.1672062000, 0.4281072000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
-                    values("-5.18000e-05, -0.001346100, -0.005112600, -0.016321000, -0.049065600, -0.142248100, -0.405451700", \
-                        "-0.000782300, -0.002019900, -0.005667100, -0.016589700, -0.049012800, -0.142011800, -0.405117700", \
-                        "-0.001647300, -0.002906000, -0.006470400, -0.017263100, -0.049292900, -0.142004900, -0.404946800", \
-                        "-0.002350500, -0.003672800, -0.007446400, -0.018167700, -0.050101100, -0.142319400, -0.404969500", \
-                        "-0.001778100, -0.003062700, -0.007073500, -0.018577100, -0.050980400, -0.142884000, -0.405222200", \
-                        "0.0003238000, -0.001299400, -0.005673300, -0.017701800, -0.050703300, -0.143048500, -0.405359200", \
-                        "0.0081546000, 0.0065841000, 0.0007174000, -0.012229700, -0.047334100, -0.141374600, -0.405403900");
-                }
-                related_pin : "B";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
-                    values("0.0234499000, 0.0252083000, 0.0298260000, 0.0424246000, 0.0757155000, 0.1681166000, 0.4281616000", \
-                        "0.0225901000, 0.0242770000, 0.0287232000, 0.0410645000, 0.0751239000, 0.1682812000, 0.4278748000", \
-                        "0.0220176000, 0.0236259000, 0.0279958000, 0.0403448000, 0.0738392000, 0.1684640000, 0.4277499000", \
-                        "0.0224831000, 0.0239328000, 0.0280787000, 0.0397846000, 0.0728287000, 0.1662264000, 0.4279252000", \
-                        "0.0234005000, 0.0248091000, 0.0286947000, 0.0400777000, 0.0728604000, 0.1654970000, 0.4262714000", \
-                        "0.0253165000, 0.0268912000, 0.0307984000, 0.0422785000, 0.0742481000, 0.1664044000, 0.4285354000", \
-                        "0.0315872000, 0.0323786000, 0.0359486000, 0.0468016000, 0.0779553000, 0.1683928000, 0.4261670000");
-                }
-            }
-            max_capacitance : 0.2517800000;
-            max_transition : 1.4964490000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
-                    values("0.0169715000, 0.0179898000, 0.0207708000, 0.0278877000, 0.0464088000, 0.0962407000, 0.2342976000", \
-                        "0.0218200000, 0.0227798000, 0.0254185000, 0.0324638000, 0.0509264000, 0.1007439000, 0.2387181000", \
-                        "0.0311648000, 0.0324597000, 0.0357109000, 0.0435209000, 0.0617677000, 0.1114110000, 0.2496350000", \
-                        "0.0424904000, 0.0444516000, 0.0494231000, 0.0614109000, 0.0862029000, 0.1371073000, 0.2749299000", \
-                        "0.0523674000, 0.0554190000, 0.0631869000, 0.0816469000, 0.1203738000, 0.1924431000, 0.3342928000", \
-                        "0.0511493000, 0.0557858000, 0.0678160000, 0.0966514000, 0.1572204000, 0.2690975000, 0.4647971000", \
-                        "0.0095339000, 0.0168776000, 0.0358525000, 0.0808120000, 0.1756667000, 0.3539680000, 0.6596342000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
-                    values("0.0622744000, 0.0664765000, 0.0779111000, 0.1094290000, 0.1954166000, 0.4332344000, 1.1041421000", \
-                        "0.0667856000, 0.0709660000, 0.0823530000, 0.1140250000, 0.2003005000, 0.4389736000, 1.1103100000", \
-                        "0.0798638000, 0.0838861000, 0.0952087000, 0.1260894000, 0.2131699000, 0.4561659000, 1.1249001000", \
-                        "0.1076138000, 0.1118374000, 0.1228784000, 0.1538689000, 0.2394934000, 0.4796278000, 1.1576793000", \
-                        "0.1527445000, 0.1587778000, 0.1737594000, 0.2112743000, 0.2997347000, 0.5399549000, 1.2174648000", \
-                        "0.2263442000, 0.2352630000, 0.2582115000, 0.3114440000, 0.4269287000, 0.6780203000, 1.3543581000", \
-                        "0.3456880000, 0.3598186000, 0.3963414000, 0.4799576000, 0.6491258000, 0.9774964000, 1.6728150000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
-                    values("0.0124766000, 0.0136194000, 0.0167281000, 0.0253355000, 0.0492240000, 0.1167727000, 0.3080123000", \
-                        "0.0125080000, 0.0135257000, 0.0164962000, 0.0249712000, 0.0490925000, 0.1167750000, 0.3080687000", \
-                        "0.0176730000, 0.0187179000, 0.0212990000, 0.0279611000, 0.0495384000, 0.1167363000, 0.3085307000", \
-                        "0.0277003000, 0.0291974000, 0.0329441000, 0.0415591000, 0.0609881000, 0.1191021000, 0.3082691000", \
-                        "0.0453959000, 0.0477238000, 0.0535742000, 0.0665357000, 0.0940910000, 0.1479985000, 0.3128849000", \
-                        "0.0771960000, 0.0809411000, 0.0904556000, 0.1114286000, 0.1515543000, 0.2297037000, 0.3762480000", \
-                        "0.1353600000, 0.1415310000, 0.1563482000, 0.1899870000, 0.2544940000, 0.3675451000, 0.5692316000");
-                }
-                related_pin : "A";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
-                    values("0.0402232000, 0.0454527000, 0.0599119000, 0.1017079000, 0.2190281000, 0.5447648000, 1.4649204000", \
-                        "0.0402643000, 0.0454902000, 0.0599241000, 0.1014302000, 0.2177543000, 0.5452596000, 1.4655323000", \
-                        "0.0403483000, 0.0455417000, 0.0602361000, 0.1015483000, 0.2189719000, 0.5471781000, 1.4664657000", \
-                        "0.0438942000, 0.0485808000, 0.0622658000, 0.1016263000, 0.2180911000, 0.5448665000, 1.4783624000", \
-                        "0.0609245000, 0.0662622000, 0.0800483000, 0.1158114000, 0.2220661000, 0.5454546000, 1.4779042000", \
-                        "0.0980405000, 0.1042219000, 0.1197569000, 0.1601521000, 0.2630935000, 0.5558799000, 1.4675843000", \
-                        "0.1757244000, 0.1831104000, 0.2033222000, 0.2535891000, 0.3716539000, 0.6541388000, 1.4863820000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
-                    values("0.0138624000, 0.0147903000, 0.0172767000, 0.0237678000, 0.0414504000, 0.0905806000, 0.2287096000", \
-                        "0.0182299000, 0.0193012000, 0.0218561000, 0.0283958000, 0.0462271000, 0.0953179000, 0.2356907000", \
-                        "0.0237826000, 0.0254619000, 0.0296104000, 0.0387528000, 0.0571306000, 0.1064740000, 0.2446131000", \
-                        "0.0285800000, 0.0312624000, 0.0379018000, 0.0522514000, 0.0802141000, 0.1320774000, 0.2707602000", \
-                        "0.0280358000, 0.0322023000, 0.0425710000, 0.0657560000, 0.1098734000, 0.1865268000, 0.3294210000", \
-                        "0.0095458000, 0.0162749000, 0.0325911000, 0.0691303000, 0.1390075000, 0.2584541000, 0.4607315000", \
-                        "-0.059366700, -0.048490700, -0.023510800, 0.0340899000, 0.1441704000, 0.3356903000, 0.6527048000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
-                    values("0.0419300000, 0.0465356000, 0.0584431000, 0.0910134000, 0.1781699000, 0.4159947000, 1.0871927000", \
-                        "0.0450207000, 0.0493287000, 0.0609082000, 0.0928722000, 0.1826169000, 0.4218549000, 1.0933071000", \
-                        "0.0562287000, 0.0602519000, 0.0715604000, 0.1031770000, 0.1898992000, 0.4331795000, 1.1038219000", \
-                        "0.0833635000, 0.0884081000, 0.1007163000, 0.1308756000, 0.2159345000, 0.4562961000, 1.1357739000", \
-                        "0.1253656000, 0.1329178000, 0.1526407000, 0.1948177000, 0.2835507000, 0.5216512000, 1.1936074000", \
-                        "0.1955487000, 0.2069636000, 0.2353216000, 0.3007529000, 0.4295797000, 0.6782531000, 1.3499469000", \
-                        "0.3272712000, 0.3421144000, 0.3818280000, 0.4756208000, 0.6683371000, 1.0252291000, 1.7070267000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
-                    values("0.0068857000, 0.0079172000, 0.0108661000, 0.0193111000, 0.0428918000, 0.1091994000, 0.2961055000", \
-                        "0.0081798000, 0.0089718000, 0.0114750000, 0.0193212000, 0.0429388000, 0.1092325000, 0.2976890000", \
-                        "0.0134535000, 0.0145305000, 0.0174647000, 0.0238283000, 0.0439932000, 0.1091723000, 0.2962383000", \
-                        "0.0230443000, 0.0246661000, 0.0286775000, 0.0381474000, 0.0569112000, 0.1120622000, 0.2984915000", \
-                        "0.0408158000, 0.0432319000, 0.0497885000, 0.0638828000, 0.0916016000, 0.1426618000, 0.3020172000", \
-                        "0.0731927000, 0.0775736000, 0.0871061000, 0.1086018000, 0.1501374000, 0.2266512000, 0.3695773000", \
-                        "0.1334633000, 0.1400780000, 0.1583683000, 0.1922647000, 0.2549426000, 0.3658019000, 0.5634401000");
-                }
-                related_pin : "B";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
-                    values("0.0398289000, 0.0452207000, 0.0597080000, 0.1014653000, 0.2181662000, 0.5454607000, 1.4650987000", \
-                        "0.0395948000, 0.0450032000, 0.0598076000, 0.1013858000, 0.2193156000, 0.5467820000, 1.4662073000", \
-                        "0.0394401000, 0.0444469000, 0.0593656000, 0.1013186000, 0.2176547000, 0.5498381000, 1.4670208000", \
-                        "0.0524386000, 0.0563191000, 0.0668484000, 0.1029512000, 0.2178261000, 0.5451701000, 1.4725396000", \
-                        "0.0749895000, 0.0802406000, 0.0969379000, 0.1303689000, 0.2258270000, 0.5478105000, 1.4688503000", \
-                        "0.1122800000, 0.1208849000, 0.1430223000, 0.1934740000, 0.2917642000, 0.5620057000, 1.4755858000", \
-                        "0.1741214000, 0.1877213000, 0.2188592000, 0.2915491000, 0.4369753000, 0.7131835000, 1.4964487000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-        }
-    }
-
-/* removed sky130_fd_sc_hd__nor2_8 */
-
-/* removed sky130_fd_sc_hd__nor2b_1 */
-
-/* removed sky130_fd_sc_hd__nor2b_2 */
-
-/* removed sky130_fd_sc_hd__nor2b_4 */
-
-/* removed sky130_fd_sc_hd__nor3_1 */
-
-/* removed sky130_fd_sc_hd__nor3_2 */
-
-    cell ("sky130_fd_sc_hd__nor3_4") {
-        leakage_power () {
-            value : 0.0022547000;
-            when : "!A&!B&C";
-        }
-        leakage_power () {
-            value : 0.0063490000;
-            when : "!A&!B&!C";
-        }
-        leakage_power () {
-            value : 0.0002338000;
-            when : "!A&B&C";
-        }
-        leakage_power () {
-            value : 0.0021546000;
-            when : "!A&B&!C";
-        }
-        leakage_power () {
-            value : 0.0002616000;
-            when : "A&!B&C";
-        }
-        leakage_power () {
-            value : 0.0022324000;
-            when : "A&!B&!C";
-        }
-        leakage_power () {
-            value : 0.0168094000;
-            when : "A&B&C";
-        }
-        leakage_power () {
-            value : 0.0040347000;
-            when : "A&B&!C";
-        }
-        area : 16.265600000;
-        cell_footprint : "sky130_fd_sc_hd__nor3";
-        cell_leakage_power : 0.0042912730;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("A") {
-            capacitance : 0.0086740000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0082190000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0142969000, 0.0143097000, 0.0143392000, 0.0143519000, 0.0143812000, 0.0144486000, 0.0146039000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.013326700, -0.013483800, -0.013845900, -0.013901100, -0.014028400, -0.014321800, -0.014998200");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0091280000;
-        }
-        pin ("B") {
-            capacitance : 0.0090040000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0083790000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0163574000, 0.0163542000, 0.0163467000, 0.0163500000, 0.0163575000, 0.0163747000, 0.0164143000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.013661800, -0.013966800, -0.014670000, -0.014698300, -0.014763700, -0.014914300, -0.015261500");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0096290000;
-        }
-        pin ("C") {
-            capacitance : 0.0083840000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0077610000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0075804000, 0.0075711000, 0.0075497000, 0.0075769000, 0.0076396000, 0.0077840000, 0.0081169000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.006788000, -0.006791100, -0.006798300, -0.006793500, -0.006782500, -0.006757000, -0.006698300");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0090080000;
-        }
-        pin ("Y") {
-            direction : "output";
-            function : "(!A&!B&!C)";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
-                    values("0.0199379000, 0.0186626000, 0.0153205000, 0.0065976000, -0.015967400, -0.074374600, -0.226809300", \
-                        "0.0196014000, 0.0183152000, 0.0149740000, 0.0062845000, -0.016262000, -0.074648500, -0.227148300", \
-                        "0.0193230000, 0.0180300000, 0.0146901000, 0.0061114000, -0.016482700, -0.074859800, -0.227308100", \
-                        "0.0184938000, 0.0172186000, 0.0139127000, 0.0055356000, -0.017009400, -0.075289800, -0.227694300", \
-                        "0.0183470000, 0.0171017000, 0.0137670000, 0.0052763000, -0.017156400, -0.075386800, -0.227853100", \
-                        "0.0208707000, 0.0195771000, 0.0156983000, 0.0069001000, -0.015753500, -0.074644600, -0.227468100", \
-                        "0.0258766000, 0.0245120000, 0.0210551000, 0.0119967000, -0.011104100, -0.071097600, -0.225411300");
-                }
-                related_pin : "A";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
-                    values("0.0419332000, 0.0433019000, 0.0467233000, 0.0557576000, 0.0782246000, 0.1365093000, 0.2880104000", \
-                        "0.0411786000, 0.0425389000, 0.0459865000, 0.0549509000, 0.0778164000, 0.1364060000, 0.2879243000", \
-                        "0.0405733000, 0.0418956000, 0.0453065000, 0.0543634000, 0.0773700000, 0.1361863000, 0.2874550000", \
-                        "0.0401619000, 0.0414900000, 0.0448884000, 0.0536514000, 0.0767059000, 0.1356105000, 0.2870848000", \
-                        "0.0398210000, 0.0410637000, 0.0444403000, 0.0532134000, 0.0759599000, 0.1347622000, 0.2882585000", \
-                        "0.0397544000, 0.0410743000, 0.0444992000, 0.0532753000, 0.0755772000, 0.1343866000, 0.2858779000", \
-                        "0.0388516000, 0.0401609000, 0.0434223000, 0.0526392000, 0.0760440000, 0.1345737000, 0.2859439000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
-                    values("0.0142556000, 0.0129849000, 0.0096522000, 0.0010167000, -0.021434700, -0.080125200, -0.233151200", \
-                        "0.0143063000, 0.0130362000, 0.0097349000, 0.0011696000, -0.021232500, -0.079899600, -0.232886800", \
-                        "0.0145259000, 0.0131917000, 0.0099707000, 0.0015336000, -0.020778700, -0.079330800, -0.232229900", \
-                        "0.0135534000, 0.0123194000, 0.0091125000, 0.0007452000, -0.021302600, -0.079635200, -0.232389100", \
-                        "0.0135697000, 0.0123205000, 0.0091050000, 0.0006158000, -0.021710700, -0.080089300, -0.232568100", \
-                        "0.0147046000, 0.0134104000, 0.0101398000, 0.0014837000, -0.021296100, -0.079635500, -0.232641900", \
-                        "0.0194847000, 0.0180977000, 0.0145660000, 0.0053740000, -0.017976400, -0.077378900, -0.231021600");
-                }
-                related_pin : "B";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
-                    values("0.0314095000, 0.0328272000, 0.0364328000, 0.0455414000, 0.0682135000, 0.1269929000, 0.2780706000", \
-                        "0.0304710000, 0.0319002000, 0.0354974000, 0.0445383000, 0.0676230000, 0.1264213000, 0.2779885000", \
-                        "0.0297923000, 0.0311795000, 0.0346926000, 0.0436078000, 0.0669969000, 0.1260448000, 0.2775422000", \
-                        "0.0294258000, 0.0307273000, 0.0341787000, 0.0430974000, 0.0658411000, 0.1248810000, 0.2771386000", \
-                        "0.0289657000, 0.0302752000, 0.0336642000, 0.0424145000, 0.0652545000, 0.1239028000, 0.2761691000", \
-                        "0.0289157000, 0.0302567000, 0.0336725000, 0.0424800000, 0.0650870000, 0.1239872000, 0.2751327000", \
-                        "0.0306071000, 0.0318181000, 0.0350267000, 0.0434365000, 0.0657157000, 0.1235841000, 0.2764350000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
-                    values("0.0014765000, 0.0002150000, -0.003129700, -0.011829600, -0.034445400, -0.093324700, -0.246539700", \
-                        "0.0009819000, -0.000250400, -0.003471400, -0.011956900, -0.034359900, -0.093065100, -0.246197300", \
-                        "0.0001864000, -0.001003200, -0.004127800, -0.012373200, -0.034429500, -0.092879200, -0.245878400", \
-                        "-0.000619800, -0.001741000, -0.004796000, -0.012946800, -0.034882500, -0.092997000, -0.245715400", \
-                        "-0.000643700, -0.001880200, -0.005061700, -0.013256300, -0.035327200, -0.093434500, -0.245911400", \
-                        "0.0006571000, -0.000665300, -0.004055000, -0.012693300, -0.034746500, -0.092958100, -0.245984400", \
-                        "0.0058112000, 0.0043291000, 0.0005567000, -0.008775600, -0.032707200, -0.092377500, -0.245518400");
-                }
-                related_pin : "C";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
-                    values("0.0300088000, 0.0314758000, 0.0351346000, 0.0443569000, 0.0673434000, 0.1257530000, 0.2773657000", \
-                        "0.0288508000, 0.0303594000, 0.0340765000, 0.0431939000, 0.0664739000, 0.1260338000, 0.2782887000", \
-                        "0.0282233000, 0.0295622000, 0.0330668000, 0.0420783000, 0.0655194000, 0.1246652000, 0.2767622000", \
-                        "0.0281496000, 0.0294557000, 0.0328368000, 0.0416365000, 0.0644769000, 0.1237586000, 0.2761190000", \
-                        "0.0281677000, 0.0294708000, 0.0327529000, 0.0414062000, 0.0639806000, 0.1226242000, 0.2748800000", \
-                        "0.0298666000, 0.0311652000, 0.0344254000, 0.0428357000, 0.0649640000, 0.1228135000, 0.2754221000", \
-                        "0.0349379000, 0.0357968000, 0.0388321000, 0.0469724000, 0.0683805000, 0.1253775000, 0.2758469000");
-                }
-            }
-            max_capacitance : 0.1538190000;
-            max_transition : 1.4951450000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
-                    values("0.0174855000, 0.0184340000, 0.0208644000, 0.0268790000, 0.0413733000, 0.0759522000, 0.1607760000", \
-                        "0.0228412000, 0.0237286000, 0.0260120000, 0.0317810000, 0.0460489000, 0.0804793000, 0.1652044000", \
-                        "0.0338757000, 0.0350339000, 0.0376741000, 0.0436949000, 0.0572489000, 0.0912593000, 0.1757439000", \
-                        "0.0488273000, 0.0504366000, 0.0543635000, 0.0634235000, 0.0817694000, 0.1171124000, 0.2004549000", \
-                        "0.0659919000, 0.0682947000, 0.0740620000, 0.0873439000, 0.1152536000, 0.1675864000, 0.2599356000", \
-                        "0.0757500000, 0.0792676000, 0.0881226000, 0.1083624000, 0.1509201000, 0.2309555000, 0.3720089000", \
-                        "0.0510694000, 0.0561829000, 0.0692785000, 0.1004629000, 0.1667459000, 0.2929524000, 0.5115211000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
-                    values("0.1298159000, 0.1355968000, 0.1501819000, 0.1872919000, 0.2819722000, 0.5220789000, 1.1467399000", \
-                        "0.1331755000, 0.1390662000, 0.1533607000, 0.1906723000, 0.2849966000, 0.5268181000, 1.1521017000", \
-                        "0.1451605000, 0.1509002000, 0.1650792000, 0.2025948000, 0.2982548000, 0.5447562000, 1.1666669000", \
-                        "0.1723862000, 0.1781808000, 0.1921589000, 0.2289811000, 0.3240281000, 0.5672227000, 1.1943477000", \
-                        "0.2262289000, 0.2321218000, 0.2472209000, 0.2847693000, 0.3784654000, 0.6209191000, 1.2532270000", \
-                        "0.3142628000, 0.3215296000, 0.3400843000, 0.3849722000, 0.4910379000, 0.7364828000, 1.3637210000", \
-                        "0.4568851000, 0.4693879000, 0.4948969000, 0.5562682000, 0.6914393000, 0.9795311000, 1.6215130000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
-                    values("0.0177636000, 0.0189838000, 0.0221398000, 0.0299893000, 0.0488784000, 0.0953409000, 0.2141622000", \
-                        "0.0180974000, 0.0191670000, 0.0219415000, 0.0292275000, 0.0484198000, 0.0951764000, 0.2139516000", \
-                        "0.0239326000, 0.0246984000, 0.0268349000, 0.0325979000, 0.0489265000, 0.0946129000, 0.2138524000", \
-                        "0.0362100000, 0.0373315000, 0.0400577000, 0.0468523000, 0.0611602000, 0.0993074000, 0.2135024000", \
-                        "0.0582597000, 0.0599486000, 0.0640821000, 0.0726279000, 0.0931257000, 0.1320733000, 0.2281107000", \
-                        "0.0947308000, 0.0974467000, 0.1044113000, 0.1177873000, 0.1462023000, 0.2026841000, 0.3063559000", \
-                        "0.1616153000, 0.1667246000, 0.1790753000, 0.2018979000, 0.2457702000, 0.3244041000, 0.4720148000");
-                }
-                related_pin : "A";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
-                    values("0.0878985000, 0.0951547000, 0.1140563000, 0.1634166000, 0.2900222000, 0.6166931000, 1.4705920000", \
-                        "0.0880411000, 0.0952453000, 0.1141172000, 0.1626418000, 0.2898620000, 0.6180101000, 1.4702175000", \
-                        "0.0881856000, 0.0953229000, 0.1141622000, 0.1634007000, 0.2904801000, 0.6214129000, 1.4663758000", \
-                        "0.0883323000, 0.0955404000, 0.1143988000, 0.1625666000, 0.2906717000, 0.6193145000, 1.4676541000", \
-                        "0.0965134000, 0.1029909000, 0.1205704000, 0.1668808000, 0.2902321000, 0.6186347000, 1.4799252000", \
-                        "0.1236126000, 0.1308136000, 0.1490097000, 0.1964444000, 0.3118961000, 0.6253068000, 1.4698887000", \
-                        "0.1882582000, 0.1963475000, 0.2163412000, 0.2653510000, 0.3898074000, 0.6904268000, 1.4880702000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
-                    values("0.0195721000, 0.0204865000, 0.0227717000, 0.0283697000, 0.0417606000, 0.0746293000, 0.1578625000", \
-                        "0.0244824000, 0.0253698000, 0.0275988000, 0.0330007000, 0.0463590000, 0.0791664000, 0.1624030000", \
-                        "0.0347018000, 0.0357575000, 0.0383862000, 0.0443344000, 0.0574041000, 0.0901648000, 0.1733458000", \
-                        "0.0475746000, 0.0491778000, 0.0531290000, 0.0620804000, 0.0805916000, 0.1153359000, 0.1984920000", \
-                        "0.0591916000, 0.0617303000, 0.0675750000, 0.0816729000, 0.1100720000, 0.1639329000, 0.2577930000", \
-                        "0.0582438000, 0.0621531000, 0.0715283000, 0.0939686000, 0.1395103000, 0.2241317000, 0.3678348000", \
-                        "0.0146769000, 0.0208107000, 0.0358447000, 0.0701333000, 0.1420067000, 0.2768593000, 0.5038059000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
-                    values("0.1142725000, 0.1202723000, 0.1354291000, 0.1730752000, 0.2683934000, 0.5105107000, 1.1349477000", \
-                        "0.1157988000, 0.1218348000, 0.1367999000, 0.1742820000, 0.2696984000, 0.5125184000, 1.1383716000", \
-                        "0.1262602000, 0.1317984000, 0.1466168000, 0.1841995000, 0.2801167000, 0.5246776000, 1.1507342000", \
-                        "0.1525392000, 0.1581648000, 0.1727031000, 0.2095677000, 0.3040389000, 0.5477452000, 1.1756628000", \
-                        "0.2048156000, 0.2116040000, 0.2281908000, 0.2672846000, 0.3620047000, 0.6047445000, 1.2323484000", \
-                        "0.2927722000, 0.3019903000, 0.3251388000, 0.3769600000, 0.4912363000, 0.7390542000, 1.3666539000", \
-                        "0.4408492000, 0.4550161000, 0.4885838000, 0.5659982000, 0.7243198000, 1.0342206000, 1.6829288000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
-                    values("0.0156992000, 0.0166459000, 0.0190882000, 0.0255265000, 0.0419842000, 0.0853736000, 0.1989443000", \
-                        "0.0153676000, 0.0162562000, 0.0186041000, 0.0250300000, 0.0418266000, 0.0853272000, 0.1993425000", \
-                        "0.0204189000, 0.0209392000, 0.0227567000, 0.0276932000, 0.0425135000, 0.0850439000, 0.1990770000", \
-                        "0.0309497000, 0.0320449000, 0.0349263000, 0.0414388000, 0.0549837000, 0.0903609000, 0.1990117000", \
-                        "0.0509184000, 0.0526848000, 0.0567675000, 0.0660789000, 0.0865589000, 0.1247088000, 0.2135362000", \
-                        "0.0861778000, 0.0890312000, 0.0961018000, 0.1110217000, 0.1413703000, 0.1965280000, 0.2977827000", \
-                        "0.1523646000, 0.1570169000, 0.1680824000, 0.1918130000, 0.2389067000, 0.3234140000, 0.4659654000");
-                }
-                related_pin : "B";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
-                    values("0.0878828000, 0.0950797000, 0.1141156000, 0.1633197000, 0.2900672000, 0.6191880000, 1.4675007000", \
-                        "0.0879162000, 0.0951389000, 0.1142852000, 0.1628861000, 0.2897843000, 0.6175445000, 1.4675549000", \
-                        "0.0883621000, 0.0953542000, 0.1143070000, 0.1628883000, 0.2906612000, 0.6192415000, 1.4668564000", \
-                        "0.0886925000, 0.0958294000, 0.1141918000, 0.1634327000, 0.2896006000, 0.6173739000, 1.4705618000", \
-                        "0.1058244000, 0.1120284000, 0.1275556000, 0.1718821000, 0.2914304000, 0.6183120000, 1.4674681000", \
-                        "0.1457064000, 0.1530166000, 0.1717830000, 0.2175987000, 0.3257934000, 0.6258756000, 1.4674465000", \
-                        "0.2336381000, 0.2417454000, 0.2635151000, 0.3158614000, 0.4377489000, 0.7156046000, 1.4900667000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
-                    values("0.0166630000, 0.0175123000, 0.0196659000, 0.0248849000, 0.0380139000, 0.0714376000, 0.1578602000", \
-                        "0.0211700000, 0.0220372000, 0.0242017000, 0.0295231000, 0.0427048000, 0.0761763000, 0.1626047000", \
-                        "0.0284252000, 0.0297743000, 0.0329602000, 0.0398711000, 0.0536753000, 0.0872085000, 0.1739171000", \
-                        "0.0355065000, 0.0376028000, 0.0425859000, 0.0536003000, 0.0749217000, 0.1126652000, 0.1985515000", \
-                        "0.0375934000, 0.0409943000, 0.0490976000, 0.0665096000, 0.1003095000, 0.1588000000, 0.2579170000", \
-                        "0.0209890000, 0.0264177000, 0.0390681000, 0.0667888000, 0.1202230000, 0.2140151000, 0.3645091000", \
-                        "-0.050968400, -0.042378700, -0.021936900, 0.0225795000, 0.1080176000, 0.2571367000, 0.4986430000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
-                    values("0.0693758000, 0.0754909000, 0.0905052000, 0.1288806000, 0.2237668000, 0.4655428000, 1.0908835000", \
-                        "0.0700857000, 0.0760378000, 0.0912834000, 0.1296351000, 0.2253014000, 0.4738527000, 1.0958655000", \
-                        "0.0792077000, 0.0847351000, 0.0989169000, 0.1367923000, 0.2336180000, 0.4771583000, 1.1044766000", \
-                        "0.1084151000, 0.1132198000, 0.1264000000, 0.1620965000, 0.2567785000, 0.5011897000, 1.1289453000", \
-                        "0.1650485000, 0.1721184000, 0.1895115000, 0.2288432000, 0.3199367000, 0.5620018000, 1.1960951000", \
-                        "0.2580751000, 0.2690016000, 0.2942368000, 0.3524377000, 0.4726861000, 0.7108291000, 1.3397407000", \
-                        "0.4224762000, 0.4373680000, 0.4731002000, 0.5571136000, 0.7286381000, 1.0571489000, 1.6824081000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
-                    values("0.0099043000, 0.0108621000, 0.0134194000, 0.0202097000, 0.0377014000, 0.0834049000, 0.2023953000", \
-                        "0.0106335000, 0.0114928000, 0.0137850000, 0.0202230000, 0.0377256000, 0.0835781000, 0.2020942000", \
-                        "0.0163442000, 0.0172148000, 0.0194349000, 0.0245176000, 0.0392715000, 0.0833790000, 0.2019923000", \
-                        "0.0267918000, 0.0281645000, 0.0313672000, 0.0387342000, 0.0532375000, 0.0892817000, 0.2029456000", \
-                        "0.0465941000, 0.0486352000, 0.0537363000, 0.0636149000, 0.0848939000, 0.1263257000, 0.2165589000", \
-                        "0.0830219000, 0.0853947000, 0.0931576000, 0.1097660000, 0.1420419000, 0.2004886000, 0.3014893000", \
-                        "0.1508091000, 0.1559392000, 0.1679303000, 0.1970926000, 0.2415479000, 0.3278302000, 0.4744952000");
-                }
-                related_pin : "C";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
-                    values("0.0856598000, 0.0931410000, 0.1128413000, 0.1627234000, 0.2900939000, 0.6192534000, 1.4673779000", \
-                        "0.0849465000, 0.0924107000, 0.1121060000, 0.1620091000, 0.2896744000, 0.6224912000, 1.4742988000", \
-                        "0.0826841000, 0.0905084000, 0.1107553000, 0.1614921000, 0.2899253000, 0.6175083000, 1.4683749000", \
-                        "0.0861134000, 0.0929247000, 0.1106008000, 0.1594168000, 0.2897711000, 0.6186904000, 1.4664878000", \
-                        "0.1132848000, 0.1212698000, 0.1357074000, 0.1750334000, 0.2905699000, 0.6179647000, 1.4708655000", \
-                        "0.1542560000, 0.1646399000, 0.1855475000, 0.2364153000, 0.3448199000, 0.6276140000, 1.4774657000", \
-                        "0.2299706000, 0.2397104000, 0.2713543000, 0.3402219000, 0.4781893000, 0.7599425000, 1.4951451000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-        }
-    }
-
-/* removed sky130_fd_sc_hd__nor3b_1 */
-
-/* removed sky130_fd_sc_hd__nor3b_2 */
-
-/* removed sky130_fd_sc_hd__nor3b_4 */
-
-/* removed sky130_fd_sc_hd__nor4_1 */
-
-/* removed sky130_fd_sc_hd__nor4_2 */
-
-    cell ("sky130_fd_sc_hd__nor4_4") {
-        leakage_power () {
-            value : 0.0041413000;
-            when : "!A&!B&!C&D";
-        }
-        leakage_power () {
-            value : 0.0089600000;
-            when : "!A&!B&!C&!D";
-        }
-        leakage_power () {
-            value : 0.0002945000;
-            when : "!A&!B&C&D";
-        }
-        leakage_power () {
-            value : 0.0026775000;
-            when : "!A&!B&C&!D";
-        }
-        leakage_power () {
-            value : 0.0002967000;
-            when : "!A&B&!C&D";
-        }
-        leakage_power () {
-            value : 0.0025928000;
-            when : "!A&B&!C&!D";
-        }
-        leakage_power () {
-            value : 0.0001617000;
-            when : "!A&B&C&D";
-        }
-        leakage_power () {
-            value : 0.0003091000;
-            when : "!A&B&C&!D";
-        }
-        leakage_power () {
-            value : 0.0002871000;
-            when : "A&!B&!C&D";
-        }
-        leakage_power () {
-            value : 0.0024317000;
-            when : "A&!B&!C&!D";
-        }
-        leakage_power () {
-            value : 0.0001565000;
-            when : "A&!B&C&D";
-        }
-        leakage_power () {
-            value : 0.0002989000;
-            when : "A&!B&C&!D";
-        }
-        leakage_power () {
-            value : 0.0001561000;
-            when : "A&B&!C&D";
-        }
-        leakage_power () {
-            value : 0.0003195000;
-            when : "A&B&!C&!D";
-        }
-        leakage_power () {
-            value : 0.0169857000;
-            when : "A&B&C&D";
-        }
-        leakage_power () {
-            value : 0.0001781000;
-            when : "A&B&C&!D";
-        }
-        area : 21.270400000;
-        cell_footprint : "sky130_fd_sc_hd__nor4";
-        cell_leakage_power : 0.0025154460;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("A") {
-            capacitance : 0.0086290000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0081520000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0139736000, 0.0139653000, 0.0139464000, 0.0139425000, 0.0139336000, 0.0139130000, 0.0138654000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.013126100, -0.013268400, -0.013596500, -0.013663900, -0.013819400, -0.014177600, -0.015003400");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0091060000;
-        }
-        pin ("B") {
-            capacitance : 0.0085660000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0079620000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0205394000, 0.0205346000, 0.0205236000, 0.0205256000, 0.0205301000, 0.0205404000, 0.0205641000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.013206000, -0.013288200, -0.013477800, -0.013550800, -0.013719100, -0.014107000, -0.015001200");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0091700000;
-        }
-        pin ("C") {
-            capacitance : 0.0083640000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0076970000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0165717000, 0.0165649000, 0.0165492000, 0.0165512000, 0.0165559000, 0.0165667000, 0.0165917000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.013817000, -0.014080300, -0.014687200, -0.014716200, -0.014782900, -0.014936700, -0.015291100");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0090310000;
-        }
-        pin ("D") {
-            capacitance : 0.0085430000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0077880000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0071704000, 0.0071577000, 0.0071283000, 0.0071537000, 0.0072123000, 0.0073472000, 0.0076583000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.006809800, -0.006818000, -0.006836900, -0.006834000, -0.006827200, -0.006811600, -0.006775700");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0092980000;
-        }
-        pin ("Y") {
-            direction : "output";
-            function : "(!A&!B&!C&!D)";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012333250, 0.0030421800, 0.0075039940, 0.0185097200, 0.0456570000, 0.1126198000");
-                    values("0.0258536000, 0.0246675000, 0.0217951000, 0.0145956000, -0.003172300, -0.046845800, -0.154350300", \
-                        "0.0255606000, 0.0243752000, 0.0214335000, 0.0142787000, -0.003480400, -0.047186700, -0.154671200", \
-                        "0.0253191000, 0.0241583000, 0.0213516000, 0.0140476000, -0.003739200, -0.047392000, -0.154894000", \
-                        "0.0246075000, 0.0234827000, 0.0206176000, 0.0135902000, -0.004173900, -0.047836700, -0.155355600", \
-                        "0.0242454000, 0.0230725000, 0.0201942000, 0.0130485000, -0.004343300, -0.048061600, -0.155483400", \
-                        "0.0258922000, 0.0246844000, 0.0217390000, 0.0144945000, -0.003275400, -0.047504900, -0.154733300", \
-                        "0.0316230000, 0.0303862000, 0.0273510000, 0.0200466000, 0.0021243000, -0.042851700, -0.152236800");
-                }
-                related_pin : "A";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012333250, 0.0030421800, 0.0075039940, 0.0185097200, 0.0456570000, 0.1126198000");
-                    values("0.0568994000, 0.0581136000, 0.0610980000, 0.0683895000, 0.0861611000, 0.1297799000, 0.2364492000", \
-                        "0.0561970000, 0.0574093000, 0.0604668000, 0.0677914000, 0.0856868000, 0.1295917000, 0.2364734000", \
-                        "0.0556635000, 0.0567906000, 0.0598544000, 0.0672150000, 0.0851368000, 0.1289659000, 0.2369291000", \
-                        "0.0552329000, 0.0564561000, 0.0593433000, 0.0666809000, 0.0846081000, 0.1287856000, 0.2359779000", \
-                        "0.0548319000, 0.0560244000, 0.0589427000, 0.0662090000, 0.0839013000, 0.1276851000, 0.2353038000", \
-                        "0.0546776000, 0.0558880000, 0.0587411000, 0.0659636000, 0.0836877000, 0.1276639000, 0.2347430000", \
-                        "0.0547155000, 0.0559366000, 0.0588459000, 0.0660513000, 0.0841257000, 0.1271616000, 0.2347138000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012333250, 0.0030421800, 0.0075039940, 0.0185097200, 0.0456570000, 0.1126198000");
-                    values("0.0201957000, 0.0190150000, 0.0161015000, 0.0088895000, -0.008836400, -0.052396900, -0.160228400", \
-                        "0.0201400000, 0.0189480000, 0.0160408000, 0.0088509000, -0.008871200, -0.052406800, -0.160160000", \
-                        "0.0200465000, 0.0188703000, 0.0159483000, 0.0087606000, -0.008963600, -0.052492400, -0.160263600", \
-                        "0.0193000000, 0.0181201000, 0.0153573000, 0.0082912000, -0.009390900, -0.052747400, -0.160502300", \
-                        "0.0188840000, 0.0177187000, 0.0148436000, 0.0076942000, -0.009857300, -0.052986900, -0.160555000", \
-                        "0.0208977000, 0.0196907000, 0.0168061000, 0.0097550000, -0.008386900, -0.051843500, -0.160062000", \
-                        "0.0257932000, 0.0244995000, 0.0214592000, 0.0141110000, -0.004139400, -0.048523000, -0.157560600");
-                }
-                related_pin : "B";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012333250, 0.0030421800, 0.0075039940, 0.0185097200, 0.0456570000, 0.1126198000");
-                    values("0.0418712000, 0.0431004000, 0.0461302000, 0.0534739000, 0.0712791000, 0.1149089000, 0.2221098000", \
-                        "0.0410878000, 0.0423052000, 0.0453518000, 0.0529086000, 0.0710503000, 0.1150721000, 0.2219309000", \
-                        "0.0403595000, 0.0416194000, 0.0445829000, 0.0519048000, 0.0700102000, 0.1140205000, 0.2217857000", \
-                        "0.0398668000, 0.0411129000, 0.0440833000, 0.0513871000, 0.0692065000, 0.1132726000, 0.2210306000", \
-                        "0.0394266000, 0.0406540000, 0.0435935000, 0.0507979000, 0.0688461000, 0.1123686000, 0.2201341000", \
-                        "0.0393214000, 0.0405284000, 0.0435511000, 0.0506692000, 0.0683765000, 0.1122321000, 0.2195484000", \
-                        "0.0394760000, 0.0406609000, 0.0435315000, 0.0508074000, 0.0687894000, 0.1121211000, 0.2193161000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012333250, 0.0030421800, 0.0075039940, 0.0185097200, 0.0456570000, 0.1126198000");
-                    values("0.0151695000, 0.0139835000, 0.0110700000, 0.0038854000, -0.013791000, -0.057472300, -0.165693800", \
-                        "0.0153108000, 0.0141387000, 0.0112399000, 0.0040888000, -0.013503500, -0.057156000, -0.165345600", \
-                        "0.0156310000, 0.0144686000, 0.0116086000, 0.0045541000, -0.012963000, -0.056504200, -0.164545000", \
-                        "0.0150195000, 0.0139297000, 0.0111226000, 0.0041533000, -0.013153700, -0.056518100, -0.164483300", \
-                        "0.0153607000, 0.0142155000, 0.0113771000, 0.0042743000, -0.013485400, -0.056781400, -0.164544000", \
-                        "0.0164597000, 0.0152759000, 0.0123571000, 0.0053862000, -0.012897800, -0.055857900, -0.164305900", \
-                        "0.0204215000, 0.0191836000, 0.0160917000, 0.0085952000, -0.009594900, -0.053851300, -0.162710700");
-                }
-                related_pin : "C";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012333250, 0.0030421800, 0.0075039940, 0.0185097200, 0.0456570000, 0.1126198000");
-                    values("0.0364060000, 0.0376655000, 0.0407342000, 0.0481260000, 0.0660603000, 0.1097205000, 0.2168759000", \
-                        "0.0355367000, 0.0367814000, 0.0398679000, 0.0473158000, 0.0654474000, 0.1093261000, 0.2167118000", \
-                        "0.0348295000, 0.0360504000, 0.0391555000, 0.0464541000, 0.0645920000, 0.1086825000, 0.2164088000", \
-                        "0.0344173000, 0.0356609000, 0.0385694000, 0.0458242000, 0.0637399000, 0.1079265000, 0.2158656000", \
-                        "0.0339125000, 0.0351212000, 0.0381331000, 0.0453186000, 0.0633486000, 0.1069773000, 0.2148989000", \
-                        "0.0339676000, 0.0350744000, 0.0380269000, 0.0452673000, 0.0630572000, 0.1067641000, 0.2148413000", \
-                        "0.0352447000, 0.0363975000, 0.0392781000, 0.0462103000, 0.0639357000, 0.1068490000, 0.2147592000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012333250, 0.0030421800, 0.0075039940, 0.0185097200, 0.0456570000, 0.1126198000");
-                    values("0.0020474000, 0.0008672000, -0.002068500, -0.009367400, -0.027435800, -0.071628900, -0.180092500", \
-                        "0.0015703000, 0.0004395000, -0.002438400, -0.009592900, -0.027414900, -0.071374100, -0.179722000", \
-                        "0.0007928000, -0.000329300, -0.003133800, -0.010131100, -0.027610300, -0.071204900, -0.179324100", \
-                        "-0.000324800, -0.001421200, -0.004120600, -0.010896900, -0.028061800, -0.071341400, -0.179173800", \
-                        "-0.000270800, -0.001399500, -0.004199400, -0.010879400, -0.028057000, -0.071574700, -0.179231400", \
-                        "0.0009318000, -0.000168100, -0.002994400, -0.010832800, -0.028448600, -0.071758400, -0.179181100", \
-                        "0.0063932000, 0.0039648000, 0.0008123000, -0.007008900, -0.025627700, -0.069590000, -0.177931800");
-                }
-                related_pin : "D";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012333250, 0.0030421800, 0.0075039940, 0.0185097200, 0.0456570000, 0.1126198000");
-                    values("0.0363275000, 0.0376833000, 0.0408159000, 0.0484411000, 0.0665670000, 0.1104309000, 0.2181026000", \
-                        "0.0353127000, 0.0365789000, 0.0397452000, 0.0473135000, 0.0658773000, 0.1098842000, 0.2176014000", \
-                        "0.0345309000, 0.0357975000, 0.0388462000, 0.0464790000, 0.0647453000, 0.1090096000, 0.2180210000", \
-                        "0.0343549000, 0.0355494000, 0.0385228000, 0.0458748000, 0.0638758000, 0.1081298000, 0.2158983000", \
-                        "0.0345560000, 0.0356289000, 0.0384902000, 0.0459951000, 0.0633223000, 0.1071781000, 0.2150072000", \
-                        "0.0373415000, 0.0385124000, 0.0413760000, 0.0476575000, 0.0650933000, 0.1077903000, 0.2150446000", \
-                        "0.0409639000, 0.0420970000, 0.0448032000, 0.0514045000, 0.0683057000, 0.1103649000, 0.2158137000");
-                }
-            }
-            max_capacitance : 0.1126200000;
-            max_transition : 1.4888180000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
-                    values("0.0193964000, 0.0202370000, 0.0223514000, 0.0274228000, 0.0392381000, 0.0667027000, 0.1301931000", \
-                        "0.0247133000, 0.0255499000, 0.0275593000, 0.0324276000, 0.0440852000, 0.0712996000, 0.1345911000", \
-                        "0.0364115000, 0.0374181000, 0.0397948000, 0.0446742000, 0.0556589000, 0.0823060000, 0.1451904000", \
-                        "0.0534250000, 0.0548221000, 0.0582012000, 0.0655158000, 0.0805144000, 0.1087747000, 0.1707596000", \
-                        "0.0747592000, 0.0767650000, 0.0814943000, 0.0923522000, 0.1146586000, 0.1563677000, 0.2298305000", \
-                        "0.0935944000, 0.0965953000, 0.1036819000, 0.1196564000, 0.1530284000, 0.2158915000, 0.3271649000", \
-                        "0.0828202000, 0.0873171000, 0.0979523000, 0.1220692000, 0.1718244000, 0.2709675000, 0.4434555000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
-                    values("0.2157051000, 0.2223377000, 0.2387324000, 0.2797581000, 0.3770114000, 0.6151051000, 1.2015151000", \
-                        "0.2181038000, 0.2248109000, 0.2418281000, 0.2828819000, 0.3817732000, 0.6210371000, 1.2076589000", \
-                        "0.2290101000, 0.2355684000, 0.2524831000, 0.2931838000, 0.3916164000, 0.6350207000, 1.2220954000", \
-                        "0.2549880000, 0.2620093000, 0.2788463000, 0.3189234000, 0.4177814000, 0.6575551000, 1.2473347000", \
-                        "0.3099524000, 0.3166008000, 0.3324913000, 0.3727015000, 0.4708845000, 0.7103442000, 1.2991488000", \
-                        "0.4066977000, 0.4137463000, 0.4321690000, 0.4768357000, 0.5783118000, 0.8183057000, 1.4111032000", \
-                        "0.5637575000, 0.5730733000, 0.5953374000, 0.6484591000, 0.7682323000, 1.0355933000, 1.6304902000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
-                    values("0.0204699000, 0.0216928000, 0.0247275000, 0.0318782000, 0.0488783000, 0.0879051000, 0.1778832000", \
-                        "0.0206207000, 0.0218017000, 0.0245538000, 0.0314307000, 0.0483457000, 0.0873167000, 0.1779467000", \
-                        "0.0262347000, 0.0271475000, 0.0294873000, 0.0348189000, 0.0492424000, 0.0864460000, 0.1775064000", \
-                        "0.0398617000, 0.0409330000, 0.0434214000, 0.0496515000, 0.0617239000, 0.0925948000, 0.1772074000", \
-                        "0.0640157000, 0.0655679000, 0.0691402000, 0.0767606000, 0.0932471000, 0.1252015000, 0.1965112000", \
-                        "0.1058031000, 0.1081279000, 0.1135441000, 0.1241585000, 0.1468419000, 0.1911147000, 0.2757500000", \
-                        "0.1784763000, 0.1819669000, 0.1903398000, 0.2086242000, 0.2441687000, 0.3096373000, 0.4254224000");
-                }
-                related_pin : "A";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
-                    values("0.1471014000, 0.1557794000, 0.1760432000, 0.2293268000, 0.3595388000, 0.6792555000, 1.4712923000", \
-                        "0.1472028000, 0.1559224000, 0.1772182000, 0.2293676000, 0.3595126000, 0.6793623000, 1.4629895000", \
-                        "0.1468696000, 0.1560581000, 0.1766923000, 0.2296580000, 0.3590153000, 0.6801698000, 1.4687057000", \
-                        "0.1470615000, 0.1556080000, 0.1775881000, 0.2297089000, 0.3600041000, 0.6793510000, 1.4642157000", \
-                        "0.1483388000, 0.1569298000, 0.1777967000, 0.2301762000, 0.3592500000, 0.6785599000, 1.4652298000", \
-                        "0.1703348000, 0.1787398000, 0.1990188000, 0.2486714000, 0.3701452000, 0.6820695000, 1.4680837000", \
-                        "0.2190299000, 0.2276419000, 0.2478266000, 0.3005848000, 0.4281617000, 0.7278557000, 1.4819532000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
-                    values("0.0212197000, 0.0221721000, 0.0243960000, 0.0296707000, 0.0417172000, 0.0692400000, 0.1328612000", \
-                        "0.0264733000, 0.0273474000, 0.0295051000, 0.0346107000, 0.0465360000, 0.0738662000, 0.1373183000", \
-                        "0.0382906000, 0.0392549000, 0.0414879000, 0.0464551000, 0.0577554000, 0.0846028000, 0.1480137000", \
-                        "0.0548283000, 0.0562301000, 0.0594764000, 0.0668769000, 0.0819777000, 0.1101630000, 0.1725815000", \
-                        "0.0731851000, 0.0752699000, 0.0801991000, 0.0913982000, 0.1135221000, 0.1565475000, 0.2312242000", \
-                        "0.0831566000, 0.0862820000, 0.0936078000, 0.1096746000, 0.1454118000, 0.2107256000, 0.3255069000", \
-                        "0.0561493000, 0.0606212000, 0.0720514000, 0.0979217000, 0.1522031000, 0.2552490000, 0.4348051000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
-                    values("0.2007191000, 0.2074685000, 0.2240368000, 0.2654947000, 0.3633754000, 0.6015873000, 1.1882382000", \
-                        "0.2014680000, 0.2085743000, 0.2251251000, 0.2667724000, 0.3665485000, 0.6078115000, 1.1919701000", \
-                        "0.2105524000, 0.2171880000, 0.2339913000, 0.2746164000, 0.3737511000, 0.6145652000, 1.2082482000", \
-                        "0.2352427000, 0.2421349000, 0.2587085000, 0.2992333000, 0.3981374000, 0.6383643000, 1.2278650000", \
-                        "0.2887728000, 0.2957519000, 0.3124322000, 0.3527074000, 0.4514963000, 0.6907956000, 1.2801494000", \
-                        "0.3835336000, 0.3915073000, 0.4112180000, 0.4583033000, 0.5644271000, 0.8048086000, 1.3932882000", \
-                        "0.5409192000, 0.5520773000, 0.5788349000, 0.6419716000, 0.7730525000, 1.0507950000, 1.6502183000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
-                    values("0.0214002000, 0.0225405000, 0.0252722000, 0.0319387000, 0.0473617000, 0.0835541000, 0.1716677000", \
-                        "0.0209750000, 0.0220265000, 0.0246250000, 0.0311299000, 0.0467703000, 0.0832944000, 0.1714104000", \
-                        "0.0254215000, 0.0262074000, 0.0280637000, 0.0333234000, 0.0471840000, 0.0826224000, 0.1712895000", \
-                        "0.0376065000, 0.0386838000, 0.0414738000, 0.0469998000, 0.0589988000, 0.0884555000, 0.1708772000", \
-                        "0.0599613000, 0.0612903000, 0.0660632000, 0.0728643000, 0.0891194000, 0.1211973000, 0.1908433000", \
-                        "0.0994359000, 0.1015590000, 0.1070380000, 0.1190263000, 0.1431604000, 0.1888305000, 0.2715039000", \
-                        "0.1703333000, 0.1750433000, 0.1835578000, 0.2020261000, 0.2391993000, 0.3061655000, 0.4259288000");
-                }
-                related_pin : "B";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
-                    values("0.1470063000, 0.1557003000, 0.1760016000, 0.2292738000, 0.3594780000, 0.6787073000, 1.4625005000", \
-                        "0.1466805000, 0.1557131000, 0.1771308000, 0.2299596000, 0.3600965000, 0.6822220000, 1.4648662000", \
-                        "0.1468064000, 0.1554268000, 0.1765428000, 0.2294096000, 0.3590177000, 0.6782149000, 1.4682039000", \
-                        "0.1470143000, 0.1556046000, 0.1768987000, 0.2297196000, 0.3594739000, 0.6786770000, 1.4640581000", \
-                        "0.1514297000, 0.1594034000, 0.1798394000, 0.2311494000, 0.3600773000, 0.6783745000, 1.4641387000", \
-                        "0.1835499000, 0.1921497000, 0.2125530000, 0.2597398000, 0.3777193000, 0.6835392000, 1.4641912000", \
-                        "0.2568987000, 0.2657791000, 0.2866783000, 0.3385827000, 0.4605740000, 0.7464262000, 1.4870626000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
-                    values("0.0216729000, 0.0225063000, 0.0244658000, 0.0291382000, 0.0399077000, 0.0651272000, 0.1256967000", \
-                        "0.0264791000, 0.0272791000, 0.0292420000, 0.0338567000, 0.0445712000, 0.0697247000, 0.1303019000", \
-                        "0.0370599000, 0.0380074000, 0.0402478000, 0.0450609000, 0.0555991000, 0.0805266000, 0.1411087000", \
-                        "0.0510705000, 0.0525640000, 0.0559145000, 0.0632026000, 0.0780945000, 0.1061548000, 0.1660976000", \
-                        "0.0642806000, 0.0664421000, 0.0715440000, 0.0830597000, 0.1063718000, 0.1498335000, 0.2243730000", \
-                        "0.0649452000, 0.0683427000, 0.0761926000, 0.0930474000, 0.1311225000, 0.1987648000, 0.3155671000", \
-                        "0.0214212000, 0.0267248000, 0.0394508000, 0.0673107000, 0.1257935000, 0.2345920000, 0.4183102000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
-                    values("0.1606854000, 0.1674417000, 0.1841279000, 0.2257041000, 0.3243719000, 0.5628746000, 1.1496002000", \
-                        "0.1607507000, 0.1674475000, 0.1845295000, 0.2258134000, 0.3251469000, 0.5655953000, 1.1537217000", \
-                        "0.1687135000, 0.1753466000, 0.1925603000, 0.2332726000, 0.3324687000, 0.5739989000, 1.1632834000", \
-                        "0.1935081000, 0.2002329000, 0.2164628000, 0.2573327000, 0.3571456000, 0.5963337000, 1.1863973000", \
-                        "0.2484653000, 0.2554921000, 0.2722799000, 0.3128889000, 0.4118929000, 0.6506989000, 1.2401521000", \
-                        "0.3469006000, 0.3561340000, 0.3779904000, 0.4289335000, 0.5402631000, 0.7832817000, 1.3783603000", \
-                        "0.5134955000, 0.5273993000, 0.5592642000, 0.6312767000, 0.7802052000, 1.0730298000, 1.6782256000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
-                    values("0.0174449000, 0.0183922000, 0.0206117000, 0.0261409000, 0.0395629000, 0.0728496000, 0.1556640000", \
-                        "0.0171149000, 0.0179869000, 0.0201753000, 0.0257964000, 0.0393944000, 0.0728523000, 0.1557998000", \
-                        "0.0212648000, 0.0219881000, 0.0236047000, 0.0281575000, 0.0402865000, 0.0726890000, 0.1554258000", \
-                        "0.0326310000, 0.0335113000, 0.0358816000, 0.0413183000, 0.0531065000, 0.0796627000, 0.1560818000", \
-                        "0.0530578000, 0.0546040000, 0.0582501000, 0.0666165000, 0.0826685000, 0.1148760000, 0.1777592000", \
-                        "0.0906204000, 0.0931699000, 0.0990344000, 0.1117756000, 0.1360147000, 0.1820826000, 0.2615011000", \
-                        "0.1603888000, 0.1643540000, 0.1733663000, 0.1927346000, 0.2323397000, 0.3002042000, 0.4172471000");
-                }
-                related_pin : "C";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
-                    values("0.1468896000, 0.1555396000, 0.1759198000, 0.2292294000, 0.3595376000, 0.6786939000, 1.4673825000", \
-                        "0.1468821000, 0.1553313000, 0.1770245000, 0.2288603000, 0.3589142000, 0.6778590000, 1.4659506000", \
-                        "0.1468382000, 0.1553025000, 0.1766459000, 0.2292690000, 0.3585940000, 0.6778250000, 1.4624771000", \
-                        "0.1463126000, 0.1549603000, 0.1768179000, 0.2292344000, 0.3595745000, 0.6794166000, 1.4629861000", \
-                        "0.1560615000, 0.1643192000, 0.1839754000, 0.2333342000, 0.3606122000, 0.6779409000, 1.4631959000", \
-                        "0.1979907000, 0.2062877000, 0.2276539000, 0.2752711000, 0.3864913000, 0.6871141000, 1.4698061000", \
-                        "0.2918360000, 0.3011892000, 0.3235449000, 0.3778484000, 0.4986511000, 0.7686763000, 1.4848925000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
-                    values("0.0164159000, 0.0171596000, 0.0188569000, 0.0229135000, 0.0326080000, 0.0558092000, 0.1123402000", \
-                        "0.0210773000, 0.0218084000, 0.0235560000, 0.0276065000, 0.0373197000, 0.0605084000, 0.1175268000", \
-                        "0.0289974000, 0.0301058000, 0.0326460000, 0.0380456000, 0.0485006000, 0.0715594000, 0.1284588000", \
-                        "0.0376951000, 0.0394226000, 0.0433678000, 0.0518780000, 0.0684435000, 0.0974589000, 0.1543048000", \
-                        "0.0429256000, 0.0455104000, 0.0518244000, 0.0651084000, 0.0913644000, 0.1371303000, 0.2129550000", \
-                        "0.0308211000, 0.0351600000, 0.0450799000, 0.0670899000, 0.1089847000, 0.1824462000, 0.2995009000", \
-                        "-0.032950500, -0.026249000, -0.010231100, 0.0249926000, 0.0926665000, 0.2101262000, 0.3988873000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
-                    values("0.0869582000, 0.0936298000, 0.1108970000, 0.1522951000, 0.2524986000, 0.4921450000, 1.0837348000", \
-                        "0.0866143000, 0.0933467000, 0.1099740000, 0.1510310000, 0.2525602000, 0.4943553000, 1.0828911000", \
-                        "0.0948040000, 0.1011967000, 0.1173059000, 0.1579510000, 0.2576952000, 0.5004837000, 1.0980566000", \
-                        "0.1227608000, 0.1282550000, 0.1427657000, 0.1813988000, 0.2794211000, 0.5239660000, 1.1120217000", \
-                        "0.1881298000, 0.1950101000, 0.2102194000, 0.2459316000, 0.3373394000, 0.5763717000, 1.1675954000", \
-                        "0.2933446000, 0.3034437000, 0.3272358000, 0.3789323000, 0.4876655000, 0.7161398000, 1.3117915000", \
-                        "0.4714776000, 0.4862213000, 0.5195100000, 0.5942608000, 0.7505704000, 1.0471086000, 1.6296657000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
-                    values("0.0107609000, 0.0115777000, 0.0136564000, 0.0187260000, 0.0311013000, 0.0620105000, 0.1391110000", \
-                        "0.0113974000, 0.0120853000, 0.0139551000, 0.0187453000, 0.0311910000, 0.0624330000, 0.1380273000", \
-                        "0.0172111000, 0.0179458000, 0.0196500000, 0.0232600000, 0.0333000000, 0.0625213000, 0.1393195000", \
-                        "0.0283588000, 0.0294997000, 0.0316299000, 0.0370721000, 0.0484861000, 0.0709437000, 0.1396297000", \
-                        "0.0483265000, 0.0495506000, 0.0531997000, 0.0615611000, 0.0779724000, 0.1077036000, 0.1633238000", \
-                        "0.0854376000, 0.0881445000, 0.0941730000, 0.1070071000, 0.1321546000, 0.1740435000, 0.2517621000", \
-                        "0.1572322000, 0.1608975000, 0.1717755000, 0.1919131000, 0.2270973000, 0.2934396000, 0.4047209000");
-                }
-                related_pin : "D";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
-                    values("0.1369178000, 0.1460602000, 0.1696259000, 0.2252919000, 0.3581626000, 0.6784678000, 1.4686953000", \
-                        "0.1345313000, 0.1443000000, 0.1675846000, 0.2243238000, 0.3587755000, 0.6783206000, 1.4641419000", \
-                        "0.1310881000, 0.1409326000, 0.1644384000, 0.2220676000, 0.3578731000, 0.6782066000, 1.4767428000", \
-                        "0.1289892000, 0.1380813000, 0.1609174000, 0.2169620000, 0.3562587000, 0.6807450000, 1.4711325000", \
-                        "0.1516510000, 0.1586596000, 0.1781801000, 0.2257350000, 0.3515012000, 0.6788178000, 1.4637934000", \
-                        "0.1933094000, 0.2037902000, 0.2275826000, 0.2841264000, 0.3920864000, 0.6827688000, 1.4695545000", \
-                        "0.2750249000, 0.2872869000, 0.3156812000, 0.3820756000, 0.5217672000, 0.8019726000, 1.4888180000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-        }
-    }
-
-/* removed sky130_fd_sc_hd__nor4b_1 */
-
-/* removed sky130_fd_sc_hd__nor4b_2 */
-
-/* removed sky130_fd_sc_hd__nor4b_4 */
-
-/* removed sky130_fd_sc_hd__nor4bb_1 */
-
-/* removed sky130_fd_sc_hd__nor4bb_2 */
-
-/* removed sky130_fd_sc_hd__nor4bb_4 */
-
-/* removed sky130_fd_sc_hd__o2111a_1 */
-
-/* removed sky130_fd_sc_hd__o2111a_2 */
-
-/* removed sky130_fd_sc_hd__o2111a_4 */
-
-/* removed sky130_fd_sc_hd__o2111ai_1 */
-
-/* removed sky130_fd_sc_hd__o2111ai_2 */
-
-/* removed sky130_fd_sc_hd__o2111ai_4 */
-
-/* removed sky130_fd_sc_hd__o211a_1 */
-
-/* removed sky130_fd_sc_hd__o211a_2 */
-
-/* removed sky130_fd_sc_hd__o211a_4 */
-
-/* removed sky130_fd_sc_hd__o211ai_1 */
-
-/* removed sky130_fd_sc_hd__o211ai_2 */
-
-/* removed sky130_fd_sc_hd__o211ai_4 */
-
-/* removed sky130_fd_sc_hd__o21a_1 */
-
-/* removed sky130_fd_sc_hd__o21a_2 */
-
-    cell ("sky130_fd_sc_hd__o21a_4") {
-        leakage_power () {
-            value : 0.0051880000;
-            when : "!A1&!A2&B1";
-        }
-        leakage_power () {
-            value : 0.0039272000;
-            when : "!A1&!A2&!B1";
-        }
-        leakage_power () {
-            value : 0.0037499000;
-            when : "!A1&A2&B1";
-        }
-        leakage_power () {
-            value : 0.0046044000;
-            when : "!A1&A2&!B1";
-        }
-        leakage_power () {
-            value : 0.0039146000;
-            when : "A1&!A2&B1";
-        }
-        leakage_power () {
-            value : 0.0046044000;
-            when : "A1&!A2&!B1";
-        }
-        leakage_power () {
-            value : 0.0026220000;
-            when : "A1&A2&B1";
-        }
-        leakage_power () {
-            value : 0.0046044000;
-            when : "A1&A2&!B1";
-        }
-        area : 15.014400000;
-        cell_footprint : "sky130_fd_sc_hd__o21a";
-        cell_leakage_power : 0.0041518770;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("A1") {
-            capacitance : 0.0048480000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0046190000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0081779000, 0.0081694000, 0.0081499000, 0.0081509000, 0.0081531000, 0.0081583000, 0.0081702000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.008139400, -0.008136500, -0.008129700, -0.008131200, -0.008134600, -0.008142400, -0.008160400");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0050770000;
-        }
-        pin ("A2") {
-            capacitance : 0.0044330000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0041180000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0075832000, 0.0075840000, 0.0075857000, 0.0075877000, 0.0075922000, 0.0076026000, 0.0076266000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.007574500, -0.007573700, -0.007571700, -0.007573300, -0.007577100, -0.007585900, -0.007606200");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0047490000;
-        }
-        pin ("B1") {
-            capacitance : 0.0045100000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0043320000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0093113000, 0.0093097000, 0.0093062000, 0.0093028000, 0.0092949000, 0.0092768000, 0.0092351000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.004740500, -0.004749600, -0.004770600, -0.004747300, -0.004693700, -0.004570200, -0.004285500");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0046890000;
-        }
-        pin ("X") {
-            direction : "output";
-            function : "(A1&B1) | (A2&B1)";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015863440, 0.0050329770, 0.0159680700, 0.0506617200, 0.1607339000, 0.5099586000");
-                    values("0.0349028000, 0.0331402000, 0.0279697000, 0.0133849000, -0.038846400, -0.215411900, -0.780559600", \
-                        "0.0343731000, 0.0328957000, 0.0278629000, 0.0131828000, -0.039086500, -0.215625100, -0.780835900", \
-                        "0.0343512000, 0.0325940000, 0.0274996000, 0.0128293000, -0.039413500, -0.215928400, -0.781125400", \
-                        "0.0338105000, 0.0321305000, 0.0271300000, 0.0122806000, -0.039942800, -0.216308200, -0.781469200", \
-                        "0.0336993000, 0.0319363000, 0.0268497000, 0.0119352000, -0.040334100, -0.216731800, -0.781724400", \
-                        "0.0328575000, 0.0310351000, 0.0254072000, 0.0108969000, -0.040757900, -0.216987400, -0.781936400", \
-                        "0.0405978000, 0.0386626000, 0.0328660000, 0.0151461000, -0.040474700, -0.217009500, -0.781222000");
-                }
-                related_pin : "A1";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015863440, 0.0050329770, 0.0159680700, 0.0506617200, 0.1607339000, 0.5099586000");
-                    values("0.0344488000, 0.0363426000, 0.0424278000, 0.0610558000, 0.1178451000, 0.2949718000, 0.8542011000", \
-                        "0.0341556000, 0.0361253000, 0.0422117000, 0.0608381000, 0.1176249000, 0.2948226000, 0.8540360000", \
-                        "0.0338866000, 0.0358399000, 0.0419299000, 0.0605367000, 0.1173418000, 0.2944585000, 0.8539235000", \
-                        "0.0336399000, 0.0355600000, 0.0415777000, 0.0601399000, 0.1168501000, 0.2940188000, 0.8535667000", \
-                        "0.0332854000, 0.0352010000, 0.0411113000, 0.0595469000, 0.1162195000, 0.2935417000, 0.8529137000", \
-                        "0.0340778000, 0.0358745000, 0.0415579000, 0.0595313000, 0.1155557000, 0.2921790000, 0.8515688000", \
-                        "0.0356085000, 0.0373480000, 0.0428863000, 0.0606354000, 0.1171346000, 0.2940427000, 0.8513857000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015863440, 0.0050329770, 0.0159680700, 0.0506617200, 0.1607339000, 0.5099586000");
-                    values("0.0305294000, 0.0287249000, 0.0236549000, 0.0087595000, -0.043442400, -0.219717000, -0.784948000", \
-                        "0.0299549000, 0.0283017000, 0.0231859000, 0.0084876000, -0.043691600, -0.220044300, -0.785196600", \
-                        "0.0297775000, 0.0279660000, 0.0228584000, 0.0081665000, -0.044066300, -0.220469500, -0.785549800", \
-                        "0.0293841000, 0.0276790000, 0.0225608000, 0.0078522000, -0.044409000, -0.220781500, -0.785836000", \
-                        "0.0291426000, 0.0273972000, 0.0222902000, 0.0073664000, -0.044507000, -0.221106900, -0.786117800", \
-                        "0.0292481000, 0.0275666000, 0.0221533000, 0.0071859000, -0.044924500, -0.221091700, -0.785780000", \
-                        "0.0393491000, 0.0373496000, 0.0311361000, 0.0131061000, -0.042714600, -0.218760900, -0.783506900");
-                }
-                related_pin : "A2";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015863440, 0.0050329770, 0.0159680700, 0.0506617200, 0.1607339000, 0.5099586000");
-                    values("0.0312794000, 0.0331467000, 0.0392206000, 0.0579581000, 0.1148050000, 0.2915096000, 0.8511920000", \
-                        "0.0311978000, 0.0331274000, 0.0391970000, 0.0579636000, 0.1147774000, 0.2915987000, 0.8478284000", \
-                        "0.0309205000, 0.0328365000, 0.0389439000, 0.0576620000, 0.1145166000, 0.2918043000, 0.8483428000", \
-                        "0.0304083000, 0.0323401000, 0.0383697000, 0.0569140000, 0.1137636000, 0.2910374000, 0.8469606000", \
-                        "0.0300034000, 0.0318251000, 0.0378375000, 0.0562372000, 0.1126580000, 0.2902981000, 0.8516763000", \
-                        "0.0305780000, 0.0323726000, 0.0380586000, 0.0560480000, 0.1121007000, 0.2887010000, 0.8500587000", \
-                        "0.0323767000, 0.0341036000, 0.0396439000, 0.0573750000, 0.1134528000, 0.2903706000, 0.8473345000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015863440, 0.0050329770, 0.0159680700, 0.0506617200, 0.1607339000, 0.5099586000");
-                    values("0.0247369000, 0.0235843000, 0.0195587000, 0.0055707000, -0.047762100, -0.225504900, -0.791192600", \
-                        "0.0243170000, 0.0231739000, 0.0192000000, 0.0051222000, -0.048084200, -0.225828100, -0.791494100", \
-                        "0.0238650000, 0.0226560000, 0.0186428000, 0.0045288000, -0.048637200, -0.226316800, -0.792003500", \
-                        "0.0232073000, 0.0219989000, 0.0179122000, 0.0036897000, -0.049367400, -0.226890300, -0.792496900", \
-                        "0.0231594000, 0.0217689000, 0.0174918000, 0.0031894000, -0.049670300, -0.226773300, -0.792312900", \
-                        "0.0284098000, 0.0265827000, 0.0210866000, 0.0036738000, -0.049671400, -0.226627100, -0.791915200", \
-                        "0.0333419000, 0.0314218000, 0.0255302000, 0.0076895000, -0.048017900, -0.225374300, -0.790040200");
-                }
-                related_pin : "B1";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015863440, 0.0050329770, 0.0159680700, 0.0506617200, 0.1607339000, 0.5099586000");
-                    values("0.0314506000, 0.0333302000, 0.0394235000, 0.0580362000, 0.1149053000, 0.2921032000, 0.8513946000", \
-                        "0.0312377000, 0.0332098000, 0.0391923000, 0.0578483000, 0.1147157000, 0.2918835000, 0.8519878000", \
-                        "0.0309177000, 0.0328472000, 0.0389526000, 0.0575845000, 0.1143825000, 0.2916041000, 0.8509636000", \
-                        "0.0306130000, 0.0324885000, 0.0384521000, 0.0568931000, 0.1136168000, 0.2907188000, 0.8510610000", \
-                        "0.0303449000, 0.0322732000, 0.0381762000, 0.0560965000, 0.1124882000, 0.2901295000, 0.8503830000", \
-                        "0.0311608000, 0.0329620000, 0.0386072000, 0.0563998000, 0.1120234000, 0.2888569000, 0.8520636000", \
-                        "0.0333226000, 0.0350483000, 0.0405597000, 0.0580158000, 0.1141196000, 0.2907186000, 0.8485613000");
-                }
-            }
-            max_capacitance : 0.5099590000;
-            max_transition : 1.5044210000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
-                    values("0.1794242000, 0.1833074000, 0.1932474000, 0.2152595000, 0.2612872000, 0.3675630000, 0.6715705000", \
-                        "0.1845851000, 0.1884811000, 0.1984325000, 0.2203748000, 0.2662723000, 0.3727826000, 0.6763995000", \
-                        "0.1971462000, 0.2010235000, 0.2110096000, 0.2330001000, 0.2790358000, 0.3853597000, 0.6888844000", \
-                        "0.2242279000, 0.2281207000, 0.2380838000, 0.2600631000, 0.3061917000, 0.4127133000, 0.7165839000", \
-                        "0.2855375000, 0.2894339000, 0.2993225000, 0.3212597000, 0.3672074000, 0.4740194000, 0.7775355000", \
-                        "0.4067305000, 0.4110992000, 0.4221781000, 0.4461673000, 0.4956328000, 0.6044996000, 0.9090384000", \
-                        "0.6166190000, 0.6219832000, 0.6356252000, 0.6643857000, 0.7202821000, 0.8368277000, 1.1410498000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
-                    values("0.0918284000, 0.0956384000, 0.1060552000, 0.1326806000, 0.2057754000, 0.4299661000, 1.1327927000", \
-                        "0.0962322000, 0.1000703000, 0.1104946000, 0.1371346000, 0.2102197000, 0.4344053000, 1.1371009000", \
-                        "0.1055927000, 0.1094960000, 0.1198841000, 0.1465217000, 0.2195638000, 0.4436351000, 1.1467938000", \
-                        "0.1256645000, 0.1294807000, 0.1398490000, 0.1664581000, 0.2394696000, 0.4634778000, 1.1668773000", \
-                        "0.1614973000, 0.1656273000, 0.1765301000, 0.2039067000, 0.2773710000, 0.5018066000, 1.2047752000", \
-                        "0.2073992000, 0.2122812000, 0.2246534000, 0.2539609000, 0.3286876000, 0.5519538000, 1.2585022000", \
-                        "0.2420341000, 0.2485088000, 0.2644797000, 0.2996433000, 0.3777444000, 0.6013403000, 1.3048087000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
-                    values("0.0270151000, 0.0292594000, 0.0352753000, 0.0502974000, 0.0903679000, 0.2103345000, 0.6138639000", \
-                        "0.0273606000, 0.0293203000, 0.0358181000, 0.0502917000, 0.0904944000, 0.2104127000, 0.6114365000", \
-                        "0.0270136000, 0.0292738000, 0.0354196000, 0.0504199000, 0.0903610000, 0.2103981000, 0.6112896000", \
-                        "0.0272046000, 0.0294401000, 0.0355469000, 0.0504219000, 0.0903436000, 0.2103777000, 0.6118739000", \
-                        "0.0271586000, 0.0293896000, 0.0353721000, 0.0508849000, 0.0904274000, 0.2104373000, 0.6106762000", \
-                        "0.0327694000, 0.0352907000, 0.0410132000, 0.0568550000, 0.0956348000, 0.2131264000, 0.6138424000", \
-                        "0.0453802000, 0.0476535000, 0.0548346000, 0.0708017000, 0.1101975000, 0.2243486000, 0.6139343000");
-                }
-                related_pin : "A1";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
-                    values("0.0210057000, 0.0244143000, 0.0342651000, 0.0643234000, 0.1626311000, 0.4838848000, 1.5011893000", \
-                        "0.0210205000, 0.0244197000, 0.0342405000, 0.0642629000, 0.1626285000, 0.4838946000, 1.5010634000", \
-                        "0.0210512000, 0.0244120000, 0.0342425000, 0.0643404000, 0.1625084000, 0.4838784000, 1.5011247000", \
-                        "0.0211060000, 0.0244514000, 0.0342654000, 0.0644369000, 0.1625435000, 0.4838359000, 1.5011641000", \
-                        "0.0236412000, 0.0269859000, 0.0367336000, 0.0662502000, 0.1635368000, 0.4837685000, 1.5011568000", \
-                        "0.0296821000, 0.0330465000, 0.0432511000, 0.0709208000, 0.1653566000, 0.4819817000, 1.5003772000", \
-                        "0.0419511000, 0.0458914000, 0.0565707000, 0.0835526000, 0.1702403000, 0.4835568000, 1.4945181000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
-                    values("0.1629001000, 0.1667835000, 0.1767070000, 0.1988171000, 0.2447848000, 0.3509430000, 0.6547117000", \
-                        "0.1669428000, 0.1708396000, 0.1807852000, 0.2028017000, 0.2488832000, 0.3551968000, 0.6587268000", \
-                        "0.1777025000, 0.1815534000, 0.1914972000, 0.2135167000, 0.2596185000, 0.3659711000, 0.6697596000", \
-                        "0.2061578000, 0.2100685000, 0.2200166000, 0.2420078000, 0.2879404000, 0.3945712000, 0.6987067000", \
-                        "0.2751042000, 0.2790180000, 0.2889020000, 0.3107205000, 0.3564044000, 0.4633104000, 0.7669959000", \
-                        "0.4145607000, 0.4192351000, 0.4309026000, 0.4552873000, 0.5038420000, 0.6126850000, 0.9161629000", \
-                        "0.6408246000, 0.6468981000, 0.6622714000, 0.6944760000, 0.7523265000, 0.8662150000, 1.1725843000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
-                    values("0.0856212000, 0.0895050000, 0.1001278000, 0.1272672000, 0.2004477000, 0.4236371000, 1.1304541000", \
-                        "0.0901740000, 0.0941451000, 0.1047548000, 0.1318821000, 0.2052042000, 0.4282820000, 1.1327043000", \
-                        "0.0988489000, 0.1027721000, 0.1134161000, 0.1405163000, 0.2137782000, 0.4367532000, 1.1409593000", \
-                        "0.1165524000, 0.1204852000, 0.1311069000, 0.1581384000, 0.2314838000, 0.4553698000, 1.1569835000", \
-                        "0.1457895000, 0.1500709000, 0.1613796000, 0.1893901000, 0.2632160000, 0.4868777000, 1.1932122000", \
-                        "0.1798477000, 0.1849600000, 0.1978663000, 0.2281626000, 0.3035026000, 0.5274052000, 1.2312900000", \
-                        "0.1928019000, 0.1996217000, 0.2167523000, 0.2536663000, 0.3330297000, 0.5567531000, 1.2600262000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
-                    values("0.0270509000, 0.0292771000, 0.0354355000, 0.0504688000, 0.0904032000, 0.2100605000, 0.6132257000", \
-                        "0.0272395000, 0.0294727000, 0.0354614000, 0.0503279000, 0.0903970000, 0.2103326000, 0.6132346000", \
-                        "0.0273040000, 0.0294473000, 0.0354679000, 0.0502804000, 0.0903407000, 0.2104927000, 0.6113732000", \
-                        "0.0270869000, 0.0293886000, 0.0355313000, 0.0502944000, 0.0904686000, 0.2105812000, 0.6127315000", \
-                        "0.0270877000, 0.0293902000, 0.0354704000, 0.0502345000, 0.0907141000, 0.2106436000, 0.6118317000", \
-                        "0.0366343000, 0.0391440000, 0.0455119000, 0.0588236000, 0.0956770000, 0.2133078000, 0.6119781000", \
-                        "0.0538691000, 0.0569584000, 0.0646356000, 0.0803821000, 0.1146534000, 0.2242430000, 0.6151942000");
-                }
-                related_pin : "A2";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
-                    values("0.0212409000, 0.0246061000, 0.0346018000, 0.0648250000, 0.1626774000, 0.4837908000, 1.5018164000", \
-                        "0.0213390000, 0.0247041000, 0.0346230000, 0.0647600000, 0.1629866000, 0.4830731000, 1.4990253000", \
-                        "0.0213363000, 0.0247184000, 0.0346251000, 0.0647693000, 0.1628629000, 0.4827345000, 1.4998432000", \
-                        "0.0217105000, 0.0250423000, 0.0350719000, 0.0649471000, 0.1630079000, 0.4837510000, 1.4995671000", \
-                        "0.0245645000, 0.0279725000, 0.0376876000, 0.0672699000, 0.1636668000, 0.4827794000, 1.5044208000", \
-                        "0.0313618000, 0.0347590000, 0.0447659000, 0.0728697000, 0.1662895000, 0.4820174000, 1.4990331000", \
-                        "0.0447700000, 0.0489775000, 0.0598782000, 0.0867364000, 0.1728573000, 0.4842042000, 1.4982131000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
-                    values("0.0855756000, 0.0886027000, 0.0964216000, 0.1149069000, 0.1572844000, 0.2597968000, 0.5614166000", \
-                        "0.0908521000, 0.0937988000, 0.1016540000, 0.1201948000, 0.1626319000, 0.2651681000, 0.5668795000", \
-                        "0.1040908000, 0.1069987000, 0.1148100000, 0.1333453000, 0.1758474000, 0.2783760000, 0.5807209000", \
-                        "0.1363760000, 0.1393249000, 0.1471042000, 0.1655543000, 0.2081372000, 0.3108306000, 0.6130395000", \
-                        "0.2052914000, 0.2086408000, 0.2172657000, 0.2370345000, 0.2807059000, 0.3829769000, 0.6852152000", \
-                        "0.3201192000, 0.3244230000, 0.3356884000, 0.3603286000, 0.4115334000, 0.5202398000, 0.8219033000", \
-                        "0.5077440000, 0.5133734000, 0.5273012000, 0.5596177000, 0.6254853000, 0.7485467000, 1.0535538000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
-                    values("0.0848856000, 0.0886865000, 0.0991085000, 0.1257663000, 0.1989082000, 0.4231330000, 1.1259795000", \
-                        "0.0889653000, 0.0928212000, 0.1031696000, 0.1299097000, 0.2032000000, 0.4264813000, 1.1300901000", \
-                        "0.0987954000, 0.1026995000, 0.1131106000, 0.1397295000, 0.2128038000, 0.4370223000, 1.1400469000", \
-                        "0.1228097000, 0.1265942000, 0.1369288000, 0.1633827000, 0.2365166000, 0.4596065000, 1.1643687000", \
-                        "0.1609926000, 0.1650354000, 0.1758623000, 0.2030252000, 0.2763443000, 0.4998935000, 1.2046936000", \
-                        "0.2049994000, 0.2099421000, 0.2224707000, 0.2510384000, 0.3249547000, 0.5493651000, 1.2530784000", \
-                        "0.2327299000, 0.2393742000, 0.2556191000, 0.2904473000, 0.3664199000, 0.5894520000, 1.2936808000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
-                    values("0.0172841000, 0.0191860000, 0.0251101000, 0.0411605000, 0.0828023000, 0.2033193000, 0.6088952000", \
-                        "0.0172002000, 0.0193430000, 0.0251820000, 0.0411585000, 0.0827912000, 0.2032008000, 0.6081589000", \
-                        "0.0171893000, 0.0193170000, 0.0249370000, 0.0411120000, 0.0826956000, 0.2032227000, 0.6080784000", \
-                        "0.0171680000, 0.0194030000, 0.0250454000, 0.0411802000, 0.0826515000, 0.2029703000, 0.6078531000", \
-                        "0.0216619000, 0.0237078000, 0.0293331000, 0.0443694000, 0.0844567000, 0.2041599000, 0.6075128000", \
-                        "0.0323305000, 0.0345872000, 0.0415477000, 0.0577726000, 0.0979837000, 0.2102534000, 0.6082649000", \
-                        "0.0487041000, 0.0518258000, 0.0605436000, 0.0806460000, 0.1264223000, 0.2298852000, 0.6118550000");
-                }
-                related_pin : "B1";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
-                    values("0.0210194000, 0.0244297000, 0.0342620000, 0.0643472000, 0.1626461000, 0.4838970000, 1.5011384000", \
-                        "0.0209664000, 0.0242673000, 0.0342460000, 0.0643709000, 0.1628384000, 0.4833194000, 1.4978221000", \
-                        "0.0210599000, 0.0243856000, 0.0342183000, 0.0643046000, 0.1625693000, 0.4839160000, 1.5011040000", \
-                        "0.0212124000, 0.0245385000, 0.0343286000, 0.0644579000, 0.1627965000, 0.4822261000, 1.4999936000", \
-                        "0.0241496000, 0.0272303000, 0.0369356000, 0.0666993000, 0.1634964000, 0.4828467000, 1.5006046000", \
-                        "0.0316699000, 0.0349237000, 0.0435945000, 0.0710406000, 0.1655318000, 0.4821830000, 1.4992791000", \
-                        "0.0449280000, 0.0489921000, 0.0589535000, 0.0842841000, 0.1706999000, 0.4841962000, 1.4951113000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-        }
-    }
-
-/* removed sky130_fd_sc_hd__o21ai_0 */
-
-/* removed sky130_fd_sc_hd__o21ai_1 */
-
-/* removed sky130_fd_sc_hd__o21ai_2 */
-
-    cell ("sky130_fd_sc_hd__o21ai_4") {
-        leakage_power () {
-            value : 0.0033032000;
-            when : "!A1&!A2&B1";
-        }
-        leakage_power () {
-            value : 0.0003379000;
-            when : "!A1&!A2&!B1";
-        }
-        leakage_power () {
-            value : 0.0055610000;
-            when : "!A1&A2&B1";
-        }
-        leakage_power () {
-            value : 0.0020090000;
-            when : "!A1&A2&!B1";
-        }
-        leakage_power () {
-            value : 0.0060341000;
-            when : "A1&!A2&B1";
-        }
-        leakage_power () {
-            value : 0.0019958000;
-            when : "A1&!A2&!B1";
-        }
-        leakage_power () {
-            value : 0.0039633000;
-            when : "A1&A2&B1";
-        }
-        leakage_power () {
-            value : 0.0020126000;
-            when : "A1&A2&!B1";
-        }
-        area : 16.265600000;
-        cell_footprint : "sky130_fd_sc_hd__o21ai";
-        cell_leakage_power : 0.0031521180;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("A1") {
-            capacitance : 0.0091440000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0086780000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0159853000, 0.0159759000, 0.0159543000, 0.0159531000, 0.0159504000, 0.0159442000, 0.0159297000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.015936800, -0.015925700, -0.015900200, -0.015894200, -0.015880200, -0.015848000, -0.015773900");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0096110000;
-        }
-        pin ("A2") {
-            capacitance : 0.0084740000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0078830000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0151335000, 0.0151232000, 0.0150996000, 0.0150990000, 0.0150977000, 0.0150948000, 0.0150879000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.015094100, -0.015094700, -0.015096200, -0.015095400, -0.015093800, -0.015090000, -0.015081200");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0090640000;
-        }
-        pin ("B1") {
-            capacitance : 0.0086920000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0083910000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0183625000, 0.0183591000, 0.0183515000, 0.0183575000, 0.0183713000, 0.0184031000, 0.0184764000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.009988700, -0.010021200, -0.010096200, -0.010048000, -0.009936600, -0.009680100, -0.009088600");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0089930000;
-        }
-        pin ("Y") {
-            direction : "output";
-            function : "(!A1&!A2) | (!B1)";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013834110, 0.0038276500, 0.0105904200, 0.0293018100, 0.0810728600, 0.2243141000");
-                    values("0.0198567000, 0.0184379000, 0.0145406000, 0.0036896000, -0.026417700, -0.110016700, -0.341819100", \
-                        "0.0193277000, 0.0179346000, 0.0140290000, 0.0032380000, -0.026839000, -0.110465500, -0.342231200", \
-                        "0.0186186000, 0.0172210000, 0.0133816000, 0.0026657000, -0.027302200, -0.110840900, -0.342521900", \
-                        "0.0177382000, 0.0163835000, 0.0126388000, 0.0020367000, -0.027807300, -0.111236500, -0.342747600", \
-                        "0.0178248000, 0.0164199000, 0.0125143000, 0.0014983000, -0.028204700, -0.111380300, -0.342859300", \
-                        "0.0180236000, 0.0166111000, 0.0125930000, 0.0017153000, -0.028383300, -0.111994000, -0.343325000", \
-                        "0.0207343000, 0.0193630000, 0.0152204000, 0.0039568000, -0.026816500, -0.110951600, -0.343149300");
-                }
-                related_pin : "A1";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013834110, 0.0038276500, 0.0105904200, 0.0293018100, 0.0810728600, 0.2243141000");
-                    values("0.0375294000, 0.0389800000, 0.0429471000, 0.0540202000, 0.0842378000, 0.1673311000, 0.3964341000", \
-                        "0.0368062000, 0.0382830000, 0.0423065000, 0.0534856000, 0.0839196000, 0.1669254000, 0.3962280000", \
-                        "0.0360370000, 0.0375554000, 0.0416340000, 0.0528213000, 0.0833851000, 0.1666621000, 0.3960475000", \
-                        "0.0355080000, 0.0369404000, 0.0409951000, 0.0521038000, 0.0827333000, 0.1660710000, 0.3957360000", \
-                        "0.0350694000, 0.0365372000, 0.0405848000, 0.0516003000, 0.0819388000, 0.1654224000, 0.3952675000", \
-                        "0.0349743000, 0.0364155000, 0.0403696000, 0.0515763000, 0.0819511000, 0.1652728000, 0.3947931000", \
-                        "0.0359171000, 0.0373066000, 0.0410647000, 0.0516545000, 0.0825219000, 0.1663649000, 0.3951909000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013834110, 0.0038276500, 0.0105904200, 0.0293018100, 0.0810728600, 0.2243141000");
-                    values("0.0130528000, 0.0117167000, 0.0079095000, -0.002826200, -0.033009800, -0.116827400, -0.348823200", \
-                        "0.0124754000, 0.0112210000, 0.0075921000, -0.002924400, -0.032876000, -0.116583800, -0.348546000", \
-                        "0.0115637000, 0.0103112000, 0.0067716000, -0.003419900, -0.033037100, -0.116493700, -0.348339200", \
-                        "0.0104974000, 0.0092569000, 0.0056897000, -0.004461700, -0.033686600, -0.116730100, -0.348356200", \
-                        "0.0105889000, 0.0092430000, 0.0054671000, -0.005108600, -0.034617800, -0.117288100, -0.348512800", \
-                        "0.0108225000, 0.0093814000, 0.0054624000, -0.005347800, -0.035111700, -0.118232300, -0.349117400", \
-                        "0.0143662000, 0.0127120000, 0.0084355000, -0.003074600, -0.033952600, -0.117821100, -0.349499500");
-                }
-                related_pin : "A2";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013834110, 0.0038276500, 0.0105904200, 0.0293018100, 0.0810728600, 0.2243141000");
-                    values("0.0285894000, 0.0300678000, 0.0340754000, 0.0451522000, 0.0753900000, 0.1584686000, 0.3874950000", \
-                        "0.0277337000, 0.0292123000, 0.0333278000, 0.0445479000, 0.0749813000, 0.1581746000, 0.3873588000", \
-                        "0.0268622000, 0.0283082000, 0.0324520000, 0.0437338000, 0.0743148000, 0.1577178000, 0.3870611000", \
-                        "0.0262646000, 0.0277460000, 0.0318726000, 0.0429547000, 0.0735726000, 0.1573816000, 0.3868761000", \
-                        "0.0262932000, 0.0277355000, 0.0316658000, 0.0427235000, 0.0730024000, 0.1564933000, 0.3863418000", \
-                        "0.0286772000, 0.0300672000, 0.0339801000, 0.0447559000, 0.0746524000, 0.1568866000, 0.3846276000", \
-                        "0.0334104000, 0.0350448000, 0.0388090000, 0.0488631000, 0.0777342000, 0.1595000000, 0.3864958000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013834110, 0.0038276500, 0.0105904200, 0.0293018100, 0.0810728600, 0.2243141000");
-                    values("0.0136968000, 0.0123635000, 0.0086711000, -0.001806700, -0.031658500, -0.115108100, -0.346844800", \
-                        "0.0131097000, 0.0118073000, 0.0081701000, -0.002242800, -0.031864800, -0.115141800, -0.346754100", \
-                        "0.0124934000, 0.0111805000, 0.0074595000, -0.002876400, -0.032294900, -0.115355000, -0.346929700", \
-                        "0.0117042000, 0.0103759000, 0.0067285000, -0.003712400, -0.033130000, -0.115908300, -0.347092600", \
-                        "0.0116757000, 0.0102637000, 0.0063666000, -0.004325800, -0.033881600, -0.116782600, -0.347502500", \
-                        "0.0139444000, 0.0125770000, 0.0087520000, -0.002509100, -0.033672700, -0.117010300, -0.348111200", \
-                        "0.0190410000, 0.0174023000, 0.0129922000, 0.0011417000, -0.030255200, -0.115330700, -0.348173900");
-                }
-                related_pin : "B1";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013834110, 0.0038276500, 0.0105904200, 0.0293018100, 0.0810728600, 0.2243141000");
-                    values("0.0181989000, 0.0200062000, 0.0246691000, 0.0365772000, 0.0674511000, 0.1505339000, 0.3795280000", \
-                        "0.0172663000, 0.0189542000, 0.0234684000, 0.0356349000, 0.0667807000, 0.1504597000, 0.3796086000", \
-                        "0.0165928000, 0.0181578000, 0.0225441000, 0.0344036000, 0.0655030000, 0.1499659000, 0.3798025000", \
-                        "0.0168151000, 0.0182569000, 0.0219413000, 0.0334912000, 0.0646330000, 0.1485199000, 0.3783599000", \
-                        "0.0174958000, 0.0187294000, 0.0225190000, 0.0333222000, 0.0638333000, 0.1477859000, 0.3775810000", \
-                        "0.0198439000, 0.0211351000, 0.0248243000, 0.0354356000, 0.0658961000, 0.1474220000, 0.3739525000", \
-                        "0.0264546000, 0.0275616000, 0.0307605000, 0.0428123000, 0.0687302000, 0.1518231000, 0.3774925000");
-                }
-            }
-            max_capacitance : 0.2243140000;
-            max_transition : 1.4943390000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
-                    values("0.0341694000, 0.0357726000, 0.0401979000, 0.0514408000, 0.0808595000, 0.1590864000, 0.3728817000", \
-                        "0.0383389000, 0.0400060000, 0.0443702000, 0.0557198000, 0.0850638000, 0.1633668000, 0.3768873000", \
-                        "0.0469476000, 0.0486026000, 0.0529413000, 0.0642507000, 0.0936581000, 0.1719120000, 0.3856913000", \
-                        "0.0608769000, 0.0628951000, 0.0683591000, 0.0814181000, 0.1122911000, 0.1908625000, 0.4048147000", \
-                        "0.0783892000, 0.0813325000, 0.0891538000, 0.1071802000, 0.1468141000, 0.2333676000, 0.4484930000", \
-                        "0.0889602000, 0.0934999000, 0.1053196000, 0.1327943000, 0.1929517000, 0.3092364000, 0.5470426000", \
-                        "0.0619597000, 0.0692746000, 0.0881530000, 0.1328153000, 0.2284248000, 0.4078190000, 0.7277438000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
-                    values("0.1003323000, 0.1045961000, 0.1163993000, 0.1479843000, 0.2335145000, 0.4678911000, 1.1167905000", \
-                        "0.1045577000, 0.1090350000, 0.1207176000, 0.1527927000, 0.2386732000, 0.4737271000, 1.1194455000", \
-                        "0.1163351000, 0.1210212000, 0.1327891000, 0.1650161000, 0.2516572000, 0.4868996000, 1.1329389000", \
-                        "0.1435823000, 0.1477670000, 0.1596073000, 0.1916122000, 0.2779779000, 0.5136339000, 1.1600039000", \
-                        "0.1974026000, 0.2022735000, 0.2159943000, 0.2502936000, 0.3364303000, 0.5720435000, 1.2193372000", \
-                        "0.2916447000, 0.2982642000, 0.3156072000, 0.3604048000, 0.4647673000, 0.7066279000, 1.3550692000", \
-                        "0.4518075000, 0.4620334000, 0.4888832000, 0.5539021000, 0.6975475000, 1.0023979000, 1.6678967000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
-                    values("0.0248376000, 0.0265352000, 0.0312712000, 0.0447122000, 0.0825021000, 0.1881129000, 0.4816426000", \
-                        "0.0246349000, 0.0263442000, 0.0312032000, 0.0446635000, 0.0824659000, 0.1881151000, 0.4817008000", \
-                        "0.0254089000, 0.0269940000, 0.0316061000, 0.0447636000, 0.0823877000, 0.1881472000, 0.4811362000", \
-                        "0.0319613000, 0.0335619000, 0.0381648000, 0.0503605000, 0.0848552000, 0.1882185000, 0.4811554000", \
-                        "0.0482819000, 0.0501802000, 0.0554483000, 0.0690068000, 0.1037211000, 0.1972017000, 0.4818376000", \
-                        "0.0809816000, 0.0839376000, 0.0910628000, 0.1091840000, 0.1507977000, 0.2462794000, 0.5021340000", \
-                        "0.1424125000, 0.1467481000, 0.1578898000, 0.1855008000, 0.2438027000, 0.3603943000, 0.6180320000");
-                }
-                related_pin : "A1";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
-                    values("0.0712219000, 0.0768922000, 0.0923835000, 0.1357977000, 0.2535419000, 0.5781401000, 1.4785918000", \
-                        "0.0711968000, 0.0768112000, 0.0925288000, 0.1357988000, 0.2534997000, 0.5794961000, 1.4805310000", \
-                        "0.0712527000, 0.0769249000, 0.0926642000, 0.1357819000, 0.2549503000, 0.5796232000, 1.4805505000", \
-                        "0.0715915000, 0.0771026000, 0.0925965000, 0.1355664000, 0.2533746000, 0.5790159000, 1.4769895000", \
-                        "0.0870297000, 0.0920774000, 0.1056263000, 0.1439173000, 0.2559129000, 0.5784745000, 1.4788840000", \
-                        "0.1222318000, 0.1286375000, 0.1440239000, 0.1855596000, 0.2898668000, 0.5878960000, 1.4809189000", \
-                        "0.1994151000, 0.2069881000, 0.2263395000, 0.2748045000, 0.3910973000, 0.6767196000, 1.4932955000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
-                    values("0.0280072000, 0.0295461000, 0.0335594000, 0.0444858000, 0.0739375000, 0.1554548000, 0.3780100000", \
-                        "0.0320494000, 0.0336314000, 0.0378587000, 0.0488544000, 0.0785241000, 0.1592009000, 0.3821911000", \
-                        "0.0390645000, 0.0409414000, 0.0455713000, 0.0571004000, 0.0872889000, 0.1683377000, 0.3914664000", \
-                        "0.0483930000, 0.0508283000, 0.0569545000, 0.0714429000, 0.1044099000, 0.1860021000, 0.4096711000", \
-                        "0.0550642000, 0.0588121000, 0.0683213000, 0.0901613000, 0.1344108000, 0.2261607000, 0.4518472000", \
-                        "0.0458952000, 0.0514218000, 0.0666574000, 0.1020145000, 0.1708357000, 0.2961164000, 0.5457395000", \
-                        "-0.018259800, -0.007830900, 0.0181535000, 0.0757855000, 0.1868152000, 0.3816133000, 0.7152793000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
-                    values("0.0809942000, 0.0851180000, 0.0968628000, 0.1286109000, 0.2144106000, 0.4487218000, 1.0941149000", \
-                        "0.0839757000, 0.0882662000, 0.1000463000, 0.1322937000, 0.2184165000, 0.4530866000, 1.0988620000", \
-                        "0.0938002000, 0.0980872000, 0.1102753000, 0.1425253000, 0.2287812000, 0.4641668000, 1.1102106000", \
-                        "0.1221292000, 0.1264555000, 0.1382112000, 0.1694495000, 0.2559851000, 0.4918345000, 1.1381432000", \
-                        "0.1857744000, 0.1911097000, 0.2048040000, 0.2390225000, 0.3239434000, 0.5589635000, 1.2087839000", \
-                        "0.2918167000, 0.2999071000, 0.3209723000, 0.3714707000, 0.4815919000, 0.7172781000, 1.3584690000", \
-                        "0.4712327000, 0.4837261000, 0.5142463000, 0.5885430000, 0.7546582000, 1.0789938000, 1.7284796000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
-                    values("0.0151399000, 0.0170417000, 0.0222124000, 0.0369683000, 0.0773120000, 0.1894418000, 0.5004201000", \
-                        "0.0151697000, 0.0170653000, 0.0223144000, 0.0369674000, 0.0774802000, 0.1891781000, 0.4985138000", \
-                        "0.0172727000, 0.0188491000, 0.0235780000, 0.0373395000, 0.0774886000, 0.1894945000, 0.5003991000", \
-                        "0.0246024000, 0.0263318000, 0.0310976000, 0.0444883000, 0.0804673000, 0.1891732000, 0.4983581000", \
-                        "0.0417217000, 0.0439134000, 0.0497962000, 0.0642389000, 0.1002596000, 0.1987887000, 0.4985591000", \
-                        "0.0749543000, 0.0785231000, 0.0866960000, 0.1058591000, 0.1488864000, 0.2474977000, 0.5176258000", \
-                        "0.1422240000, 0.1462398000, 0.1574384000, 0.1849700000, 0.2442120000, 0.3641032000, 0.6320210000");
-                }
-                related_pin : "A2";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
-                    values("0.0710834000, 0.0768147000, 0.0925858000, 0.1354576000, 0.2536767000, 0.5782630000, 1.4763843000", \
-                        "0.0713316000, 0.0769620000, 0.0924520000, 0.1354318000, 0.2536487000, 0.5782950000, 1.4777517000", \
-                        "0.0712379000, 0.0767689000, 0.0925094000, 0.1353815000, 0.2535794000, 0.5783640000, 1.4764886000", \
-                        "0.0730897000, 0.0782811000, 0.0931792000, 0.1351159000, 0.2534334000, 0.5790052000, 1.4758105000", \
-                        "0.0984298000, 0.1040615000, 0.1162663000, 0.1506047000, 0.2569069000, 0.5784232000, 1.4791952000", \
-                        "0.1446964000, 0.1521369000, 0.1714505000, 0.2147365000, 0.3102775000, 0.5900521000, 1.4761424000", \
-                        "0.2196438000, 0.2319243000, 0.2601366000, 0.3241244000, 0.4587456000, 0.7199569000, 1.4943392000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
-                    values("0.0268924000, 0.0285883000, 0.0331602000, 0.0447837000, 0.0744197000, 0.1526743000, 0.3667182000", \
-                        "0.0307669000, 0.0325205000, 0.0370520000, 0.0487408000, 0.0784958000, 0.1570224000, 0.3708949000", \
-                        "0.0412525000, 0.0429358000, 0.0471777000, 0.0584628000, 0.0883949000, 0.1670163000, 0.3809805000", \
-                        "0.0568080000, 0.0591756000, 0.0655417000, 0.0804963000, 0.1117964000, 0.1903845000, 0.4044485000", \
-                        "0.0727300000, 0.0762373000, 0.0858414000, 0.1080148000, 0.1550945000, 0.2454053000, 0.4595437000", \
-                        "0.0791271000, 0.0846019000, 0.0988493000, 0.1323774000, 0.2040172000, 0.3415962000, 0.5867895000", \
-                        "0.0509830000, 0.0591293000, 0.0803043000, 0.1315119000, 0.2410677000, 0.4512840000, 0.8214778000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
-                    values("0.0262463000, 0.0286122000, 0.0347495000, 0.0504813000, 0.0911037000, 0.2008829000, 0.5028712000", \
-                        "0.0311709000, 0.0334262000, 0.0394469000, 0.0552869000, 0.0963862000, 0.2070279000, 0.5105821000", \
-                        "0.0446820000, 0.0467849000, 0.0524819000, 0.0678634000, 0.1086067000, 0.2204906000, 0.5246265000", \
-                        "0.0676343000, 0.0712773000, 0.0800668000, 0.0992125000, 0.1397415000, 0.2513532000, 0.5527575000", \
-                        "0.1048718000, 0.1107573000, 0.1245562000, 0.1549045000, 0.2128023000, 0.3244017000, 0.6262394000", \
-                        "0.1676070000, 0.1762069000, 0.1976046000, 0.2456275000, 0.3368510000, 0.4952342000, 0.7930516000", \
-                        "0.2844502000, 0.2968476000, 0.3278376000, 0.3979799000, 0.5397457000, 0.7907355000, 1.1985344000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
-                    values("0.0248096000, 0.0265626000, 0.0312374000, 0.0446303000, 0.0824516000, 0.1881089000, 0.4816876000", \
-                        "0.0238526000, 0.0257068000, 0.0309175000, 0.0445300000, 0.0824011000, 0.1878784000, 0.4809093000", \
-                        "0.0262272000, 0.0276889000, 0.0318985000, 0.0445189000, 0.0822033000, 0.1879746000, 0.4824167000", \
-                        "0.0361592000, 0.0382037000, 0.0439570000, 0.0562034000, 0.0868976000, 0.1879226000, 0.4819619000", \
-                        "0.0554302000, 0.0584629000, 0.0659080000, 0.0831305000, 0.1199788000, 0.2032903000, 0.4813021000", \
-                        "0.0898670000, 0.0944214000, 0.1061864000, 0.1328061000, 0.1839803000, 0.2843639000, 0.5171772000", \
-                        "0.1511542000, 0.1583000000, 0.1760443000, 0.2154980000, 0.2945386000, 0.4392601000, 0.7041171000");
-                }
-                related_pin : "B1";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
-                    values("0.0258049000, 0.0287961000, 0.0372633000, 0.0601138000, 0.1212455000, 0.2800027000, 0.7095466000", \
-                        "0.0257874000, 0.0289506000, 0.0370638000, 0.0600273000, 0.1212707000, 0.2802889000, 0.7105490000", \
-                        "0.0293723000, 0.0318699000, 0.0385561000, 0.0601383000, 0.1212897000, 0.2801169000, 0.7112838000", \
-                        "0.0490444000, 0.0506590000, 0.0542409000, 0.0694612000, 0.1227241000, 0.2800868000, 0.7111312000", \
-                        "0.0844502000, 0.0866605000, 0.0923319000, 0.1085408000, 0.1484248000, 0.2839644000, 0.7109633000", \
-                        "0.1464882000, 0.1493994000, 0.1578119000, 0.1806522000, 0.2316862000, 0.3408435000, 0.7146481000", \
-                        "0.2450864000, 0.2492935000, 0.2617000000, 0.2957463000, 0.3747755000, 0.5244851000, 0.8320422000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-        }
-    }
-
-/* removed sky130_fd_sc_hd__o21ba_1 */
-
-/* removed sky130_fd_sc_hd__o21ba_2 */
-
-/* removed sky130_fd_sc_hd__o21ba_4 */
-
-/* removed sky130_fd_sc_hd__o21bai_1 */
-
-/* removed sky130_fd_sc_hd__o21bai_2 */
-
-/* removed sky130_fd_sc_hd__o21bai_4 */
-
-/* removed sky130_fd_sc_hd__o221a_1 */
-
-/* removed sky130_fd_sc_hd__o221a_2 */
-
-/* removed sky130_fd_sc_hd__o221a_4 */
-
-/* removed sky130_fd_sc_hd__o221ai_1 */
-
-/* removed sky130_fd_sc_hd__o221ai_2 */
-
-/* removed sky130_fd_sc_hd__o221ai_4 */
-
-/* removed sky130_fd_sc_hd__o22a_1 */
-
-/* removed sky130_fd_sc_hd__o22a_2 */
-
-    cell ("sky130_fd_sc_hd__o22a_4") {
-        leakage_power () {
-            value : 0.0050025000;
-            when : "!A1&!A2&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0037075000;
-            when : "!A1&!A2&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0050073000;
-            when : "!A1&!A2&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0049961000;
-            when : "!A1&!A2&B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0036430000;
-            when : "!A1&A2&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0054476000;
-            when : "!A1&A2&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0028449000;
-            when : "!A1&A2&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0033494000;
-            when : "!A1&A2&B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0038034000;
-            when : "A1&!A2&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0054365000;
-            when : "A1&!A2&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0030054000;
-            when : "A1&!A2&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0035098000;
-            when : "A1&!A2&B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0025429000;
-            when : "A1&A2&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0054417000;
-            when : "A1&A2&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0017447000;
-            when : "A1&A2&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0022492000;
-            when : "A1&A2&B1&!B2";
-        }
-        area : 17.516800000;
-        cell_footprint : "sky130_fd_sc_hd__o22a";
-        cell_leakage_power : 0.0038582540;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("A1") {
-            capacitance : 0.0047950000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0045550000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0080550000, 0.0080421000, 0.0080123000, 0.0080096000, 0.0080033000, 0.0079887000, 0.0079552000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.007985000, -0.007981300, -0.007972600, -0.007974500, -0.007978800, -0.007988700, -0.008011600");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0050350000;
-        }
-        pin ("A2") {
-            capacitance : 0.0043350000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0040270000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0075522000, 0.0075517000, 0.0075505000, 0.0075518000, 0.0075549000, 0.0075621000, 0.0075785000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.007529000, -0.007528000, -0.007525800, -0.007527400, -0.007531100, -0.007539600, -0.007559100");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0046440000;
-        }
-        pin ("B1") {
-            capacitance : 0.0048190000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0046150000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0095412000, 0.0095350000, 0.0095207000, 0.0095234000, 0.0095297000, 0.0095442000, 0.0095776000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.003298400, -0.003309200, -0.003334100, -0.003301000, -0.003224800, -0.003049100, -0.002644100");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0050240000;
-        }
-        pin ("B2") {
-            capacitance : 0.0043130000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0040660000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0088068000, 0.0088065000, 0.0088061000, 0.0088034000, 0.0087973000, 0.0087833000, 0.0087508000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.002505000, -0.002516800, -0.002544000, -0.002511900, -0.002437900, -0.002267300, -0.001874100");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0045610000;
-        }
-        pin ("X") {
-            direction : "output";
-            function : "(A1&B1) | (A2&B1) | (A1&B2) | (A2&B2)";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
-                    values("0.0369371000, 0.0351447000, 0.0299084000, 0.0148925000, -0.038303800, -0.220741100, -0.809786600", \
-                        "0.0364942000, 0.0348167000, 0.0295989000, 0.0147418000, -0.038739800, -0.220973600, -0.810070400", \
-                        "0.0364389000, 0.0346691000, 0.0293792000, 0.0143038000, -0.038985700, -0.221474400, -0.810414000", \
-                        "0.0358994000, 0.0341665000, 0.0289320000, 0.0137488000, -0.039530300, -0.221791000, -0.810942800", \
-                        "0.0355372000, 0.0337958000, 0.0285325000, 0.0134469000, -0.040053000, -0.222311400, -0.811188000", \
-                        "0.0362431000, 0.0344504000, 0.0291009000, 0.0141126000, -0.039630500, -0.221976900, -0.810745600", \
-                        "0.0462291000, 0.0441772000, 0.0380405000, 0.0196019000, -0.037941500, -0.220771900, -0.809163200");
-                }
-                related_pin : "A2";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
-                    values("0.0344612000, 0.0363977000, 0.0425981000, 0.0615304000, 0.1201462000, 0.3050391000, 0.8864905000", \
-                        "0.0344759000, 0.0364213000, 0.0425108000, 0.0615671000, 0.1201862000, 0.3036672000, 0.8872135000", \
-                        "0.0343372000, 0.0362882000, 0.0423867000, 0.0614484000, 0.1200136000, 0.3034343000, 0.8874666000", \
-                        "0.0340779000, 0.0359885000, 0.0421467000, 0.0611299000, 0.1195629000, 0.3034907000, 0.8903197000", \
-                        "0.0337238000, 0.0356455000, 0.0416627000, 0.0602423000, 0.1186496000, 0.3027571000, 0.8859493000", \
-                        "0.0343746000, 0.0362035000, 0.0420911000, 0.0604944000, 0.1179095000, 0.3006603000, 0.8899084000", \
-                        "0.0356145000, 0.0373557000, 0.0430783000, 0.0609732000, 0.1192055000, 0.3027149000, 0.8841320000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
-                    values("0.0268516000, 0.0252333000, 0.0207557000, 0.0073273000, -0.044481700, -0.226819300, -0.816395200", \
-                        "0.0265584000, 0.0250744000, 0.0205046000, 0.0071573000, -0.044878900, -0.227113900, -0.816670700", \
-                        "0.0262112000, 0.0246107000, 0.0200107000, 0.0066869000, -0.045388300, -0.227596500, -0.817148800", \
-                        "0.0255209000, 0.0239339000, 0.0193788000, 0.0058746000, -0.046017300, -0.228202400, -0.817725100", \
-                        "0.0251633000, 0.0235286000, 0.0188956000, 0.0052476000, -0.046626500, -0.228707800, -0.818118600", \
-                        "0.0252668000, 0.0234020000, 0.0176291000, 0.0042334000, -0.047305900, -0.228955100, -0.818184700", \
-                        "0.0371943000, 0.0352302000, 0.0294160000, 0.0111422000, -0.045392400, -0.228486900, -0.817691000");
-                }
-                related_pin : "B1";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
-                    values("0.0331761000, 0.0351847000, 0.0413112000, 0.0603631000, 0.1189868000, 0.3030172000, 0.8902141000", \
-                        "0.0330383000, 0.0349983000, 0.0411504000, 0.0600540000, 0.1187426000, 0.3024646000, 0.8865269000", \
-                        "0.0326672000, 0.0346442000, 0.0408159000, 0.0598503000, 0.1184439000, 0.3036287000, 0.8858063000", \
-                        "0.0324777000, 0.0344720000, 0.0405761000, 0.0595369000, 0.1179869000, 0.3021044000, 0.8894655000", \
-                        "0.0322807000, 0.0341673000, 0.0401477000, 0.0583862000, 0.1167183000, 0.3009164000, 0.8852024000", \
-                        "0.0329937000, 0.0347904000, 0.0405669000, 0.0589475000, 0.1163289000, 0.2992103000, 0.8877744000", \
-                        "0.0346544000, 0.0363816000, 0.0420467000, 0.0599841000, 0.1177207000, 0.3014606000, 0.8829927000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
-                    values("0.0227629000, 0.0211309000, 0.0166697000, 0.0032615000, -0.048596100, -0.230635200, -0.820039500", \
-                        "0.0224612000, 0.0208848000, 0.0164432000, 0.0029942000, -0.048818900, -0.230917000, -0.820336400", \
-                        "0.0219371000, 0.0202954000, 0.0157876000, 0.0023537000, -0.049436800, -0.231461400, -0.820854600", \
-                        "0.0213963000, 0.0197801000, 0.0152460000, 0.0017206000, -0.050105700, -0.232093700, -0.821446800", \
-                        "0.0210137000, 0.0194040000, 0.0148088000, 0.0009965000, -0.050760200, -0.232588000, -0.821807700", \
-                        "0.0234534000, 0.0214261000, 0.0154423000, 0.0012311000, -0.050188700, -0.231995000, -0.821201000", \
-                        "0.0367487000, 0.0346790000, 0.0287815000, 0.0099758000, -0.047678300, -0.230188200, -0.819266200");
-                }
-                related_pin : "B2";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
-                    values("0.0294303000, 0.0313940000, 0.0375623000, 0.0566547000, 0.1152695000, 0.2991847000, 0.8862554000", \
-                        "0.0294985000, 0.0314658000, 0.0375546000, 0.0566752000, 0.1151963000, 0.2991228000, 0.8859734000", \
-                        "0.0293261000, 0.0313245000, 0.0374796000, 0.0564969000, 0.1151583000, 0.2988207000, 0.8817462000", \
-                        "0.0292224000, 0.0311598000, 0.0372277000, 0.0561619000, 0.1144919000, 0.2984184000, 0.8851732000", \
-                        "0.0286709000, 0.0305388000, 0.0365649000, 0.0550475000, 0.1133536000, 0.2975362000, 0.8813778000", \
-                        "0.0300040000, 0.0317721000, 0.0374964000, 0.0558447000, 0.1128650000, 0.2961650000, 0.8814172000", \
-                        "0.0311869000, 0.0328782000, 0.0384781000, 0.0566277000, 0.1144346000, 0.2975070000, 0.8780664000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
-                    values("0.0414133000, 0.0396274000, 0.0344584000, 0.0193498000, -0.033874900, -0.216335800, -0.805344800", \
-                        "0.0413175000, 0.0396719000, 0.0342825000, 0.0192780000, -0.033881500, -0.216545000, -0.805569100", \
-                        "0.0407871000, 0.0389720000, 0.0338822000, 0.0187423000, -0.034439000, -0.216887000, -0.805924700", \
-                        "0.0403729000, 0.0386166000, 0.0334200000, 0.0183330000, -0.034973800, -0.217268800, -0.806203300", \
-                        "0.0400114000, 0.0382009000, 0.0330704000, 0.0178637000, -0.035428400, -0.217802500, -0.806707400", \
-                        "0.0395713000, 0.0377461000, 0.0324779000, 0.0174932000, -0.035670900, -0.218170000, -0.806932000", \
-                        "0.0480374000, 0.0460874000, 0.0401276000, 0.0219641000, -0.035301700, -0.218103000, -0.806351100");
-                }
-                related_pin : "A1";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
-                    values("0.0377647000, 0.0396964000, 0.0457728000, 0.0648574000, 0.1233898000, 0.3071191000, 0.8906275000", \
-                        "0.0375306000, 0.0394895000, 0.0455554000, 0.0646409000, 0.1231736000, 0.3070670000, 0.8939375000", \
-                        "0.0372526000, 0.0392364000, 0.0453518000, 0.0643709000, 0.1230020000, 0.3069444000, 0.8941316000", \
-                        "0.0369299000, 0.0389032000, 0.0450205000, 0.0640090000, 0.1225929000, 0.3065167000, 0.8896844000", \
-                        "0.0370424000, 0.0389597000, 0.0450337000, 0.0634639000, 0.1220068000, 0.3058141000, 0.8898175000", \
-                        "0.0376638000, 0.0394281000, 0.0452774000, 0.0636670000, 0.1214548000, 0.3046629000, 0.8888077000", \
-                        "0.0391950000, 0.0409377000, 0.0466037000, 0.0645557000, 0.1228226000, 0.3064150000, 0.8870449000");
-                }
-            }
-            max_capacitance : 0.5301000000;
-            max_transition : 1.5014620000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
-                    values("0.2093929000, 0.2137404000, 0.2248173000, 0.2488763000, 0.2988721000, 0.4125689000, 0.7338822000", \
-                        "0.2145612000, 0.2189154000, 0.2299540000, 0.2541224000, 0.3034984000, 0.4176702000, 0.7397274000", \
-                        "0.2270176000, 0.2313635000, 0.2423806000, 0.2665031000, 0.3162714000, 0.4301897000, 0.7523147000", \
-                        "0.2540733000, 0.2584028000, 0.2694237000, 0.2935680000, 0.3433605000, 0.4569344000, 0.7784421000", \
-                        "0.3151698000, 0.3194976000, 0.3304731000, 0.3545826000, 0.4043248000, 0.5184190000, 0.8405291000", \
-                        "0.4431795000, 0.4478469000, 0.4596463000, 0.4848917000, 0.5365600000, 0.6524004000, 0.9743016000", \
-                        "0.6661068000, 0.6719179000, 0.6864280000, 0.7170421000, 0.7757245000, 0.8985466000, 1.2195877000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
-                    values("0.1057784000, 0.1096749000, 0.1202656000, 0.1472490000, 0.2209285000, 0.4461737000, 1.1589165000", \
-                        "0.1103004000, 0.1142133000, 0.1247655000, 0.1517727000, 0.2254658000, 0.4507684000, 1.1665658000", \
-                        "0.1201044000, 0.1240316000, 0.1345962000, 0.1615717000, 0.2352146000, 0.4601628000, 1.1738093000", \
-                        "0.1408617000, 0.1447802000, 0.1553082000, 0.1822469000, 0.2558065000, 0.4809775000, 1.1937081000", \
-                        "0.1810772000, 0.1852018000, 0.1961945000, 0.2236995000, 0.2973241000, 0.5225455000, 1.2365038000", \
-                        "0.2369407000, 0.2416374000, 0.2541434000, 0.2835536000, 0.3584901000, 0.5836948000, 1.2974231000", \
-                        "0.2882988000, 0.2947109000, 0.3105690000, 0.3453560000, 0.4234553000, 0.6489100000, 1.3620668000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
-                    values("0.0304603000, 0.0330848000, 0.0392633000, 0.0548422000, 0.0965496000, 0.2212327000, 0.6427952000", \
-                        "0.0304523000, 0.0330339000, 0.0391815000, 0.0551707000, 0.0968004000, 0.2213730000, 0.6421518000", \
-                        "0.0305505000, 0.0330640000, 0.0395025000, 0.0553314000, 0.0969240000, 0.2211878000, 0.6426983000", \
-                        "0.0308235000, 0.0328867000, 0.0398184000, 0.0552330000, 0.0966467000, 0.2211493000, 0.6428325000", \
-                        "0.0304570000, 0.0330232000, 0.0392699000, 0.0551496000, 0.0967673000, 0.2211932000, 0.6426020000", \
-                        "0.0350499000, 0.0377338000, 0.0441451000, 0.0597365000, 0.1002876000, 0.2225213000, 0.6404342000", \
-                        "0.0466463000, 0.0496797000, 0.0570942000, 0.0734654000, 0.1140620000, 0.2336643000, 0.6436526000");
-                }
-                related_pin : "A1";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
-                    values("0.0216665000, 0.0248922000, 0.0347423000, 0.0642662000, 0.1612612000, 0.4794264000, 1.4986217000", \
-                        "0.0216087000, 0.0248938000, 0.0346784000, 0.0643370000, 0.1611478000, 0.4798824000, 1.4987231000", \
-                        "0.0217111000, 0.0249680000, 0.0346050000, 0.0642603000, 0.1611394000, 0.4804044000, 1.4993213000", \
-                        "0.0216623000, 0.0249517000, 0.0345761000, 0.0642485000, 0.1611636000, 0.4800366000, 1.4970289000", \
-                        "0.0236069000, 0.0269232000, 0.0366083000, 0.0656069000, 0.1615305000, 0.4802459000, 1.4996491000", \
-                        "0.0290692000, 0.0327338000, 0.0423330000, 0.0700568000, 0.1633452000, 0.4788258000, 1.4984815000", \
-                        "0.0405737000, 0.0446660000, 0.0548550000, 0.0820366000, 0.1683581000, 0.4811870000, 1.4966253000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
-                    values("0.1920340000, 0.1963445000, 0.2073777000, 0.2314677000, 0.2811950000, 0.3951749000, 0.7172739000", \
-                        "0.1960273000, 0.2003567000, 0.2114364000, 0.2354174000, 0.2853798000, 0.3987851000, 0.7208638000", \
-                        "0.2065200000, 0.2108674000, 0.2218423000, 0.2460093000, 0.2959055000, 0.4097450000, 0.7318102000", \
-                        "0.2345372000, 0.2388783000, 0.2499185000, 0.2739944000, 0.3239596000, 0.4376099000, 0.7592690000", \
-                        "0.3032123000, 0.3075610000, 0.3185786000, 0.3425789000, 0.3924510000, 0.5064739000, 0.8285181000", \
-                        "0.4511455000, 0.4560653000, 0.4687186000, 0.4946010000, 0.5459791000, 0.6613515000, 0.9836868000", \
-                        "0.6943732000, 0.7008210000, 0.7170893000, 0.7509479000, 0.8114657000, 0.9326636000, 1.2576459000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
-                    values("0.0987718000, 0.1027221000, 0.1135002000, 0.1407258000, 0.2144802000, 0.4397588000, 1.1519286000", \
-                        "0.1036435000, 0.1075690000, 0.1182925000, 0.1455481000, 0.2192468000, 0.4439252000, 1.1575240000", \
-                        "0.1130605000, 0.1169900000, 0.1277169000, 0.1549940000, 0.2287682000, 0.4535868000, 1.1668752000", \
-                        "0.1323001000, 0.1362406000, 0.1469292000, 0.1740325000, 0.2478021000, 0.4729083000, 1.1877636000", \
-                        "0.1673128000, 0.1715369000, 0.1827120000, 0.2106112000, 0.2847466000, 0.5102124000, 1.2225285000", \
-                        "0.2127535000, 0.2177177000, 0.2305582000, 0.2605774000, 0.3359287000, 0.5611321000, 1.2786635000", \
-                        "0.2444585000, 0.2510607000, 0.2679000000, 0.3038701000, 0.3833627000, 0.6087065000, 1.3215057000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
-                    values("0.0304273000, 0.0331289000, 0.0394669000, 0.0548376000, 0.0968177000, 0.2212661000, 0.6424578000", \
-                        "0.0306237000, 0.0331720000, 0.0396732000, 0.0551831000, 0.0966320000, 0.2212540000, 0.6415518000", \
-                        "0.0305183000, 0.0329273000, 0.0392362000, 0.0550738000, 0.0966455000, 0.2211534000, 0.6423163000", \
-                        "0.0304094000, 0.0328812000, 0.0399351000, 0.0548268000, 0.0966354000, 0.2212367000, 0.6409628000", \
-                        "0.0304886000, 0.0329688000, 0.0395922000, 0.0549244000, 0.0966529000, 0.2212447000, 0.6419448000", \
-                        "0.0378499000, 0.0403181000, 0.0465204000, 0.0612851000, 0.1001859000, 0.2227501000, 0.6429771000", \
-                        "0.0558580000, 0.0591843000, 0.0670548000, 0.0827920000, 0.1184294000, 0.2335157000, 0.6438121000");
-                }
-                related_pin : "A2";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
-                    values("0.0218397000, 0.0251885000, 0.0349429000, 0.0645445000, 0.1611789000, 0.4806799000, 1.4970548000", \
-                        "0.0218400000, 0.0250943000, 0.0349466000, 0.0644674000, 0.1610907000, 0.4802534000, 1.4994435000", \
-                        "0.0218082000, 0.0251317000, 0.0349387000, 0.0644587000, 0.1611418000, 0.4788276000, 1.5009325000", \
-                        "0.0218698000, 0.0251759000, 0.0349365000, 0.0644277000, 0.1609022000, 0.4801960000, 1.5013237000", \
-                        "0.0241776000, 0.0275240000, 0.0372358000, 0.0664038000, 0.1618110000, 0.4799612000, 1.4983770000", \
-                        "0.0302489000, 0.0335865000, 0.0435846000, 0.0712545000, 0.1640588000, 0.4777278000, 1.5007726000", \
-                        "0.0426502000, 0.0466582000, 0.0574680000, 0.0840786000, 0.1697513000, 0.4813022000, 1.4933533000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
-                    values("0.1721674000, 0.1763763000, 0.1874817000, 0.2131912000, 0.2701460000, 0.3946634000, 0.7209769000", \
-                        "0.1771224000, 0.1813217000, 0.1923661000, 0.2180662000, 0.2751591000, 0.3995614000, 0.7258814000", \
-                        "0.1891849000, 0.1933683000, 0.2043779000, 0.2300166000, 0.2871371000, 0.4115162000, 0.7378695000", \
-                        "0.2166495000, 0.2208183000, 0.2318684000, 0.2573614000, 0.3146513000, 0.4390650000, 0.7654534000", \
-                        "0.2797769000, 0.2839498000, 0.2949061000, 0.3205141000, 0.3778396000, 0.5024074000, 0.8287303000", \
-                        "0.4032484000, 0.4079363000, 0.4201576000, 0.4482276000, 0.5092115000, 0.6368326000, 0.9639790000", \
-                        "0.6192064000, 0.6248779000, 0.6396504000, 0.6726917000, 0.7420063000, 0.8822598000, 1.2163761000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
-                    values("0.0968901000, 0.1007574000, 0.1113371000, 0.1383468000, 0.2120576000, 0.4373285000, 1.1518552000", \
-                        "0.1009144000, 0.1048873000, 0.1154281000, 0.1423643000, 0.2159582000, 0.4410584000, 1.1551805000", \
-                        "0.1107984000, 0.1146963000, 0.1253053000, 0.1522544000, 0.2258521000, 0.4516548000, 1.1646903000", \
-                        "0.1349186000, 0.1388134000, 0.1493084000, 0.1761977000, 0.2496927000, 0.4748290000, 1.1887450000", \
-                        "0.1790161000, 0.1830691000, 0.1938798000, 0.2209087000, 0.2947473000, 0.5198604000, 1.2342107000", \
-                        "0.2326831000, 0.2375195000, 0.2498145000, 0.2785462000, 0.3527470000, 0.5787452000, 1.2955661000", \
-                        "0.2739361000, 0.2804067000, 0.2962604000, 0.3304713000, 0.4069727000, 0.6312123000, 1.3455812000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
-                    values("0.0283245000, 0.0310572000, 0.0389354000, 0.0586921000, 0.1090360000, 0.2342711000, 0.6449170000", \
-                        "0.0283408000, 0.0312781000, 0.0388299000, 0.0588600000, 0.1089282000, 0.2344302000, 0.6449146000", \
-                        "0.0284120000, 0.0312907000, 0.0388301000, 0.0588941000, 0.1089564000, 0.2343145000, 0.6449520000", \
-                        "0.0283103000, 0.0313018000, 0.0389763000, 0.0588358000, 0.1087803000, 0.2343064000, 0.6439930000", \
-                        "0.0286930000, 0.0313516000, 0.0391572000, 0.0587688000, 0.1089459000, 0.2340393000, 0.6448737000", \
-                        "0.0348110000, 0.0377092000, 0.0456124000, 0.0661651000, 0.1155296000, 0.2381973000, 0.6449993000", \
-                        "0.0483418000, 0.0516172000, 0.0599450000, 0.0820045000, 0.1356217000, 0.2572834000, 0.6507659000");
-                }
-                related_pin : "B1";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
-                    values("0.0216270000, 0.0250628000, 0.0346872000, 0.0642370000, 0.1609093000, 0.4803836000, 1.5014622000", \
-                        "0.0216693000, 0.0250440000, 0.0346347000, 0.0642085000, 0.1611289000, 0.4802177000, 1.5003234000", \
-                        "0.0216793000, 0.0250076000, 0.0347330000, 0.0641239000, 0.1611187000, 0.4808680000, 1.4984654000", \
-                        "0.0215205000, 0.0248071000, 0.0346679000, 0.0641520000, 0.1610653000, 0.4805456000, 1.5002151000", \
-                        "0.0238705000, 0.0270769000, 0.0366262000, 0.0660914000, 0.1617612000, 0.4803832000, 1.5000311000", \
-                        "0.0305929000, 0.0335590000, 0.0425731000, 0.0698504000, 0.1634324000, 0.4784561000, 1.4996046000", \
-                        "0.0433540000, 0.0470412000, 0.0568450000, 0.0815963000, 0.1679801000, 0.4809712000, 1.4937941000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
-                    values("0.1532577000, 0.1574764000, 0.1685609000, 0.1943561000, 0.2512436000, 0.3757085000, 0.7020176000", \
-                        "0.1568785000, 0.1610586000, 0.1720526000, 0.1976962000, 0.2548786000, 0.3794879000, 0.7057009000", \
-                        "0.1669148000, 0.1710972000, 0.1821429000, 0.2078019000, 0.2648960000, 0.3894965000, 0.7158347000", \
-                        "0.1941771000, 0.1983961000, 0.2092782000, 0.2346667000, 0.2918680000, 0.4163039000, 0.7427592000", \
-                        "0.2630154000, 0.2671723000, 0.2780700000, 0.3035941000, 0.3607014000, 0.4852557000, 0.8112860000", \
-                        "0.3970722000, 0.4020726000, 0.4149619000, 0.4438053000, 0.5052286000, 0.6334053000, 0.9605577000", \
-                        "0.6151275000, 0.6214599000, 0.6380013000, 0.6743121000, 0.7490785000, 0.8909181000, 1.2251584000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
-                    values("0.0910817000, 0.0950513000, 0.1058560000, 0.1332759000, 0.2072243000, 0.4318584000, 1.1449078000", \
-                        "0.0954199000, 0.0993799000, 0.1101716000, 0.1375806000, 0.2115239000, 0.4365628000, 1.1512413000", \
-                        "0.1056978000, 0.1096739000, 0.1204742000, 0.1478398000, 0.2217548000, 0.4477658000, 1.1588041000", \
-                        "0.1294809000, 0.1334236000, 0.1441143000, 0.1713270000, 0.2450009000, 0.4701868000, 1.1853889000", \
-                        "0.1696524000, 0.1738074000, 0.1849478000, 0.2128330000, 0.2868427000, 0.5123804000, 1.2247777000", \
-                        "0.2149076000, 0.2199523000, 0.2329249000, 0.2622985000, 0.3366593000, 0.5621806000, 1.2766408000", \
-                        "0.2416499000, 0.2484086000, 0.2651533000, 0.3009606000, 0.3787040000, 0.6028382000, 1.3155081000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
-                    values("0.0285543000, 0.0309944000, 0.0389186000, 0.0587149000, 0.1088505000, 0.2342501000, 0.6449822000", \
-                        "0.0284312000, 0.0312480000, 0.0386513000, 0.0588452000, 0.1091932000, 0.2344262000, 0.6449801000", \
-                        "0.0283151000, 0.0310223000, 0.0389199000, 0.0587852000, 0.1089013000, 0.2343694000, 0.6450179000", \
-                        "0.0285593000, 0.0313199000, 0.0389545000, 0.0589204000, 0.1085704000, 0.2343549000, 0.6449605000", \
-                        "0.0287921000, 0.0312924000, 0.0392255000, 0.0590531000, 0.1091777000, 0.2344197000, 0.6450845000", \
-                        "0.0394570000, 0.0423168000, 0.0500475000, 0.0697746000, 0.1175543000, 0.2394475000, 0.6453221000", \
-                        "0.0578941000, 0.0612897000, 0.0704420000, 0.0941046000, 0.1457911000, 0.2614662000, 0.6521572000");
-                }
-                related_pin : "B2";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
-                    values("0.0220681000, 0.0253973000, 0.0352041000, 0.0647436000, 0.1609829000, 0.4801778000, 1.4990500000", \
-                        "0.0219959000, 0.0253597000, 0.0351672000, 0.0648012000, 0.1613494000, 0.4796840000, 1.4994103000", \
-                        "0.0221123000, 0.0254060000, 0.0352232000, 0.0647895000, 0.1612474000, 0.4798414000, 1.4971623000", \
-                        "0.0219887000, 0.0253646000, 0.0352338000, 0.0648978000, 0.1613825000, 0.4795478000, 1.4962090000", \
-                        "0.0249097000, 0.0281120000, 0.0376916000, 0.0669411000, 0.1621684000, 0.4796576000, 1.4990738000", \
-                        "0.0332104000, 0.0361791000, 0.0453592000, 0.0716375000, 0.1644540000, 0.4795321000, 1.5003191000", \
-                        "0.0471276000, 0.0507739000, 0.0608882000, 0.0872101000, 0.1703108000, 0.4817594000, 1.4948887000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-        }
-    }
-
-/* removed sky130_fd_sc_hd__o22ai_1 */
-
-/* removed sky130_fd_sc_hd__o22ai_2 */
-
-/* removed sky130_fd_sc_hd__o22ai_4 */
-
-/* removed sky130_fd_sc_hd__o2bb2a_1 */
-
-/* removed sky130_fd_sc_hd__o2bb2a_2 */
-
-/* removed sky130_fd_sc_hd__o2bb2a_4 */
-
-/* removed sky130_fd_sc_hd__o2bb2ai_1 */
-
-/* removed sky130_fd_sc_hd__o2bb2ai_2 */
-
-/* removed sky130_fd_sc_hd__o2bb2ai_4 */
-
-/* removed sky130_fd_sc_hd__o311a_1 */
-
-/* removed sky130_fd_sc_hd__o311a_2 */
-
-/* removed sky130_fd_sc_hd__o311a_4 */
-
-/* removed sky130_fd_sc_hd__o311ai_0 */
-
-/* removed sky130_fd_sc_hd__o311ai_1 */
-
-/* removed sky130_fd_sc_hd__o311ai_2 */
-
-/* removed sky130_fd_sc_hd__o311ai_4 */
-
-/* removed sky130_fd_sc_hd__o31a_1 */
-
-/* removed sky130_fd_sc_hd__o31a_2 */
-
-/* removed sky130_fd_sc_hd__o31a_4 */
-
-/* removed sky130_fd_sc_hd__o31ai_1 */
-
-/* removed sky130_fd_sc_hd__o31ai_2 */
-
-/* removed sky130_fd_sc_hd__o31ai_4 */
-
-/* removed sky130_fd_sc_hd__o32a_1 */
-
-/* removed sky130_fd_sc_hd__o32a_2 */
-
-    cell ("sky130_fd_sc_hd__o32a_4") {
-        leakage_power () {
-            value : 0.0093865000;
-            when : "!A1&!A2&!A3&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0076254000;
-            when : "!A1&!A2&!A3&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0094194000;
-            when : "!A1&!A2&!A3&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0093876000;
-            when : "!A1&!A2&!A3&B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0131066000;
-            when : "!A1&!A2&A3&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0089315000;
-            when : "!A1&!A2&A3&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0097119000;
-            when : "!A1&!A2&A3&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0118297000;
-            when : "!A1&!A2&A3&B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0076435000;
-            when : "!A1&A2&!A3&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0089322000;
-            when : "!A1&A2&!A3&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0042486000;
-            when : "!A1&A2&!A3&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0063664000;
-            when : "!A1&A2&!A3&B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0054414000;
-            when : "!A1&A2&A3&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0089321000;
-            when : "!A1&A2&A3&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0020465000;
-            when : "!A1&A2&A3&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0041643000;
-            when : "!A1&A2&A3&B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0075086000;
-            when : "A1&!A2&!A3&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0089331000;
-            when : "A1&!A2&!A3&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0041137000;
-            when : "A1&!A2&!A3&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0062315000;
-            when : "A1&!A2&!A3&B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0054449000;
-            when : "A1&!A2&A3&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0089322000;
-            when : "A1&!A2&A3&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0020496000;
-            when : "A1&!A2&A3&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0041674000;
-            when : "A1&!A2&A3&B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0054330000;
-            when : "A1&A2&!A3&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0089341000;
-            when : "A1&A2&!A3&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0020380000;
-            when : "A1&A2&!A3&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0041558000;
-            when : "A1&A2&!A3&B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0053047000;
-            when : "A1&A2&A3&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0089319000;
-            when : "A1&A2&A3&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0019098000;
-            when : "A1&A2&A3&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0040277000;
-            when : "A1&A2&A3&B1&!B2";
-        }
-        area : 22.521600000;
-        cell_footprint : "sky130_fd_sc_hd__o32a";
-        cell_leakage_power : 0.0067278040;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("A1") {
-            capacitance : 0.0043510000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0041450000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0079952000, 0.0079865000, 0.0079664000, 0.0079692000, 0.0079757000, 0.0079907000, 0.0080251000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.007876900, -0.007874100, -0.007867600, -0.007870800, -0.007878200, -0.007895300, -0.007934800");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0045570000;
-        }
-        pin ("A2") {
-            capacitance : 0.0042450000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0039640000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0079386000, 0.0079291000, 0.0079070000, 0.0079097000, 0.0079160000, 0.0079303000, 0.0079635000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.007857200, -0.007856300, -0.007854300, -0.007851200, -0.007844200, -0.007828100, -0.007790900");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0045270000;
-        }
-        pin ("A3") {
-            capacitance : 0.0042940000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0039360000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0082388000, 0.0082310000, 0.0082130000, 0.0082112000, 0.0082068000, 0.0081969000, 0.0081739000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.008189200, -0.008184200, -0.008172500, -0.008169400, -0.008162100, -0.008145300, -0.008106600");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0046510000;
-        }
-        pin ("B1") {
-            capacitance : 0.0042390000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0040740000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0091941000, 0.0091903000, 0.0091814000, 0.0091843000, 0.0091909000, 0.0092062000, 0.0092415000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.001430600, -0.001472900, -0.001570300, -0.001516400, -0.001392100, -0.001105600, -0.000445400");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0044050000;
-        }
-        pin ("B2") {
-            capacitance : 0.0042170000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0039750000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0092649000, 0.0092642000, 0.0092625000, 0.0092649000, 0.0092702000, 0.0092825000, 0.0093109000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.001418900, -0.001448700, -0.001517400, -0.001469900, -0.001360400, -0.001108100, -0.000526400");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0044600000;
-        }
-        pin ("X") {
-            direction : "output";
-            function : "(A1&B1) | (A1&B2) | (A2&B1) | (A3&B1) | (A2&B2) | (A3&B2)";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000");
-                    values("0.0457043000, 0.0437829000, 0.0383290000, 0.0228184000, -0.031390600, -0.220139800, -0.840718700", \
-                        "0.0455581000, 0.0436117000, 0.0382151000, 0.0227530000, -0.031443700, -0.220549600, -0.840979900", \
-                        "0.0451734000, 0.0432983000, 0.0377997000, 0.0223906000, -0.031857500, -0.220628100, -0.841197700", \
-                        "0.0451173000, 0.0432260000, 0.0376090000, 0.0221566000, -0.032030100, -0.221173800, -0.841613400", \
-                        "0.0444085000, 0.0425881000, 0.0370578000, 0.0217008000, -0.032543000, -0.221515400, -0.841871200", \
-                        "0.0447732000, 0.0429314000, 0.0373133000, 0.0218052000, -0.032720300, -0.221877400, -0.842115400", \
-                        "0.0545601000, 0.0523635000, 0.0461041000, 0.0271263000, -0.032011600, -0.221837800, -0.842127800");
-                }
-                related_pin : "A1";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000");
-                    values("0.0429197000, 0.0447870000, 0.0509854000, 0.0708763000, 0.1319595000, 0.3246019000, 0.9400425000", \
-                        "0.0425347000, 0.0445133000, 0.0507383000, 0.0706265000, 0.1316929000, 0.3241994000, 0.9401735000", \
-                        "0.0423565000, 0.0442514000, 0.0505158000, 0.0702281000, 0.1313851000, 0.3242199000, 0.9386433000", \
-                        "0.0419108000, 0.0438219000, 0.0501038000, 0.0699286000, 0.1309796000, 0.3234774000, 0.9390490000", \
-                        "0.0418846000, 0.0440303000, 0.0501184000, 0.0696816000, 0.1306588000, 0.3233008000, 0.9423967000", \
-                        "0.0438381000, 0.0457128000, 0.0514949000, 0.0701667000, 0.1300364000, 0.3220577000, 0.9420025000", \
-                        "0.0452001000, 0.0469321000, 0.0527784000, 0.0715651000, 0.1314235000, 0.3240090000, 0.9368697000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000");
-                    values("0.0409297000, 0.0390522000, 0.0335384000, 0.0181844000, -0.035828200, -0.224988100, -0.845331700", \
-                        "0.0407685000, 0.0388473000, 0.0333872000, 0.0179295000, -0.036235400, -0.225180500, -0.845421600", \
-                        "0.0405229000, 0.0385752000, 0.0331596000, 0.0177079000, -0.036497100, -0.225594100, -0.845969100", \
-                        "0.0400729000, 0.0381882000, 0.0327353000, 0.0172431000, -0.036984200, -0.225729200, -0.846293000", \
-                        "0.0397067000, 0.0378519000, 0.0323258000, 0.0169244000, -0.037277600, -0.226350600, -0.846747800", \
-                        "0.0401084000, 0.0380745000, 0.0324493000, 0.0169242000, -0.037364100, -0.226619200, -0.846807000", \
-                        "0.0502909000, 0.0481688000, 0.0417167000, 0.0224864000, -0.037641800, -0.226684600, -0.846623100");
-                }
-                related_pin : "A2";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000");
-                    values("0.0395613000, 0.0415751000, 0.0477936000, 0.0672979000, 0.1280675000, 0.3205658000, 0.9349088000", \
-                        "0.0396479000, 0.0415302000, 0.0476371000, 0.0672571000, 0.1281309000, 0.3201915000, 0.9342857000", \
-                        "0.0395153000, 0.0414037000, 0.0476438000, 0.0671509000, 0.1279228000, 0.3204590000, 0.9348257000", \
-                        "0.0391068000, 0.0410134000, 0.0472676000, 0.0668522000, 0.1276982000, 0.3200455000, 0.9351994000", \
-                        "0.0390553000, 0.0409748000, 0.0471969000, 0.0665343000, 0.1271950000, 0.3192372000, 0.9355423000", \
-                        "0.0398778000, 0.0416927000, 0.0476057000, 0.0663883000, 0.1265581000, 0.3181038000, 0.9334557000", \
-                        "0.0416282000, 0.0434252000, 0.0491869000, 0.0674288000, 0.1276963000, 0.3192591000, 0.9327795000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000");
-                    values("0.0362418000, 0.0343806000, 0.0289794000, 0.0134635000, -0.040812400, -0.229896300, -0.850265700", \
-                        "0.0358080000, 0.0339242000, 0.0284324000, 0.0129968000, -0.041208000, -0.230159200, -0.850552200", \
-                        "0.0354411000, 0.0335238000, 0.0280614000, 0.0126045000, -0.041617000, -0.230400800, -0.850932000", \
-                        "0.0349191000, 0.0330562000, 0.0275643000, 0.0122281000, -0.041958300, -0.231023000, -0.851347400", \
-                        "0.0347406000, 0.0328281000, 0.0273896000, 0.0118894000, -0.042338800, -0.231426600, -0.851742900", \
-                        "0.0358484000, 0.0338972000, 0.0282465000, 0.0125436000, -0.041937100, -0.231069300, -0.851126500", \
-                        "0.0506431000, 0.0484517000, 0.0418505000, 0.0223977000, -0.036971600, -0.227118600, -0.847431300");
-                }
-                related_pin : "A3";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000");
-                    values("0.0359283000, 0.0378282000, 0.0440853000, 0.0636111000, 0.1244188000, 0.3160895000, 0.9299288000", \
-                        "0.0360349000, 0.0379312000, 0.0441767000, 0.0637181000, 0.1244008000, 0.3166103000, 0.9306387000", \
-                        "0.0359663000, 0.0379126000, 0.0440536000, 0.0636657000, 0.1245127000, 0.3164734000, 0.9314713000", \
-                        "0.0356441000, 0.0375328000, 0.0438203000, 0.0634009000, 0.1241192000, 0.3160873000, 0.9304755000", \
-                        "0.0354905000, 0.0374160000, 0.0435212000, 0.0626111000, 0.1231999000, 0.3156737000, 0.9342956000", \
-                        "0.0361116000, 0.0379285000, 0.0437941000, 0.0627099000, 0.1225578000, 0.3138935000, 0.9298413000", \
-                        "0.0379849000, 0.0397639000, 0.0455313000, 0.0638384000, 0.1241133000, 0.3159176000, 0.9270070000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000");
-                    values("0.0278589000, 0.0263467000, 0.0219733000, 0.0080621000, -0.043384400, -0.231043200, -0.852024700", \
-                        "0.0276590000, 0.0260941000, 0.0216974000, 0.0077267000, -0.043592600, -0.231256400, -0.852232800", \
-                        "0.0274330000, 0.0257901000, 0.0214789000, 0.0074665000, -0.044013300, -0.231684700, -0.852642300", \
-                        "0.0267282000, 0.0252244000, 0.0207782000, 0.0068774000, -0.044632200, -0.232274100, -0.853176800", \
-                        "0.0263487000, 0.0248079000, 0.0203911000, 0.0060983000, -0.045424200, -0.232861900, -0.853665000", \
-                        "0.0275805000, 0.0256809000, 0.0198554000, 0.0050089000, -0.046034000, -0.233183400, -0.853773000", \
-                        "0.0436586000, 0.0416433000, 0.0354669000, 0.0165787000, -0.042631200, -0.232947800, -0.853243100");
-                }
-                related_pin : "B1";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000");
-                    values("0.0366708000, 0.0385962000, 0.0448635000, 0.0645450000, 0.1257367000, 0.3185193000, 0.9320236000", \
-                        "0.0365077000, 0.0384045000, 0.0445746000, 0.0643913000, 0.1255210000, 0.3181312000, 0.9337654000", \
-                        "0.0361695000, 0.0380667000, 0.0443517000, 0.0642335000, 0.1252918000, 0.3179270000, 0.9331048000", \
-                        "0.0357545000, 0.0377131000, 0.0439389000, 0.0637006000, 0.1248928000, 0.3174280000, 0.9324048000", \
-                        "0.0359368000, 0.0378343000, 0.0439429000, 0.0634860000, 0.1236328000, 0.3164707000, 0.9328664000", \
-                        "0.0372811000, 0.0390876000, 0.0450124000, 0.0632649000, 0.1238305000, 0.3156721000, 0.9316596000", \
-                        "0.0402745000, 0.0419672000, 0.0477163000, 0.0663875000, 0.1257456000, 0.3175765000, 0.9309257000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000");
-                    values("0.0231539000, 0.0215249000, 0.0170558000, 0.0032606000, -0.048117600, -0.235562700, -0.856450200", \
-                        "0.0228792000, 0.0214161000, 0.0169640000, 0.0030321000, -0.048312500, -0.235841900, -0.856717500", \
-                        "0.0223473000, 0.0208159000, 0.0163494000, 0.0024457000, -0.048825600, -0.236352200, -0.857184300", \
-                        "0.0217210000, 0.0201595000, 0.0158205000, 0.0017925000, -0.049562400, -0.237061100, -0.857858400", \
-                        "0.0214075000, 0.0198167000, 0.0152800000, 0.0010485000, -0.050382300, -0.237589100, -0.858233500", \
-                        "0.0246294000, 0.0226291000, 0.0165657000, 0.0009267000, -0.049950900, -0.236954500, -0.857518800", \
-                        "0.0424356000, 0.0402689000, 0.0338257000, 0.0145207000, -0.044712700, -0.234914400, -0.855270600");
-                }
-                related_pin : "B2";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000");
-                    values("0.0325260000, 0.0345066000, 0.0407062000, 0.0604844000, 0.1217914000, 0.3139711000, 0.9285386000", \
-                        "0.0325844000, 0.0344574000, 0.0407607000, 0.0606841000, 0.1217277000, 0.3139776000, 0.9293349000", \
-                        "0.0326142000, 0.0345176000, 0.0407081000, 0.0605783000, 0.1217242000, 0.3141654000, 0.9293893000", \
-                        "0.0322859000, 0.0342293000, 0.0405124000, 0.0602799000, 0.1213277000, 0.3136986000, 0.9328106000", \
-                        "0.0324342000, 0.0343929000, 0.0401279000, 0.0599424000, 0.1199661000, 0.3129087000, 0.9283573000", \
-                        "0.0336791000, 0.0354730000, 0.0412382000, 0.0597827000, 0.1198403000, 0.3112314000, 0.9285972000", \
-                        "0.0359831000, 0.0376815000, 0.0434298000, 0.0617937000, 0.1214178000, 0.3132171000, 0.9262779000");
-                }
-            }
-            max_capacitance : 0.5566500000;
-            max_transition : 1.5033630000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
-                    values("0.3374238000, 0.3428658000, 0.3570133000, 0.3880938000, 0.4517398000, 0.5867798000, 0.9378868000", \
-                        "0.3420642000, 0.3475130000, 0.3615453000, 0.3928944000, 0.4562940000, 0.5920468000, 0.9429860000", \
-                        "0.3539506000, 0.3593208000, 0.3734780000, 0.4046737000, 0.4683490000, 0.6034151000, 0.9544638000", \
-                        "0.3797618000, 0.3850454000, 0.3994484000, 0.4307207000, 0.4941276000, 0.6298845000, 0.9810073000", \
-                        "0.4335429000, 0.4389742000, 0.4530707000, 0.4840254000, 0.5474997000, 0.6832127000, 1.0346962000", \
-                        "0.5455875000, 0.5510737000, 0.5653810000, 0.5968788000, 0.6604858000, 0.7958005000, 1.1468563000", \
-                        "0.7457549000, 0.7517569000, 0.7680133000, 0.8027854000, 0.8725596000, 1.0155393000, 1.3706132000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
-                    values("0.1339664000, 0.1383595000, 0.1502299000, 0.1797273000, 0.2557103000, 0.4823635000, 1.1973705000", \
-                        "0.1382342000, 0.1426572000, 0.1546236000, 0.1841018000, 0.2600593000, 0.4867325000, 1.2022336000", \
-                        "0.1479599000, 0.1523643000, 0.1642214000, 0.1936406000, 0.2697735000, 0.4957367000, 1.2107753000", \
-                        "0.1671702000, 0.1715728000, 0.1834578000, 0.2128964000, 0.2889281000, 0.5153538000, 1.2333328000", \
-                        "0.2078375000, 0.2123729000, 0.2243302000, 0.2539014000, 0.3298582000, 0.5558492000, 1.2743534000", \
-                        "0.2728726000, 0.2778618000, 0.2909908000, 0.3220975000, 0.3988670000, 0.6245442000, 1.3434680000", \
-                        "0.3463795000, 0.3526182000, 0.3689148000, 0.4044361000, 0.4846256000, 0.7111969000, 1.4263811000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
-                    values("0.0427416000, 0.0461229000, 0.0544953000, 0.0735815000, 0.1209224000, 0.2546715000, 0.6858198000", \
-                        "0.0426790000, 0.0457757000, 0.0549672000, 0.0741827000, 0.1225941000, 0.2542200000, 0.6870736000", \
-                        "0.0428332000, 0.0462222000, 0.0548912000, 0.0735872000, 0.1217855000, 0.2543784000, 0.6862417000", \
-                        "0.0428623000, 0.0462503000, 0.0549384000, 0.0747263000, 0.1225272000, 0.2539292000, 0.6857357000", \
-                        "0.0429356000, 0.0461523000, 0.0540487000, 0.0741470000, 0.1223739000, 0.2548940000, 0.6860744000", \
-                        "0.0445207000, 0.0473970000, 0.0556573000, 0.0753475000, 0.1219967000, 0.2549539000, 0.6868994000", \
-                        "0.0529111000, 0.0560639000, 0.0651716000, 0.0854348000, 0.1344779000, 0.2658163000, 0.6913922000");
-                }
-                related_pin : "A1";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
-                    values("0.0256959000, 0.0292613000, 0.0394948000, 0.0690069000, 0.1633822000, 0.4784313000, 1.5027217000", \
-                        "0.0258626000, 0.0292779000, 0.0393795000, 0.0690924000, 0.1635075000, 0.4788874000, 1.5030456000", \
-                        "0.0255769000, 0.0290827000, 0.0393281000, 0.0690117000, 0.1634756000, 0.4788092000, 1.5010977000", \
-                        "0.0256756000, 0.0291940000, 0.0392303000, 0.0689749000, 0.1635637000, 0.4794485000, 1.5026074000", \
-                        "0.0263550000, 0.0301670000, 0.0400725000, 0.0694416000, 0.1634271000, 0.4786597000, 1.5018601000", \
-                        "0.0307179000, 0.0345627000, 0.0445329000, 0.0730720000, 0.1651770000, 0.4776780000, 1.5033631000", \
-                        "0.0405955000, 0.0445930000, 0.0557744000, 0.0831907000, 0.1698283000, 0.4801947000, 1.5010774000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
-                    values("0.3183661000, 0.3237971000, 0.3378986000, 0.3691115000, 0.4322769000, 0.5680018000, 0.9192411000", \
-                        "0.3220856000, 0.3273768000, 0.3416451000, 0.3727365000, 0.4362056000, 0.5716361000, 0.9227775000", \
-                        "0.3324488000, 0.3379017000, 0.3519418000, 0.3832314000, 0.4466967000, 0.5824448000, 0.9333617000", \
-                        "0.3571660000, 0.3626117000, 0.3768160000, 0.4079182000, 0.4715260000, 0.6066248000, 0.9577322000", \
-                        "0.4132117000, 0.4185360000, 0.4326273000, 0.4638146000, 0.5272028000, 0.6628985000, 1.0141087000", \
-                        "0.5403712000, 0.5459728000, 0.5602422000, 0.5922895000, 0.6554853000, 0.7916747000, 1.1427179000", \
-                        "0.7737040000, 0.7800448000, 0.7970892000, 0.8329969000, 0.9032699000, 1.0464302000, 1.4023775000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
-                    values("0.1234323000, 0.1276451000, 0.1388782000, 0.1671259000, 0.2413519000, 0.4659013000, 1.1817889000", \
-                        "0.1282702000, 0.1324166000, 0.1436412000, 0.1719099000, 0.2462965000, 0.4709902000, 1.1845077000", \
-                        "0.1378971000, 0.1420649000, 0.1533673000, 0.1815577000, 0.2558067000, 0.4803765000, 1.1964174000", \
-                        "0.1567299000, 0.1609433000, 0.1721916000, 0.2003875000, 0.2747726000, 0.4990674000, 1.2147833000", \
-                        "0.1945604000, 0.1988391000, 0.2103682000, 0.2389335000, 0.3134756000, 0.5379799000, 1.2536427000", \
-                        "0.2503916000, 0.2552684000, 0.2681369000, 0.2986444000, 0.3745037000, 0.5991342000, 1.3142215000", \
-                        "0.3034725000, 0.3097957000, 0.3260977000, 0.3617076000, 0.4412667000, 0.6664227000, 1.3811023000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
-                    values("0.0429938000, 0.0462168000, 0.0540798000, 0.0736395000, 0.1222221000, 0.2546751000, 0.6866215000", \
-                        "0.0428160000, 0.0461840000, 0.0544970000, 0.0735851000, 0.1211185000, 0.2552474000, 0.6863130000", \
-                        "0.0426376000, 0.0458086000, 0.0549568000, 0.0740209000, 0.1226275000, 0.2542165000, 0.6868658000", \
-                        "0.0429655000, 0.0462480000, 0.0544612000, 0.0735675000, 0.1210600000, 0.2551038000, 0.6854565000", \
-                        "0.0428341000, 0.0460941000, 0.0541903000, 0.0737531000, 0.1216580000, 0.2550197000, 0.6864096000", \
-                        "0.0450817000, 0.0481145000, 0.0563018000, 0.0761587000, 0.1240651000, 0.2550036000, 0.6865623000", \
-                        "0.0564374000, 0.0594934000, 0.0681679000, 0.0887337000, 0.1360408000, 0.2664476000, 0.6918377000");
-                }
-                related_pin : "A2";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
-                    values("0.0239128000, 0.0271534000, 0.0370699000, 0.0663926000, 0.1610106000, 0.4770273000, 1.5025268000", \
-                        "0.0238435000, 0.0272504000, 0.0372421000, 0.0665200000, 0.1608374000, 0.4781932000, 1.4985306000", \
-                        "0.0237399000, 0.0271589000, 0.0372292000, 0.0664106000, 0.1610395000, 0.4770642000, 1.5031868000", \
-                        "0.0238023000, 0.0272425000, 0.0370813000, 0.0662854000, 0.1610316000, 0.4780263000, 1.5017524000", \
-                        "0.0253283000, 0.0287259000, 0.0384883000, 0.0672638000, 0.1613656000, 0.4770109000, 1.5028326000", \
-                        "0.0299458000, 0.0337452000, 0.0434875000, 0.0716147000, 0.1635923000, 0.4762973000, 1.5007138000", \
-                        "0.0407747000, 0.0446348000, 0.0556323000, 0.0830951000, 0.1692307000, 0.4782402000, 1.4959580000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
-                    values("0.2773952000, 0.2828373000, 0.2969399000, 0.3281382000, 0.3915401000, 0.5273045000, 0.8785163000", \
-                        "0.2799952000, 0.2854280000, 0.2995680000, 0.3306826000, 0.3942691000, 0.5301882000, 0.8804502000", \
-                        "0.2878505000, 0.2932872000, 0.3074740000, 0.3385169000, 0.4021811000, 0.5375013000, 0.8884460000", \
-                        "0.3099519000, 0.3153711000, 0.3295237000, 0.3604971000, 0.4239220000, 0.5596689000, 0.9111476000", \
-                        "0.3693253000, 0.3747245000, 0.3889580000, 0.4200360000, 0.4834543000, 0.6192335000, 0.9704134000", \
-                        "0.5098268000, 0.5153938000, 0.5295176000, 0.5607911000, 0.6239672000, 0.7603168000, 1.1117149000", \
-                        "0.7529789000, 0.7599040000, 0.7773030000, 0.8153439000, 0.8841055000, 1.0242200000, 1.3800142000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
-                    values("0.1110479000, 0.1151638000, 0.1262837000, 0.1543039000, 0.2282925000, 0.4524888000, 1.1652799000", \
-                        "0.1160951000, 0.1201941000, 0.1313545000, 0.1593354000, 0.2332095000, 0.4570912000, 1.1728721000", \
-                        "0.1262949000, 0.1304098000, 0.1415213000, 0.1695305000, 0.2435278000, 0.4669676000, 1.1822991000", \
-                        "0.1460656000, 0.1501523000, 0.1613155000, 0.1892686000, 0.2632102000, 0.4872155000, 1.2013244000", \
-                        "0.1836185000, 0.1879610000, 0.1994961000, 0.2280943000, 0.3021857000, 0.5264020000, 1.2438087000", \
-                        "0.2365001000, 0.2415490000, 0.2545920000, 0.2853955000, 0.3614726000, 0.5856539000, 1.3021361000", \
-                        "0.2854872000, 0.2920827000, 0.3090902000, 0.3461616000, 0.4267094000, 0.6513443000, 1.3658724000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
-                    values("0.0427516000, 0.0459540000, 0.0540473000, 0.0748921000, 0.1215321000, 0.2547279000, 0.6868898000", \
-                        "0.0427922000, 0.0459956000, 0.0547697000, 0.0736522000, 0.1217978000, 0.2549178000, 0.6863154000", \
-                        "0.0427830000, 0.0459485000, 0.0546447000, 0.0736137000, 0.1218787000, 0.2549397000, 0.6854326000", \
-                        "0.0428576000, 0.0461286000, 0.0539916000, 0.0741412000, 0.1215688000, 0.2549921000, 0.6870848000", \
-                        "0.0430956000, 0.0463428000, 0.0547220000, 0.0735574000, 0.1215049000, 0.2545957000, 0.6866476000", \
-                        "0.0454232000, 0.0485037000, 0.0565395000, 0.0748300000, 0.1222023000, 0.2554178000, 0.6869203000", \
-                        "0.0641246000, 0.0678637000, 0.0780255000, 0.0946842000, 0.1386974000, 0.2648934000, 0.6924227000");
-                }
-                related_pin : "A3";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
-                    values("0.0231624000, 0.0265384000, 0.0364289000, 0.0657385000, 0.1599573000, 0.4775295000, 1.4982469000", \
-                        "0.0232164000, 0.0266241000, 0.0365010000, 0.0656866000, 0.1603002000, 0.4765651000, 1.5030409000", \
-                        "0.0232900000, 0.0266516000, 0.0365303000, 0.0655934000, 0.1602909000, 0.4769416000, 1.5015771000", \
-                        "0.0231642000, 0.0266019000, 0.0364050000, 0.0655667000, 0.1602243000, 0.4780247000, 1.4998736000", \
-                        "0.0251638000, 0.0285734000, 0.0384667000, 0.0671093000, 0.1607044000, 0.4768108000, 1.5019355000", \
-                        "0.0310325000, 0.0343431000, 0.0449412000, 0.0723698000, 0.1634560000, 0.4760773000, 1.5010372000", \
-                        "0.0428709000, 0.0471168000, 0.0585563000, 0.0855852000, 0.1704072000, 0.4785917000, 1.4977196000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
-                    values("0.1798884000, 0.1842811000, 0.1958874000, 0.2235323000, 0.2875499000, 0.4363642000, 0.7980317000", \
-                        "0.1847473000, 0.1891439000, 0.2007564000, 0.2286807000, 0.2924379000, 0.4411611000, 0.8030360000", \
-                        "0.1965174000, 0.2008173000, 0.2124604000, 0.2402093000, 0.3041265000, 0.4528170000, 0.8145651000", \
-                        "0.2225968000, 0.2269761000, 0.2385611000, 0.2662559000, 0.3301646000, 0.4789126000, 0.8406479000", \
-                        "0.2811233000, 0.2854791000, 0.2970047000, 0.3246641000, 0.3885965000, 0.5375727000, 0.8994000000", \
-                        "0.3927419000, 0.3975580000, 0.4105565000, 0.4407682000, 0.5086535000, 0.6609721000, 1.0239646000", \
-                        "0.5804688000, 0.5861794000, 0.6015243000, 0.6370933000, 0.7150790000, 0.8824114000, 1.2560522000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
-                    values("0.1228419000, 0.1272559000, 0.1390930000, 0.1685002000, 0.2446634000, 0.4704938000, 1.1866442000", \
-                        "0.1268247000, 0.1312255000, 0.1430589000, 0.1725172000, 0.2485434000, 0.4752195000, 1.1904326000", \
-                        "0.1366578000, 0.1410508000, 0.1529261000, 0.1823712000, 0.2584787000, 0.4847660000, 1.2031407000", \
-                        "0.1610592000, 0.1654460000, 0.1773060000, 0.2066955000, 0.2827238000, 0.5088786000, 1.2273812000", \
-                        "0.2145764000, 0.2190063000, 0.2308226000, 0.2600239000, 0.3355853000, 0.5619346000, 1.2779251000", \
-                        "0.2894196000, 0.2944544000, 0.3072686000, 0.3375853000, 0.4139136000, 0.6397413000, 1.3594158000", \
-                        "0.3682289000, 0.3746690000, 0.3912481000, 0.4260677000, 0.5038518000, 0.7291139000, 1.4452093000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
-                    values("0.0295786000, 0.0325736000, 0.0405721000, 0.0637195000, 0.1229063000, 0.2704127000, 0.6930111000", \
-                        "0.0293428000, 0.0323095000, 0.0406151000, 0.0632609000, 0.1229018000, 0.2702811000, 0.6926913000", \
-                        "0.0295602000, 0.0325387000, 0.0410767000, 0.0636086000, 0.1229147000, 0.2701370000, 0.6928036000", \
-                        "0.0296441000, 0.0323526000, 0.0410456000, 0.0636636000, 0.1228861000, 0.2702635000, 0.6929461000", \
-                        "0.0296198000, 0.0325670000, 0.0413529000, 0.0635578000, 0.1230838000, 0.2704930000, 0.6929465000", \
-                        "0.0358784000, 0.0390061000, 0.0476344000, 0.0710666000, 0.1302490000, 0.2750111000, 0.6934493000", \
-                        "0.0495209000, 0.0530483000, 0.0624386000, 0.0880169000, 0.1505446000, 0.2969490000, 0.7026522000");
-                }
-                related_pin : "B1";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
-                    values("0.0254994000, 0.0290467000, 0.0392715000, 0.0689834000, 0.1634745000, 0.4789511000, 1.4984879000", \
-                        "0.0256075000, 0.0290736000, 0.0394356000, 0.0688962000, 0.1632227000, 0.4782173000, 1.5028874000", \
-                        "0.0256901000, 0.0291805000, 0.0392800000, 0.0689555000, 0.1635447000, 0.4791726000, 1.5003232000", \
-                        "0.0253880000, 0.0290047000, 0.0391418000, 0.0687133000, 0.1632940000, 0.4788765000, 1.5002158000", \
-                        "0.0263353000, 0.0296940000, 0.0396035000, 0.0690251000, 0.1634426000, 0.4792951000, 1.5030384000", \
-                        "0.0323501000, 0.0356116000, 0.0448838000, 0.0727960000, 0.1652831000, 0.4787433000, 1.5007050000", \
-                        "0.0440457000, 0.0481102000, 0.0582553000, 0.0837788000, 0.1693355000, 0.4808101000, 1.4985173000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
-                    values("0.1563498000, 0.1607132000, 0.1723696000, 0.2002537000, 0.2641203000, 0.4131478000, 0.7752374000", \
-                        "0.1597902000, 0.1641771000, 0.1758182000, 0.2036868000, 0.2677792000, 0.4167975000, 0.7787206000", \
-                        "0.1691352000, 0.1733856000, 0.1849535000, 0.2129602000, 0.2769606000, 0.4260820000, 0.7880583000", \
-                        "0.1943542000, 0.1986614000, 0.2107449000, 0.2385334000, 0.3027572000, 0.4520086000, 0.8138941000", \
-                        "0.2595313000, 0.2638225000, 0.2752859000, 0.3029345000, 0.3668527000, 0.5160483000, 0.8779824000", \
-                        "0.3823531000, 0.3874468000, 0.4008861000, 0.4321576000, 0.4997004000, 0.6533893000, 1.0170251000", \
-                        "0.5750908000, 0.5813734000, 0.5982075000, 0.6365936000, 0.7182769000, 0.8893892000, 1.2645140000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
-                    values("0.1096369000, 0.1140901000, 0.1260426000, 0.1554752000, 0.2317209000, 0.4569075000, 1.1735680000", \
-                        "0.1140660000, 0.1184828000, 0.1303928000, 0.1599480000, 0.2360141000, 0.4621647000, 1.1795187000", \
-                        "0.1247170000, 0.1291329000, 0.1409516000, 0.1704706000, 0.2465801000, 0.4728312000, 1.1874501000", \
-                        "0.1493424000, 0.1537138000, 0.1656153000, 0.1948892000, 0.2707764000, 0.4964736000, 1.2140217000", \
-                        "0.2002453000, 0.2046982000, 0.2165936000, 0.2458956000, 0.3209036000, 0.5470814000, 1.2619231000", \
-                        "0.2668666000, 0.2720933000, 0.2852117000, 0.3157513000, 0.3917823000, 0.6176832000, 1.3341687000", \
-                        "0.3312898000, 0.3380530000, 0.3549651000, 0.3914100000, 0.4697485000, 0.6948718000, 1.4109246000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
-                    values("0.0295097000, 0.0323250000, 0.0407369000, 0.0634212000, 0.1231931000, 0.2707848000, 0.6923234000", \
-                        "0.0294355000, 0.0325973000, 0.0410636000, 0.0636316000, 0.1232522000, 0.2708736000, 0.6931063000", \
-                        "0.0295571000, 0.0324043000, 0.0410426000, 0.0634039000, 0.1230552000, 0.2706134000, 0.6930100000", \
-                        "0.0294336000, 0.0323898000, 0.0410711000, 0.0634420000, 0.1232523000, 0.2706007000, 0.6920777000", \
-                        "0.0298633000, 0.0325331000, 0.0408954000, 0.0638586000, 0.1236296000, 0.2710591000, 0.6932042000", \
-                        "0.0404869000, 0.0436052000, 0.0524097000, 0.0744115000, 0.1326549000, 0.2769748000, 0.6942268000", \
-                        "0.0584583000, 0.0620433000, 0.0726980000, 0.0995353000, 0.1620075000, 0.3038573000, 0.7057341000");
-                }
-                related_pin : "B2";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
-                    values("0.0254277000, 0.0289086000, 0.0392810000, 0.0687403000, 0.1630203000, 0.4779973000, 1.4994486000", \
-                        "0.0254514000, 0.0291269000, 0.0392080000, 0.0688759000, 0.1632396000, 0.4788459000, 1.5018214000", \
-                        "0.0254658000, 0.0289534000, 0.0392746000, 0.0687725000, 0.1630841000, 0.4777256000, 1.5021767000", \
-                        "0.0250591000, 0.0285975000, 0.0388727000, 0.0683385000, 0.1628134000, 0.4780131000, 1.5029122000", \
-                        "0.0265874000, 0.0301617000, 0.0402774000, 0.0693071000, 0.1629754000, 0.4775571000, 1.5021843000", \
-                        "0.0337357000, 0.0369968000, 0.0460878000, 0.0737215000, 0.1651911000, 0.4773439000, 1.5027919000", \
-                        "0.0463831000, 0.0504869000, 0.0607555000, 0.0858109000, 0.1705038000, 0.4802090000, 1.5010300000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-        }
-    }
-
-/* removed sky130_fd_sc_hd__o32ai_1 */
-
-/* removed sky130_fd_sc_hd__o32ai_2 */
-
-    cell ("sky130_fd_sc_hd__o32ai_4") {
-        leakage_power () {
-            value : 0.0053448000;
-            when : "!A1&!A2&!A3&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0005871000;
-            when : "!A1&!A2&!A3&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0054625000;
-            when : "!A1&!A2&!A3&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0053790000;
-            when : "!A1&!A2&!A3&B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0082742000;
-            when : "!A1&!A2&A3&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0045240000;
-            when : "!A1&!A2&A3&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0044326000;
-            when : "!A1&!A2&A3&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0068122000;
-            when : "!A1&!A2&A3&B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0068104000;
-            when : "!A1&A2&!A3&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0045239000;
-            when : "!A1&A2&!A3&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0029688000;
-            when : "!A1&A2&!A3&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0053484000;
-            when : "!A1&A2&!A3&B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0044275000;
-            when : "!A1&A2&A3&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0045203000;
-            when : "!A1&A2&A3&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0005860000;
-            when : "!A1&A2&A3&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0029656000;
-            when : "!A1&A2&A3&B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0085026000;
-            when : "A1&!A2&!A3&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0045231000;
-            when : "A1&!A2&!A3&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0046609000;
-            when : "A1&!A2&!A3&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0070405000;
-            when : "A1&!A2&!A3&B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0046113000;
-            when : "A1&!A2&A3&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0045279000;
-            when : "A1&!A2&A3&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0007686000;
-            when : "A1&!A2&A3&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0031482000;
-            when : "A1&!A2&A3&B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0046084000;
-            when : "A1&A2&!A3&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0045240000;
-            when : "A1&A2&!A3&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0007668000;
-            when : "A1&A2&!A3&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0031464000;
-            when : "A1&A2&!A3&B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0043299000;
-            when : "A1&A2&A3&!B1&B2";
-        }
-        leakage_power () {
-            value : 0.0045187000;
-            when : "A1&A2&A3&!B1&!B2";
-        }
-        leakage_power () {
-            value : 0.0004894000;
-            when : "A1&A2&A3&B1&B2";
-        }
-        leakage_power () {
-            value : 0.0028679000;
-            when : "A1&A2&A3&B1&!B2";
-        }
-        area : 27.526400000;
-        cell_footprint : "sky130_fd_sc_hd__o32ai";
-        cell_leakage_power : 0.0042500690;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("A1") {
-            capacitance : 0.0086590000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0082560000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0158764000, 0.0158631000, 0.0158324000, 0.0158273000, 0.0158156000, 0.0157886000, 0.0157264000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.015758400, -0.015753400, -0.015741800, -0.015736900, -0.015725600, -0.015699700, -0.015640000");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0090620000;
-        }
-        pin ("A2") {
-            capacitance : 0.0082520000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0077010000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0155843000, 0.0155750000, 0.0155536000, 0.0155555000, 0.0155599000, 0.0155700000, 0.0155933000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.015522600, -0.015518000, -0.015507400, -0.015510500, -0.015517800, -0.015534500, -0.015573000");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0088020000;
-        }
-        pin ("A3") {
-            capacitance : 0.0084670000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0078110000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0157120000, 0.0157042000, 0.0156863000, 0.0156806000, 0.0156676000, 0.0156376000, 0.0155684000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.015658300, -0.015656200, -0.015651300, -0.015654200, -0.015660900, -0.015676300, -0.015711700");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0091230000;
-        }
-        pin ("B1") {
-            capacitance : 0.0083800000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0080920000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0181881000, 0.0181904000, 0.0181956000, 0.0181893000, 0.0181746000, 0.0181409000, 0.0180631000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.001575200, -0.001649800, -0.001821800, -0.001726700, -0.001507400, -0.001002000, 0.0001629000");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0086670000;
-        }
-        pin ("B2") {
-            capacitance : 0.0083060000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0079060000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0182531000, 0.0182485000, 0.0182380000, 0.0182401000, 0.0182452000, 0.0182567000, 0.0182834000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.001745800, -0.001800500, -0.001926700, -0.001833700, -0.001619500, -0.001125600, 1.2835066e-05");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0087070000;
-        }
-        pin ("Y") {
-            direction : "output";
-            function : "(!A1&!A2&!A3) | (!B1&!B2)";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
-                    values("0.0345127000, 0.0332180000, 0.0299230000, 0.0211787000, -0.001287300, -0.059728500, -0.212273800", \
-                        "0.0340475000, 0.0327624000, 0.0294331000, 0.0207095000, -0.001762300, -0.060183600, -0.212601100", \
-                        "0.0334474000, 0.0321824000, 0.0288096000, 0.0202009000, -0.002172200, -0.060694500, -0.213201400", \
-                        "0.0329806000, 0.0317009000, 0.0283812000, 0.0196568000, -0.002789900, -0.061107100, -0.213449500", \
-                        "0.0326278000, 0.0313450000, 0.0280667000, 0.0195451000, -0.002800400, -0.061151700, -0.213671500", \
-                        "0.0327239000, 0.0314313000, 0.0281450000, 0.0192910000, -0.003297500, -0.061707500, -0.213980200", \
-                        "0.0355252000, 0.0342167000, 0.0307949000, 0.0219711000, -0.000976700, -0.060247400, -0.213221300");
-                }
-                related_pin : "A1";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
-                    values("0.0669955000, 0.0683560000, 0.0716935000, 0.0804267000, 0.1031608000, 0.1617628000, 0.3133855000", \
-                        "0.0664714000, 0.0677558000, 0.0711613000, 0.0800131000, 0.1027167000, 0.1614102000, 0.3130823000", \
-                        "0.0657432000, 0.0672523000, 0.0705277000, 0.0794216000, 0.1022995000, 0.1610793000, 0.3129967000", \
-                        "0.0652044000, 0.0664741000, 0.0699337000, 0.0789070000, 0.1017333000, 0.1606642000, 0.3127250000", \
-                        "0.0646385000, 0.0659424000, 0.0693394000, 0.0781185000, 0.1009948000, 0.1598506000, 0.3120094000", \
-                        "0.0643939000, 0.0657493000, 0.0690244000, 0.0779672000, 0.1005400000, 0.1595654000, 0.3114826000", \
-                        "0.0637495000, 0.0651534000, 0.0689613000, 0.0783318000, 0.1012411000, 0.1598400000, 0.3117722000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
-                    values("0.0287912000, 0.0275086000, 0.0242443000, 0.0155855000, -0.006792800, -0.065476400, -0.218131100", \
-                        "0.0286727000, 0.0273901000, 0.0241349000, 0.0155068000, -0.006892200, -0.065504300, -0.218183400", \
-                        "0.0283357000, 0.0270633000, 0.0237784000, 0.0151978000, -0.007149200, -0.065612500, -0.218365900", \
-                        "0.0276879000, 0.0263862000, 0.0231783000, 0.0146488000, -0.007625900, -0.065917600, -0.218548400", \
-                        "0.0268126000, 0.0255293000, 0.0222788000, 0.0139828000, -0.008177000, -0.066164800, -0.218806600", \
-                        "0.0269441000, 0.0256660000, 0.0223917000, 0.0137456000, -0.008831300, -0.067128700, -0.219056000", \
-                        "0.0289944000, 0.0277122000, 0.0242878000, 0.0155648000, -0.007211000, -0.066249600, -0.219259800");
-                }
-                related_pin : "A2";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
-                    values("0.0582765000, 0.0595533000, 0.0629435000, 0.0717570000, 0.0944415000, 0.1530367000, 0.3048388000", \
-                        "0.0576401000, 0.0590264000, 0.0624278000, 0.0712133000, 0.0940197000, 0.1526860000, 0.3042664000", \
-                        "0.0567585000, 0.0582696000, 0.0615724000, 0.0704792000, 0.0933686000, 0.1524677000, 0.3040001000", \
-                        "0.0561413000, 0.0574805000, 0.0607305000, 0.0696624000, 0.0926494000, 0.1516626000, 0.3038533000", \
-                        "0.0554207000, 0.0567217000, 0.0600729000, 0.0689485000, 0.0918638000, 0.1509370000, 0.3033154000", \
-                        "0.0554005000, 0.0566524000, 0.0599861000, 0.0690711000, 0.0917371000, 0.1504866000, 0.3026250000", \
-                        "0.0564215000, 0.0577032000, 0.0609004000, 0.0695175000, 0.0924083000, 0.1506142000, 0.3031254000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
-                    values("0.0211797000, 0.0199340000, 0.0166707000, 0.0081186000, -0.014277100, -0.072965600, -0.226026700", \
-                        "0.0211159000, 0.0198925000, 0.0167007000, 0.0082120000, -0.014066800, -0.072638500, -0.225554400", \
-                        "0.0208599000, 0.0196451000, 0.0165419000, 0.0081136000, -0.014006300, -0.072430200, -0.225264000", \
-                        "0.0201889000, 0.0189881000, 0.0158913000, 0.0076028000, -0.014356200, -0.072431900, -0.225059200", \
-                        "0.0193992000, 0.0181967000, 0.0150703000, 0.0066859000, -0.015099100, -0.072877300, -0.225249200", \
-                        "0.0196325000, 0.0182784000, 0.0149665000, 0.0065702000, -0.015759100, -0.073999800, -0.225778100", \
-                        "0.0220273000, 0.0207077000, 0.0170610000, 0.0084416000, -0.014564500, -0.073412600, -0.226185900");
-                }
-                related_pin : "A3";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
-                    values("0.0497270000, 0.0510053000, 0.0544311000, 0.0632882000, 0.0860527000, 0.1447346000, 0.2965584000", \
-                        "0.0487642000, 0.0502832000, 0.0534980000, 0.0624965000, 0.0854266000, 0.1443306000, 0.2958851000", \
-                        "0.0474610000, 0.0489171000, 0.0523407000, 0.0613211000, 0.0844777000, 0.1437470000, 0.2955945000", \
-                        "0.0464239000, 0.0478462000, 0.0512086000, 0.0603111000, 0.0834214000, 0.1428023000, 0.2950125000", \
-                        "0.0462426000, 0.0475865000, 0.0509483000, 0.0597831000, 0.0822104000, 0.1412933000, 0.2943264000", \
-                        "0.0484784000, 0.0497347000, 0.0531144000, 0.0618488000, 0.0847541000, 0.1415251000, 0.2931228000", \
-                        "0.0555177000, 0.0566994000, 0.0575593000, 0.0655306000, 0.0887282000, 0.1464065000, 0.2954459000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
-                    values("0.0211092000, 0.0198347000, 0.0165468000, 0.0078357000, -0.014531800, -0.072964900, -0.225374300", \
-                        "0.0206560000, 0.0193800000, 0.0161150000, 0.0074390000, -0.014851100, -0.073310700, -0.225806400", \
-                        "0.0201813000, 0.0189155000, 0.0156170000, 0.0070277000, -0.015290500, -0.073537000, -0.225785300", \
-                        "0.0193617000, 0.0181131000, 0.0148676000, 0.0062727000, -0.016007300, -0.073962300, -0.226249800", \
-                        "0.0191386000, 0.0178138000, 0.0145117000, 0.0059267000, -0.016294100, -0.074462400, -0.226464800", \
-                        "0.0195343000, 0.0182555000, 0.0149117000, 0.0061696000, -0.017090500, -0.075255300, -0.227103400", \
-                        "0.0232009000, 0.0218666000, 0.0184166000, 0.0096408000, -0.012765000, -0.073041800, -0.227086300");
-                }
-                related_pin : "B1";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
-                    values("0.0338398000, 0.0352719000, 0.0389025000, 0.0480205000, 0.0708695000, 0.1293188000, 0.2805288000", \
-                        "0.0330024000, 0.0344561000, 0.0381976000, 0.0474325000, 0.0705962000, 0.1293385000, 0.2807274000", \
-                        "0.0321223000, 0.0335228000, 0.0371309000, 0.0464148000, 0.0697904000, 0.1289245000, 0.2806819000", \
-                        "0.0315209000, 0.0328141000, 0.0363395000, 0.0453770000, 0.0686000000, 0.1280875000, 0.2801807000", \
-                        "0.0309993000, 0.0322921000, 0.0357728000, 0.0446467000, 0.0674833000, 0.1266598000, 0.2791466000", \
-                        "0.0310422000, 0.0323306000, 0.0356698000, 0.0444801000, 0.0673438000, 0.1262063000, 0.2779546000", \
-                        "0.0327379000, 0.0339212000, 0.0370094000, 0.0456964000, 0.0684069000, 0.1264110000, 0.2791932000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
-                    values("0.0138108000, 0.0126137000, 0.0093994000, 0.0009592000, -0.021275000, -0.079549100, -0.232181700", \
-                        "0.0137279000, 0.0125367000, 0.0093447000, 0.0009344000, -0.021107200, -0.079233000, -0.231711600", \
-                        "0.0136163000, 0.0123802000, 0.0092261000, 0.0008310000, -0.021168400, -0.079086800, -0.231401500", \
-                        "0.0131038000, 0.0118807000, 0.0086542000, 0.0002614000, -0.021700300, -0.079481300, -0.231576400", \
-                        "0.0126518000, 0.0113822000, 0.0081042000, -0.000453400, -0.022231800, -0.080295200, -0.232144100", \
-                        "0.0126887000, 0.0114097000, 0.0080678000, -0.000485500, -0.023000700, -0.081051600, -0.233131600", \
-                        "0.0160245000, 0.0147179000, 0.0111707000, 0.0023248000, -0.020950800, -0.079379600, -0.233327000");
-                }
-                related_pin : "B2";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
-                    values("0.0245711000, 0.0260838000, 0.0298386000, 0.0390683000, 0.0621262000, 0.1205688000, 0.2718514000", \
-                        "0.0235387000, 0.0250230000, 0.0287955000, 0.0382725000, 0.0616512000, 0.1205787000, 0.2720410000", \
-                        "0.0226046000, 0.0240320000, 0.0277634000, 0.0370238000, 0.0606010000, 0.1202232000, 0.2719009000", \
-                        "0.0221033000, 0.0234601000, 0.0269762000, 0.0361319000, 0.0594441000, 0.1189266000, 0.2714005000", \
-                        "0.0223061000, 0.0236307000, 0.0269500000, 0.0356090000, 0.0586531000, 0.1176695000, 0.2702767000", \
-                        "0.0245330000, 0.0257698000, 0.0290019000, 0.0376949000, 0.0597971000, 0.1187747000, 0.2688978000", \
-                        "0.0307565000, 0.0322582000, 0.0356743000, 0.0425767000, 0.0636384000, 0.1206441000, 0.2738738000");
-                }
-            }
-            max_capacitance : 0.1538190000;
-            max_transition : 1.5101650000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
-                    values("0.0634559000, 0.0653257000, 0.0700737000, 0.0814931000, 0.1092210000, 0.1765194000, 0.3435645000", \
-                        "0.0678179000, 0.0696024000, 0.0743106000, 0.0858012000, 0.1134854000, 0.1807836000, 0.3478599000", \
-                        "0.0769281000, 0.0788078000, 0.0834429000, 0.0949166000, 0.1227964000, 0.1898089000, 0.3570086000", \
-                        "0.0948407000, 0.0966748000, 0.1011260000, 0.1126693000, 0.1401080000, 0.2073085000, 0.3745437000", \
-                        "0.1250314000, 0.1272429000, 0.1320560000, 0.1460141000, 0.1764944000, 0.2463092000, 0.4136265000", \
-                        "0.1626124000, 0.1657212000, 0.1738022000, 0.1914417000, 0.2333184000, 0.3185578000, 0.5014621000", \
-                        "0.1828071000, 0.1875033000, 0.1992948000, 0.2272980000, 0.2899480000, 0.4174018000, 0.6581006000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
-                    values("0.2198283000, 0.2248810000, 0.2383767000, 0.2740121000, 0.3640344000, 0.5968951000, 1.1972150000", \
-                        "0.2237695000, 0.2291937000, 0.2431259000, 0.2785376000, 0.3693755000, 0.6014671000, 1.2029181000", \
-                        "0.2346847000, 0.2390264000, 0.2541418000, 0.2900045000, 0.3808314000, 0.6143990000, 1.2159037000", \
-                        "0.2591881000, 0.2644198000, 0.2779360000, 0.3132827000, 0.4047160000, 0.6390204000, 1.2405967000", \
-                        "0.3095353000, 0.3144977000, 0.3280957000, 0.3634582000, 0.4550162000, 0.6890651000, 1.2936050000", \
-                        "0.4062264000, 0.4122019000, 0.4277752000, 0.4657046000, 0.5620601000, 0.7949891000, 1.3972660000", \
-                        "0.5705038000, 0.5775878000, 0.5953855000, 0.6456517000, 0.7601581000, 1.0236374000, 1.6359581000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
-                    values("0.0526568000, 0.0546747000, 0.0599266000, 0.0736434000, 0.1082335000, 0.1968394000, 0.4273771000", \
-                        "0.0524067000, 0.0544726000, 0.0597538000, 0.0734431000, 0.1080978000, 0.1966541000, 0.4276282000", \
-                        "0.0518484000, 0.0539271000, 0.0592958000, 0.0730021000, 0.1077174000, 0.1966370000, 0.4273623000", \
-                        "0.0540352000, 0.0560228000, 0.0610607000, 0.0741103000, 0.1081550000, 0.1963484000, 0.4271926000", \
-                        "0.0676596000, 0.0695809000, 0.0749001000, 0.0876360000, 0.1193970000, 0.2018121000, 0.4275903000", \
-                        "0.1025832000, 0.1048789000, 0.1112142000, 0.1250901000, 0.1602129000, 0.2400776000, 0.4474226000", \
-                        "0.1717172000, 0.1751251000, 0.1835107000, 0.2027989000, 0.2472318000, 0.3423278000, 0.5526390000");
-                }
-                related_pin : "A1";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
-                    values("0.1570056000, 0.1642768000, 0.1823515000, 0.2302506000, 0.3526545000, 0.6696890000, 1.4902223000", \
-                        "0.1567360000, 0.1638958000, 0.1820015000, 0.2298328000, 0.3526091000, 0.6686884000, 1.4915970000", \
-                        "0.1566349000, 0.1648002000, 0.1824968000, 0.2298362000, 0.3526142000, 0.6691201000, 1.4877912000", \
-                        "0.1570419000, 0.1636996000, 0.1822997000, 0.2306843000, 0.3527008000, 0.6696984000, 1.4894804000", \
-                        "0.1594688000, 0.1665435000, 0.1839920000, 0.2305556000, 0.3533758000, 0.6705136000, 1.4891360000", \
-                        "0.1840823000, 0.1911377000, 0.2083335000, 0.2547940000, 0.3679031000, 0.6731636000, 1.4870671000", \
-                        "0.2444722000, 0.2521253000, 0.2712983000, 0.3197688000, 0.4393245000, 0.7312903000, 1.5034490000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
-                    values("0.0598996000, 0.0615359000, 0.0658675000, 0.0761600000, 0.1016371000, 0.1652302000, 0.3268520000", \
-                        "0.0645591000, 0.0661788000, 0.0705280000, 0.0807398000, 0.1062795000, 0.1699381000, 0.3315876000", \
-                        "0.0740976000, 0.0756163000, 0.0797866000, 0.0901792000, 0.1158812000, 0.1793477000, 0.3409175000", \
-                        "0.0918103000, 0.0933814000, 0.0978272000, 0.1086300000, 0.1342642000, 0.1978993000, 0.3597883000", \
-                        "0.1191609000, 0.1213417000, 0.1269325000, 0.1404504000, 0.1705686000, 0.2385698000, 0.4009462000", \
-                        "0.1478484000, 0.1511010000, 0.1592288000, 0.1793142000, 0.2220431000, 0.3109116000, 0.4942142000", \
-                        "0.1478173000, 0.1533791000, 0.1664121000, 0.1965742000, 0.2645361000, 0.4001763000, 0.6494170000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
-                    values("0.2060386000, 0.2113910000, 0.2253440000, 0.2603997000, 0.3511886000, 0.5838098000, 1.1841556000", \
-                        "0.2088612000, 0.2144671000, 0.2283427000, 0.2629296000, 0.3542369000, 0.5874065000, 1.1880903000", \
-                        "0.2183804000, 0.2226237000, 0.2378544000, 0.2733415000, 0.3652486000, 0.5980642000, 1.1994494000", \
-                        "0.2429254000, 0.2491211000, 0.2631975000, 0.2985512000, 0.3901780000, 0.6245234000, 1.2267469000", \
-                        "0.3010002000, 0.3068200000, 0.3199939000, 0.3555556000, 0.4466766000, 0.6811719000, 1.2842593000", \
-                        "0.4215279000, 0.4281659000, 0.4438248000, 0.4853479000, 0.5820750000, 0.8160305000, 1.4187937000", \
-                        "0.6311591000, 0.6401612000, 0.6627107000, 0.7178666000, 0.8462167000, 1.1190462000, 1.7351607000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
-                    values("0.0416919000, 0.0436366000, 0.0486437000, 0.0614970000, 0.0947322000, 0.1814062000, 0.4068555000", \
-                        "0.0416969000, 0.0436159000, 0.0486317000, 0.0615173000, 0.0948434000, 0.1813864000, 0.4069075000", \
-                        "0.0415932000, 0.0435299000, 0.0485317000, 0.0614529000, 0.0946641000, 0.1811890000, 0.4070174000", \
-                        "0.0448133000, 0.0467081000, 0.0511152000, 0.0633430000, 0.0954962000, 0.1813513000, 0.4065814000", \
-                        "0.0599517000, 0.0618388000, 0.0667616000, 0.0793501000, 0.1097351000, 0.1883419000, 0.4075401000", \
-                        "0.0962995000, 0.0987672000, 0.1048439000, 0.1198558000, 0.1539510000, 0.2333925000, 0.4324732000", \
-                        "0.1656964000, 0.1689564000, 0.1784920000, 0.2005709000, 0.2462021000, 0.3435820000, 0.5470427000");
-                }
-                related_pin : "A2";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
-                    values("0.1567602000, 0.1639096000, 0.1819757000, 0.2298642000, 0.3525033000, 0.6692148000, 1.4915819000", \
-                        "0.1567655000, 0.1639877000, 0.1821962000, 0.2299755000, 0.3526098000, 0.6709591000, 1.4873838000", \
-                        "0.1566157000, 0.1648403000, 0.1825320000, 0.2299705000, 0.3526985000, 0.6689391000, 1.4848284000", \
-                        "0.1573045000, 0.1640928000, 0.1820554000, 0.2298357000, 0.3526330000, 0.6709037000, 1.4915337000", \
-                        "0.1618326000, 0.1688797000, 0.1857155000, 0.2311088000, 0.3532922000, 0.6685512000, 1.4863508000", \
-                        "0.1996020000, 0.2067576000, 0.2235016000, 0.2654152000, 0.3738293000, 0.6733404000, 1.4892765000", \
-                        "0.2900615000, 0.2973877000, 0.3162180000, 0.3634872000, 0.4827837000, 0.7465049000, 1.5033821000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
-                    values("0.0458665000, 0.0474218000, 0.0512970000, 0.0607423000, 0.0839245000, 0.1422340000, 0.2913739000", \
-                        "0.0507340000, 0.0521896000, 0.0561530000, 0.0655117000, 0.0888533000, 0.1472680000, 0.2962445000", \
-                        "0.0605617000, 0.0621186000, 0.0660622000, 0.0755574000, 0.0991891000, 0.1577763000, 0.3068745000", \
-                        "0.0779344000, 0.0796842000, 0.0843266000, 0.0947308000, 0.1190141000, 0.1778749000, 0.3274388000", \
-                        "0.1005320000, 0.1030143000, 0.1095016000, 0.1235135000, 0.1551888000, 0.2215607000, 0.3725398000", \
-                        "0.1167183000, 0.1209041000, 0.1305330000, 0.1524252000, 0.2003993000, 0.2933072000, 0.4721626000", \
-                        "0.0952932000, 0.1014104000, 0.1164547000, 0.1538644000, 0.2285788000, 0.3740253000, 0.6297182000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
-                    values("0.1647324000, 0.1703224000, 0.1832449000, 0.2196188000, 0.3099044000, 0.5428016000, 1.1432353000", \
-                        "0.1659165000, 0.1707974000, 0.1853043000, 0.2214799000, 0.3126162000, 0.5457569000, 1.1465651000", \
-                        "0.1725368000, 0.1781564000, 0.1919072000, 0.2286517000, 0.3205957000, 0.5546860000, 1.1570772000", \
-                        "0.1954652000, 0.2009037000, 0.2152845000, 0.2503835000, 0.3432795000, 0.5782637000, 1.1811140000", \
-                        "0.2593066000, 0.2643418000, 0.2776627000, 0.3128824000, 0.4017976000, 0.6366405000, 1.2401778000", \
-                        "0.3952213000, 0.4019998000, 0.4191159000, 0.4604287000, 0.5540794000, 0.7796800000, 1.3811158000", \
-                        "0.6171946000, 0.6277217000, 0.6520804000, 0.7103356000, 0.8457113000, 1.1273439000, 1.7245027000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
-                    values("0.0296031000, 0.0313444000, 0.0357935000, 0.0476216000, 0.0782985000, 0.1580673000, 0.3660032000", \
-                        "0.0295309000, 0.0312678000, 0.0357772000, 0.0476371000, 0.0782991000, 0.1580126000, 0.3657256000", \
-                        "0.0295022000, 0.0312804000, 0.0357522000, 0.0475746000, 0.0783229000, 0.1581364000, 0.3659608000", \
-                        "0.0354624000, 0.0370795000, 0.0412579000, 0.0514992000, 0.0800763000, 0.1581108000, 0.3657758000", \
-                        "0.0528915000, 0.0547112000, 0.0593158000, 0.0709468000, 0.0989027000, 0.1685820000, 0.3669045000", \
-                        "0.0897749000, 0.0922227000, 0.0983631000, 0.1136274000, 0.1470062000, 0.2217774000, 0.3987694000", \
-                        "0.1590398000, 0.1626734000, 0.1717102000, 0.1946208000, 0.2414539000, 0.3366144000, 0.5280526000");
-                }
-                related_pin : "A3";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
-                    values("0.1568235000, 0.1636200000, 0.1822121000, 0.2302752000, 0.3526028000, 0.6687254000, 1.4861015000", \
-                        "0.1565243000, 0.1642830000, 0.1822509000, 0.2303084000, 0.3524857000, 0.6684617000, 1.4849265000", \
-                        "0.1567935000, 0.1641793000, 0.1824399000, 0.2294858000, 0.3527821000, 0.6683067000, 1.4915715000", \
-                        "0.1554622000, 0.1629198000, 0.1813062000, 0.2295506000, 0.3528471000, 0.6706558000, 1.4864945000", \
-                        "0.1621227000, 0.1687968000, 0.1859036000, 0.2306829000, 0.3512898000, 0.6685068000, 1.4907353000", \
-                        "0.2132370000, 0.2205459000, 0.2388699000, 0.2805025000, 0.3819971000, 0.6741649000, 1.4920574000", \
-                        "0.3042253000, 0.3142086000, 0.3394526000, 0.3967982000, 0.5190539000, 0.7858444000, 1.5101654000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
-                    values("0.0513215000, 0.0531678000, 0.0579121000, 0.0692654000, 0.0971513000, 0.1640971000, 0.3313117000", \
-                        "0.0550856000, 0.0569626000, 0.0616862000, 0.0730860000, 0.1010326000, 0.1680844000, 0.3352175000", \
-                        "0.0647423000, 0.0666198000, 0.0712442000, 0.0827935000, 0.1104631000, 0.1778053000, 0.3450521000", \
-                        "0.0893068000, 0.0911897000, 0.0955587000, 0.1064096000, 0.1334020000, 0.2004430000, 0.3677239000", \
-                        "0.1259152000, 0.1285069000, 0.1352122000, 0.1509234000, 0.1850745000, 0.2550060000, 0.4217377000", \
-                        "0.1635055000, 0.1674175000, 0.1765458000, 0.1995909000, 0.2530406000, 0.3541399000, 0.5471835000", \
-                        "0.1788392000, 0.1846342000, 0.1991058000, 0.2336794000, 0.3109369000, 0.4695788000, 0.7621294000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
-                    values("0.0792204000, 0.0834163000, 0.0939052000, 0.1199934000, 0.1859499000, 0.3524727000, 0.7822319000", \
-                        "0.0831628000, 0.0873329000, 0.0979320000, 0.1244350000, 0.1908667000, 0.3576772000, 0.7891137000", \
-                        "0.0947185000, 0.0987072000, 0.1090248000, 0.1356374000, 0.2027744000, 0.3713541000, 0.8052640000", \
-                        "0.1217184000, 0.1255421000, 0.1357804000, 0.1617414000, 0.2281990000, 0.3981131000, 0.8301085000", \
-                        "0.1698880000, 0.1751796000, 0.1881236000, 0.2184820000, 0.2858575000, 0.4544796000, 0.8884484000", \
-                        "0.2502680000, 0.2581948000, 0.2762763000, 0.3180836000, 0.4093902000, 0.5906166000, 1.0245110000", \
-                        "0.3842713000, 0.3961251000, 0.4246823000, 0.4880846000, 0.6185492000, 0.8623986000, 1.3428663000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
-                    values("0.0516550000, 0.0536827000, 0.0589770000, 0.0726792000, 0.1073196000, 0.1965140000, 0.4272577000", \
-                        "0.0517493000, 0.0537786000, 0.0591125000, 0.0727891000, 0.1073759000, 0.1966382000, 0.4273292000", \
-                        "0.0502483000, 0.0523155000, 0.0578549000, 0.0720081000, 0.1073861000, 0.1963085000, 0.4270915000", \
-                        "0.0567047000, 0.0584129000, 0.0631861000, 0.0751971000, 0.1077845000, 0.1960623000, 0.4271190000", \
-                        "0.0784322000, 0.0809395000, 0.0871288000, 0.1016173000, 0.1334942000, 0.2082171000, 0.4272521000", \
-                        "0.1214887000, 0.1251885000, 0.1342296000, 0.1547802000, 0.1958149000, 0.2838720000, 0.4693759000", \
-                        "0.1949400000, 0.2003322000, 0.2135885000, 0.2441496000, 0.3087761000, 0.4294281000, 0.6503731000");
-                }
-                related_pin : "B1";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
-                    values("0.0967887000, 0.1019099000, 0.1151702000, 0.1495030000, 0.2376917000, 0.4639955000, 1.0527158000", \
-                        "0.0968391000, 0.1019198000, 0.1151739000, 0.1495078000, 0.2376349000, 0.4638414000, 1.0515320000", \
-                        "0.0969174000, 0.1019885000, 0.1152463000, 0.1495194000, 0.2376658000, 0.4638747000, 1.0532438000", \
-                        "0.0993821000, 0.1040104000, 0.1163640000, 0.1497975000, 0.2376218000, 0.4642853000, 1.0525668000", \
-                        "0.1202482000, 0.1239684000, 0.1344283000, 0.1635489000, 0.2436354000, 0.4640641000, 1.0520377000", \
-                        "0.1728244000, 0.1769312000, 0.1879715000, 0.2161414000, 0.2880447000, 0.4838429000, 1.0521723000", \
-                        "0.2770544000, 0.2818396000, 0.2932750000, 0.3252684000, 0.4035652000, 0.5930438000, 1.0968682000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
-                    values("0.0398049000, 0.0419475000, 0.0472208000, 0.0599396000, 0.0900344000, 0.1617752000, 0.3403261000", \
-                        "0.0440104000, 0.0462057000, 0.0513729000, 0.0642556000, 0.0944078000, 0.1664387000, 0.3451251000", \
-                        "0.0547086000, 0.0567092000, 0.0619766000, 0.0743065000, 0.1045272000, 0.1766478000, 0.3554658000", \
-                        "0.0797085000, 0.0819111000, 0.0873939000, 0.0997102000, 0.1285689000, 0.2004095000, 0.3794376000", \
-                        "0.1108533000, 0.1140804000, 0.1219742000, 0.1400626000, 0.1794418000, 0.2548627000, 0.4333636000", \
-                        "0.1407719000, 0.1455024000, 0.1570480000, 0.1838468000, 0.2424166000, 0.3558498000, 0.5599824000", \
-                        "0.1441490000, 0.1511124000, 0.1683414000, 0.2084562000, 0.2968317000, 0.4689596000, 0.7824718000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
-                    values("0.0558125000, 0.0600677000, 0.0707630000, 0.0975658000, 0.1635061000, 0.3322808000, 0.7619566000", \
-                        "0.0579974000, 0.0621648000, 0.0729793000, 0.0999973000, 0.1671560000, 0.3342411000, 0.7657153000", \
-                        "0.0677964000, 0.0717915000, 0.0824206000, 0.1087485000, 0.1761958000, 0.3464983000, 0.7770790000", \
-                        "0.0958815000, 0.1000091000, 0.1095686000, 0.1352561000, 0.2006917000, 0.3703770000, 0.8036033000", \
-                        "0.1441102000, 0.1504186000, 0.1655108000, 0.1981660000, 0.2663888000, 0.4331353000, 0.8674768000", \
-                        "0.2225903000, 0.2318431000, 0.2542661000, 0.3053086000, 0.4039866000, 0.5873463000, 1.0143826000", \
-                        "0.3625560000, 0.3751406000, 0.4066645000, 0.4785030000, 0.6261088000, 0.8996188000, 1.3742841000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
-                    values("0.0470078000, 0.0491327000, 0.0546222000, 0.0690100000, 0.1056936000, 0.2004551000, 0.4473643000", \
-                        "0.0466672000, 0.0489236000, 0.0545125000, 0.0691108000, 0.1057072000, 0.2004564000, 0.4473489000", \
-                        "0.0453892000, 0.0474414000, 0.0531182000, 0.0676620000, 0.1053384000, 0.2004825000, 0.4472024000", \
-                        "0.0544414000, 0.0561023000, 0.0609553000, 0.0726371000, 0.1061115000, 0.1997632000, 0.4472468000", \
-                        "0.0756354000, 0.0783049000, 0.0849273000, 0.1003153000, 0.1345478000, 0.2121296000, 0.4467409000", \
-                        "0.1171367000, 0.1209469000, 0.1303795000, 0.1524906000, 0.1984094000, 0.2868873000, 0.4863498000", \
-                        "0.1910377000, 0.1964955000, 0.2109367000, 0.2441011000, 0.3109850000, 0.4416512000, 0.6687170000");
-                }
-                related_pin : "B2";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
-                    values("0.0970667000, 0.1021988000, 0.1155891000, 0.1499743000, 0.2381218000, 0.4647097000, 1.0535203000", \
-                        "0.0969241000, 0.1020286000, 0.1154806000, 0.1499480000, 0.2381197000, 0.4644866000, 1.0531522000", \
-                        "0.0960438000, 0.1014145000, 0.1150225000, 0.1498218000, 0.2381010000, 0.4644991000, 1.0531443000", \
-                        "0.1045844000, 0.1086751000, 0.1198898000, 0.1506244000, 0.2378198000, 0.4646515000, 1.0537832000", \
-                        "0.1429665000, 0.1451605000, 0.1529327000, 0.1769429000, 0.2482767000, 0.4642505000, 1.0523895000", \
-                        "0.2052948000, 0.2099460000, 0.2221750000, 0.2520701000, 0.3174375000, 0.4949549000, 1.0521913000", \
-                        "0.3140391000, 0.3207013000, 0.3368382000, 0.3770839000, 0.4705830000, 0.6600374000, 1.1234288000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-        }
-    }
-
-/* removed sky130_fd_sc_hd__o41a_1 */
-
-/* removed sky130_fd_sc_hd__o41a_2 */
-
-    cell ("sky130_fd_sc_hd__o41a_4") {
-        leakage_power () {
-            value : 0.0078470000;
-            when : "!A1&!A2&!A3&!A4&B1";
-        }
-        leakage_power () {
-            value : 0.0048416000;
-            when : "!A1&!A2&!A3&!A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0083431000;
-            when : "!A1&!A2&!A3&A4&B1";
-        }
-        leakage_power () {
-            value : 0.0054212000;
-            when : "!A1&!A2&!A3&A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0068856000;
-            when : "!A1&!A2&A3&!A4&B1";
-        }
-        leakage_power () {
-            value : 0.0054212000;
-            when : "!A1&!A2&A3&!A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0049030000;
-            when : "!A1&!A2&A3&A4&B1";
-        }
-        leakage_power () {
-            value : 0.0054225000;
-            when : "!A1&!A2&A3&A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0066887000;
-            when : "!A1&A2&!A3&!A4&B1";
-        }
-        leakage_power () {
-            value : 0.0054209000;
-            when : "!A1&A2&!A3&!A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0048914000;
-            when : "!A1&A2&!A3&A4&B1";
-        }
-        leakage_power () {
-            value : 0.0054218000;
-            when : "!A1&A2&!A3&A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0048945000;
-            when : "!A1&A2&A3&!A4&B1";
-        }
-        leakage_power () {
-            value : 0.0054189000;
-            when : "!A1&A2&A3&!A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0047815000;
-            when : "!A1&A2&A3&A4&B1";
-        }
-        leakage_power () {
-            value : 0.0054229000;
-            when : "!A1&A2&A3&A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0060117000;
-            when : "A1&!A2&!A3&!A4&B1";
-        }
-        leakage_power () {
-            value : 0.0054212000;
-            when : "A1&!A2&!A3&!A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0048222000;
-            when : "A1&!A2&!A3&A4&B1";
-        }
-        leakage_power () {
-            value : 0.0054182000;
-            when : "A1&!A2&!A3&A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0051636000;
-            when : "A1&!A2&A3&!A4&B1";
-        }
-        leakage_power () {
-            value : 0.0054218000;
-            when : "A1&!A2&A3&!A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0077229000;
-            when : "A1&!A2&A3&A4&B1";
-        }
-        leakage_power () {
-            value : 0.0054226000;
-            when : "A1&!A2&A3&A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0048391000;
-            when : "A1&A2&!A3&!A4&B1";
-        }
-        leakage_power () {
-            value : 0.0054212000;
-            when : "A1&A2&!A3&!A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0050057000;
-            when : "A1&A2&!A3&A4&B1";
-        }
-        leakage_power () {
-            value : 0.0054252000;
-            when : "A1&A2&!A3&A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0446182000;
-            when : "A1&A2&A3&!A4&B1";
-        }
-        leakage_power () {
-            value : 0.0054272000;
-            when : "A1&A2&A3&!A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0076984000;
-            when : "A1&A2&A3&A4&B1";
-        }
-        leakage_power () {
-            value : 0.0054248000;
-            when : "A1&A2&A3&A4&!B1";
-        }
-        area : 21.270400000;
-        cell_footprint : "sky130_fd_sc_hd__o41a";
-        cell_leakage_power : 0.0069153160;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("A1") {
-            capacitance : 0.0044650000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0042480000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0080646000, 0.0080569000, 0.0080392000, 0.0080422000, 0.0080492000, 0.0080654000, 0.0081028000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.008021600, -0.008019300, -0.008013800, -0.008010300, -0.008002100, -0.007983300, -0.007939900");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0046820000;
-        }
-        pin ("A2") {
-            capacitance : 0.0044620000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0041540000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0080316000, 0.0080303000, 0.0080273000, 0.0080288000, 0.0080320000, 0.0080394000, 0.0080567000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.008003900, -0.008001300, -0.007995200, -0.007993900, -0.007990900, -0.007984000, -0.007968100");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0047700000;
-        }
-        pin ("A3") {
-            capacitance : 0.0041920000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0038750000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0079123000, 0.0079071000, 0.0078952000, 0.0078955000, 0.0078960000, 0.0078971000, 0.0079000000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.007889800, -0.007884800, -0.007873400, -0.007872500, -0.007870300, -0.007865400, -0.007854000");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0045090000;
-        }
-        pin ("A4") {
-            capacitance : 0.0042080000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0038560000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0078895000, 0.0078851000, 0.0078750000, 0.0078757000, 0.0078773000, 0.0078810000, 0.0078895000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.007856100, -0.007853800, -0.007848600, -0.007844900, -0.007836300, -0.007816400, -0.007770500");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0045590000;
-        }
-        pin ("B1") {
-            capacitance : 0.0044470000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0043050000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0093262000, 0.0093268000, 0.0093283000, 0.0093259000, 0.0093206000, 0.0093083000, 0.0092799000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.000791800, -0.000838700, -0.000946600, -0.000884800, -0.000742200, -0.000413600, 0.0003440000");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0045900000;
-        }
-        pin ("X") {
-            direction : "output";
-            function : "(A1&B1) | (A2&B1) | (A3&B1) | (A4&B1)";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000");
-                    values("0.0439783000, 0.0420588000, 0.0363499000, 0.0206423000, -0.032700900, -0.216793700, -0.822394600", \
-                        "0.0434283000, 0.0414422000, 0.0358783000, 0.0201095000, -0.033098600, -0.216902800, -0.822340600", \
-                        "0.0430692000, 0.0411515000, 0.0356104000, 0.0198103000, -0.033166600, -0.217192500, -0.823002300", \
-                        "0.0428186000, 0.0408255000, 0.0352140000, 0.0194362000, -0.033823700, -0.217613300, -0.823036100", \
-                        "0.0425683000, 0.0406415000, 0.0350768000, 0.0192229000, -0.034028800, -0.218092300, -0.823637700", \
-                        "0.0423393000, 0.0403639000, 0.0347474000, 0.0188939000, -0.034320300, -0.218315600, -0.823822900", \
-                        "0.0492557000, 0.0471022000, 0.0407517000, 0.0218911000, -0.035200600, -0.218704500, -0.824031100");
-                }
-                related_pin : "A1";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000");
-                    values("0.0403625000, 0.0423646000, 0.0487949000, 0.0687762000, 0.1295633000, 0.3183138000, 0.9190766000", \
-                        "0.0400714000, 0.0421021000, 0.0485029000, 0.0685753000, 0.1294449000, 0.3183636000, 0.9183605000", \
-                        "0.0398104000, 0.0417908000, 0.0482347000, 0.0682545000, 0.1290435000, 0.3181637000, 0.9185025000", \
-                        "0.0394890000, 0.0414731000, 0.0479014000, 0.0680668000, 0.1287064000, 0.3173079000, 0.9228043000", \
-                        "0.0398670000, 0.0418956000, 0.0482104000, 0.0678781000, 0.1281317000, 0.3170033000, 0.9183726000", \
-                        "0.0419722000, 0.0437768000, 0.0496679000, 0.0682533000, 0.1275311000, 0.3155306000, 0.9172893000", \
-                        "0.0437613000, 0.0454915000, 0.0512630000, 0.0695798000, 0.1282973000, 0.3173296000, 0.9207710000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000");
-                    values("0.0390438000, 0.0370633000, 0.0315110000, 0.0157321000, -0.037645600, -0.221546700, -0.827086800", \
-                        "0.0385463000, 0.0365789000, 0.0309455000, 0.0156753000, -0.037640600, -0.221778300, -0.827494900", \
-                        "0.0382374000, 0.0363316000, 0.0306724000, 0.0149397000, -0.038343900, -0.222106100, -0.827604400", \
-                        "0.0378451000, 0.0359278000, 0.0303863000, 0.0145842000, -0.038396900, -0.222427800, -0.828214400", \
-                        "0.0375523000, 0.0358627000, 0.0301477000, 0.0144437000, -0.038937600, -0.223019900, -0.828435300", \
-                        "0.0375658000, 0.0360522000, 0.0301556000, 0.0142912000, -0.039183400, -0.223172000, -0.828700900", \
-                        "0.0452374000, 0.0431158000, 0.0367460000, 0.0177181000, -0.040324100, -0.223202200, -0.828618300");
-                }
-                related_pin : "A2";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000");
-                    values("0.0380630000, 0.0400615000, 0.0463119000, 0.0658966000, 0.1257634000, 0.3143191000, 0.9143748000", \
-                        "0.0380200000, 0.0400728000, 0.0462863000, 0.0658658000, 0.1257283000, 0.3142796000, 0.9144596000", \
-                        "0.0379782000, 0.0399219000, 0.0462261000, 0.0657185000, 0.1256797000, 0.3138374000, 0.9148175000", \
-                        "0.0376877000, 0.0396593000, 0.0459457000, 0.0655247000, 0.1253555000, 0.3134844000, 0.9149345000", \
-                        "0.0374958000, 0.0394235000, 0.0456765000, 0.0647142000, 0.1247714000, 0.3132616000, 0.9182063000", \
-                        "0.0389490000, 0.0407544000, 0.0466183000, 0.0654256000, 0.1240667000, 0.3119548000, 0.9128569000", \
-                        "0.0405450000, 0.0423042000, 0.0479534000, 0.0665072000, 0.1247702000, 0.3133675000, 0.9119709000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000");
-                    values("0.0343739000, 0.0324025000, 0.0268763000, 0.0110654000, -0.041872400, -0.225945300, -0.831625100", \
-                        "0.0342880000, 0.0322979000, 0.0266566000, 0.0109307000, -0.042362200, -0.226300700, -0.831842300", \
-                        "0.0337146000, 0.0318592000, 0.0262641000, 0.0104792000, -0.042734800, -0.226501200, -0.832214100", \
-                        "0.0334191000, 0.0314438000, 0.0258575000, 0.0100850000, -0.043179200, -0.226927900, -0.832288900", \
-                        "0.0329216000, 0.0310751000, 0.0255115000, 0.0097958000, -0.043366900, -0.227558300, -0.832903100", \
-                        "0.0331044000, 0.0311562000, 0.0259851000, 0.0099536000, -0.043370500, -0.227600000, -0.833159100", \
-                        "0.0423261000, 0.0401158000, 0.0335169000, 0.0141243000, -0.043586700, -0.227409700, -0.832938000");
-                }
-                related_pin : "A3";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000");
-                    values("0.0358202000, 0.0377941000, 0.0439090000, 0.0632301000, 0.1228587000, 0.3107045000, 0.9105037000", \
-                        "0.0358626000, 0.0378245000, 0.0440044000, 0.0632952000, 0.1229186000, 0.3107838000, 0.9112044000", \
-                        "0.0356858000, 0.0376373000, 0.0438611000, 0.0632530000, 0.1228485000, 0.3108195000, 0.9109318000", \
-                        "0.0354400000, 0.0374106000, 0.0435856000, 0.0629445000, 0.1224129000, 0.3107541000, 0.9151230000", \
-                        "0.0352527000, 0.0371666000, 0.0432604000, 0.0621232000, 0.1217293000, 0.3097939000, 0.9109627000", \
-                        "0.0356026000, 0.0374393000, 0.0434128000, 0.0619765000, 0.1208833000, 0.3079884000, 0.9133936000", \
-                        "0.0370423000, 0.0387471000, 0.0445285000, 0.0626394000, 0.1220228000, 0.3101518000, 0.9072910000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000");
-                    values("0.0296892000, 0.0277885000, 0.0221692000, 0.0064379000, -0.046818000, -0.230654100, -0.836031400", \
-                        "0.0294191000, 0.0274772000, 0.0218150000, 0.0061055000, -0.047102100, -0.231015600, -0.836423000", \
-                        "0.0290284000, 0.0270384000, 0.0214279000, 0.0057453000, -0.047487200, -0.231538900, -0.836995600", \
-                        "0.0284552000, 0.0264498000, 0.0208321000, 0.0052342000, -0.047985500, -0.231930400, -0.837501200", \
-                        "0.0282014000, 0.0262540000, 0.0206234000, 0.0049771000, -0.048299200, -0.232209100, -0.837777300", \
-                        "0.0287623000, 0.0267884000, 0.0212183000, 0.0054041000, -0.047528200, -0.231720600, -0.837305500", \
-                        "0.0414128000, 0.0392017000, 0.0325931000, 0.0123139000, -0.045360400, -0.229491200, -0.835169600");
-                }
-                related_pin : "A4";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000");
-                    values("0.0315990000, 0.0335710000, 0.0396948000, 0.0590487000, 0.1185276000, 0.3058755000, 0.9063555000", \
-                        "0.0316010000, 0.0335699000, 0.0397859000, 0.0590165000, 0.1185418000, 0.3058158000, 0.9077790000", \
-                        "0.0314515000, 0.0333929000, 0.0395825000, 0.0590017000, 0.1183955000, 0.3059716000, 0.9023346000", \
-                        "0.0311171000, 0.0330875000, 0.0392372000, 0.0584656000, 0.1179035000, 0.3044266000, 0.9021256000", \
-                        "0.0306301000, 0.0325638000, 0.0387374000, 0.0577178000, 0.1169476000, 0.3053911000, 0.9069618000", \
-                        "0.0311787000, 0.0330438000, 0.0388764000, 0.0576232000, 0.1160474000, 0.3031590000, 0.9059032000", \
-                        "0.0325830000, 0.0342665000, 0.0401550000, 0.0583728000, 0.1177410000, 0.3053359000, 0.9019621000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000");
-                    values("0.0254726000, 0.0241533000, 0.0203355000, 0.0064708000, -0.046689300, -0.234649700, -0.841895400", \
-                        "0.0251286000, 0.0238630000, 0.0200237000, 0.0061532000, -0.046977400, -0.234932000, -0.842180700", \
-                        "0.0246352000, 0.0232545000, 0.0193606000, 0.0055166000, -0.047467300, -0.235383100, -0.842616900", \
-                        "0.0240316000, 0.0229461000, 0.0187083000, 0.0047399000, -0.048228900, -0.235957500, -0.843130200", \
-                        "0.0236742000, 0.0222067000, 0.0178812000, 0.0035650000, -0.049019500, -0.236335700, -0.843307400", \
-                        "0.0318221000, 0.0300103000, 0.0243323000, 0.0064947000, -0.049059900, -0.235612500, -0.842428500", \
-                        "0.0399853000, 0.0380160000, 0.0320851000, 0.0135664000, -0.044686800, -0.233268900, -0.839268800");
-                }
-                related_pin : "B1";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000");
-                    values("0.0331971000, 0.0351517000, 0.0416173000, 0.0617114000, 0.1225435000, 0.3114694000, 0.9164569000", \
-                        "0.0330519000, 0.0349976000, 0.0414698000, 0.0615522000, 0.1223706000, 0.3113037000, 0.9162728000", \
-                        "0.0328083000, 0.0348558000, 0.0412755000, 0.0613813000, 0.1221784000, 0.3111827000, 0.9117541000", \
-                        "0.0326575000, 0.0346367000, 0.0410446000, 0.0610449000, 0.1217241000, 0.3106129000, 0.9121651000", \
-                        "0.0327938000, 0.0347461000, 0.0409619000, 0.0605559000, 0.1207347000, 0.3095516000, 0.9150723000", \
-                        "0.0353410000, 0.0371317000, 0.0430159000, 0.0612612000, 0.1207518000, 0.3083159000, 0.9151623000", \
-                        "0.0379209000, 0.0401051000, 0.0457781000, 0.0642517000, 0.1224170000, 0.3104794000, 0.9103267000");
-                }
-            }
-            max_capacitance : 0.5447490000;
-            max_transition : 1.5032640000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
-                    values("0.4460322000, 0.4519886000, 0.4675211000, 0.5014674000, 0.5699773000, 0.7134920000, 1.0631832000", \
-                        "0.4497962000, 0.4558108000, 0.4714082000, 0.5052580000, 0.5740716000, 0.7167658000, 1.0665929000", \
-                        "0.4610607000, 0.4667726000, 0.4825044000, 0.5164446000, 0.5845542000, 0.7282804000, 1.0777331000", \
-                        "0.4870039000, 0.4930262000, 0.5084254000, 0.5424898000, 0.6109259000, 0.7538081000, 1.1037488000", \
-                        "0.5419073000, 0.5479038000, 0.5635211000, 0.5974554000, 0.6659818000, 0.8090536000, 1.1580749000", \
-                        "0.6532471000, 0.6592472000, 0.6747865000, 0.7087438000, 0.7773094000, 0.9207025000, 1.2704546000", \
-                        "0.8557954000, 0.8621984000, 0.8788715000, 0.9149967000, 0.9869221000, 1.1352312000, 1.4885694000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
-                    values("0.1170069000, 0.1215445000, 0.1338094000, 0.1642170000, 0.2429642000, 0.4725256000, 1.1898970000", \
-                        "0.1214504000, 0.1259674000, 0.1382323000, 0.1686346000, 0.2472977000, 0.4769404000, 1.1948121000", \
-                        "0.1312368000, 0.1357535000, 0.1479816000, 0.1783599000, 0.2569832000, 0.4857461000, 1.2054413000", \
-                        "0.1510106000, 0.1555515000, 0.1676887000, 0.1979555000, 0.2761217000, 0.5059154000, 1.2250447000", \
-                        "0.1913350000, 0.1959015000, 0.2082371000, 0.2383304000, 0.3162982000, 0.5451249000, 1.2622823000", \
-                        "0.2514433000, 0.2565593000, 0.2700352000, 0.3016992000, 0.3800912000, 0.6084176000, 1.3284666000", \
-                        "0.3115759000, 0.3181081000, 0.3348453000, 0.3712956000, 0.4522452000, 0.6804983000, 1.3983329000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
-                    values("0.0531609000, 0.0565111000, 0.0645686000, 0.0846616000, 0.1341176000, 0.2673334000, 0.6724763000", \
-                        "0.0527596000, 0.0560011000, 0.0649656000, 0.0848552000, 0.1342564000, 0.2672857000, 0.6733554000", \
-                        "0.0530293000, 0.0562082000, 0.0646823000, 0.0849191000, 0.1354189000, 0.2671171000, 0.6734683000", \
-                        "0.0531124000, 0.0560247000, 0.0653036000, 0.0853508000, 0.1356062000, 0.2673917000, 0.6719931000", \
-                        "0.0528121000, 0.0560890000, 0.0652111000, 0.0848319000, 0.1343800000, 0.2675550000, 0.6735683000", \
-                        "0.0528112000, 0.0560622000, 0.0646741000, 0.0848628000, 0.1343545000, 0.2674743000, 0.6722591000", \
-                        "0.0598538000, 0.0628591000, 0.0723148000, 0.0927517000, 0.1448058000, 0.2734464000, 0.6771499000");
-                }
-                related_pin : "A1";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
-                    values("0.0261837000, 0.0298409000, 0.0405339000, 0.0710954000, 0.1676810000, 0.4822021000, 1.5007117000", \
-                        "0.0262293000, 0.0297414000, 0.0404969000, 0.0709349000, 0.1676666000, 0.4827144000, 1.4984134000", \
-                        "0.0260961000, 0.0297288000, 0.0402856000, 0.0710790000, 0.1673650000, 0.4828702000, 1.5006698000", \
-                        "0.0257904000, 0.0294179000, 0.0398831000, 0.0707435000, 0.1670675000, 0.4825699000, 1.5026804000", \
-                        "0.0270473000, 0.0306736000, 0.0410710000, 0.0708341000, 0.1665882000, 0.4814569000, 1.5015600000", \
-                        "0.0318055000, 0.0354000000, 0.0458847000, 0.0746605000, 0.1680678000, 0.4810743000, 1.5015368000", \
-                        "0.0429491000, 0.0469663000, 0.0580433000, 0.0849795000, 0.1727201000, 0.4828208000, 1.5003134000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
-                    values("0.4258368000, 0.4316786000, 0.4474010000, 0.4814196000, 0.5499479000, 0.6932312000, 1.0418882000", \
-                        "0.4282430000, 0.4342410000, 0.4497539000, 0.4835377000, 0.5523051000, 0.6958131000, 1.0454223000", \
-                        "0.4376611000, 0.4436854000, 0.4591184000, 0.4932725000, 0.5616989000, 0.7045083000, 1.0544345000", \
-                        "0.4609423000, 0.4666608000, 0.4823879000, 0.5163096000, 0.5843776000, 0.7281055000, 1.0775832000", \
-                        "0.5124691000, 0.5184487000, 0.5339362000, 0.5679238000, 0.6364815000, 0.7798074000, 1.1287934000", \
-                        "0.6246329000, 0.6307632000, 0.6462929000, 0.6803469000, 0.7489669000, 0.8925095000, 1.2420894000", \
-                        "0.8357137000, 0.8422531000, 0.8593117000, 0.8965426000, 0.9698656000, 1.1187065000, 1.4733565000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
-                    values("0.1074683000, 0.1116083000, 0.1227794000, 0.1510753000, 0.2260052000, 0.4519218000, 1.1669866000", \
-                        "0.1122534000, 0.1163901000, 0.1276129000, 0.1558746000, 0.2307931000, 0.4567370000, 1.1720462000", \
-                        "0.1220451000, 0.1261782000, 0.1374434000, 0.1656684000, 0.2406947000, 0.4673350000, 1.1826309000", \
-                        "0.1415334000, 0.1456577000, 0.1568256000, 0.1849578000, 0.2598884000, 0.4865582000, 1.2021963000", \
-                        "0.1786485000, 0.1829413000, 0.1944777000, 0.2230273000, 0.2979799000, 0.5240171000, 1.2421553000", \
-                        "0.2297966000, 0.2347339000, 0.2474873000, 0.2776716000, 0.3536867000, 0.5794319000, 1.2988502000", \
-                        "0.2712223000, 0.2776510000, 0.2939002000, 0.3298389000, 0.4085896000, 0.6349403000, 1.3504854000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
-                    values("0.0527665000, 0.0564636000, 0.0653370000, 0.0861735000, 0.1345033000, 0.2673622000, 0.6736801000", \
-                        "0.0530818000, 0.0564181000, 0.0653109000, 0.0854497000, 0.1345728000, 0.2675729000, 0.6711371000", \
-                        "0.0530387000, 0.0559449000, 0.0653080000, 0.0854564000, 0.1359679000, 0.2675450000, 0.6729775000", \
-                        "0.0530263000, 0.0562030000, 0.0646892000, 0.0849079000, 0.1353329000, 0.2671809000, 0.6734902000", \
-                        "0.0527839000, 0.0564768000, 0.0646741000, 0.0860251000, 0.1341607000, 0.2672208000, 0.6732760000", \
-                        "0.0532201000, 0.0565904000, 0.0649693000, 0.0851975000, 0.1342992000, 0.2675332000, 0.6732490000", \
-                        "0.0619342000, 0.0654406000, 0.0750254000, 0.0969188000, 0.1452747000, 0.2770273000, 0.6781026000");
-                }
-                related_pin : "A2";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
-                    values("0.0234745000, 0.0268233000, 0.0369903000, 0.0665242000, 0.1623492000, 0.4794063000, 1.4982259000", \
-                        "0.0234522000, 0.0268500000, 0.0369563000, 0.0665311000, 0.1623008000, 0.4794299000, 1.4988061000", \
-                        "0.0234559000, 0.0268106000, 0.0368405000, 0.0666464000, 0.1625316000, 0.4785973000, 1.5005908000", \
-                        "0.0233835000, 0.0267733000, 0.0367347000, 0.0664880000, 0.1624457000, 0.4785913000, 1.5016596000", \
-                        "0.0249934000, 0.0285249000, 0.0381929000, 0.0674760000, 0.1624895000, 0.4787927000, 1.5020364000", \
-                        "0.0306412000, 0.0340516000, 0.0439670000, 0.0717374000, 0.1645770000, 0.4783839000, 1.4988633000", \
-                        "0.0422334000, 0.0461022000, 0.0566501000, 0.0838695000, 0.1696827000, 0.4799056000, 1.4974968000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
-                    values("0.3898703000, 0.3958698000, 0.4114748000, 0.4454841000, 0.5137991000, 0.6573499000, 1.0069851000", \
-                        "0.3921682000, 0.3981758000, 0.4137470000, 0.4477632000, 0.5163208000, 0.6596308000, 1.0081360000", \
-                        "0.4008693000, 0.4068915000, 0.4223717000, 0.4562785000, 0.5250438000, 0.6681272000, 1.0177367000", \
-                        "0.4239917000, 0.4300004000, 0.4455681000, 0.4794123000, 0.5481104000, 0.6907360000, 1.0406471000", \
-                        "0.4787056000, 0.4846768000, 0.5002834000, 0.5341958000, 0.6024069000, 0.7457555000, 1.0951123000", \
-                        "0.6082217000, 0.6143460000, 0.6296175000, 0.6635854000, 0.7321386000, 0.8756052000, 1.2251206000", \
-                        "0.8566788000, 0.8634338000, 0.8809641000, 0.9187390000, 0.9924385000, 1.1418256000, 1.4965027000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
-                    values("0.1054513000, 0.1094580000, 0.1203034000, 0.1478108000, 0.2214556000, 0.4462439000, 1.1645130000", \
-                        "0.1102978000, 0.1143137000, 0.1251828000, 0.1527095000, 0.2265219000, 0.4521318000, 1.1657649000", \
-                        "0.1203056000, 0.1242890000, 0.1351563000, 0.1627457000, 0.2365586000, 0.4622025000, 1.1762500000", \
-                        "0.1400263000, 0.1440252000, 0.1548673000, 0.1823776000, 0.2561422000, 0.4810755000, 1.1980832000", \
-                        "0.1762355000, 0.1804856000, 0.1917942000, 0.2199164000, 0.2942126000, 0.5195284000, 1.2350344000", \
-                        "0.2229555000, 0.2279549000, 0.2409449000, 0.2713624000, 0.3469264000, 0.5720742000, 1.2908840000", \
-                        "0.2550577000, 0.2616631000, 0.2786782000, 0.3151956000, 0.3952305000, 0.6204004000, 1.3361368000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
-                    values("0.0530171000, 0.0563731000, 0.0646378000, 0.0848557000, 0.1348824000, 0.2676135000, 0.6715721000", \
-                        "0.0527211000, 0.0560719000, 0.0645700000, 0.0863208000, 0.1344648000, 0.2673699000, 0.6735265000", \
-                        "0.0529950000, 0.0562904000, 0.0648267000, 0.0848825000, 0.1340922000, 0.2670450000, 0.6730036000", \
-                        "0.0527383000, 0.0559932000, 0.0650580000, 0.0848745000, 0.1347147000, 0.2674853000, 0.6719336000", \
-                        "0.0532752000, 0.0565950000, 0.0646006000, 0.0850097000, 0.1348441000, 0.2668804000, 0.6731314000", \
-                        "0.0532610000, 0.0565712000, 0.0657878000, 0.0869842000, 0.1347773000, 0.2678076000, 0.6730706000", \
-                        "0.0658138000, 0.0692338000, 0.0778928000, 0.0987029000, 0.1475351000, 0.2769986000, 0.6778836000");
-                }
-                related_pin : "A3";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
-                    values("0.0224415000, 0.0257493000, 0.0355794000, 0.0650219000, 0.1604506000, 0.4775591000, 1.5001380000", \
-                        "0.0224495000, 0.0257956000, 0.0356328000, 0.0651573000, 0.1605694000, 0.4787197000, 1.4984257000", \
-                        "0.0224976000, 0.0257958000, 0.0356377000, 0.0651633000, 0.1606354000, 0.4788505000, 1.4995934000", \
-                        "0.0224270000, 0.0257248000, 0.0357101000, 0.0651478000, 0.1608696000, 0.4785962000, 1.5024605000", \
-                        "0.0246893000, 0.0280560000, 0.0378781000, 0.0668346000, 0.1610973000, 0.4791171000, 1.5010397000", \
-                        "0.0309581000, 0.0347503000, 0.0443675000, 0.0718159000, 0.1636560000, 0.4775063000, 1.4984801000", \
-                        "0.0435880000, 0.0476591000, 0.0584437000, 0.0851800000, 0.1698472000, 0.4798442000, 1.4975327000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
-                    values("0.3183442000, 0.3242281000, 0.3400256000, 0.3738921000, 0.4425905000, 0.5856670000, 0.9355270000", \
-                        "0.3198367000, 0.3258696000, 0.3414250000, 0.3754900000, 0.4440605000, 0.5870420000, 0.9370527000", \
-                        "0.3263274000, 0.3323077000, 0.3479716000, 0.3820110000, 0.4506076000, 0.5938992000, 0.9429654000", \
-                        "0.3473613000, 0.3534007000, 0.3687377000, 0.4027371000, 0.4714506000, 0.6148227000, 0.9644536000", \
-                        "0.4054137000, 0.4113994000, 0.4269095000, 0.4609297000, 0.5294972000, 0.6732119000, 1.0226120000", \
-                        "0.5480916000, 0.5537593000, 0.5687782000, 0.6020763000, 0.6689054000, 0.8122237000, 1.1620221000", \
-                        "0.8216861000, 0.8287880000, 0.8471848000, 0.8854573000, 0.9566201000, 1.1002005000, 1.4535849000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
-                    values("0.0905773000, 0.0944103000, 0.1048869000, 0.1317671000, 0.2046523000, 0.4296258000, 1.1422416000", \
-                        "0.0954168000, 0.0992766000, 0.1097961000, 0.1366371000, 0.2095107000, 0.4334286000, 1.1516151000", \
-                        "0.1056008000, 0.1094434000, 0.1199465000, 0.1468976000, 0.2197758000, 0.4439794000, 1.1598087000", \
-                        "0.1257952000, 0.1296150000, 0.1400757000, 0.1669148000, 0.2396922000, 0.4637771000, 1.1785418000", \
-                        "0.1590401000, 0.1633071000, 0.1745545000, 0.2025172000, 0.2762048000, 0.5006867000, 1.2147990000", \
-                        "0.1971469000, 0.2023664000, 0.2157653000, 0.2465809000, 0.3219593000, 0.5467042000, 1.2625015000", \
-                        "0.2134756000, 0.2204485000, 0.2381255000, 0.2768072000, 0.3581948000, 0.5830144000, 1.2978342000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
-                    values("0.0531094000, 0.0562611000, 0.0649954000, 0.0848952000, 0.1346227000, 0.2673708000, 0.6730666000", \
-                        "0.0530745000, 0.0559685000, 0.0654039000, 0.0852200000, 0.1360530000, 0.2674019000, 0.6726900000", \
-                        "0.0529407000, 0.0562908000, 0.0645191000, 0.0863997000, 0.1336662000, 0.2673499000, 0.6735383000", \
-                        "0.0528058000, 0.0561378000, 0.0648991000, 0.0853310000, 0.1342562000, 0.2673582000, 0.6715014000", \
-                        "0.0532231000, 0.0564456000, 0.0653528000, 0.0848352000, 0.1342954000, 0.2673648000, 0.6730859000", \
-                        "0.0516001000, 0.0549920000, 0.0638440000, 0.0835072000, 0.1353756000, 0.2678434000, 0.6729035000", \
-                        "0.0717438000, 0.0752958000, 0.0840183000, 0.1026138000, 0.1466097000, 0.2733901000, 0.6766001000");
-                }
-                related_pin : "A4";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
-                    values("0.0211031000, 0.0245017000, 0.0341983000, 0.0633566000, 0.1594554000, 0.4779632000, 1.4991096000", \
-                        "0.0211562000, 0.0244040000, 0.0340964000, 0.0633348000, 0.1594673000, 0.4784551000, 1.5030981000", \
-                        "0.0212118000, 0.0245297000, 0.0341119000, 0.0635042000, 0.1595106000, 0.4765380000, 1.4994489000", \
-                        "0.0214916000, 0.0246625000, 0.0343310000, 0.0634567000, 0.1594146000, 0.4763225000, 1.4946753000", \
-                        "0.0248459000, 0.0280111000, 0.0374507000, 0.0660677000, 0.1603468000, 0.4787347000, 1.5002236000", \
-                        "0.0325663000, 0.0361027000, 0.0454116000, 0.0726753000, 0.1634968000, 0.4758024000, 1.5030533000", \
-                        "0.0470376000, 0.0514442000, 0.0627320000, 0.0893341000, 0.1714039000, 0.4792640000, 1.4940441000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
-                    values("0.0789005000, 0.0817451000, 0.0893510000, 0.1078132000, 0.1527552000, 0.2680574000, 0.5930092000", \
-                        "0.0840946000, 0.0869825000, 0.0945828000, 0.1130521000, 0.1580298000, 0.2733402000, 0.5980763000", \
-                        "0.0971666000, 0.0999857000, 0.1075347000, 0.1260263000, 0.1710622000, 0.2864023000, 0.6112640000", \
-                        "0.1284865000, 0.1312572000, 0.1387862000, 0.1573635000, 0.2021853000, 0.3180416000, 0.6429481000", \
-                        "0.1924858000, 0.1957441000, 0.2043276000, 0.2242925000, 0.2709049000, 0.3869728000, 0.7120465000", \
-                        "0.2936751000, 0.2979090000, 0.3090940000, 0.3342609000, 0.3889724000, 0.5119332000, 0.8381048000", \
-                        "0.4559594000, 0.4614216000, 0.4757217000, 0.5081396000, 0.5780390000, 0.7197353000, 1.0533668000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
-                    values("0.1044842000, 0.1089872000, 0.1212026000, 0.1515942000, 0.2301370000, 0.4596608000, 1.1792396000", \
-                        "0.1084844000, 0.1129889000, 0.1252136000, 0.1555998000, 0.2341599000, 0.4636422000, 1.1832788000", \
-                        "0.1187219000, 0.1232298000, 0.1353961000, 0.1657889000, 0.2442271000, 0.4739840000, 1.1925391000", \
-                        "0.1440150000, 0.1484560000, 0.1603983000, 0.1903544000, 0.2683647000, 0.4972214000, 1.2150716000", \
-                        "0.1947724000, 0.1992239000, 0.2111245000, 0.2408741000, 0.3177965000, 0.5471286000, 1.2666046000", \
-                        "0.2618431000, 0.2669405000, 0.2797753000, 0.3097809000, 0.3873272000, 0.6159013000, 1.3365954000", \
-                        "0.3296643000, 0.3360105000, 0.3521311000, 0.3869819000, 0.4646220000, 0.6926928000, 1.4109145000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
-                    values("0.0160122000, 0.0181230000, 0.0238572000, 0.0406851000, 0.0881987000, 0.2190589000, 0.6411507000", \
-                        "0.0160580000, 0.0182130000, 0.0238817000, 0.0406819000, 0.0882130000, 0.2189914000, 0.6407411000", \
-                        "0.0161852000, 0.0181492000, 0.0238485000, 0.0405972000, 0.0881236000, 0.2190732000, 0.6404328000", \
-                        "0.0161843000, 0.0184080000, 0.0242025000, 0.0407383000, 0.0883777000, 0.2191868000, 0.6410263000", \
-                        "0.0213697000, 0.0234850000, 0.0293079000, 0.0448739000, 0.0907070000, 0.2198218000, 0.6405876000", \
-                        "0.0317823000, 0.0342991000, 0.0410509000, 0.0588048000, 0.1051357000, 0.2290610000, 0.6417514000", \
-                        "0.0477592000, 0.0508605000, 0.0593601000, 0.0818223000, 0.1348322000, 0.2568974000, 0.6472405000");
-                }
-                related_pin : "B1";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
-                    values("0.0260295000, 0.0296796000, 0.0400730000, 0.0708164000, 0.1673993000, 0.4821205000, 1.5023667000", \
-                        "0.0260730000, 0.0296871000, 0.0400879000, 0.0708615000, 0.1674098000, 0.4823483000, 1.5017654000", \
-                        "0.0258600000, 0.0294670000, 0.0399848000, 0.0709076000, 0.1673557000, 0.4829581000, 1.4996497000", \
-                        "0.0253509000, 0.0289795000, 0.0395783000, 0.0700925000, 0.1665520000, 0.4822430000, 1.5026946000", \
-                        "0.0266446000, 0.0302270000, 0.0404956000, 0.0704212000, 0.1657986000, 0.4816628000, 1.5029037000", \
-                        "0.0331629000, 0.0364724000, 0.0453557000, 0.0739150000, 0.1677229000, 0.4811189000, 1.5032637000", \
-                        "0.0454190000, 0.0490748000, 0.0589211000, 0.0839539000, 0.1707953000, 0.4838620000, 1.4998842000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-        }
-    }
-
-/* removed sky130_fd_sc_hd__o41ai_1 */
-
-/* removed sky130_fd_sc_hd__o41ai_2 */
-
-    cell ("sky130_fd_sc_hd__o41ai_4") {
-        leakage_power () {
-            value : 0.0056372000;
-            when : "!A1&!A2&!A3&!A4&B1";
-        }
-        leakage_power () {
-            value : 0.0170682000;
-            when : "!A1&!A2&!A3&!A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0115471000;
-            when : "!A1&!A2&!A3&A4&B1";
-        }
-        leakage_power () {
-            value : 0.0015165000;
-            when : "!A1&!A2&!A3&A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0100833000;
-            when : "!A1&!A2&A3&!A4&B1";
-        }
-        leakage_power () {
-            value : 0.0015152000;
-            when : "!A1&!A2&A3&!A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0077004000;
-            when : "!A1&!A2&A3&A4&B1";
-        }
-        leakage_power () {
-            value : 0.0015183000;
-            when : "!A1&!A2&A3&A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0099986000;
-            when : "!A1&A2&!A3&!A4&B1";
-        }
-        leakage_power () {
-            value : 0.0015165000;
-            when : "!A1&A2&!A3&!A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0077019000;
-            when : "!A1&A2&!A3&A4&B1";
-        }
-        leakage_power () {
-            value : 0.0015208000;
-            when : "!A1&A2&!A3&A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0077149000;
-            when : "!A1&A2&A3&!A4&B1";
-        }
-        leakage_power () {
-            value : 0.0015176000;
-            when : "!A1&A2&A3&!A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0373424000;
-            when : "!A1&A2&A3&A4&B1";
-        }
-        leakage_power () {
-            value : 0.0015071000;
-            when : "!A1&A2&A3&A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0098375000;
-            when : "A1&!A2&!A3&!A4&B1";
-        }
-        leakage_power () {
-            value : 0.0015168000;
-            when : "A1&!A2&!A3&!A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0076912000;
-            when : "A1&!A2&!A3&A4&B1";
-        }
-        leakage_power () {
-            value : 0.0015126000;
-            when : "A1&!A2&!A3&A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0081314000;
-            when : "A1&!A2&A3&!A4&B1";
-        }
-        leakage_power () {
-            value : 0.0015284000;
-            when : "A1&!A2&A3&!A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0078103000;
-            when : "A1&!A2&A3&A4&B1";
-        }
-        leakage_power () {
-            value : 0.0015149000;
-            when : "A1&!A2&A3&A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0083207000;
-            when : "A1&A2&!A3&!A4&B1";
-        }
-        leakage_power () {
-            value : 0.0015105000;
-            when : "A1&A2&!A3&!A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0376818000;
-            when : "A1&A2&!A3&A4&B1";
-        }
-        leakage_power () {
-            value : 0.0015146000;
-            when : "A1&A2&!A3&A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0577513000;
-            when : "A1&A2&A3&!A4&B1";
-        }
-        leakage_power () {
-            value : 0.0015115000;
-            when : "A1&A2&A3&!A4&!B1";
-        }
-        leakage_power () {
-            value : 0.0373388000;
-            when : "A1&A2&A3&A4&B1";
-        }
-        leakage_power () {
-            value : 0.0015165000;
-            when : "A1&A2&A3&A4&!B1";
-        }
-        area : 27.526400000;
-        cell_footprint : "sky130_fd_sc_hd__o41ai";
-        cell_leakage_power : 0.0097529590;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("A1") {
-            capacitance : 0.0086400000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0082090000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0158266000, 0.0158195000, 0.0158030000, 0.0157976000, 0.0157852000, 0.0157569000, 0.0156916000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.015751600, -0.015747800, -0.015739100, -0.015740600, -0.015744100, -0.015752300, -0.015770900");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0090710000;
-        }
-        pin ("A2") {
-            capacitance : 0.0084130000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0078460000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0158447000, 0.0158326000, 0.0158048000, 0.0158012000, 0.0157932000, 0.0157747000, 0.0157320000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.015802800, -0.015788600, -0.015755900, -0.015751400, -0.015741000, -0.015717000, -0.015661700");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0089800000;
-        }
-        pin ("A3") {
-            capacitance : 0.0083630000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0077500000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0158071000, 0.0157967000, 0.0157727000, 0.0157667000, 0.0157528000, 0.0157210000, 0.0156478000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.015781400, -0.015766400, -0.015731700, -0.015723100, -0.015703300, -0.015657800, -0.015552600");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0089770000;
-        }
-        pin ("A4") {
-            capacitance : 0.0084050000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0077110000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0158524000, 0.0158443000, 0.0158256000, 0.0158204000, 0.0158083000, 0.0157806000, 0.0157167000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.015811800, -0.015801500, -0.015778000, -0.015772300, -0.015759400, -0.015729700, -0.015661000");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0090990000;
-        }
-        pin ("B1") {
-            capacitance : 0.0086500000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0084760000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0184853000, 0.0184942000, 0.0185147000, 0.0185125000, 0.0185074000, 0.0184955000, 0.0184682000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.002287600, -0.002356700, -0.002515900, -0.002417300, -0.002189900, -0.001665700, -0.000457400");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0088240000;
-        }
-        pin ("Y") {
-            direction : "output";
-            function : "(!A1&!A2&!A3&!A4) | (!B1)";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000");
-                    values("0.0335820000, 0.0324435000, 0.0295460000, 0.0224904000, 0.0052049000, -0.037058000, -0.140648200", \
-                        "0.0330832000, 0.0319430000, 0.0290717000, 0.0220002000, 0.0046753000, -0.037554100, -0.141212400", \
-                        "0.0325117000, 0.0313173000, 0.0285060000, 0.0214064000, 0.0040939000, -0.038163400, -0.141722400", \
-                        "0.0319710000, 0.0307878000, 0.0279193000, 0.0208373000, 0.0035437000, -0.038664400, -0.142242900", \
-                        "0.0314140000, 0.0302145000, 0.0274018000, 0.0205042000, 0.0033479000, -0.038836100, -0.142515800", \
-                        "0.0309295000, 0.0297733000, 0.0269733000, 0.0200110000, 0.0026365000, -0.039372800, -0.142856700", \
-                        "0.0334235000, 0.0323313000, 0.0294552000, 0.0222344000, 0.0049136000, -0.037904700, -0.142515000");
-                }
-                related_pin : "A1";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000");
-                    values("0.0650367000, 0.0661575000, 0.0691201000, 0.0761574000, 0.0934381000, 0.1358574000, 0.2393663000", \
-                        "0.0644364000, 0.0655722000, 0.0685828000, 0.0756386000, 0.0929762000, 0.1354170000, 0.2388989000", \
-                        "0.0637364000, 0.0649043000, 0.0679057000, 0.0750446000, 0.0925173000, 0.1350812000, 0.2387580000", \
-                        "0.0631451000, 0.0642617000, 0.0673229000, 0.0744255000, 0.0919035000, 0.1346335000, 0.2383338000", \
-                        "0.0626666000, 0.0638319000, 0.0668468000, 0.0738342000, 0.0913011000, 0.1340561000, 0.2378856000", \
-                        "0.0623043000, 0.0634736000, 0.0664677000, 0.0735471000, 0.0909527000, 0.1335741000, 0.2373883000", \
-                        "0.0624146000, 0.0635159000, 0.0664394000, 0.0736058000, 0.0910954000, 0.1334086000, 0.2373376000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000");
-                    values("0.0271840000, 0.0260238000, 0.0231744000, 0.0160986000, -0.001123100, -0.043286400, -0.147317600", \
-                        "0.0270906000, 0.0259134000, 0.0230745000, 0.0160083000, -0.001237300, -0.043422900, -0.147418600", \
-                        "0.0267595000, 0.0256135000, 0.0227492000, 0.0157037000, -0.001503900, -0.043685300, -0.147618000", \
-                        "0.0261862000, 0.0250665000, 0.0222073000, 0.0152184000, -0.001970000, -0.044074200, -0.148026500", \
-                        "0.0252255000, 0.0241158000, 0.0212779000, 0.0144184000, -0.002495500, -0.044368000, -0.148312300", \
-                        "0.0254154000, 0.0242050000, 0.0214534000, 0.0144165000, -0.003078300, -0.045308100, -0.148707400", \
-                        "0.0270820000, 0.0258100000, 0.0229209000, 0.0159603000, -0.001635000, -0.044271100, -0.148968000");
-                }
-                related_pin : "A2";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000");
-                    values("0.0558578000, 0.0570403000, 0.0599761000, 0.0670868000, 0.0844170000, 0.1268955000, 0.2304720000", \
-                        "0.0553655000, 0.0563907000, 0.0592948000, 0.0665066000, 0.0838841000, 0.1263247000, 0.2298458000", \
-                        "0.0545584000, 0.0557316000, 0.0585388000, 0.0657728000, 0.0833295000, 0.1259327000, 0.2296187000", \
-                        "0.0537219000, 0.0549009000, 0.0579246000, 0.0650859000, 0.0825951000, 0.1254036000, 0.2290576000", \
-                        "0.0531547000, 0.0544072000, 0.0572275000, 0.0645619000, 0.0818380000, 0.1245811000, 0.2285115000", \
-                        "0.0528763000, 0.0540616000, 0.0569890000, 0.0641297000, 0.0815995000, 0.1241540000, 0.2279855000", \
-                        "0.0530971000, 0.0541906000, 0.0571569000, 0.0643182000, 0.0818878000, 0.1243254000, 0.2281407000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000");
-                    values("0.0215374000, 0.0203738000, 0.0175434000, 0.0105294000, -0.006693300, -0.049160400, -0.153367900", \
-                        "0.0214295000, 0.0202891000, 0.0174680000, 0.0105139000, -0.006704200, -0.049129000, -0.153472600", \
-                        "0.0211045000, 0.0199938000, 0.0171904000, 0.0103153000, -0.006761500, -0.049056900, -0.153290800", \
-                        "0.0202962000, 0.0191982000, 0.0164434000, 0.0097559000, -0.007159400, -0.049150900, -0.153211300", \
-                        "0.0192500000, 0.0181344000, 0.0153643000, 0.0087022000, -0.008016700, -0.049627200, -0.153434900", \
-                        "0.0192099000, 0.0180596000, 0.0152112000, 0.0083484000, -0.008616200, -0.050846900, -0.154152800", \
-                        "0.0205341000, 0.0194331000, 0.0164513000, 0.0093695000, -0.008049600, -0.050408400, -0.154741900");
-                }
-                related_pin : "A3";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000");
-                    values("0.0475283000, 0.0487276000, 0.0516955000, 0.0587332000, 0.0761266000, 0.1184928000, 0.2219116000", \
-                        "0.0468133000, 0.0480872000, 0.0510015000, 0.0580845000, 0.0755721000, 0.1180353000, 0.2216737000", \
-                        "0.0459089000, 0.0472069000, 0.0501152000, 0.0572717000, 0.0749028000, 0.1175727000, 0.2212160000", \
-                        "0.0450701000, 0.0462602000, 0.0492323000, 0.0565835000, 0.0740867000, 0.1169028000, 0.2208559000", \
-                        "0.0445660000, 0.0457841000, 0.0486648000, 0.0557806000, 0.0733014000, 0.1161179000, 0.2201500000", \
-                        "0.0444623000, 0.0456160000, 0.0485402000, 0.0557393000, 0.0732287000, 0.1156640000, 0.2194421000", \
-                        "0.0451312000, 0.0463307000, 0.0491173000, 0.0561444000, 0.0734796000, 0.1161463000, 0.2198492000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000");
-                    values("0.0142543000, 0.0131060000, 0.0103101000, 0.0032949000, -0.014005400, -0.056534900, -0.160995800", \
-                        "0.0136497000, 0.0125911000, 0.0098835000, 0.0030893000, -0.013939200, -0.056230400, -0.160619300", \
-                        "0.0126907000, 0.0116535000, 0.0090657000, 0.0025350000, -0.014123900, -0.056127000, -0.160286200", \
-                        "0.0116297000, 0.0105943000, 0.0080611000, 0.0016132000, -0.014733800, -0.056299500, -0.160115000", \
-                        "0.0112440000, 0.0102436000, 0.0074503000, 0.0006120000, -0.015707600, -0.056961400, -0.160370600", \
-                        "0.0112679000, 0.0101296000, 0.0073202000, 0.0004801000, -0.016225400, -0.058225100, -0.161189000", \
-                        "0.0136027000, 0.0123589000, 0.0094226000, 0.0020481000, -0.015491300, -0.057858100, -0.161795400");
-                }
-                related_pin : "A4";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000");
-                    values("0.0382044000, 0.0393983000, 0.0424063000, 0.0495168000, 0.0668340000, 0.1092937000, 0.2129387000", \
-                        "0.0371609000, 0.0383179000, 0.0413915000, 0.0485635000, 0.0661583000, 0.1088135000, 0.2125104000", \
-                        "0.0357904000, 0.0370750000, 0.0400302000, 0.0476132000, 0.0651539000, 0.1081262000, 0.2120318000", \
-                        "0.0349840000, 0.0361442000, 0.0389856000, 0.0465519000, 0.0642280000, 0.1074463000, 0.2114504000", \
-                        "0.0347589000, 0.0359183000, 0.0388442000, 0.0459874000, 0.0632012000, 0.1059796000, 0.2105561000", \
-                        "0.0367211000, 0.0377362000, 0.0405825000, 0.0477389000, 0.0652333000, 0.1065435000, 0.2103172000", \
-                        "0.0412427000, 0.0425878000, 0.0459413000, 0.0528042000, 0.0691260000, 0.1099306000, 0.2121374000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000");
-                    values("0.0194462000, 0.0183427000, 0.0156466000, 0.0089152000, -0.008061700, -0.050084500, -0.153642700", \
-                        "0.0189340000, 0.0178404000, 0.0151883000, 0.0084428000, -0.008421900, -0.050227500, -0.153604900", \
-                        "0.0185334000, 0.0174224000, 0.0146848000, 0.0079166000, -0.008874100, -0.050544700, -0.153712300", \
-                        "0.0178789000, 0.0167820000, 0.0140818000, 0.0072318000, -0.009686200, -0.051367100, -0.154172700", \
-                        "0.0180242000, 0.0168514000, 0.0139951000, 0.0070528000, -0.009894500, -0.052037000, -0.155008800", \
-                        "0.0186839000, 0.0174866000, 0.0145726000, 0.0074201000, -0.009932200, -0.051979700, -0.155753000", \
-                        "0.0240363000, 0.0227711000, 0.0196540000, 0.0122375000, -0.005496300, -0.048353000, -0.155740100");
-                }
-                related_pin : "B1";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000");
-                    values("0.0367253000, 0.0381901000, 0.0416180000, 0.0495376000, 0.0675671000, 0.1104151000, 0.2144057000", \
-                        "0.0359024000, 0.0372744000, 0.0406761000, 0.0487778000, 0.0670774000, 0.1100779000, 0.2145769000", \
-                        "0.0351943000, 0.0365940000, 0.0398264000, 0.0475050000, 0.0659873000, 0.1096563000, 0.2136787000", \
-                        "0.0350505000, 0.0362855000, 0.0392302000, 0.0468243000, 0.0647865000, 0.1082682000, 0.2130774000", \
-                        "0.0357339000, 0.0368471000, 0.0396455000, 0.0466875000, 0.0641756000, 0.1072048000, 0.2119991000", \
-                        "0.0386914000, 0.0398449000, 0.0424580000, 0.0492047000, 0.0659899000, 0.1086017000, 0.2118225000", \
-                        "0.0460743000, 0.0470550000, 0.0503741000, 0.0560320000, 0.0710716000, 0.1124830000, 0.2167992000");
-                }
-            }
-            max_capacitance : 0.1089580000;
-            max_transition : 1.4948920000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
-                    values("0.0490083000, 0.0511526000, 0.0560846000, 0.0676698000, 0.0937063000, 0.1519649000, 0.2835788000", \
-                        "0.0536368000, 0.0557651000, 0.0606135000, 0.0721033000, 0.0980456000, 0.1561501000, 0.2880506000", \
-                        "0.0630271000, 0.0649874000, 0.0699070000, 0.0812085000, 0.1069333000, 0.1648506000, 0.2965582000", \
-                        "0.0800484000, 0.0822212000, 0.0872914000, 0.0985812000, 0.1240464000, 0.1814922000, 0.3130041000", \
-                        "0.1069422000, 0.1094968000, 0.1153147000, 0.1289086000, 0.1570291000, 0.2169911000, 0.3487538000", \
-                        "0.1397497000, 0.1431039000, 0.1511453000, 0.1700394000, 0.2073803000, 0.2811576000, 0.4267670000", \
-                        "0.1533173000, 0.1582672000, 0.1708541000, 0.1974145000, 0.2545746000, 0.3614823000, 0.5563700000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
-                    values("0.2869563000, 0.2928388000, 0.3113121000, 0.3515894000, 0.4487748000, 0.6829688000, 1.2534348000", \
-                        "0.2900632000, 0.2960218000, 0.3146005000, 0.3549624000, 0.4525935000, 0.6868908000, 1.2574926000", \
-                        "0.3008525000, 0.3065675000, 0.3251295000, 0.3659378000, 0.4632137000, 0.6987697000, 1.2700392000", \
-                        "0.3260999000, 0.3319910000, 0.3505796000, 0.3911735000, 0.4894476000, 0.7250353000, 1.2970159000", \
-                        "0.3799177000, 0.3878055000, 0.4044325000, 0.4442618000, 0.5420152000, 0.7780919000, 1.3514118000", \
-                        "0.4851879000, 0.4921095000, 0.5097973000, 0.5533713000, 0.6510785000, 0.8862163000, 1.4585120000", \
-                        "0.6606019000, 0.6672498000, 0.6900283000, 0.7381128000, 0.8518970000, 1.1052359000, 1.6832666000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
-                    values("0.0482631000, 0.0505753000, 0.0562608000, 0.0699239000, 0.1016926000, 0.1745265000, 0.3476280000", \
-                        "0.0476068000, 0.0499514000, 0.0556194000, 0.0692252000, 0.1010610000, 0.1741124000, 0.3472198000", \
-                        "0.0469605000, 0.0491572000, 0.0549130000, 0.0684395000, 0.1004222000, 0.1734823000, 0.3469059000", \
-                        "0.0509562000, 0.0529424000, 0.0581727000, 0.0703212000, 0.1008020000, 0.1729656000, 0.3470362000", \
-                        "0.0662301000, 0.0680428000, 0.0728795000, 0.0847975000, 0.1129618000, 0.1791733000, 0.3477794000", \
-                        "0.1026806000, 0.1048377000, 0.1096522000, 0.1222225000, 0.1510881000, 0.2164035000, 0.3718094000", \
-                        "0.1698206000, 0.1735158000, 0.1816030000, 0.1968595000, 0.2352873000, 0.3116289000, 0.4706067000");
-                }
-                related_pin : "A1";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
-                    values("0.1864820000, 0.1947768000, 0.2170005000, 0.2692028000, 0.3978421000, 0.7109061000, 1.4776961000", \
-                        "0.1864812000, 0.1947891000, 0.2170494000, 0.2692639000, 0.3976831000, 0.7106363000, 1.4737536000", \
-                        "0.1864935000, 0.1950739000, 0.2170403000, 0.2692662000, 0.3979665000, 0.7109464000, 1.4744587000", \
-                        "0.1865171000, 0.1950474000, 0.2171293000, 0.2692656000, 0.3974930000, 0.7106837000, 1.4737628000", \
-                        "0.1868338000, 0.1959517000, 0.2171957000, 0.2698220000, 0.3981984000, 0.7112498000, 1.4739823000", \
-                        "0.2030238000, 0.2116545000, 0.2311655000, 0.2807555000, 0.4049114000, 0.7123855000, 1.4741923000", \
-                        "0.2479497000, 0.2564829000, 0.2787927000, 0.3309706000, 0.4569943000, 0.7518285000, 1.4873726000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
-                    values("0.0510660000, 0.0529704000, 0.0575844000, 0.0681593000, 0.0921550000, 0.1461061000, 0.2722466000", \
-                        "0.0557837000, 0.0576590000, 0.0622711000, 0.0728504000, 0.0967531000, 0.1509027000, 0.2771068000", \
-                        "0.0654992000, 0.0674587000, 0.0719601000, 0.0824859000, 0.1063885000, 0.1605037000, 0.2865176000", \
-                        "0.0828810000, 0.0849953000, 0.0898961000, 0.1003802000, 0.1241868000, 0.1782309000, 0.3045288000", \
-                        "0.1096114000, 0.1118590000, 0.1174996000, 0.1307000000, 0.1580190000, 0.2156865000, 0.3429299000", \
-                        "0.1374388000, 0.1408656000, 0.1493646000, 0.1684950000, 0.2068076000, 0.2808742000, 0.4260592000", \
-                        "0.1399760000, 0.1446460000, 0.1577857000, 0.1875136000, 0.2461262000, 0.3596246000, 0.5601840000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
-                    values("0.2715610000, 0.2786383000, 0.2953486000, 0.3345014000, 0.4320314000, 0.6663136000, 1.2370648000", \
-                        "0.2730878000, 0.2799412000, 0.2969436000, 0.3361289000, 0.4339776000, 0.6690949000, 1.2399712000", \
-                        "0.2811841000, 0.2884343000, 0.3054336000, 0.3451345000, 0.4435763000, 0.6794290000, 1.2508009000", \
-                        "0.3048165000, 0.3100361000, 0.3285525000, 0.3697202000, 0.4679518000, 0.7041988000, 1.2761617000", \
-                        "0.3579388000, 0.3648969000, 0.3808651000, 0.4221339000, 0.5202991000, 0.7559009000, 1.3289190000", \
-                        "0.4640342000, 0.4713980000, 0.4904427000, 0.5333780000, 0.6336264000, 0.8691236000, 1.4418947000", \
-                        "0.6490644000, 0.6581979000, 0.6809544000, 0.7354345000, 0.8538060000, 1.1184510000, 1.6975281000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
-                    values("0.0424779000, 0.0444354000, 0.0495138000, 0.0615777000, 0.0903970000, 0.1595512000, 0.3297732000", \
-                        "0.0423466000, 0.0443054000, 0.0493844000, 0.0614210000, 0.0903383000, 0.1597429000, 0.3297302000", \
-                        "0.0419008000, 0.0439237000, 0.0488615000, 0.0610423000, 0.0900580000, 0.1596109000, 0.3297103000", \
-                        "0.0457983000, 0.0475899000, 0.0521186000, 0.0630011000, 0.0908105000, 0.1591785000, 0.3296706000", \
-                        "0.0599945000, 0.0618172000, 0.0664700000, 0.0772544000, 0.1039106000, 0.1669812000, 0.3313562000", \
-                        "0.0944545000, 0.0967843000, 0.1021694000, 0.1152098000, 0.1442397000, 0.2072179000, 0.3589196000", \
-                        "0.1613195000, 0.1644657000, 0.1722999000, 0.1913923000, 0.2303499000, 0.3074146000, 0.4645145000");
-                }
-                related_pin : "A2";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
-                    values("0.1861025000, 0.1949636000, 0.2170582000, 0.2697333000, 0.3978958000, 0.7109691000, 1.4744843000", \
-                        "0.1864487000, 0.1951398000, 0.2162603000, 0.2695804000, 0.3979826000, 0.7109628000, 1.4744178000", \
-                        "0.1864236000, 0.1955827000, 0.2166183000, 0.2693269000, 0.3979531000, 0.7108997000, 1.4743297000", \
-                        "0.1865736000, 0.1950686000, 0.2170846000, 0.2690886000, 0.3978017000, 0.7108143000, 1.4733063000", \
-                        "0.1877872000, 0.1962209000, 0.2175037000, 0.2696883000, 0.3977748000, 0.7104258000, 1.4739217000", \
-                        "0.2134752000, 0.2217074000, 0.2418359000, 0.2898713000, 0.4108303000, 0.7137280000, 1.4786659000", \
-                        "0.2795046000, 0.2882462000, 0.3108082000, 0.3612736000, 0.4833170000, 0.7670845000, 1.4922815000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
-                    values("0.0483590000, 0.0499543000, 0.0536515000, 0.0625488000, 0.0829409000, 0.1316637000, 0.2485757000", \
-                        "0.0530040000, 0.0546411000, 0.0583621000, 0.0672699000, 0.0877483000, 0.1364259000, 0.2534928000", \
-                        "0.0627014000, 0.0643679000, 0.0680854000, 0.0770266000, 0.0977942000, 0.1465093000, 0.2634531000", \
-                        "0.0797623000, 0.0813707000, 0.0855734000, 0.0953700000, 0.1168744000, 0.1658286000, 0.2831141000", \
-                        "0.1027560000, 0.1050549000, 0.1103894000, 0.1237321000, 0.1501636000, 0.2054995000, 0.3250085000", \
-                        "0.1202724000, 0.1246656000, 0.1332449000, 0.1526536000, 0.1933606000, 0.2707146000, 0.4127784000", \
-                        "0.1041635000, 0.1102584000, 0.1250335000, 0.1563900000, 0.2209331000, 0.3416762000, 0.5485217000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
-                    values("0.2343357000, 0.2394189000, 0.2580980000, 0.2984338000, 0.3957415000, 0.6301579000, 1.2005896000", \
-                        "0.2350792000, 0.2409597000, 0.2594951000, 0.3003714000, 0.3979097000, 0.6326941000, 1.2036107000", \
-                        "0.2424012000, 0.2479126000, 0.2664497000, 0.3080854000, 0.4063223000, 0.6415273000, 1.2131678000", \
-                        "0.2656735000, 0.2729589000, 0.2893603000, 0.3305916000, 0.4284312000, 0.6650271000, 1.2379299000", \
-                        "0.3213474000, 0.3276187000, 0.3452753000, 0.3854378000, 0.4837002000, 0.7201482000, 1.2931590000", \
-                        "0.4400340000, 0.4480021000, 0.4675478000, 0.5116249000, 0.6147227000, 0.8505037000, 1.4237053000", \
-                        "0.6473486000, 0.6582161000, 0.6845082000, 0.7436492000, 0.8734888000, 1.1499590000, 1.7296478000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
-                    values("0.0300066000, 0.0317989000, 0.0361961000, 0.0469716000, 0.0735679000, 0.1390243000, 0.2998936000", \
-                        "0.0300315000, 0.0317803000, 0.0361930000, 0.0469604000, 0.0736788000, 0.1391957000, 0.3000570000", \
-                        "0.0299464000, 0.0317551000, 0.0361671000, 0.0470304000, 0.0736854000, 0.1391821000, 0.3001156000", \
-                        "0.0347141000, 0.0363265000, 0.0404459000, 0.0502485000, 0.0754684000, 0.1392181000, 0.2999880000", \
-                        "0.0499704000, 0.0518859000, 0.0562984000, 0.0667690000, 0.0914832000, 0.1495834000, 0.3027523000", \
-                        "0.0845448000, 0.0871555000, 0.0927802000, 0.1061181000, 0.1347457000, 0.1962902000, 0.3360435000", \
-                        "0.1508965000, 0.1545896000, 0.1631725000, 0.1824881000, 0.2237005000, 0.3012985000, 0.4523912000");
-                }
-                related_pin : "A3";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
-                    values("0.1860858000, 0.1949031000, 0.2168151000, 0.2692054000, 0.3979022000, 0.7107781000, 1.4773428000", \
-                        "0.1859932000, 0.1951935000, 0.2169234000, 0.2690108000, 0.3977700000, 0.7108347000, 1.4736845000", \
-                        "0.1859763000, 0.1951674000, 0.2168084000, 0.2689977000, 0.3977796000, 0.7110261000, 1.4745091000", \
-                        "0.1863157000, 0.1950309000, 0.2168870000, 0.2699254000, 0.3979467000, 0.7110610000, 1.4743463000", \
-                        "0.1887261000, 0.1977632000, 0.2179084000, 0.2702566000, 0.3986328000, 0.7106660000, 1.4739298000", \
-                        "0.2254712000, 0.2334942000, 0.2519560000, 0.2984803000, 0.4152456000, 0.7167393000, 1.4743214000", \
-                        "0.3127773000, 0.3222217000, 0.3437242000, 0.3979010000, 0.5160199000, 0.7886937000, 1.4907872000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
-                    values("0.0354414000, 0.0367848000, 0.0401123000, 0.0478034000, 0.0659550000, 0.1097377000, 0.2175224000", \
-                        "0.0396798000, 0.0410764000, 0.0445390000, 0.0523398000, 0.0708026000, 0.1153219000, 0.2226897000", \
-                        "0.0489392000, 0.0504667000, 0.0540858000, 0.0622526000, 0.0808556000, 0.1252091000, 0.2327279000", \
-                        "0.0622015000, 0.0643210000, 0.0689616000, 0.0789974000, 0.1002337000, 0.1455129000, 0.2536423000", \
-                        "0.0750949000, 0.0783047000, 0.0857154000, 0.1006273000, 0.1302369000, 0.1856950000, 0.2976527000", \
-                        "0.0758268000, 0.0807743000, 0.0918401000, 0.1161558000, 0.1630993000, 0.2456146000, 0.3912635000", \
-                        "0.0283805000, 0.0367053000, 0.0552368000, 0.0947133000, 0.1716271000, 0.3026897000, 0.5180431000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
-                    values("0.1605711000, 0.1678798000, 0.1855995000, 0.2251851000, 0.3234600000, 0.5578819000, 1.1293945000", \
-                        "0.1609387000, 0.1673463000, 0.1851659000, 0.2254542000, 0.3242768000, 0.5596480000, 1.1311697000", \
-                        "0.1651043000, 0.1727499000, 0.1893662000, 0.2315074000, 0.3295775000, 0.5678807000, 1.1405776000", \
-                        "0.1874608000, 0.1942411000, 0.2103170000, 0.2523436000, 0.3515758000, 0.5884208000, 1.1630095000", \
-                        "0.2499389000, 0.2562588000, 0.2719996000, 0.3114279000, 0.4065110000, 0.6435445000, 1.2174921000", \
-                        "0.3869520000, 0.3937796000, 0.4126456000, 0.4570042000, 0.5541857000, 0.7845396000, 1.3546475000", \
-                        "0.6048227000, 0.6139396000, 0.6478315000, 0.7109457000, 0.8459337000, 1.1170691000, 1.6812499000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
-                    values("0.0166804000, 0.0183641000, 0.0224932000, 0.0325987000, 0.0571481000, 0.1175230000, 0.2659324000", \
-                        "0.0168083000, 0.0184707000, 0.0225144000, 0.0325155000, 0.0573301000, 0.1183346000, 0.2667095000", \
-                        "0.0177456000, 0.0192321000, 0.0230706000, 0.0327956000, 0.0573242000, 0.1177650000, 0.2667257000", \
-                        "0.0249206000, 0.0264810000, 0.0302396000, 0.0392752000, 0.0608538000, 0.1184647000, 0.2662661000", \
-                        "0.0423043000, 0.0439598000, 0.0480689000, 0.0580795000, 0.0808581000, 0.1337222000, 0.2696960000", \
-                        "0.0761578000, 0.0787973000, 0.0848798000, 0.0989026000, 0.1273913000, 0.1843474000, 0.3151270000", \
-                        "0.1446580000, 0.1486109000, 0.1567620000, 0.1760168000, 0.2173615000, 0.2921123000, 0.4351862000");
-                }
-                related_pin : "A4";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
-                    values("0.1839696000, 0.1930564000, 0.2147728000, 0.2684286000, 0.3975610000, 0.7110428000, 1.4758724000", \
-                        "0.1830854000, 0.1923783000, 0.2141102000, 0.2681881000, 0.3976263000, 0.7111610000, 1.4740719000", \
-                        "0.1813644000, 0.1908562000, 0.2132685000, 0.2674165000, 0.3979352000, 0.7108771000, 1.4792979000", \
-                        "0.1771883000, 0.1862466000, 0.2098422000, 0.2650708000, 0.3968635000, 0.7112223000, 1.4782975000", \
-                        "0.1813719000, 0.1893364000, 0.2108003000, 0.2615150000, 0.3921393000, 0.7131450000, 1.4748868000", \
-                        "0.2241334000, 0.2332119000, 0.2573929000, 0.3054527000, 0.4177911000, 0.7121687000, 1.4731767000", \
-                        "0.3060802000, 0.3191779000, 0.3446383000, 0.4099493000, 0.5434029000, 0.8115849000, 1.4948923000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
-                    values("0.0370563000, 0.0391612000, 0.0442085000, 0.0559199000, 0.0819657000, 0.1399856000, 0.2717058000", \
-                        "0.0408029000, 0.0429254000, 0.0480197000, 0.0596914000, 0.0858164000, 0.1440249000, 0.2757860000", \
-                        "0.0519573000, 0.0539176000, 0.0586469000, 0.0698448000, 0.0957896000, 0.1540706000, 0.2860546000", \
-                        "0.0783126000, 0.0805202000, 0.0862174000, 0.0971487000, 0.1208932000, 0.1775680000, 0.3094333000", \
-                        "0.1158211000, 0.1189690000, 0.1262199000, 0.1420656000, 0.1743723000, 0.2352782000, 0.3648649000", \
-                        "0.1588487000, 0.1632659000, 0.1736054000, 0.1965714000, 0.2439495000, 0.3344858000, 0.4944769000", \
-                        "0.1919241000, 0.1982584000, 0.2131381000, 0.2466874000, 0.3161563000, 0.4495850000, 0.6927416000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
-                    values("0.0235669000, 0.0252406000, 0.0290999000, 0.0380026000, 0.0584247000, 0.1067409000, 0.2244512000", \
-                        "0.0287838000, 0.0303679000, 0.0341317000, 0.0431399000, 0.0637290000, 0.1122719000, 0.2299789000", \
-                        "0.0417645000, 0.0436304000, 0.0473721000, 0.0559495000, 0.0764071000, 0.1252459000, 0.2430211000", \
-                        "0.0625638000, 0.0653669000, 0.0717599000, 0.0845222000, 0.1074660000, 0.1565159000, 0.2741808000", \
-                        "0.0954236000, 0.0998000000, 0.1097624000, 0.1301592000, 0.1676247000, 0.2297207000, 0.3475898000", \
-                        "0.1492218000, 0.1554244000, 0.1707457000, 0.2027610000, 0.2620813000, 0.3611996000, 0.5153308000", \
-                        "0.2442917000, 0.2541989000, 0.2765189000, 0.3234362000, 0.4138644000, 0.5705348000, 0.8202494000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
-                    values("0.0458958000, 0.0481059000, 0.0537666000, 0.0673466000, 0.0991105000, 0.1726593000, 0.3468568000", \
-                        "0.0454096000, 0.0478528000, 0.0536571000, 0.0672718000, 0.0991269000, 0.1726387000, 0.3465221000", \
-                        "0.0448701000, 0.0468657000, 0.0523964000, 0.0657934000, 0.0985888000, 0.1724802000, 0.3464710000", \
-                        "0.0555826000, 0.0571310000, 0.0615009000, 0.0720981000, 0.0998507000, 0.1711039000, 0.3461871000", \
-                        "0.0762890000, 0.0791476000, 0.0860029000, 0.0995550000, 0.1301161000, 0.1863562000, 0.3463630000", \
-                        "0.1183389000, 0.1223062000, 0.1313868000, 0.1514707000, 0.1908715000, 0.2599670000, 0.4004749000", \
-                        "0.1870598000, 0.1931494000, 0.2069710000, 0.2363024000, 0.2937759000, 0.3989376000, 0.5750747000");
-                }
-                related_pin : "B1";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
-                    values("0.0232291000, 0.0251508000, 0.0302795000, 0.0433679000, 0.0741996000, 0.1475953000, 0.3223308000", \
-                        "0.0231154000, 0.0251103000, 0.0306438000, 0.0433114000, 0.0741506000, 0.1476254000, 0.3222497000", \
-                        "0.0275460000, 0.0291921000, 0.0330501000, 0.0439991000, 0.0742823000, 0.1474957000, 0.3221733000", \
-                        "0.0480720000, 0.0492644000, 0.0519803000, 0.0578096000, 0.0810821000, 0.1479741000, 0.3221148000", \
-                        "0.0869474000, 0.0879324000, 0.0911140000, 0.0994764000, 0.1192203000, 0.1691198000, 0.3238810000", \
-                        "0.1583393000, 0.1591714000, 0.1630235000, 0.1737499000, 0.2002360000, 0.2566353000, 0.3774692000", \
-                        "0.2937083000, 0.2941882000, 0.2968440000, 0.3081085000, 0.3441219000, 0.4265306000, 0.5725317000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-        }
-    }
-
-/* removed sky130_fd_sc_hd__or2_0 */
-
-/* removed sky130_fd_sc_hd__or2_1 */
-
-/* removed sky130_fd_sc_hd__or2_2 */
-
-    cell ("sky130_fd_sc_hd__or2_4") {
-        leakage_power () {
-            value : 0.0039006000;
-            when : "!A&B";
-        }
-        leakage_power () {
-            value : 0.0051014000;
-            when : "!A&!B";
-        }
-        leakage_power () {
-            value : 0.0019054000;
-            when : "A&B";
-        }
-        leakage_power () {
-            value : 0.0024409000;
-            when : "A&!B";
-        }
-        area : 8.7584000000;
-        cell_footprint : "sky130_fd_sc_hd__or2";
-        cell_leakage_power : 0.0033370700;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("A") {
-            capacitance : 0.0024100000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0022750000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0038017000, 0.0037975000, 0.0037879000, 0.0037856000, 0.0037805000, 0.0037685000, 0.0037411000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.003393700, -0.003474400, -0.003660400, -0.003663000, -0.003669000, -0.003682800, -0.003714600");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0025450000;
-        }
-        pin ("B") {
-            capacitance : 0.0023670000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0021910000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0025058000, 0.0025020000, 0.0024934000, 0.0025005000, 0.0025169000, 0.0025548000, 0.0026421000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.001705600, -0.001714800, -0.001735800, -0.001737300, -0.001740800, -0.001748800, -0.001767400");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0025440000;
-        }
-        pin ("X") {
-            direction : "output";
-            function : "(A) | (B)";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015887090, 0.0050479920, 0.0160395800, 0.0509644400, 0.1619353000, 0.5145362000");
-                    values("0.0232723000, 0.0214184000, 0.0162580000, 0.0003805000, -0.052333100, -0.229904000, -0.800944800", \
-                        "0.0230936000, 0.0212606000, 0.0157738000, 0.0002076000, -0.052466300, -0.230045500, -0.801080000", \
-                        "0.0228989000, 0.0210645000, 0.0157223000, 0.0002486000, -0.052504200, -0.230369400, -0.801237100", \
-                        "0.0226394000, 0.0209322000, 0.0153566000, -0.000267100, -0.052968100, -0.230539700, -0.801379000", \
-                        "0.0224637000, 0.0206198000, 0.0151345000, -0.000536500, -0.053238000, -0.230811600, -0.801492300", \
-                        "0.0227526000, 0.0210745000, 0.0154117000, -0.000551000, -0.053486100, -0.231058100, -0.801681200", \
-                        "0.0303365000, 0.0282261000, 0.0221180000, 0.0037531000, -0.051704500, -0.230395000, -0.801104500");
-                }
-                related_pin : "A";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015887090, 0.0050479920, 0.0160395800, 0.0509644400, 0.1619353000, 0.5145362000");
-                    values("0.0286235000, 0.0305126000, 0.0363910000, 0.0545969000, 0.1112136000, 0.2889002000, 0.8544917000", \
-                        "0.0285417000, 0.0304530000, 0.0363906000, 0.0546310000, 0.1111845000, 0.2894908000, 0.8539360000", \
-                        "0.0284986000, 0.0304123000, 0.0363358000, 0.0546450000, 0.1112311000, 0.2894023000, 0.8537122000", \
-                        "0.0284570000, 0.0302706000, 0.0361273000, 0.0543756000, 0.1109699000, 0.2891574000, 0.8533447000", \
-                        "0.0284386000, 0.0302695000, 0.0359359000, 0.0538503000, 0.1104723000, 0.2890010000, 0.8528260000", \
-                        "0.0291942000, 0.0308635000, 0.0364804000, 0.0543278000, 0.1101187000, 0.2878113000, 0.8524655000", \
-                        "0.0309683000, 0.0326149000, 0.0381295000, 0.0555754000, 0.1117895000, 0.2895769000, 0.8524368000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015887090, 0.0050479920, 0.0160395800, 0.0509644400, 0.1619353000, 0.5145362000");
-                    values("0.0221650000, 0.0203423000, 0.0148669000, -0.000693100, -0.053153000, -0.230833200, -0.801648600", \
-                        "0.0220521000, 0.0202065000, 0.0150642000, -0.000604100, -0.053263000, -0.231078000, -0.801725500", \
-                        "0.0219977000, 0.0201246000, 0.0146369000, -0.001035500, -0.053663400, -0.231161600, -0.802038400", \
-                        "0.0216519000, 0.0198016000, 0.0143119000, -0.001174700, -0.053884000, -0.231488500, -0.802248100", \
-                        "0.0216355000, 0.0197584000, 0.0142501000, -0.001457200, -0.053992700, -0.231630500, -0.802121300", \
-                        "0.0223833000, 0.0204426000, 0.0147362000, -0.001384100, -0.053886300, -0.231392700, -0.801809900", \
-                        "0.0324177000, 0.0302202000, 0.0241752000, 0.0056002000, -0.052254300, -0.230513900, -0.800898400");
-                }
-                related_pin : "B";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015887090, 0.0050479920, 0.0160395800, 0.0509644400, 0.1619353000, 0.5145362000");
-                    values("0.0255991000, 0.0274595000, 0.0334881000, 0.0522884000, 0.1097284000, 0.2871626000, 0.8500438000", \
-                        "0.0256228000, 0.0275842000, 0.0335285000, 0.0523005000, 0.1097024000, 0.2887230000, 0.8551200000", \
-                        "0.0254512000, 0.0274014000, 0.0334704000, 0.0521393000, 0.1095297000, 0.2885820000, 0.8503954000", \
-                        "0.0252886000, 0.0271336000, 0.0331422000, 0.0515506000, 0.1088528000, 0.2865570000, 0.8506712000", \
-                        "0.0253141000, 0.0271758000, 0.0330240000, 0.0511925000, 0.1077119000, 0.2862281000, 0.8491875000", \
-                        "0.0262430000, 0.0280133000, 0.0337712000, 0.0515624000, 0.1072580000, 0.2858998000, 0.8528188000", \
-                        "0.0278329000, 0.0295232000, 0.0350044000, 0.0524900000, 0.1091695000, 0.2869061000, 0.8501583000");
-                }
-            }
-            max_capacitance : 0.5145360000;
-            max_transition : 1.5082430000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015887100, 0.0050479900, 0.0160396000, 0.0509644000, 0.1619350000, 0.5145360000");
-                    values("0.2137088000, 0.2183824000, 0.2302343000, 0.2566178000, 0.3098437000, 0.4239624000, 0.7291177000", \
-                        "0.2187554000, 0.2234216000, 0.2352952000, 0.2616577000, 0.3148669000, 0.4290656000, 0.7342330000", \
-                        "0.2309985000, 0.2356463000, 0.2474871000, 0.2738701000, 0.3268787000, 0.4414097000, 0.7460039000", \
-                        "0.2581295000, 0.2627427000, 0.2745648000, 0.3008716000, 0.3541238000, 0.4684144000, 0.7734041000", \
-                        "0.3211711000, 0.3258127000, 0.3376285000, 0.3638801000, 0.4172704000, 0.5319142000, 0.8371943000", \
-                        "0.4551697000, 0.4605309000, 0.4731016000, 0.5009789000, 0.5561339000, 0.6720682000, 0.9769994000", \
-                        "0.6913723000, 0.6969356000, 0.7119864000, 0.7445384000, 0.8077465000, 0.9333941000, 1.2433057000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015887100, 0.0050479900, 0.0160396000, 0.0509644000, 0.1619350000, 0.5145360000");
-                    values("0.0807491000, 0.0842950000, 0.0939403000, 0.1194046000, 0.1919323000, 0.4165965000, 1.1299173000", \
-                        "0.0853266000, 0.0888770000, 0.0985615000, 0.1240040000, 0.1964740000, 0.4213961000, 1.1349703000", \
-                        "0.0961611000, 0.0997108000, 0.1093915000, 0.1348787000, 0.2074188000, 0.4330450000, 1.1449347000", \
-                        "0.1220859000, 0.1255382000, 0.1351539000, 0.1604682000, 0.2330520000, 0.4588213000, 1.1705484000", \
-                        "0.1655284000, 0.1695724000, 0.1797901000, 0.2061790000, 0.2787501000, 0.5037983000, 1.2161099000", \
-                        "0.2169357000, 0.2217929000, 0.2351352000, 0.2643510000, 0.3377413000, 0.5629877000, 1.2765985000", \
-                        "0.2575608000, 0.2645745000, 0.2821473000, 0.3190986000, 0.3965888000, 0.6204084000, 1.3318943000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015887100, 0.0050479900, 0.0160396000, 0.0509644000, 0.1619350000, 0.5145360000");
-                    values("0.0383121000, 0.0406893000, 0.0481218000, 0.0652495000, 0.1061489000, 0.2230022000, 0.6095994000", \
-                        "0.0383303000, 0.0407013000, 0.0476939000, 0.0652069000, 0.1060085000, 0.2230218000, 0.6096217000", \
-                        "0.0383184000, 0.0406621000, 0.0477649000, 0.0645976000, 0.1056722000, 0.2222116000, 0.6105005000", \
-                        "0.0381354000, 0.0410542000, 0.0481913000, 0.0652971000, 0.1059275000, 0.2224328000, 0.6104021000", \
-                        "0.0383090000, 0.0406336000, 0.0481101000, 0.0645462000, 0.1059201000, 0.2223047000, 0.6105104000", \
-                        "0.0441205000, 0.0463884000, 0.0541662000, 0.0701226000, 0.1100020000, 0.2239820000, 0.6115812000", \
-                        "0.0597725000, 0.0625045000, 0.0702132000, 0.0884335000, 0.1302642000, 0.2414170000, 0.6149622000");
-                }
-                related_pin : "A";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015887100, 0.0050479900, 0.0160396000, 0.0509644000, 0.1619350000, 0.5145360000");
-                    values("0.0205169000, 0.0236607000, 0.0331820000, 0.0631427000, 0.1625263000, 0.4828211000, 1.5039689000", \
-                        "0.0204463000, 0.0235243000, 0.0331120000, 0.0631037000, 0.1621676000, 0.4837327000, 1.5046411000", \
-                        "0.0205054000, 0.0235554000, 0.0331820000, 0.0631510000, 0.1620977000, 0.4840823000, 1.5018004000", \
-                        "0.0206719000, 0.0238621000, 0.0333611000, 0.0631143000, 0.1625672000, 0.4839442000, 1.5014426000", \
-                        "0.0255581000, 0.0284943000, 0.0377331000, 0.0658835000, 0.1628919000, 0.4828858000, 1.4991135000", \
-                        "0.0356656000, 0.0390992000, 0.0472201000, 0.0730005000, 0.1655779000, 0.4825906000, 1.4997319000", \
-                        "0.0514482000, 0.0554553000, 0.0660413000, 0.0909883000, 0.1732682000, 0.4863337000, 1.4997638000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015887100, 0.0050479900, 0.0160396000, 0.0509644000, 0.1619350000, 0.5145360000");
-                    values("0.1976790000, 0.2022558000, 0.2141532000, 0.2405277000, 0.2936560000, 0.4081665000, 0.7131666000", \
-                        "0.2011334000, 0.2058005000, 0.2176500000, 0.2440178000, 0.2970023000, 0.4117454000, 0.7164324000", \
-                        "0.2109617000, 0.2155853000, 0.2274386000, 0.2537543000, 0.3071902000, 0.4214349000, 0.7265234000", \
-                        "0.2377717000, 0.2424574000, 0.2543642000, 0.2805555000, 0.3333799000, 0.4480584000, 0.7529937000", \
-                        "0.3040399000, 0.3086079000, 0.3203694000, 0.3466420000, 0.3993192000, 0.5143097000, 0.8194251000", \
-                        "0.4448855000, 0.4499710000, 0.4630451000, 0.4913479000, 0.5472223000, 0.6634500000, 0.9690264000", \
-                        "0.6713215000, 0.6775635000, 0.6937186000, 0.7296629000, 0.7964133000, 0.9211518000, 1.2306777000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015887100, 0.0050479900, 0.0160396000, 0.0509644000, 0.1619350000, 0.5145360000");
-                    values("0.0766843000, 0.0801989000, 0.0899554000, 0.1158205000, 0.1890230000, 0.4144321000, 1.1272705000", \
-                        "0.0815329000, 0.0851001000, 0.0947761000, 0.1206416000, 0.1939330000, 0.4198064000, 1.1349165000", \
-                        "0.0926256000, 0.0961906000, 0.1059665000, 0.1317210000, 0.2047044000, 0.4308927000, 1.1442847000", \
-                        "0.1185782000, 0.1221283000, 0.1318177000, 0.1573357000, 0.2301930000, 0.4560837000, 1.1812580000", \
-                        "0.1610377000, 0.1650624000, 0.1757984000, 0.2021894000, 0.2748573000, 0.5002060000, 1.2139014000", \
-                        "0.2117351000, 0.2171271000, 0.2307981000, 0.2602074000, 0.3340081000, 0.5587384000, 1.2748960000", \
-                        "0.2556143000, 0.2628765000, 0.2811337000, 0.3187899000, 0.3978068000, 0.6220622000, 1.3330393000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015887100, 0.0050479900, 0.0160396000, 0.0509644000, 0.1619350000, 0.5145360000");
-                    values("0.0382047000, 0.0408590000, 0.0479365000, 0.0652887000, 0.1050214000, 0.2226141000, 0.6099038000", \
-                        "0.0383267000, 0.0406863000, 0.0481449000, 0.0653628000, 0.1058043000, 0.2230118000, 0.6105391000", \
-                        "0.0382338000, 0.0409847000, 0.0480260000, 0.0644885000, 0.1057845000, 0.2229854000, 0.6095013000", \
-                        "0.0383132000, 0.0406645000, 0.0477107000, 0.0645892000, 0.1068408000, 0.2227683000, 0.6100032000", \
-                        "0.0382028000, 0.0410356000, 0.0482171000, 0.0651870000, 0.1066169000, 0.2226540000, 0.6101659000", \
-                        "0.0477268000, 0.0505309000, 0.0576597000, 0.0734782000, 0.1115982000, 0.2247476000, 0.6100723000", \
-                        "0.0709782000, 0.0738544000, 0.0819397000, 0.1005786000, 0.1384347000, 0.2446590000, 0.6169061000");
-                }
-                related_pin : "B";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015887100, 0.0050479900, 0.0160396000, 0.0509644000, 0.1619350000, 0.5145360000");
-                    values("0.0202628000, 0.0233756000, 0.0328938000, 0.0627924000, 0.1618441000, 0.4825502000, 1.5002392000", \
-                        "0.0202157000, 0.0232617000, 0.0328277000, 0.0627250000, 0.1617813000, 0.4840375000, 1.5082426000", \
-                        "0.0203363000, 0.0233693000, 0.0328515000, 0.0628739000, 0.1621113000, 0.4858338000, 1.5028366000", \
-                        "0.0208050000, 0.0239239000, 0.0332998000, 0.0630843000, 0.1621112000, 0.4825753000, 1.5028541000", \
-                        "0.0262351000, 0.0293671000, 0.0378597000, 0.0657330000, 0.1623505000, 0.4834794000, 1.5009581000", \
-                        "0.0368830000, 0.0401949000, 0.0491770000, 0.0745705000, 0.1656782000, 0.4823836000, 1.5040700000", \
-                        "0.0538846000, 0.0577029000, 0.0684114000, 0.0939876000, 0.1748017000, 0.4845890000, 1.4987890000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-        }
-    }
-
-/* removed sky130_fd_sc_hd__or2b_1 */
-
-/* removed sky130_fd_sc_hd__or2b_2 */
-
-/* removed sky130_fd_sc_hd__or2b_4 */
-
-/* removed sky130_fd_sc_hd__or3_1 */
-
-/* removed sky130_fd_sc_hd__or3_2 */
-
-    cell ("sky130_fd_sc_hd__or3_4") {
-        leakage_power () {
-            value : 0.0040967000;
-            when : "!A&!B&C";
-        }
-        leakage_power () {
-            value : 0.0046321000;
-            when : "!A&!B&!C";
-        }
-        leakage_power () {
-            value : 0.0020287000;
-            when : "!A&B&C";
-        }
-        leakage_power () {
-            value : 0.0024994000;
-            when : "!A&B&!C";
-        }
-        leakage_power () {
-            value : 0.0020171000;
-            when : "A&!B&C";
-        }
-        leakage_power () {
-            value : 0.0023608000;
-            when : "A&!B&!C";
-        }
-        leakage_power () {
-            value : 0.0019971000;
-            when : "A&B&C";
-        }
-        leakage_power () {
-            value : 0.0020186000;
-            when : "A&B&!C";
-        }
-        area : 11.260800000;
-        cell_footprint : "sky130_fd_sc_hd__or3";
-        cell_leakage_power : 0.0027063310;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("A") {
-            capacitance : 0.0024030000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0022620000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0038554000, 0.0038459000, 0.0038240000, 0.0038266000, 0.0038327000, 0.0038468000, 0.0038794000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.003301300, -0.003331300, -0.003400400, -0.003415100, -0.003448900, -0.003526800, -0.003706600");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0025440000;
-        }
-        pin ("B") {
-            capacitance : 0.0023510000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0021930000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0037651000, 0.0037661000, 0.0037684000, 0.0037708000, 0.0037762000, 0.0037887000, 0.0038176000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.003286600, -0.003375600, -0.003580800, -0.003587200, -0.003601900, -0.003635700, -0.003713800");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0025090000;
-        }
-        pin ("C") {
-            capacitance : 0.0023010000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0021110000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0025669000, 0.0025655000, 0.0025623000, 0.0025698000, 0.0025871000, 0.0026271000, 0.0027193000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.001724100, -0.001719200, -0.001708100, -0.001710800, -0.001717100, -0.001731500, -0.001764900");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0024920000;
-        }
-        pin ("X") {
-            direction : "output";
-            function : "(A) | (B) | (C)";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
-                    values("0.0255141000, 0.0235106000, 0.0176216000, 0.0013860000, -0.052050500, -0.233636200, -0.824800700", \
-                        "0.0254915000, 0.0234275000, 0.0175912000, 0.0013077000, -0.052137200, -0.233708400, -0.824958600", \
-                        "0.0251932000, 0.0235843000, 0.0172059000, 0.0008973000, -0.052526200, -0.233978500, -0.825138000", \
-                        "0.0249575000, 0.0228715000, 0.0170033000, 0.0007651000, -0.052754600, -0.234274100, -0.825371000", \
-                        "0.0247337000, 0.0226569000, 0.0168143000, 0.0007278000, -0.052906300, -0.234418400, -0.825450900", \
-                        "0.0247041000, 0.0226766000, 0.0168149000, 0.0006638000, -0.053054200, -0.234611300, -0.825642900", \
-                        "0.0317538000, 0.0295077000, 0.0230163000, 0.0040802000, -0.053828400, -0.234674400, -0.825591500");
-                }
-                related_pin : "A";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
-                    values("0.0299347000, 0.0319496000, 0.0379576000, 0.0567263000, 0.1149203000, 0.2987714000, 0.8830631000", \
-                        "0.0299204000, 0.0318373000, 0.0378169000, 0.0566522000, 0.1149092000, 0.2982869000, 0.8873712000", \
-                        "0.0297922000, 0.0316950000, 0.0377833000, 0.0565876000, 0.1148425000, 0.2982241000, 0.8840089000", \
-                        "0.0299190000, 0.0318942000, 0.0378799000, 0.0565631000, 0.1147243000, 0.2980506000, 0.8834338000", \
-                        "0.0298831000, 0.0317320000, 0.0376445000, 0.0561016000, 0.1137406000, 0.2978539000, 0.8871875000", \
-                        "0.0311214000, 0.0329043000, 0.0385587000, 0.0568393000, 0.1137600000, 0.2970050000, 0.8836660000", \
-                        "0.0326205000, 0.0342938000, 0.0398850000, 0.0578694000, 0.1148949000, 0.2985944000, 0.8820063000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
-                    values("0.0232521000, 0.0211033000, 0.0152832000, -0.000531500, -0.054069900, -0.235814800, -0.826810800", \
-                        "0.0230542000, 0.0209961000, 0.0151498000, -0.000677200, -0.054170000, -0.235945500, -0.826952200", \
-                        "0.0228477000, 0.0208783000, 0.0149655000, -0.001270800, -0.054693600, -0.236194800, -0.827243900", \
-                        "0.0226742000, 0.0205921000, 0.0147287000, -0.001587000, -0.054755700, -0.236406200, -0.827317200", \
-                        "0.0225760000, 0.0205138000, 0.0146485000, -0.001613800, -0.055145900, -0.236637500, -0.827515100", \
-                        "0.0226005000, 0.0205096000, 0.0146025000, -0.001741400, -0.055311000, -0.236830200, -0.827757500", \
-                        "0.0294169000, 0.0272438000, 0.0205255000, 0.0014032000, -0.055912000, -0.235835700, -0.827042600");
-                }
-                related_pin : "B";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
-                    values("0.0290379000, 0.0309777000, 0.0369958000, 0.0559287000, 0.1146797000, 0.2986661000, 0.8850506000", \
-                        "0.0290749000, 0.0310054000, 0.0369980000, 0.0560052000, 0.1147260000, 0.2991859000, 0.8883061000", \
-                        "0.0291216000, 0.0310460000, 0.0371236000, 0.0561110000, 0.1147119000, 0.2992451000, 0.8884353000", \
-                        "0.0290397000, 0.0310235000, 0.0370383000, 0.0558330000, 0.1143924000, 0.2989365000, 0.8881638000", \
-                        "0.0289711000, 0.0308378000, 0.0366287000, 0.0550456000, 0.1134956000, 0.2978762000, 0.8845201000", \
-                        "0.0297850000, 0.0315699000, 0.0371783000, 0.0554714000, 0.1129293000, 0.2966984000, 0.8827160000", \
-                        "0.0313511000, 0.0330393000, 0.0385785000, 0.0564306000, 0.1143053000, 0.2982789000, 0.8805977000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
-                    values("0.0222697000, 0.0202153000, 0.0144059000, -0.001967800, -0.055211900, -0.236593500, -0.827641000", \
-                        "0.0221959000, 0.0200996000, 0.0142032000, -0.001817500, -0.055258300, -0.236817800, -0.827724000", \
-                        "0.0220395000, 0.0199494000, 0.0140801000, -0.002204900, -0.055688800, -0.237121100, -0.828055200", \
-                        "0.0217318000, 0.0197499000, 0.0139547000, -0.002216300, -0.055810800, -0.237287200, -0.828232100", \
-                        "0.0215261000, 0.0194354000, 0.0135845000, -0.002592700, -0.056190800, -0.237453600, -0.828286500", \
-                        "0.0220775000, 0.0199806000, 0.0140027000, -0.001444300, -0.055399300, -0.237362400, -0.828300200", \
-                        "0.0321245000, 0.0298909000, 0.0230514000, 0.0034339000, -0.054364100, -0.235821900, -0.826997700");
-                }
-                related_pin : "C";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
-                    values("0.0257994000, 0.0277347000, 0.0338848000, 0.0527346000, 0.1115687000, 0.2958618000, 0.8824956000", \
-                        "0.0257490000, 0.0277040000, 0.0338206000, 0.0527317000, 0.1115235000, 0.2945015000, 0.8820683000", \
-                        "0.0256538000, 0.0276162000, 0.0337165000, 0.0527662000, 0.1114048000, 0.2957524000, 0.8777199000", \
-                        "0.0256158000, 0.0275224000, 0.0336305000, 0.0524429000, 0.1109451000, 0.2953697000, 0.8823388000", \
-                        "0.0256737000, 0.0275188000, 0.0334146000, 0.0516441000, 0.1099686000, 0.2945569000, 0.8825168000", \
-                        "0.0265424000, 0.0284621000, 0.0341784000, 0.0524853000, 0.1094272000, 0.2933500000, 0.8802382000", \
-                        "0.0279431000, 0.0295961000, 0.0351437000, 0.0531570000, 0.1115391000, 0.2941553000, 0.8817169000");
-                }
-            }
-            max_capacitance : 0.5319310000;
-            max_transition : 1.5070720000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
-                    values("0.3459759000, 0.3519301000, 0.3672774000, 0.4013956000, 0.4689475000, 0.6050974000, 0.9332050000", \
-                        "0.3504629000, 0.3564133000, 0.3716655000, 0.4059412000, 0.4735529000, 0.6093772000, 0.9376722000", \
-                        "0.3619217000, 0.3678751000, 0.3831757000, 0.4172194000, 0.4849762000, 0.6210951000, 0.9491448000", \
-                        "0.3879018000, 0.3937147000, 0.4091606000, 0.4431471000, 0.5108351000, 0.6470487000, 0.9751912000", \
-                        "0.4450830000, 0.4508968000, 0.4662083000, 0.5000853000, 0.5674502000, 0.7041038000, 1.0321195000", \
-                        "0.5702332000, 0.5761056000, 0.5914033000, 0.6252407000, 0.6928736000, 0.8297968000, 1.1573101000", \
-                        "0.8066398000, 0.8132645000, 0.8303217000, 0.8678373000, 0.9427552000, 1.0862934000, 1.4184540000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
-                    values("0.0842214000, 0.0878244000, 0.0975802000, 0.1230933000, 0.1953703000, 0.4192494000, 1.1307968000", \
-                        "0.0887973000, 0.0924025000, 0.1020998000, 0.1276477000, 0.1998561000, 0.4244325000, 1.1389891000", \
-                        "0.0998479000, 0.1034357000, 0.1132139000, 0.1387216000, 0.2108926000, 0.4350826000, 1.1503253000", \
-                        "0.1258950000, 0.1294419000, 0.1391071000, 0.1643502000, 0.2362366000, 0.4609243000, 1.1757864000", \
-                        "0.1727291000, 0.1767696000, 0.1872393000, 0.2132203000, 0.2853855000, 0.5088492000, 1.2232134000", \
-                        "0.2290893000, 0.2343430000, 0.2472672000, 0.2764051000, 0.3492003000, 0.5730623000, 1.2861322000", \
-                        "0.2759523000, 0.2829448000, 0.3004853000, 0.3374520000, 0.4146551000, 0.6374002000, 1.3480580000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
-                    values("0.0554249000, 0.0586787000, 0.0673611000, 0.0880915000, 0.1366495000, 0.2580715000, 0.6385142000", \
-                        "0.0553418000, 0.0582554000, 0.0673455000, 0.0885551000, 0.1349636000, 0.2581423000, 0.6372824000", \
-                        "0.0553636000, 0.0583989000, 0.0674031000, 0.0889105000, 0.1355402000, 0.2581226000, 0.6383712000", \
-                        "0.0553997000, 0.0583414000, 0.0670513000, 0.0877747000, 0.1362831000, 0.2580008000, 0.6382292000", \
-                        "0.0551651000, 0.0583483000, 0.0673033000, 0.0882174000, 0.1357026000, 0.2577308000, 0.6389781000", \
-                        "0.0570047000, 0.0598744000, 0.0681769000, 0.0890612000, 0.1358157000, 0.2583084000, 0.6387924000", \
-                        "0.0686798000, 0.0717879000, 0.0811113000, 0.1023196000, 0.1512418000, 0.2724840000, 0.6445931000");
-                }
-                related_pin : "A";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
-                    values("0.0204332000, 0.0234761000, 0.0327853000, 0.0621686000, 0.1601252000, 0.4796596000, 1.4985264000", \
-                        "0.0203640000, 0.0234207000, 0.0328129000, 0.0621878000, 0.1602102000, 0.4792802000, 1.5011704000", \
-                        "0.0204560000, 0.0234420000, 0.0327490000, 0.0621000000, 0.1601910000, 0.4783828000, 1.5010418000", \
-                        "0.0203585000, 0.0233621000, 0.0326725000, 0.0619858000, 0.1601535000, 0.4793868000, 1.4991167000", \
-                        "0.0250410000, 0.0278217000, 0.0364804000, 0.0641209000, 0.1605244000, 0.4791870000, 1.5020035000", \
-                        "0.0346662000, 0.0377596000, 0.0459025000, 0.0715005000, 0.1629469000, 0.4789252000, 1.5017667000", \
-                        "0.0494961000, 0.0536105000, 0.0643422000, 0.0886908000, 0.1709363000, 0.4820537000, 1.4983637000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
-                    values("0.3289307000, 0.3347550000, 0.3501545000, 0.3840742000, 0.4517262000, 0.5878463000, 0.9158468000", \
-                        "0.3325248000, 0.3383526000, 0.3537816000, 0.3877642000, 0.4554525000, 0.5915065000, 0.9195666000", \
-                        "0.3429934000, 0.3489844000, 0.3642702000, 0.3983222000, 0.4659464000, 0.6021949000, 0.9303607000", \
-                        "0.3684825000, 0.3742925000, 0.3896261000, 0.4237173000, 0.4913384000, 0.6280371000, 0.9554376000", \
-                        "0.4292613000, 0.4351625000, 0.4504693000, 0.4845531000, 0.5517768000, 0.6884379000, 1.0168567000", \
-                        "0.5692631000, 0.5751594000, 0.5906133000, 0.6247841000, 0.6926189000, 0.8294604000, 1.1569316000", \
-                        "0.8361279000, 0.8427015000, 0.8600776000, 0.8987137000, 0.9737414000, 1.1182566000, 1.4503452000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
-                    values("0.0839679000, 0.0875375000, 0.0972925000, 0.1229211000, 0.1954485000, 0.4196942000, 1.1327953000", \
-                        "0.0885846000, 0.0921888000, 0.1019298000, 0.1276231000, 0.2000398000, 0.4243317000, 1.1372094000", \
-                        "0.0995256000, 0.1031338000, 0.1129555000, 0.1386163000, 0.2109576000, 0.4352364000, 1.1480996000", \
-                        "0.1255216000, 0.1290965000, 0.1388406000, 0.1642785000, 0.2364704000, 0.4611993000, 1.1751685000", \
-                        "0.1708538000, 0.1749512000, 0.1852745000, 0.2118014000, 0.2840981000, 0.5084814000, 1.2220005000", \
-                        "0.2249340000, 0.2302365000, 0.2434590000, 0.2730581000, 0.3463367000, 0.5706722000, 1.2838133000", \
-                        "0.2686359000, 0.2757412000, 0.2936942000, 0.3308283000, 0.4091496000, 0.6320571000, 1.3436704000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
-                    values("0.0553561000, 0.0583394000, 0.0672179000, 0.0881909000, 0.1359003000, 0.2580871000, 0.6390817000", \
-                        "0.0554173000, 0.0583171000, 0.0671624000, 0.0880688000, 0.1353739000, 0.2580486000, 0.6391747000", \
-                        "0.0554389000, 0.0587904000, 0.0673590000, 0.0879570000, 0.1366249000, 0.2580341000, 0.6384760000", \
-                        "0.0553765000, 0.0583407000, 0.0673072000, 0.0888864000, 0.1349998000, 0.2575922000, 0.6386908000", \
-                        "0.0552410000, 0.0584023000, 0.0673554000, 0.0882764000, 0.1367096000, 0.2576012000, 0.6377047000", \
-                        "0.0569508000, 0.0601268000, 0.0685765000, 0.0887852000, 0.1357136000, 0.2577085000, 0.6389919000", \
-                        "0.0736922000, 0.0761565000, 0.0858827000, 0.1067121000, 0.1534132000, 0.2718409000, 0.6459367000");
-                }
-                related_pin : "B";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
-                    values("0.0201870000, 0.0232659000, 0.0325774000, 0.0618147000, 0.1599399000, 0.4795678000, 1.5026096000", \
-                        "0.0201994000, 0.0232222000, 0.0326083000, 0.0618511000, 0.1597870000, 0.4800760000, 1.5018807000", \
-                        "0.0202390000, 0.0232845000, 0.0325571000, 0.0617876000, 0.1597658000, 0.4798605000, 1.5014510000", \
-                        "0.0203197000, 0.0233630000, 0.0325951000, 0.0618945000, 0.1597921000, 0.4800952000, 1.5036409000", \
-                        "0.0250800000, 0.0280257000, 0.0370800000, 0.0645286000, 0.1600262000, 0.4794346000, 1.5025242000", \
-                        "0.0349193000, 0.0383346000, 0.0467592000, 0.0722114000, 0.1627270000, 0.4778110000, 1.4979511000", \
-                        "0.0509912000, 0.0550556000, 0.0656038000, 0.0911762000, 0.1722037000, 0.4813135000, 1.4986000000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
-                    values("0.2949677000, 0.3008004000, 0.3159917000, 0.3503244000, 0.4181522000, 0.5539835000, 0.8822564000", \
-                        "0.2976156000, 0.3034358000, 0.3188829000, 0.3528645000, 0.4205396000, 0.5572641000, 0.8847942000", \
-                        "0.3055687000, 0.3113421000, 0.3267971000, 0.3609155000, 0.4286358000, 0.5654451000, 0.8929234000", \
-                        "0.3286381000, 0.3344736000, 0.3499053000, 0.3839359000, 0.4516909000, 0.5884265000, 0.9155640000", \
-                        "0.3888136000, 0.3946206000, 0.4100035000, 0.4440982000, 0.5116114000, 0.6481958000, 0.9764881000", \
-                        "0.5324228000, 0.5383110000, 0.5535152000, 0.5876579000, 0.6550555000, 0.7904822000, 1.1186873000", \
-                        "0.7913129000, 0.7985643000, 0.8166291000, 0.8577409000, 0.9345733000, 1.0786332000, 1.4100765000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
-                    values("0.0804629000, 0.0841171000, 0.0940829000, 0.1198769000, 0.1924399000, 0.4172655000, 1.1337414000", \
-                        "0.0853003000, 0.0889518000, 0.0989196000, 0.1247174000, 0.1973201000, 0.4220023000, 1.1376146000", \
-                        "0.0967231000, 0.1003732000, 0.1103344000, 0.1362267000, 0.2087456000, 0.4339745000, 1.1453045000", \
-                        "0.1228214000, 0.1264381000, 0.1363085000, 0.1619038000, 0.2343577000, 0.4603581000, 1.1704490000", \
-                        "0.1675030000, 0.1717195000, 0.1826026000, 0.2092410000, 0.2817608000, 0.5065109000, 1.2238187000", \
-                        "0.2215470000, 0.2270924000, 0.2411013000, 0.2713802000, 0.3446588000, 0.5681314000, 1.2850137000", \
-                        "0.2690808000, 0.2764007000, 0.2951617000, 0.3337575000, 0.4140073000, 0.6378605000, 1.3474812000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
-                    values("0.0554015000, 0.0583384000, 0.0674927000, 0.0889060000, 0.1351814000, 0.2580363000, 0.6375613000", \
-                        "0.0554124000, 0.0583248000, 0.0671233000, 0.0892245000, 0.1348807000, 0.2575456000, 0.6391707000", \
-                        "0.0552789000, 0.0582638000, 0.0673539000, 0.0878847000, 0.1350002000, 0.2576948000, 0.6385562000", \
-                        "0.0552144000, 0.0582066000, 0.0673036000, 0.0890417000, 0.1354705000, 0.2577838000, 0.6391940000", \
-                        "0.0554137000, 0.0583199000, 0.0671738000, 0.0887097000, 0.1350381000, 0.2572246000, 0.6384019000", \
-                        "0.0575518000, 0.0605989000, 0.0687883000, 0.0894113000, 0.1375733000, 0.2593991000, 0.6384021000", \
-                        "0.0826437000, 0.0855698000, 0.0947989000, 0.1174094000, 0.1607158000, 0.2763350000, 0.6467580000");
-                }
-                related_pin : "C";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
-                    values("0.0204222000, 0.0234652000, 0.0327905000, 0.0621264000, 0.1596693000, 0.4796953000, 1.5059775000", \
-                        "0.0204284000, 0.0235562000, 0.0328111000, 0.0620892000, 0.1599868000, 0.4786538000, 1.5015917000", \
-                        "0.0204285000, 0.0234938000, 0.0328243000, 0.0620479000, 0.1599879000, 0.4806552000, 1.4971254000", \
-                        "0.0207910000, 0.0237940000, 0.0330911000, 0.0622801000, 0.1599425000, 0.4807758000, 1.5010900000", \
-                        "0.0261740000, 0.0290487000, 0.0377731000, 0.0652784000, 0.1603542000, 0.4801560000, 1.5070716000", \
-                        "0.0367054000, 0.0399413000, 0.0493622000, 0.0740055000, 0.1635425000, 0.4788038000, 1.5046610000", \
-                        "0.0535327000, 0.0581115000, 0.0687030000, 0.0944681000, 0.1736763000, 0.4813303000, 1.4973982000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-        }
-    }
-
-/* removed sky130_fd_sc_hd__or3b_1 */
-
-/* removed sky130_fd_sc_hd__or3b_2 */
-
-/* removed sky130_fd_sc_hd__or3b_4 */
-
-/* removed sky130_fd_sc_hd__or4_1 */
-
-/* removed sky130_fd_sc_hd__or4_2 */
-
-    cell ("sky130_fd_sc_hd__or4_4") {
-        leakage_power () {
-            value : 0.0040669000;
-            when : "!A&!B&!C&D";
-        }
-        leakage_power () {
-            value : 0.0059004000;
-            when : "!A&!B&!C&!D";
-        }
-        leakage_power () {
-            value : 0.0019956000;
-            when : "!A&!B&C&D";
-        }
-        leakage_power () {
-            value : 0.0024239000;
-            when : "!A&!B&C&!D";
-        }
-        leakage_power () {
-            value : 0.0019875000;
-            when : "!A&B&!C&D";
-        }
-        leakage_power () {
-            value : 0.0023181000;
-            when : "!A&B&!C&!D";
-        }
-        leakage_power () {
-            value : 0.0019676000;
-            when : "!A&B&C&D";
-        }
-        leakage_power () {
-            value : 0.0019889000;
-            when : "!A&B&C&!D";
-        }
-        leakage_power () {
-            value : 0.0019855000;
-            when : "A&!B&!C&D";
-        }
-        leakage_power () {
-            value : 0.0022894000;
-            when : "A&!B&!C&!D";
-        }
-        leakage_power () {
-            value : 0.0019669000;
-            when : "A&!B&C&D";
-        }
-        leakage_power () {
-            value : 0.0019870000;
-            when : "A&!B&C&!D";
-        }
-        leakage_power () {
-            value : 0.0019657000;
-            when : "A&B&!C&D";
-        }
-        leakage_power () {
-            value : 0.0019915000;
-            when : "A&B&!C&!D";
-        }
-        leakage_power () {
-            value : 0.0019594000;
-            when : "A&B&C&D";
-        }
-        leakage_power () {
-            value : 0.0019700000;
-            when : "A&B&C&!D";
-        }
-        area : 11.260800000;
-        cell_footprint : "sky130_fd_sc_hd__or4";
-        cell_leakage_power : 0.0024227670;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("A") {
-            capacitance : 0.0023670000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0022400000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0039518000, 0.0039475000, 0.0039376000, 0.0039377000, 0.0039379000, 0.0039382000, 0.0039390000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.003309400, -0.003343200, -0.003421000, -0.003436800, -0.003473200, -0.003557100, -0.003750600");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0024930000;
-        }
-        pin ("B") {
-            capacitance : 0.0024510000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0023070000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0040186000, 0.0040153000, 0.0040077000, 0.0040091000, 0.0040126000, 0.0040205000, 0.0040387000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.003348100, -0.003388100, -0.003480200, -0.003498100, -0.003539300, -0.003634300, -0.003853300");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0025950000;
-        }
-        pin ("C") {
-            capacitance : 0.0024460000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0022660000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0039025000, 0.0039009000, 0.0038971000, 0.0038971000, 0.0038971000, 0.0038970000, 0.0038968000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.003453500, -0.003528400, -0.003701000, -0.003710500, -0.003732600, -0.003783600, -0.003900900");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0026250000;
-        }
-        pin ("D") {
-            capacitance : 0.0023000000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0020960000;
-            internal_power () {
-                fall_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("0.0027252000, 0.0027229000, 0.0027176000, 0.0027245000, 0.0027405000, 0.0027774000, 0.0028624000");
-                }
-                rise_power ("power_inputs_1") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    values("-0.001701400, -0.001707500, -0.001721600, -0.001719700, -0.001715100, -0.001704700, -0.001680600");
-                }
-            }
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0025030000;
-        }
-        pin ("X") {
-            direction : "output";
-            function : "(A) | (B) | (C) | (D)";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000");
-                    values("0.0281561000, 0.0258964000, 0.0194889000, 0.0028612000, -0.051366200, -0.233357500, -0.827457000", \
-                        "0.0279853000, 0.0260372000, 0.0199057000, 0.0028668000, -0.051556900, -0.233500000, -0.827545200", \
-                        "0.0277519000, 0.0255106000, 0.0191379000, 0.0019609000, -0.052106300, -0.233580300, -0.827619500", \
-                        "0.0275254000, 0.0253560000, 0.0189731000, 0.0017489000, -0.052336500, -0.233867100, -0.827809400", \
-                        "0.0273720000, 0.0251337000, 0.0187386000, 0.0016520000, -0.052571800, -0.234077900, -0.827979900", \
-                        "0.0272004000, 0.0250030000, 0.0185773000, 0.0016318000, -0.052675300, -0.234254000, -0.828176400", \
-                        "0.0300004000, 0.0276878000, 0.0207812000, 0.0014401000, -0.052550200, -0.234194200, -0.828165600");
-                }
-                related_pin : "A";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000");
-                    values("0.0301260000, 0.0320327000, 0.0382112000, 0.0570218000, 0.1155306000, 0.2997719000, 0.8919592000", \
-                        "0.0300449000, 0.0319652000, 0.0381315000, 0.0569621000, 0.1154608000, 0.2995145000, 0.8918918000", \
-                        "0.0299583000, 0.0319142000, 0.0380548000, 0.0569785000, 0.1154684000, 0.2996341000, 0.8878506000", \
-                        "0.0300454000, 0.0319525000, 0.0380916000, 0.0569593000, 0.1154251000, 0.2993898000, 0.8907827000", \
-                        "0.0303407000, 0.0322191000, 0.0381150000, 0.0567990000, 0.1147463000, 0.2991823000, 0.8870623000", \
-                        "0.0316833000, 0.0334555000, 0.0391418000, 0.0572759000, 0.1149322000, 0.2988419000, 0.8917020000", \
-                        "0.0339688000, 0.0356818000, 0.0412768000, 0.0590525000, 0.1162392000, 0.3005466000, 0.8868471000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000");
-                    values("0.0257908000, 0.0235337000, 0.0171833000, 2.360000e-05, -0.053803800, -0.235620700, -0.829523200", \
-                        "0.0256845000, 0.0234661000, 0.0170554000, 3.930000e-05, -0.054147800, -0.235634300, -0.829490900", \
-                        "0.0254924000, 0.0232151000, 0.0168632000, -0.000264000, -0.054450400, -0.235855800, -0.829637500", \
-                        "0.0254946000, 0.0232718000, 0.0166856000, -0.000532400, -0.054283600, -0.236089800, -0.829846700", \
-                        "0.0251463000, 0.0229334000, 0.0167290000, -0.000646100, -0.054688600, -0.236263300, -0.830120600", \
-                        "0.0250672000, 0.0228831000, 0.0165079000, -0.000657800, -0.054976900, -0.236561800, -0.830304500", \
-                        "0.0272180000, 0.0248852000, 0.0180480000, -0.000665500, -0.055003700, -0.236213800, -0.830103700");
-                }
-                related_pin : "B";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000");
-                    values("0.0295149000, 0.0314358000, 0.0375994000, 0.0565027000, 0.1155496000, 0.3006517000, 0.8879528000", \
-                        "0.0294803000, 0.0314377000, 0.0374899000, 0.0566443000, 0.1156075000, 0.3003705000, 0.8930446000", \
-                        "0.0294624000, 0.0313724000, 0.0375183000, 0.0565743000, 0.1155166000, 0.3002252000, 0.8898807000", \
-                        "0.0296273000, 0.0315587000, 0.0376343000, 0.0565269000, 0.1152537000, 0.2998312000, 0.8929266000", \
-                        "0.0294528000, 0.0313574000, 0.0372796000, 0.0558767000, 0.1143629000, 0.3000185000, 0.8926754000", \
-                        "0.0309538000, 0.0327268000, 0.0384004000, 0.0567040000, 0.1140024000, 0.2986259000, 0.8881437000", \
-                        "0.0323164000, 0.0339692000, 0.0395959000, 0.0574653000, 0.1153879000, 0.3001500000, 0.8873850000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000");
-                    values("0.0238491000, 0.0216851000, 0.0152488000, -0.001934300, -0.055986100, -0.237295400, -0.831018200", \
-                        "0.0237788000, 0.0215888000, 0.0151598000, -0.001926300, -0.056094400, -0.237394500, -0.831122900", \
-                        "0.0236100000, 0.0213929000, 0.0149644000, -0.002120600, -0.056287900, -0.237583100, -0.831299000", \
-                        "0.0233569000, 0.0210661000, 0.0147333000, -0.002230100, -0.056396900, -0.237870200, -0.831531100", \
-                        "0.0232301000, 0.0209007000, 0.0145104000, -0.002119600, -0.056449900, -0.238140000, -0.831841900", \
-                        "0.0233786000, 0.0210886000, 0.0147123000, -0.002468800, -0.056737600, -0.238339100, -0.832064700", \
-                        "0.0254079000, 0.0230868000, 0.0161443000, -0.002347600, -0.056792900, -0.238374200, -0.831431900");
-                }
-                related_pin : "C";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000");
-                    values("0.0284754000, 0.0304019000, 0.0365329000, 0.0554128000, 0.1143389000, 0.2993861000, 0.8916833000", \
-                        "0.0285399000, 0.0304594000, 0.0366037000, 0.0554966000, 0.1143525000, 0.2992063000, 0.8885622000", \
-                        "0.0285983000, 0.0305269000, 0.0366003000, 0.0556402000, 0.1145254000, 0.2996357000, 0.8920419000", \
-                        "0.0286762000, 0.0305737000, 0.0366257000, 0.0554808000, 0.1141634000, 0.2992072000, 0.8869599000", \
-                        "0.0287702000, 0.0306153000, 0.0365412000, 0.0548548000, 0.1134861000, 0.2985847000, 0.8883737000", \
-                        "0.0298474000, 0.0316160000, 0.0372719000, 0.0555087000, 0.1132057000, 0.2971369000, 0.8911575000", \
-                        "0.0309319000, 0.0326170000, 0.0381467000, 0.0560190000, 0.1139959000, 0.2981307000, 0.8888828000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000");
-                    values("0.0228270000, 0.0205962000, 0.0143258000, -0.002766500, -0.056954800, -0.238389800, -0.832015800", \
-                        "0.0226854000, 0.0204672000, 0.0140755000, -0.003051700, -0.057203100, -0.238515400, -0.832005900", \
-                        "0.0223535000, 0.0201483000, 0.0138138000, -0.003379000, -0.057331200, -0.238736000, -0.832406300", \
-                        "0.0222538000, 0.0200129000, 0.0136475000, -0.003483200, -0.057655200, -0.239001300, -0.832454400", \
-                        "0.0219723000, 0.0197989000, 0.0133941000, -0.003687400, -0.057869600, -0.239219300, -0.832807900", \
-                        "0.0223065000, 0.0200925000, 0.0136969000, -0.003145800, -0.057488100, -0.238996700, -0.832662200", \
-                        "0.0290203000, 0.0265881000, 0.0194381000, -0.000584800, -0.056388500, -0.238071000, -0.831623100");
-                }
-                related_pin : "D";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000");
-                    values("0.0250182000, 0.0269475000, 0.0329997000, 0.0520989000, 0.1112281000, 0.2963141000, 0.8897799000", \
-                        "0.0249971000, 0.0269450000, 0.0330643000, 0.0522537000, 0.1111654000, 0.2964007000, 0.8827494000", \
-                        "0.0249411000, 0.0268849000, 0.0329996000, 0.0521537000, 0.1111267000, 0.2958377000, 0.8866489000", \
-                        "0.0249543000, 0.0268575000, 0.0329618000, 0.0518477000, 0.1106497000, 0.2957795000, 0.8830836000", \
-                        "0.0249898000, 0.0268378000, 0.0326264000, 0.0510261000, 0.1096715000, 0.2947796000, 0.8862220000", \
-                        "0.0257524000, 0.0275208000, 0.0332088000, 0.0518321000, 0.1096442000, 0.2929823000, 0.8850457000", \
-                        "0.0274773000, 0.0289880000, 0.0345559000, 0.0522320000, 0.1103551000, 0.2947956000, 0.8820250000");
-                }
-            }
-            max_capacitance : 0.5346780000;
-            max_transition : 1.5078210000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
-                    values("0.4914132000, 0.4982088000, 0.5162480000, 0.5564371000, 0.6363137000, 0.7936776000, 1.1470217000", \
-                        "0.4954120000, 0.5022173000, 0.5203575000, 0.5605744000, 0.6407498000, 0.7976570000, 1.1511713000", \
-                        "0.5061124000, 0.5130667000, 0.5305983000, 0.5711051000, 0.6511199000, 0.8078365000, 1.1621484000", \
-                        "0.5314365000, 0.5384135000, 0.5563630000, 0.5962234000, 0.6761890000, 0.8328743000, 1.1872786000", \
-                        "0.5873011000, 0.5941201000, 0.6115942000, 0.6520635000, 0.7318983000, 0.8887763000, 1.2432230000", \
-                        "0.7038614000, 0.7106193000, 0.7283524000, 0.7692376000, 0.8495202000, 1.0071419000, 1.3610394000", \
-                        "0.9354281000, 0.9425728000, 0.9609944000, 1.0037359000, 1.0865630000, 1.2485422000, 1.6055099000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
-                    values("0.0876665000, 0.0914850000, 0.1018409000, 0.1282909000, 0.2016966000, 0.4268333000, 1.1413070000", \
-                        "0.0922595000, 0.0960948000, 0.1064385000, 0.1328672000, 0.2062900000, 0.4314621000, 1.1452620000", \
-                        "0.1032796000, 0.1071288000, 0.1174100000, 0.1438671000, 0.2173836000, 0.4424535000, 1.1548764000", \
-                        "0.1300736000, 0.1338521000, 0.1440402000, 0.1701876000, 0.2427525000, 0.4678474000, 1.1817560000", \
-                        "0.1793437000, 0.1835504000, 0.1943527000, 0.2211278000, 0.2937061000, 0.5187229000, 1.2318099000", \
-                        "0.2397252000, 0.2451299000, 0.2585070000, 0.2876349000, 0.3612992000, 0.5851170000, 1.3005148000", \
-                        "0.2947162000, 0.3017531000, 0.3194419000, 0.3567017000, 0.4349419000, 0.6586778000, 1.3688634000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
-                    values("0.0721265000, 0.0752971000, 0.0854764000, 0.1097659000, 0.1646583000, 0.2931584000, 0.6781201000", \
-                        "0.0721038000, 0.0756131000, 0.0860699000, 0.1107579000, 0.1636138000, 0.2946543000, 0.6782400000", \
-                        "0.0722579000, 0.0759717000, 0.0854235000, 0.1094144000, 0.1644540000, 0.2957461000, 0.6784009000", \
-                        "0.0722971000, 0.0760519000, 0.0858136000, 0.1093954000, 0.1648248000, 0.2958235000, 0.6776397000", \
-                        "0.0723350000, 0.0760569000, 0.0856061000, 0.1094786000, 0.1631982000, 0.2956620000, 0.6769875000", \
-                        "0.0720267000, 0.0757059000, 0.0854246000, 0.1106935000, 0.1647953000, 0.2943387000, 0.6768753000", \
-                        "0.0808025000, 0.0844916000, 0.0944700000, 0.1190932000, 0.1755423000, 0.3006082000, 0.6797883000");
-                }
-                related_pin : "A";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
-                    values("0.0218532000, 0.0249930000, 0.0345172000, 0.0642888000, 0.1619624000, 0.4801233000, 1.5016410000", \
-                        "0.0217560000, 0.0248926000, 0.0344779000, 0.0642158000, 0.1619003000, 0.4800937000, 1.4986263000", \
-                        "0.0216805000, 0.0248891000, 0.0343955000, 0.0640968000, 0.1615609000, 0.4791532000, 1.5002369000", \
-                        "0.0213129000, 0.0245416000, 0.0341004000, 0.0637475000, 0.1613394000, 0.4800055000, 1.4996466000", \
-                        "0.0258693000, 0.0289207000, 0.0377112000, 0.0654402000, 0.1612808000, 0.4803584000, 1.4972457000", \
-                        "0.0351003000, 0.0382410000, 0.0469625000, 0.0731255000, 0.1638551000, 0.4787382000, 1.5029858000", \
-                        "0.0497124000, 0.0535986000, 0.0648038000, 0.0889062000, 0.1716188000, 0.4818649000, 1.4988587000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
-                    values("0.4724322000, 0.4792221000, 0.4972090000, 0.5375278000, 0.6171499000, 0.7747223000, 1.1281616000", \
-                        "0.4756401000, 0.4825544000, 0.5004768000, 0.5409160000, 0.6206838000, 0.7776795000, 1.1319068000", \
-                        "0.4855485000, 0.4924666000, 0.5100228000, 0.5505307000, 0.6303847000, 0.7872357000, 1.1417696000", \
-                        "0.5102955000, 0.5170872000, 0.5353725000, 0.5754005000, 0.6554365000, 0.8129576000, 1.1666070000", \
-                        "0.5669324000, 0.5736043000, 0.5914942000, 0.6315348000, 0.7109291000, 0.8684277000, 1.2226873000", \
-                        "0.6922470000, 0.6991123000, 0.7170506000, 0.7572592000, 0.8371817000, 0.9949090000, 1.3491634000", \
-                        "0.9485567000, 0.9557439000, 0.9757921000, 1.0186871000, 1.1026913000, 1.2646244000, 1.6222537000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
-                    values("0.0867381000, 0.0904582000, 0.1005953000, 0.1266934000, 0.1997952000, 0.4241539000, 1.1369080000", \
-                        "0.0913392000, 0.0950548000, 0.1051577000, 0.1313640000, 0.2043104000, 0.4293156000, 1.1444630000", \
-                        "0.1022697000, 0.1059879000, 0.1161163000, 0.1422317000, 0.2152844000, 0.4404405000, 1.1532491000", \
-                        "0.1282260000, 0.1318990000, 0.1419221000, 0.1678094000, 0.2404795000, 0.4651073000, 1.1803655000", \
-                        "0.1759205000, 0.1800426000, 0.1905849000, 0.2171874000, 0.2898969000, 0.5145707000, 1.2290472000", \
-                        "0.2333694000, 0.2387717000, 0.2519710000, 0.2816094000, 0.3550474000, 0.5785067000, 1.2949590000", \
-                        "0.2806537000, 0.2877081000, 0.3055773000, 0.3429197000, 0.4210527000, 0.6447772000, 1.3562133000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
-                    values("0.0721369000, 0.0752950000, 0.0855465000, 0.1094088000, 0.1654661000, 0.2934692000, 0.6776344000", \
-                        "0.0720191000, 0.0757554000, 0.0856911000, 0.1101784000, 0.1629585000, 0.2956226000, 0.6774825000", \
-                        "0.0721390000, 0.0759190000, 0.0859097000, 0.1095660000, 0.1633346000, 0.2957425000, 0.6770353000", \
-                        "0.0719774000, 0.0755703000, 0.0857557000, 0.1093914000, 0.1635911000, 0.2951396000, 0.6775303000", \
-                        "0.0720147000, 0.0757951000, 0.0855107000, 0.1095179000, 0.1637763000, 0.2947341000, 0.6777080000", \
-                        "0.0719245000, 0.0756796000, 0.0861399000, 0.1105288000, 0.1628068000, 0.2927503000, 0.6773420000", \
-                        "0.0828268000, 0.0864246000, 0.0963311000, 0.1217217000, 0.1741559000, 0.3042412000, 0.6807104000");
-                }
-                related_pin : "B";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
-                    values("0.0209719000, 0.0239567000, 0.0333849000, 0.0627871000, 0.1601547000, 0.4794958000, 1.4974526000", \
-                        "0.0208369000, 0.0239364000, 0.0334700000, 0.0627568000, 0.1603059000, 0.4787872000, 1.5026667000", \
-                        "0.0209663000, 0.0239782000, 0.0334066000, 0.0627015000, 0.1600573000, 0.4795613000, 1.5024837000", \
-                        "0.0206374000, 0.0237975000, 0.0332682000, 0.0625345000, 0.1600028000, 0.4780420000, 1.5031244000", \
-                        "0.0250284000, 0.0282485000, 0.0371115000, 0.0647583000, 0.1604680000, 0.4793601000, 1.5011046000", \
-                        "0.0345356000, 0.0377455000, 0.0462755000, 0.0720021000, 0.1631732000, 0.4781063000, 1.4988718000", \
-                        "0.0490917000, 0.0533878000, 0.0643977000, 0.0899277000, 0.1714147000, 0.4821970000, 1.4969771000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
-                    values("0.4418807000, 0.4488891000, 0.4664235000, 0.5069158000, 0.5868996000, 0.7435859000, 1.0980891000", \
-                        "0.4445943000, 0.4514996000, 0.4694387000, 0.5099116000, 0.5896360000, 0.7465351000, 1.1009655000", \
-                        "0.4533574000, 0.4602407000, 0.4781372000, 0.5186041000, 0.5982890000, 0.7552363000, 1.1096412000", \
-                        "0.4769170000, 0.4838238000, 0.5017543000, 0.5420148000, 0.6219139000, 0.7786352000, 1.1330378000", \
-                        "0.5346943000, 0.5412298000, 0.5589479000, 0.5998075000, 0.6798167000, 0.8374552000, 1.1908099000", \
-                        "0.6730183000, 0.6797946000, 0.6978303000, 0.7374207000, 0.8180894000, 0.9756824000, 1.3297131000", \
-                        "0.9572609000, 0.9644725000, 0.9835041000, 1.0267118000, 1.1113941000, 1.2745849000, 1.6318085000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
-                    values("0.0844826000, 0.0881436000, 0.0981324000, 0.1239143000, 0.1964714000, 0.4208459000, 1.1357778000", \
-                        "0.0891848000, 0.0928407000, 0.1028475000, 0.1286490000, 0.2012214000, 0.4251366000, 1.1387719000", \
-                        "0.1001732000, 0.1038482000, 0.1138331000, 0.1397212000, 0.2121873000, 0.4365779000, 1.1509407000", \
-                        "0.1262631000, 0.1298458000, 0.1397633000, 0.1654756000, 0.2378105000, 0.4621777000, 1.1744404000", \
-                        "0.1715578000, 0.1756967000, 0.1864958000, 0.2130282000, 0.2850936000, 0.5091467000, 1.2229444000", \
-                        "0.2244281000, 0.2297898000, 0.2430995000, 0.2728755000, 0.3467281000, 0.5700772000, 1.2857582000", \
-                        "0.2664264000, 0.2736608000, 0.2916754000, 0.3294091000, 0.4085970000, 0.6320247000, 1.3437592000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
-                    values("0.0720153000, 0.0760472000, 0.0854036000, 0.1094325000, 0.1641890000, 0.2958080000, 0.6780149000", \
-                        "0.0719680000, 0.0757111000, 0.0856800000, 0.1100532000, 0.1627886000, 0.2950385000, 0.6773994000", \
-                        "0.0721150000, 0.0757134000, 0.0856817000, 0.1101191000, 0.1628393000, 0.2952348000, 0.6773969000", \
-                        "0.0721861000, 0.0754861000, 0.0857704000, 0.1102934000, 0.1632075000, 0.2957077000, 0.6781329000", \
-                        "0.0723980000, 0.0759748000, 0.0854999000, 0.1106629000, 0.1634653000, 0.2947326000, 0.6777718000", \
-                        "0.0719725000, 0.0754802000, 0.0854916000, 0.1094973000, 0.1636278000, 0.2949587000, 0.6775374000", \
-                        "0.0863607000, 0.0898707000, 0.0993048000, 0.1231514000, 0.1759940000, 0.3034848000, 0.6820781000");
-                }
-                related_pin : "C";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
-                    values("0.0203705000, 0.0234009000, 0.0327803000, 0.0620360000, 0.1596959000, 0.4794524000, 1.5032330000", \
-                        "0.0203720000, 0.0233747000, 0.0327970000, 0.0620747000, 0.1598071000, 0.4789732000, 1.5027922000", \
-                        "0.0203728000, 0.0234620000, 0.0328390000, 0.0621247000, 0.1597114000, 0.4793249000, 1.5036045000", \
-                        "0.0204061000, 0.0234869000, 0.0328737000, 0.0620540000, 0.1594867000, 0.4794112000, 1.4980129000", \
-                        "0.0251062000, 0.0280091000, 0.0369603000, 0.0648657000, 0.1601632000, 0.4782964000, 1.5024892000", \
-                        "0.0349741000, 0.0383373000, 0.0469247000, 0.0728376000, 0.1631816000, 0.4781155000, 1.5031505000", \
-                        "0.0504795000, 0.0547223000, 0.0655039000, 0.0903282000, 0.1719642000, 0.4805662000, 1.4974287000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
-                    values("0.3813238000, 0.3881033000, 0.4062667000, 0.4465796000, 0.5267912000, 0.6843936000, 1.0382832000", \
-                        "0.3833307000, 0.3902084000, 0.4081976000, 0.4485751000, 0.5284352000, 0.6866759000, 1.0398002000", \
-                        "0.3897890000, 0.3965730000, 0.4148154000, 0.4549935000, 0.5345916000, 0.6926517000, 1.0466294000", \
-                        "0.4108263000, 0.4178054000, 0.4357883000, 0.4760966000, 0.5558218000, 0.7130652000, 1.0677543000", \
-                        "0.4670594000, 0.4739400000, 0.4917197000, 0.5320892000, 0.6117828000, 0.7698807000, 1.1239542000", \
-                        "0.6041318000, 0.6108469000, 0.6288502000, 0.6684924000, 0.7482291000, 0.9060322000, 1.2601723000", \
-                        "0.8876311000, 0.8950318000, 0.9146594000, 0.9596105000, 1.0445348000, 1.2050720000, 1.5598055000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
-                    values("0.0799526000, 0.0836222000, 0.0935958000, 0.1196012000, 0.1922097000, 0.4164080000, 1.1306661000", \
-                        "0.0847993000, 0.0884987000, 0.0985258000, 0.1245399000, 0.1970194000, 0.4220985000, 1.1367322000", \
-                        "0.0962979000, 0.0999738000, 0.1100090000, 0.1360098000, 0.2085645000, 0.4326670000, 1.1480622000", \
-                        "0.1224688000, 0.1261138000, 0.1360443000, 0.1617455000, 0.2342534000, 0.4584973000, 1.1803181000", \
-                        "0.1670537000, 0.1713336000, 0.1820017000, 0.2090197000, 0.2815883000, 0.5066764000, 1.2233594000", \
-                        "0.2205325000, 0.2260931000, 0.2398993000, 0.2706881000, 0.3446589000, 0.5676691000, 1.2816701000", \
-                        "0.2666100000, 0.2739894000, 0.2928676000, 0.3316845000, 0.4118550000, 0.6346407000, 1.3460347000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
-                    values("0.0721094000, 0.0757485000, 0.0853991000, 0.1102182000, 0.1636287000, 0.2949277000, 0.6774472000", \
-                        "0.0720850000, 0.0756534000, 0.0856010000, 0.1097430000, 0.1629011000, 0.2942364000, 0.6774201000", \
-                        "0.0720454000, 0.0757922000, 0.0857076000, 0.1095061000, 0.1657032000, 0.2948487000, 0.6779049000", \
-                        "0.0720805000, 0.0756803000, 0.0855245000, 0.1099386000, 0.1628697000, 0.2951759000, 0.6769116000", \
-                        "0.0719738000, 0.0756657000, 0.0857907000, 0.1104909000, 0.1646554000, 0.2944696000, 0.6776833000", \
-                        "0.0710425000, 0.0747836000, 0.0849714000, 0.1097349000, 0.1630538000, 0.2951388000, 0.6774531000", \
-                        "0.0926359000, 0.0962525000, 0.1067757000, 0.1293265000, 0.1788368000, 0.3021770000, 0.6827988000");
-                }
-                related_pin : "D";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
-                    values("0.0203235000, 0.0233212000, 0.0327923000, 0.0619983000, 0.1594258000, 0.4793169000, 1.5078210000", \
-                        "0.0202687000, 0.0233601000, 0.0326964000, 0.0619609000, 0.1595193000, 0.4795909000, 1.5009630000", \
-                        "0.0202888000, 0.0233818000, 0.0327412000, 0.0619775000, 0.1594910000, 0.4779732000, 1.5072819000", \
-                        "0.0206923000, 0.0237199000, 0.0330189000, 0.0622141000, 0.1595768000, 0.4800960000, 1.5008944000", \
-                        "0.0258873000, 0.0287249000, 0.0379360000, 0.0652505000, 0.1599714000, 0.4802740000, 1.5057989000", \
-                        "0.0364676000, 0.0397831000, 0.0485324000, 0.0737051000, 0.1636386000, 0.4781420000, 1.5047640000", \
-                        "0.0533065000, 0.0569287000, 0.0680990000, 0.0941629000, 0.1736471000, 0.4812970000, 1.4984752000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-        }
-    }
-
-/* removed sky130_fd_sc_hd__or4b_1 */
-
-/* removed sky130_fd_sc_hd__or4b_2 */
-
-/* removed sky130_fd_sc_hd__or4b_4 */
-
-/* removed sky130_fd_sc_hd__or4bb_1 */
-
-/* removed sky130_fd_sc_hd__or4bb_2 */
-
-/* removed sky130_fd_sc_hd__or4bb_4 */
-
-/* removed sky130_fd_sc_hd__probe_p_8 */
-
-/* removed sky130_fd_sc_hd__probec_p_8 */
-
-/* removed sky130_fd_sc_hd__sdfbbn_1 */
-
-/* removed sky130_fd_sc_hd__sdfbbn_2 */
-
-/* removed sky130_fd_sc_hd__sdfbbp_1 */
-
-/* removed sky130_fd_sc_hd__sdfrbp_1 */
-
-/* removed sky130_fd_sc_hd__sdfrbp_2 */
-
-/* removed sky130_fd_sc_hd__sdfrtn_1 */
-
-/* removed sky130_fd_sc_hd__sdfrtp_1 */
-
-/* removed sky130_fd_sc_hd__sdfrtp_2 */
-
-/* removed sky130_fd_sc_hd__sdfrtp_4 */
-
-/* removed sky130_fd_sc_hd__sdfsbp_1 */
-
-/* removed sky130_fd_sc_hd__sdfsbp_2 */
-
-/* removed sky130_fd_sc_hd__sdfstp_1 */
-
-/* removed sky130_fd_sc_hd__sdfstp_2 */
-
-/* removed sky130_fd_sc_hd__sdfstp_4 */
-
-/* removed sky130_fd_sc_hd__sdfxbp_1 */
-
-/* removed sky130_fd_sc_hd__sdfxbp_2 */
-
-/* removed sky130_fd_sc_hd__sdfxtp_1 */
-
-/* removed sky130_fd_sc_hd__sdfxtp_2 */
-
-/* removed sky130_fd_sc_hd__sdfxtp_4 */
-
-/* removed sky130_fd_sc_hd__sdlclkp_1 */
-
-/* removed sky130_fd_sc_hd__sdlclkp_2 */
-
-/* removed sky130_fd_sc_hd__sdlclkp_4 */
-
-/* removed sky130_fd_sc_hd__sedfxbp_1 */
-
-/* removed sky130_fd_sc_hd__sedfxbp_2 */
-
-/* removed sky130_fd_sc_hd__sedfxtp_1 */
-
-/* removed sky130_fd_sc_hd__sedfxtp_2 */
-
-/* removed sky130_fd_sc_hd__sedfxtp_4 */
-
-/* removed sky130_fd_sc_hd__xnor2_1 */
-
-/* removed sky130_fd_sc_hd__xnor2_2 */
-
-    cell ("sky130_fd_sc_hd__xnor2_4") {
-        leakage_power () {
-            value : 0.0124488000;
-            when : "!A&B";
-        }
-        leakage_power () {
-            value : 0.0030378000;
-            when : "!A&!B";
-        }
-        leakage_power () {
-            value : 0.0095704000;
-            when : "A&B";
-        }
-        leakage_power () {
-            value : 0.0114222000;
-            when : "A&!B";
-        }
-        area : 27.526400000;
-        cell_footprint : "sky130_fd_sc_hd__xnor2";
-        cell_leakage_power : 0.0091197900;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("A") {
-            capacitance : 0.0167660000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0160650000;
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0174670000;
-        }
-        pin ("B") {
-            capacitance : 0.0159280000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0155930000;
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0162640000;
-        }
-        pin ("Y") {
-            direction : "output";
-            function : "(!A&!B) | (A&B)";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013699110, 0.0037533110, 0.0102834000, 0.0281746900, 0.0771936200, 0.2114967000");
-                    values("0.0861683000, 0.0849669000, 0.0817112000, 0.0723498000, 0.0448304000, -0.033528800, -0.250532500", \
-                        "0.0854098000, 0.0842507000, 0.0809507000, 0.0715678000, 0.0440876000, -0.034265800, -0.251284500", \
-                        "0.0844064000, 0.0832269000, 0.0799157000, 0.0705323000, 0.0430365000, -0.035263800, -0.252270800", \
-                        "0.0834855000, 0.0822490000, 0.0789020000, 0.0694165000, 0.0418942000, -0.036253200, -0.252962400", \
-                        "0.0828424000, 0.0815461000, 0.0780734000, 0.0683942000, 0.0408448000, -0.037150900, -0.253720000", \
-                        "0.0829061000, 0.0815432000, 0.0778106000, 0.0672899000, 0.0419586000, -0.035929700, -0.252185000", \
-                        "0.0899691000, 0.0885668000, 0.0846191000, 0.0742724000, 0.0454649000, -0.032752000, -0.246819900");
-                }
-                related_pin : "A";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013699110, 0.0037533110, 0.0102834000, 0.0281746900, 0.0771936200, 0.2114967000");
-                    values("0.0136436000, 0.0152459000, 0.0195099000, 0.0306936000, 0.0595276000, 0.1373701000, 0.3520755000", \
-                        "0.0128029000, 0.0143906000, 0.0186987000, 0.0299152000, 0.0587407000, 0.1367737000, 0.3520425000", \
-                        "0.0115224000, 0.0131076000, 0.0173700000, 0.0285636000, 0.0576689000, 0.1357751000, 0.3502504000", \
-                        "0.0101932000, 0.0117577000, 0.0159615000, 0.0271519000, 0.0565577000, 0.1351076000, 0.3504466000", \
-                        "0.0103902000, 0.0116827000, 0.0152402000, 0.0265135000, 0.0560448000, 0.1349531000, 0.3485163000", \
-                        "0.0109148000, 0.0123474000, 0.0162744000, 0.0270687000, 0.0564115000, 0.1352957000, 0.3488588000", \
-                        "0.0145992000, 0.0159890000, 0.0198998000, 0.0304659000, 0.0599496000, 0.1398034000, 0.3558579000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013699110, 0.0037533110, 0.0102834000, 0.0281746900, 0.0771936200, 0.2114967000");
-                    values("0.0790930000, 0.0775545000, 0.0733835000, 0.0621171000, 0.0316626000, -0.049392500, -0.268064900", \
-                        "0.0780305000, 0.0765009000, 0.0723421000, 0.0611166000, 0.0307753000, -0.050350100, -0.268843700", \
-                        "0.0765652000, 0.0750929000, 0.0709932000, 0.0597613000, 0.0295752000, -0.051381100, -0.270117500", \
-                        "0.0750556000, 0.0735685000, 0.0695593000, 0.0585215000, 0.0286677000, -0.052265000, -0.271017000", \
-                        "0.0742472000, 0.0728281000, 0.0688480000, 0.0580302000, 0.0285942000, -0.051848600, -0.270807100", \
-                        "0.0734923000, 0.0720580000, 0.0681685000, 0.0574827000, 0.0305907000, -0.049193100, -0.267955300", \
-                        "0.0803848000, 0.0790098000, 0.0750520000, 0.0646781000, 0.0355196000, -0.043896100, -0.260613900");
-                }
-                related_pin : "B";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013699110, 0.0037533110, 0.0102834000, 0.0281746900, 0.0771936200, 0.2114967000");
-                    values("0.0196642000, 0.0212621000, 0.0255293000, 0.0365849000, 0.0651743000, 0.1427970000, 0.3577892000", \
-                        "0.0187797000, 0.0203829000, 0.0246557000, 0.0357347000, 0.0644194000, 0.1422832000, 0.3570270000", \
-                        "0.0175395000, 0.0191196000, 0.0232904000, 0.0343918000, 0.0633834000, 0.1413930000, 0.3564265000", \
-                        "0.0162728000, 0.0177732000, 0.0219004000, 0.0329743000, 0.0623457000, 0.1409122000, 0.3547493000", \
-                        "0.0167131000, 0.0180354000, 0.0215443000, 0.0326183000, 0.0618786000, 0.1407320000, 0.3548660000", \
-                        "0.0187681000, 0.0202427000, 0.0241446000, 0.0350650000, 0.0642625000, 0.1421638000, 0.3566139000", \
-                        "0.0243999000, 0.0257853000, 0.0296621000, 0.0403712000, 0.0695040000, 0.1487362000, 0.3640341000");
-                }
-            }
-            max_capacitance : 0.2114970000;
-            max_transition : 1.5007420000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
-                    values("0.0433706000, 0.0453367000, 0.0503733000, 0.0633054000, 0.0969206000, 0.1851898000, 0.4236227000", \
-                        "0.0480967000, 0.0500034000, 0.0551038000, 0.0681853000, 0.1017158000, 0.1900716000, 0.4284222000", \
-                        "0.0565350000, 0.0584672000, 0.0635131000, 0.0767980000, 0.1105450000, 0.1989932000, 0.4374227000", \
-                        "0.0702501000, 0.0725969000, 0.0784502000, 0.0929049000, 0.1275176000, 0.2162940000, 0.4550099000", \
-                        "0.0901600000, 0.0930594000, 0.1009606000, 0.1191904000, 0.1612806000, 0.2559837000, 0.4957786000", \
-                        "0.1054088000, 0.1098723000, 0.1217440000, 0.1499850000, 0.2108479000, 0.3316891000, 0.5890547000", \
-                        "0.0863427000, 0.0937807000, 0.1128108000, 0.1575348000, 0.2537223000, 0.4362713000, 0.7689356000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
-                    values("0.1114710000, 0.1159225000, 0.1279386000, 0.1601830000, 0.2462169000, 0.4795539000, 1.1168017000", \
-                        "0.1154140000, 0.1199479000, 0.1317152000, 0.1645107000, 0.2510678000, 0.4850341000, 1.1214271000", \
-                        "0.1267482000, 0.1312834000, 0.1432508000, 0.1760819000, 0.2633923000, 0.4976572000, 1.1340502000", \
-                        "0.1531366000, 0.1577899000, 0.1697835000, 0.2024614000, 0.2899263000, 0.5247492000, 1.1615574000", \
-                        "0.2087516000, 0.2137615000, 0.2271152000, 0.2612723000, 0.3481232000, 0.5830891000, 1.2207824000", \
-                        "0.3047221000, 0.3117797000, 0.3297603000, 0.3731633000, 0.4778287000, 0.7181901000, 1.3567074000", \
-                        "0.4655082000, 0.4759718000, 0.5032751000, 0.5683992000, 0.7123726000, 1.0126332000, 1.6691007000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
-                    values("0.0328246000, 0.0349202000, 0.0406929000, 0.0566569000, 0.1005987000, 0.2213272000, 0.5536658000", \
-                        "0.0328307000, 0.0349267000, 0.0407527000, 0.0566906000, 0.1006304000, 0.2216905000, 0.5535692000", \
-                        "0.0330285000, 0.0350741000, 0.0407761000, 0.0566482000, 0.1006038000, 0.2215482000, 0.5539212000", \
-                        "0.0381891000, 0.0402402000, 0.0457347000, 0.0605680000, 0.1020319000, 0.2213741000, 0.5537846000", \
-                        "0.0528662000, 0.0551479000, 0.0612054000, 0.0767116000, 0.1171954000, 0.2276661000, 0.5541576000", \
-                        "0.0862318000, 0.0892385000, 0.0974563000, 0.1168106000, 0.1621629000, 0.2701728000, 0.5679035000", \
-                        "0.1504814000, 0.1547636000, 0.1666395000, 0.1947200000, 0.2557399000, 0.3821312000, 0.6713600000");
-                }
-                related_pin : "A";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
-                    values("0.0806455000, 0.0866377000, 0.1022302000, 0.1455467000, 0.2639252000, 0.5877244000, 1.4780006000", \
-                        "0.0807608000, 0.0862568000, 0.1022006000, 0.1454700000, 0.2639924000, 0.5879292000, 1.4735243000", \
-                        "0.0806518000, 0.0866005000, 0.1022020000, 0.1457652000, 0.2639654000, 0.5875345000, 1.4723487000", \
-                        "0.0806905000, 0.0864962000, 0.1023047000, 0.1456960000, 0.2641573000, 0.5870808000, 1.4719675000", \
-                        "0.0948051000, 0.1000885000, 0.1136087000, 0.1530348000, 0.2656347000, 0.5877778000, 1.4730354000", \
-                        "0.1321308000, 0.1379456000, 0.1535767000, 0.1946746000, 0.2986135000, 0.5955604000, 1.4732890000", \
-                        "0.2150811000, 0.2229177000, 0.2413604000, 0.2890561000, 0.4027265000, 0.6834220000, 1.4891730000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
-                    values("0.1062858000, 0.1087184000, 0.1148508000, 0.1294900000, 0.1631937000, 0.2463063000, 0.4692751000", \
-                        "0.1109650000, 0.1133853000, 0.1195172000, 0.1341725000, 0.1679648000, 0.2511905000, 0.4735897000", \
-                        "0.1233158000, 0.1257255000, 0.1318603000, 0.1464399000, 0.1802085000, 0.2634250000, 0.4866414000", \
-                        "0.1538985000, 0.1563087000, 0.1623731000, 0.1768919000, 0.2106528000, 0.2940814000, 0.5174504000", \
-                        "0.2209495000, 0.2234359000, 0.2297303000, 0.2446790000, 0.2786351000, 0.3622533000, 0.5868593000", \
-                        "0.3322874000, 0.3353366000, 0.3428404000, 0.3594038000, 0.3956097000, 0.4807889000, 0.7044242000", \
-                        "0.5116579000, 0.5157257000, 0.5255807000, 0.5466497000, 0.5880311000, 0.6758343000, 0.8996423000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
-                    values("0.0828554000, 0.0854638000, 0.0919658000, 0.1074610000, 0.1439198000, 0.2384544000, 0.4981587000", \
-                        "0.0869906000, 0.0895855000, 0.0961219000, 0.1116350000, 0.1481469000, 0.2430497000, 0.5034999000", \
-                        "0.0952302000, 0.0978347000, 0.1043053000, 0.1197494000, 0.1565794000, 0.2514040000, 0.5131093000", \
-                        "0.1121669000, 0.1147845000, 0.1213999000, 0.1371206000, 0.1743873000, 0.2698650000, 0.5306749000", \
-                        "0.1377052000, 0.1404602000, 0.1473918000, 0.1638586000, 0.2022901000, 0.2991414000, 0.5592872000", \
-                        "0.1641376000, 0.1674327000, 0.1751902000, 0.1928799000, 0.2325532000, 0.3301521000, 0.5909532000", \
-                        "0.1655742000, 0.1698450000, 0.1801487000, 0.2022838000, 0.2465093000, 0.3450240000, 0.6062227000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
-                    values("0.0245147000, 0.0266235000, 0.0323319000, 0.0473995000, 0.0872301000, 0.1980620000, 0.5066865000", \
-                        "0.0244099000, 0.0265732000, 0.0324562000, 0.0475182000, 0.0873148000, 0.1979621000, 0.5072415000", \
-                        "0.0246390000, 0.0268236000, 0.0323972000, 0.0475006000, 0.0873023000, 0.1980191000, 0.5064518000", \
-                        "0.0245954000, 0.0266876000, 0.0324038000, 0.0476320000, 0.0873316000, 0.1980978000, 0.5073702000", \
-                        "0.0269713000, 0.0291588000, 0.0347540000, 0.0493969000, 0.0884532000, 0.1983438000, 0.5081293000", \
-                        "0.0356032000, 0.0374691000, 0.0428560000, 0.0567494000, 0.0939170000, 0.2016021000, 0.5080946000", \
-                        "0.0504165000, 0.0527444000, 0.0582930000, 0.0724478000, 0.1063927000, 0.2066192000, 0.5105575000");
-                }
-                related_pin : "A";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
-                    values("0.0255694000, 0.0278147000, 0.0340677000, 0.0511225000, 0.0984484000, 0.2318277000, 0.6032659000", \
-                        "0.0255030000, 0.0277907000, 0.0341078000, 0.0510815000, 0.0985051000, 0.2320978000, 0.6027592000", \
-                        "0.0255634000, 0.0278500000, 0.0341277000, 0.0511075000, 0.0984393000, 0.2320118000, 0.6038733000", \
-                        "0.0264438000, 0.0288015000, 0.0349743000, 0.0516880000, 0.0986900000, 0.2313666000, 0.6020711000", \
-                        "0.0293351000, 0.0314647000, 0.0376875000, 0.0541248000, 0.1005765000, 0.2320812000, 0.6002693000", \
-                        "0.0362512000, 0.0382865000, 0.0445000000, 0.0597736000, 0.1034032000, 0.2336652000, 0.6010125000", \
-                        "0.0508186000, 0.0528307000, 0.0590053000, 0.0737918000, 0.1132466000, 0.2365962000, 0.6050034000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
-                    values("0.0352815000, 0.0370315000, 0.0415947000, 0.0533460000, 0.0840623000, 0.1665917000, 0.3890193000", \
-                        "0.0395347000, 0.0413298000, 0.0460695000, 0.0582550000, 0.0894908000, 0.1715187000, 0.3944696000", \
-                        "0.0475697000, 0.0495175000, 0.0545225000, 0.0670635000, 0.0989002000, 0.1816361000, 0.4043040000", \
-                        "0.0586230000, 0.0610559000, 0.0673226000, 0.0820550000, 0.1159612000, 0.1995792000, 0.4234630000", \
-                        "0.0691877000, 0.0729020000, 0.0821645000, 0.1035775000, 0.1475503000, 0.2401087000, 0.4651209000", \
-                        "0.0664912000, 0.0725607000, 0.0868812000, 0.1208933000, 0.1890794000, 0.3155613000, 0.5622409000", \
-                        "0.0155959000, 0.0255836000, 0.0518217000, 0.1062293000, 0.2156762000, 0.4094078000, 0.7434722000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
-                    values("0.0894431000, 0.0940604000, 0.1063377000, 0.1388589000, 0.2255568000, 0.4589984000, 1.0956753000", \
-                        "0.0911656000, 0.0960730000, 0.1082078000, 0.1414389000, 0.2284199000, 0.4623537000, 1.0987684000", \
-                        "0.1003240000, 0.1050921000, 0.1172614000, 0.1504534000, 0.2379830000, 0.4727090000, 1.1098718000", \
-                        "0.1275764000, 0.1322033000, 0.1443194000, 0.1768991000, 0.2638104000, 0.4990175000, 1.1371351000", \
-                        "0.1903227000, 0.1958274000, 0.2098645000, 0.2437265000, 0.3290452000, 0.5633177000, 1.2016934000", \
-                        "0.2923067000, 0.3004558000, 0.3212257000, 0.3719020000, 0.4815066000, 0.7168229000, 1.3526478000", \
-                        "0.4629533000, 0.4741891000, 0.5028059000, 0.5788611000, 0.7409546000, 1.0652395000, 1.7065545000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
-                    values("0.0176550000, 0.0198276000, 0.0255669000, 0.0413932000, 0.0838727000, 0.1976204000, 0.5072198000", \
-                        "0.0179207000, 0.0200812000, 0.0259553000, 0.0418130000, 0.0840755000, 0.1979208000, 0.5064345000", \
-                        "0.0191497000, 0.0212100000, 0.0267788000, 0.0422002000, 0.0844718000, 0.1975018000, 0.5076811000", \
-                        "0.0255271000, 0.0275647000, 0.0331186000, 0.0477009000, 0.0864259000, 0.1980653000, 0.5083056000", \
-                        "0.0419680000, 0.0442507000, 0.0506386000, 0.0661861000, 0.1044096000, 0.2055870000, 0.5078955000", \
-                        "0.0759757000, 0.0790331000, 0.0876781000, 0.1078272000, 0.1524845000, 0.2544421000, 0.5260616000", \
-                        "0.1440202000, 0.1483476000, 0.1601621000, 0.1883906000, 0.2486804000, 0.3709742000, 0.6412829000");
-                }
-                related_pin : "B";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
-                    values("0.0803624000, 0.0862636000, 0.1022039000, 0.1455623000, 0.2642236000, 0.5871529000, 1.4710115000", \
-                        "0.0803251000, 0.0862608000, 0.1020876000, 0.1456593000, 0.2638403000, 0.5873961000, 1.4722475000", \
-                        "0.0799587000, 0.0859307000, 0.1017819000, 0.1455501000, 0.2638417000, 0.5876576000, 1.4710231000", \
-                        "0.0810427000, 0.0863967000, 0.1016107000, 0.1447076000, 0.2639333000, 0.5871530000, 1.4732598000", \
-                        "0.1068121000, 0.1118868000, 0.1242415000, 0.1596634000, 0.2662308000, 0.5874368000, 1.4728216000", \
-                        "0.1534365000, 0.1598113000, 0.1787846000, 0.2235031000, 0.3208799000, 0.5991684000, 1.4729828000", \
-                        "0.2295785000, 0.2403665000, 0.2672158000, 0.3342095000, 0.4682147000, 0.7345262000, 1.5007421000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
-                    values("0.0935093000, 0.0954990000, 0.1006497000, 0.1136166000, 0.1460953000, 0.2324437000, 0.4693996000", \
-                        "0.0981714000, 0.1001499000, 0.1053114000, 0.1183277000, 0.1510089000, 0.2374563000, 0.4744277000", \
-                        "0.1104030000, 0.1123714000, 0.1176958000, 0.1307968000, 0.1635261000, 0.2499519000, 0.4866218000", \
-                        "0.1407252000, 0.1427933000, 0.1482483000, 0.1615412000, 0.1945963000, 0.2812332000, 0.5181434000", \
-                        "0.2013146000, 0.2035931000, 0.2094098000, 0.2236051000, 0.2579722000, 0.3450789000, 0.5816173000", \
-                        "0.2978895000, 0.3007057000, 0.3077162000, 0.3240106000, 0.3605816000, 0.4493251000, 0.6868556000", \
-                        "0.4558866000, 0.4596746000, 0.4690985000, 0.4898670000, 0.5321134000, 0.6235362000, 0.8612472000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
-                    values("0.0778196000, 0.0804114000, 0.0869172000, 0.1022356000, 0.1384243000, 0.2329697000, 0.4928489000", \
-                        "0.0812731000, 0.0838694000, 0.0903779000, 0.1057578000, 0.1420294000, 0.2368998000, 0.4956549000", \
-                        "0.0902659000, 0.0928219000, 0.0993841000, 0.1147507000, 0.1514599000, 0.2461453000, 0.5060897000", \
-                        "0.1095344000, 0.1121835000, 0.1187825000, 0.1345597000, 0.1717429000, 0.2672413000, 0.5276065000", \
-                        "0.1345677000, 0.1372654000, 0.1440891000, 0.1602968000, 0.1989527000, 0.2959328000, 0.5555164000", \
-                        "0.1585782000, 0.1617941000, 0.1694113000, 0.1866177000, 0.2256317000, 0.3222964000, 0.5842075000", \
-                        "0.1567505000, 0.1609748000, 0.1708803000, 0.1928089000, 0.2346894000, 0.3315348000, 0.5939198000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
-                    values("0.0368622000, 0.0390765000, 0.0449064000, 0.0605744000, 0.1029867000, 0.2216907000, 0.5540532000", \
-                        "0.0369148000, 0.0391043000, 0.0449080000, 0.0605773000, 0.1029674000, 0.2219741000, 0.5535201000", \
-                        "0.0367973000, 0.0390669000, 0.0449984000, 0.0605389000, 0.1029331000, 0.2219649000, 0.5543047000", \
-                        "0.0370990000, 0.0394040000, 0.0451916000, 0.0608244000, 0.1029317000, 0.2219647000, 0.5543093000", \
-                        "0.0361728000, 0.0385302000, 0.0446525000, 0.0612725000, 0.1032341000, 0.2220998000, 0.5544427000", \
-                        "0.0391234000, 0.0415246000, 0.0474518000, 0.0630629000, 0.1040902000, 0.2215390000, 0.5529634000", \
-                        "0.0494114000, 0.0520519000, 0.0591301000, 0.0756065000, 0.1142857000, 0.2233734000, 0.5509738000");
-                }
-                related_pin : "B";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
-                    values("0.0255269000, 0.0278232000, 0.0341731000, 0.0511804000, 0.0986566000, 0.2316991000, 0.6024007000", \
-                        "0.0255228000, 0.0278273000, 0.0341737000, 0.0512187000, 0.0985469000, 0.2318790000, 0.6050904000", \
-                        "0.0255345000, 0.0279013000, 0.0341683000, 0.0511861000, 0.0984896000, 0.2319595000, 0.6034798000", \
-                        "0.0270024000, 0.0293665000, 0.0355487000, 0.0522590000, 0.0989446000, 0.2314975000, 0.6026815000", \
-                        "0.0293326000, 0.0314843000, 0.0376244000, 0.0542786000, 0.1013152000, 0.2329248000, 0.6020465000", \
-                        "0.0371289000, 0.0393486000, 0.0445492000, 0.0594313000, 0.1032342000, 0.2348178000, 0.6018213000", \
-                        "0.0519052000, 0.0542855000, 0.0598419000, 0.0740121000, 0.1125662000, 0.2364119000, 0.6056086000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-        }
-    }
-
-/* removed sky130_fd_sc_hd__xnor3_1 */
-
-/* removed sky130_fd_sc_hd__xnor3_2 */
-
-/* removed sky130_fd_sc_hd__xnor3_4 */
-
-/* removed sky130_fd_sc_hd__xor2_1 */
-
-/* removed sky130_fd_sc_hd__xor2_2 */
-
-    cell ("sky130_fd_sc_hd__xor2_4") {
-        leakage_power () {
-            value : 0.0071544000;
-            when : "!A&B";
-        }
-        leakage_power () {
-            value : 0.0107585000;
-            when : "!A&!B";
-        }
-        leakage_power () {
-            value : 0.0056040000;
-            when : "A&B";
-        }
-        leakage_power () {
-            value : 0.0060047000;
-            when : "A&!B";
-        }
-        area : 27.526400000;
-        cell_footprint : "sky130_fd_sc_hd__xor2";
-        cell_leakage_power : 0.0073803710;
-        driver_waveform_fall : "ramp";
-        driver_waveform_rise : "ramp";
-        pg_pin ("VGND") {
-            pg_type : "primary_ground";
-            related_bias_pin : "VPB";
-            voltage_name : "VGND";
-        }
-        pg_pin ("VNB") {
-            pg_type : "nwell";
-            physical_connection : "device_layer";
-            voltage_name : "VNB";
-        }
-        pg_pin ("VPB") {
-            pg_type : "pwell";
-            physical_connection : "device_layer";
-            voltage_name : "VPB";
-        }
-        pg_pin ("VPWR") {
-            pg_type : "primary_power";
-            related_bias_pin : "VNB";
-            voltage_name : "VPWR";
-        }
-        pin ("A") {
-            capacitance : 0.0173590000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0166090000;
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0181100000;
-        }
-        pin ("B") {
-            capacitance : 0.0150830000;
-            clock : "false";
-            direction : "input";
-            fall_capacitance : 0.0144120000;
-            max_transition : 1.5000000000;
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            rise_capacitance : 0.0157550000;
-        }
-        pin ("X") {
-            direction : "output";
-            function : "(A&!B) | (!A&B)";
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013786650, 0.0038014330, 0.0104818100, 0.0289017900, 0.0796917700, 0.2197365000");
-                    values("-0.009353200, -0.010762300, -0.014632000, -0.025274800, -0.054785000, -0.136565300, -0.362923600", \
-                        "-0.010240800, -0.011609600, -0.015454600, -0.026091800, -0.055569400, -0.137404700, -0.363764100", \
-                        "-0.011272300, -0.012630100, -0.016426900, -0.026952900, -0.056280500, -0.138080900, -0.364624900", \
-                        "-0.012300700, -0.013629200, -0.017353500, -0.027797400, -0.057036300, -0.138586100, -0.364914600", \
-                        "-0.012574600, -0.013950200, -0.017842500, -0.028217400, -0.057313200, -0.138687800, -0.364837300", \
-                        "-0.011353300, -0.012758900, -0.016668300, -0.027355600, -0.057695800, -0.139744700, -0.365479200", \
-                        "-0.008667900, -0.010139100, -0.014189800, -0.025209600, -0.055645000, -0.138132000, -0.365525200");
-                }
-                related_pin : "A";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013786650, 0.0038014330, 0.0104818100, 0.0289017900, 0.0796917700, 0.2197365000");
-                    values("0.0738198000, 0.0753531000, 0.0792946000, 0.0901744000, 0.1199423000, 0.2012191000, 0.4251799000", \
-                        "0.0731748000, 0.0746199000, 0.0786184000, 0.0896336000, 0.1194524000, 0.2010247000, 0.4249036000", \
-                        "0.0722850000, 0.0738445000, 0.0778100000, 0.0889312000, 0.1190668000, 0.2008785000, 0.4248206000", \
-                        "0.0718295000, 0.0732238000, 0.0771736000, 0.0881723000, 0.1182779000, 0.2002234000, 0.4244880000", \
-                        "0.0711848000, 0.0725912000, 0.0765118000, 0.0873854000, 0.1172710000, 0.1993973000, 0.4238938000", \
-                        "0.0710156000, 0.0724653000, 0.0764309000, 0.0874079000, 0.1173448000, 0.1992413000, 0.4235285000", \
-                        "0.0701391000, 0.0715192000, 0.0753463000, 0.0858004000, 0.1175061000, 0.1994338000, 0.4239562000");
-                }
-            }
-            internal_power () {
-                fall_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013786650, 0.0038014330, 0.0104818100, 0.0289017900, 0.0796917700, 0.2197365000");
-                    values("-0.002064100, -0.003456100, -0.007309900, -0.017992200, -0.047502500, -0.129515200, -0.356010400", \
-                        "-0.002647800, -0.004026400, -0.007846600, -0.018449000, -0.047976600, -0.129872100, -0.356420700", \
-                        "-0.003471100, -0.004833300, -0.008601100, -0.019061000, -0.048440200, -0.130265800, -0.356612300", \
-                        "-0.004352900, -0.005654600, -0.009397200, -0.019845000, -0.049035100, -0.130568200, -0.356970700", \
-                        "-0.004318000, -0.005718400, -0.009493400, -0.020080200, -0.049320500, -0.130688300, -0.356844400", \
-                        "-0.002391200, -0.003845500, -0.007817000, -0.018645900, -0.049286200, -0.131171300, -0.357154100", \
-                        "0.0029354000, 0.0014294000, -0.002768600, -0.014040800, -0.044844200, -0.128155300, -0.356244200");
-                }
-                related_pin : "B";
-                rise_power ("power_outputs_1") {
-                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013786650, 0.0038014330, 0.0104818100, 0.0289017900, 0.0796917700, 0.2197365000");
-                    values("0.0519886000, 0.0535976000, 0.0578817000, 0.0690903000, 0.0990387000, 0.1810936000, 0.4072389000", \
-                        "0.0511053000, 0.0526723000, 0.0570279000, 0.0683969000, 0.0987314000, 0.1806366000, 0.4051989000", \
-                        "0.0501969000, 0.0517430000, 0.0559635000, 0.0673814000, 0.0980315000, 0.1802728000, 0.4069269000", \
-                        "0.0496505000, 0.0511488000, 0.0552254000, 0.0664763000, 0.0969992000, 0.1794975000, 0.4065757000", \
-                        "0.0489505000, 0.0504705000, 0.0544899000, 0.0654526000, 0.0956693000, 0.1789110000, 0.4056357000", \
-                        "0.0490106000, 0.0504402000, 0.0544267000, 0.0654591000, 0.0957292000, 0.1779419000, 0.4044345000", \
-                        "0.0493743000, 0.0506929000, 0.0543723000, 0.0649597000, 0.0956659000, 0.1780672000, 0.4040065000");
-                }
-            }
-            max_capacitance : 0.2197360000;
-            max_transition : 1.4976190000;
-            power_down_function : "(!VPWR + VGND)";
-            related_ground_pin : "VGND";
-            related_power_pin : "VPWR";
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
-                    values("0.1498213000, 0.1521022000, 0.1579420000, 0.1706484000, 0.1970509000, 0.2525050000, 0.3819992000", \
-                        "0.1534217000, 0.1557036000, 0.1614396000, 0.1743502000, 0.2006676000, 0.2561700000, 0.3856208000", \
-                        "0.1647692000, 0.1671180000, 0.1728084000, 0.1857164000, 0.2121356000, 0.2676604000, 0.3971745000", \
-                        "0.1917592000, 0.1940444000, 0.1996949000, 0.2124735000, 0.2389546000, 0.2946570000, 0.4242198000", \
-                        "0.2489901000, 0.2513319000, 0.2570763000, 0.2699971000, 0.2970482000, 0.3529857000, 0.4825390000", \
-                        "0.3493355000, 0.3519830000, 0.3585081000, 0.3732342000, 0.4035063000, 0.4634976000, 0.5967257000", \
-                        "0.5210429000, 0.5242347000, 0.5321462000, 0.5498254000, 0.5856142000, 0.6531658000, 0.7920983000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
-                    values("0.0824702000, 0.0871205000, 0.0999131000, 0.1338755000, 0.2240030000, 0.4655699000, 1.1281149000", \
-                        "0.0874779000, 0.0920609000, 0.1048119000, 0.1390157000, 0.2289731000, 0.4702864000, 1.1320492000", \
-                        "0.0981387000, 0.1029224000, 0.1155759000, 0.1494399000, 0.2399153000, 0.4819025000, 1.1451019000", \
-                        "0.1172658000, 0.1218137000, 0.1340927000, 0.1675947000, 0.2578120000, 0.5002633000, 1.1628895000", \
-                        "0.1412810000, 0.1457323000, 0.1579043000, 0.1911819000, 0.2805902000, 0.5237397000, 1.1871472000", \
-                        "0.1650427000, 0.1694110000, 0.1813255000, 0.2141437000, 0.3030956000, 0.5453783000, 1.2113351000", \
-                        "0.1650627000, 0.1701666000, 0.1825782000, 0.2148724000, 0.3027608000, 0.5447091000, 1.2088036000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
-                    values("0.0258631000, 0.0272958000, 0.0313648000, 0.0411783000, 0.0647598000, 0.1230203000, 0.2829630000", \
-                        "0.0256963000, 0.0271844000, 0.0315704000, 0.0411118000, 0.0647809000, 0.1230484000, 0.2835780000", \
-                        "0.0258039000, 0.0273211000, 0.0312231000, 0.0410294000, 0.0645500000, 0.1230651000, 0.2836416000", \
-                        "0.0260212000, 0.0275553000, 0.0313922000, 0.0413212000, 0.0649676000, 0.1230437000, 0.2837963000", \
-                        "0.0275022000, 0.0292852000, 0.0327963000, 0.0426531000, 0.0658314000, 0.1234046000, 0.2838002000", \
-                        "0.0331190000, 0.0349436000, 0.0391283000, 0.0493380000, 0.0727733000, 0.1308584000, 0.2875061000", \
-                        "0.0455791000, 0.0474508000, 0.0522486000, 0.0630046000, 0.0882908000, 0.1446539000, 0.2969336000");
-                }
-                related_pin : "A";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
-                    values("0.0545477000, 0.0604694000, 0.0769636000, 0.1211413000, 0.2415684000, 0.5726916000, 1.4837939000", \
-                        "0.0545630000, 0.0605890000, 0.0768845000, 0.1213245000, 0.2417088000, 0.5729234000, 1.4837653000", \
-                        "0.0545522000, 0.0605333000, 0.0766708000, 0.1213620000, 0.2420048000, 0.5720606000, 1.4826771000", \
-                        "0.0544345000, 0.0603335000, 0.0767580000, 0.1211477000, 0.2416003000, 0.5729405000, 1.4834963000", \
-                        "0.0544386000, 0.0602949000, 0.0761584000, 0.1210499000, 0.2410216000, 0.5727535000, 1.4836791000", \
-                        "0.0571354000, 0.0624336000, 0.0778807000, 0.1210058000, 0.2418170000, 0.5696867000, 1.4809503000", \
-                        "0.0658208000, 0.0709332000, 0.0845831000, 0.1240170000, 0.2422272000, 0.5753099000, 1.4770219000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
-                    values("0.0436636000, 0.0453758000, 0.0500607000, 0.0622142000, 0.0935156000, 0.1764752000, 0.4019771000", \
-                        "0.0474098000, 0.0492156000, 0.0538442000, 0.0660680000, 0.0973631000, 0.1804029000, 0.4067191000", \
-                        "0.0558684000, 0.0576227000, 0.0623161000, 0.0745588000, 0.1060547000, 0.1891348000, 0.4148759000", \
-                        "0.0716920000, 0.0738656000, 0.0795040000, 0.0928811000, 0.1253473000, 0.2089834000, 0.4350223000", \
-                        "0.0934183000, 0.0964121000, 0.1038103000, 0.1220940000, 0.1633954000, 0.2538585000, 0.4806054000", \
-                        "0.1102166000, 0.1148162000, 0.1264197000, 0.1548898000, 0.2165235000, 0.3371814000, 0.5852526000", \
-                        "0.0965449000, 0.1035638000, 0.1216761000, 0.1659232000, 0.2629996000, 0.4488046000, 0.7811597000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
-                    values("0.1152663000, 0.1197115000, 0.1313149000, 0.1631730000, 0.2484311000, 0.4815269000, 1.1207800000", \
-                        "0.1186809000, 0.1230035000, 0.1350982000, 0.1670509000, 0.2528935000, 0.4858588000, 1.1268465000", \
-                        "0.1299064000, 0.1346329000, 0.1461559000, 0.1787279000, 0.2649009000, 0.4987368000, 1.1391225000", \
-                        "0.1576167000, 0.1618373000, 0.1735779000, 0.2056609000, 0.2920834000, 0.5261697000, 1.1680041000", \
-                        "0.2135563000, 0.2185918000, 0.2316387000, 0.2650153000, 0.3510895000, 0.5853523000, 1.2264606000", \
-                        "0.3105179000, 0.3172884000, 0.3343643000, 0.3780825000, 0.4819104000, 0.7215010000, 1.3631631000", \
-                        "0.4673313000, 0.4784170000, 0.5059216000, 0.5718557000, 0.7176837000, 1.0207096000, 1.6803030000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
-                    values("0.0306255000, 0.0326024000, 0.0378873000, 0.0527912000, 0.0940997000, 0.2090309000, 0.5265505000", \
-                        "0.0305594000, 0.0324557000, 0.0378828000, 0.0527709000, 0.0940672000, 0.2090063000, 0.5275455000", \
-                        "0.0306991000, 0.0326035000, 0.0377471000, 0.0525482000, 0.0939461000, 0.2089878000, 0.5271354000", \
-                        "0.0372694000, 0.0391281000, 0.0442891000, 0.0573073000, 0.0956952000, 0.2088595000, 0.5270412000", \
-                        "0.0547171000, 0.0568965000, 0.0624743000, 0.0775606000, 0.1147396000, 0.2168991000, 0.5271663000", \
-                        "0.0898452000, 0.0929725000, 0.1005826000, 0.1199536000, 0.1638825000, 0.2662955000, 0.5465849000", \
-                        "0.1543681000, 0.1592050000, 0.1713063000, 0.1991683000, 0.2610707000, 0.3866281000, 0.6630076000");
-                }
-                related_pin : "A";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
-                    values("0.0759070000, 0.0815424000, 0.0969157000, 0.1392374000, 0.2551032000, 0.5748853000, 1.4477172000", \
-                        "0.0758997000, 0.0814693000, 0.0970385000, 0.1394350000, 0.2552114000, 0.5728129000, 1.4494465000", \
-                        "0.0759521000, 0.0815833000, 0.0969367000, 0.1392081000, 0.2553012000, 0.5729696000, 1.4523986000", \
-                        "0.0763891000, 0.0818078000, 0.0972177000, 0.1394148000, 0.2551341000, 0.5731693000, 1.4486934000", \
-                        "0.0891667000, 0.0940859000, 0.1075588000, 0.1461725000, 0.2565225000, 0.5730347000, 1.4510028000", \
-                        "0.1258456000, 0.1314789000, 0.1469706000, 0.1871109000, 0.2883875000, 0.5812781000, 1.4505315000", \
-                        "0.2082668000, 0.2155849000, 0.2345399000, 0.2825965000, 0.3955398000, 0.6695067000, 1.4652712000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
-                    values("0.1234675000, 0.1257397000, 0.1314267000, 0.1441660000, 0.1704285000, 0.2255801000, 0.3551103000", \
-                        "0.1257263000, 0.1280011000, 0.1336729000, 0.1464812000, 0.1726047000, 0.2281072000, 0.3576422000", \
-                        "0.1357807000, 0.1380280000, 0.1436779000, 0.1561082000, 0.1826469000, 0.2380935000, 0.3677224000", \
-                        "0.1633535000, 0.1655912000, 0.1712241000, 0.1839759000, 0.2104805000, 0.2661422000, 0.3956312000", \
-                        "0.2281192000, 0.2304337000, 0.2361473000, 0.2490715000, 0.2760102000, 0.3319250000, 0.4617683000", \
-                        "0.3382702000, 0.3410712000, 0.3480520000, 0.3630874000, 0.3926597000, 0.4516459000, 0.5842897000", \
-                        "0.5182355000, 0.5217422000, 0.5303887000, 0.5492988000, 0.5854496000, 0.6497775000, 0.7852521000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
-                    values("0.1048461000, 0.1090026000, 0.1202696000, 0.1508533000, 0.2326286000, 0.4605297000, 1.0983631000", \
-                        "0.1089455000, 0.1132897000, 0.1248770000, 0.1553579000, 0.2377169000, 0.4659305000, 1.1020401000", \
-                        "0.1158189000, 0.1200469000, 0.1314675000, 0.1626685000, 0.2467387000, 0.4762303000, 1.1137002000", \
-                        "0.1271718000, 0.1314447000, 0.1432418000, 0.1750644000, 0.2602975000, 0.4919463000, 1.1289183000", \
-                        "0.1421417000, 0.1466329000, 0.1587245000, 0.1908896000, 0.2762768000, 0.5097093000, 1.1477884000", \
-                        "0.1528475000, 0.1572159000, 0.1692436000, 0.2012987000, 0.2877989000, 0.5225921000, 1.1631662000", \
-                        "0.1370997000, 0.1420376000, 0.1546755000, 0.1862847000, 0.2713418000, 0.5062086000, 1.1492168000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
-                    values("0.0258575000, 0.0273784000, 0.0314467000, 0.0412008000, 0.0649258000, 0.1233208000, 0.2832658000", \
-                        "0.0258729000, 0.0274055000, 0.0312688000, 0.0410916000, 0.0651922000, 0.1232537000, 0.2836712000", \
-                        "0.0259981000, 0.0276253000, 0.0314951000, 0.0412600000, 0.0650397000, 0.1233299000, 0.2833123000", \
-                        "0.0259508000, 0.0274180000, 0.0316281000, 0.0412997000, 0.0649301000, 0.1232317000, 0.2834941000", \
-                        "0.0284681000, 0.0300106000, 0.0338473000, 0.0433398000, 0.0664746000, 0.1241942000, 0.2833802000", \
-                        "0.0388366000, 0.0399122000, 0.0435851000, 0.0523870000, 0.0742222000, 0.1301786000, 0.2887548000", \
-                        "0.0540890000, 0.0561388000, 0.0601321000, 0.0708778000, 0.0922304000, 0.1432362000, 0.2948055000");
-                }
-                related_pin : "B";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
-                    values("0.0752745000, 0.0809621000, 0.0964575000, 0.1392060000, 0.2550944000, 0.5730705000, 1.4496204000", \
-                        "0.0752050000, 0.0809003000, 0.0964027000, 0.1392268000, 0.2552087000, 0.5730071000, 1.4516470000", \
-                        "0.0749725000, 0.0807146000, 0.0962014000, 0.1390923000, 0.2551570000, 0.5730953000, 1.4500535000", \
-                        "0.0730962000, 0.0790643000, 0.0953033000, 0.1388982000, 0.2553379000, 0.5732365000, 1.4477179000", \
-                        "0.0634505000, 0.0694468000, 0.0857219000, 0.1310455000, 0.2496870000, 0.5735373000, 1.4495534000", \
-                        "0.0600312000, 0.0657071000, 0.0809499000, 0.1233164000, 0.2413889000, 0.5642955000, 1.4474065000", \
-                        "0.0678604000, 0.0728150000, 0.0866056000, 0.1252394000, 0.2386312000, 0.5594238000, 1.4371977000");
-                }
-                timing_sense : "positive_unate";
-                timing_type : "combinational";
-            }
-            timing () {
-                cell_fall ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
-                    values("0.0335696000, 0.0353220000, 0.0400256000, 0.0521365000, 0.0835456000, 0.1666051000, 0.3921810000", \
-                        "0.0372863000, 0.0390635000, 0.0437525000, 0.0559946000, 0.0874337000, 0.1704923000, 0.3960374000", \
-                        "0.0471907000, 0.0488378000, 0.0533741000, 0.0655429000, 0.0970316000, 0.1802304000, 0.4057602000", \
-                        "0.0654116000, 0.0676545000, 0.0737674000, 0.0881423000, 0.1203320000, 0.2030385000, 0.4290612000", \
-                        "0.0853982000, 0.0889033000, 0.0976499000, 0.1192638000, 0.1662422000, 0.2579159000, 0.4832924000", \
-                        "0.0984199000, 0.1037521000, 0.1173286000, 0.1492809000, 0.2212170000, 0.3586011000, 0.6101371000", \
-                        "0.0782870000, 0.0860682000, 0.1062972000, 0.1560700000, 0.2647008000, 0.4752755000, 0.8508662000");
-                }
-                cell_rise ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
-                    values("0.0850781000, 0.0899409000, 0.1027952000, 0.1360149000, 0.2242358000, 0.4678875000, 1.1311460000", \
-                        "0.0886134000, 0.0933029000, 0.1062009000, 0.1398852000, 0.2295681000, 0.4708945000, 1.1332277000", \
-                        "0.0999498000, 0.1045024000, 0.1171481000, 0.1511322000, 0.2411198000, 0.4838029000, 1.1488448000", \
-                        "0.1271868000, 0.1316210000, 0.1438976000, 0.1771318000, 0.2671072000, 0.5104442000, 1.1746953000", \
-                        "0.1760873000, 0.1820284000, 0.1968326000, 0.2342847000, 0.3243756000, 0.5695979000, 1.2362262000", \
-                        "0.2554318000, 0.2639361000, 0.2866622000, 0.3366414000, 0.4498133000, 0.7008774000, 1.3679664000", \
-                        "0.3808998000, 0.3945671000, 0.4288706000, 0.5088539000, 0.6710317000, 0.9935092000, 1.6783878000");
-                }
-                fall_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
-                    values("0.0309668000, 0.0327628000, 0.0380972000, 0.0529457000, 0.0941547000, 0.2089584000, 0.5270855000", \
-                        "0.0302730000, 0.0322728000, 0.0377722000, 0.0527265000, 0.0940481000, 0.2088934000, 0.5268767000", \
-                        "0.0309543000, 0.0327341000, 0.0378132000, 0.0522565000, 0.0938198000, 0.2088570000, 0.5272688000", \
-                        "0.0413029000, 0.0433314000, 0.0487315000, 0.0615735000, 0.0973744000, 0.2087784000, 0.5270357000", \
-                        "0.0626560000, 0.0653913000, 0.0731504000, 0.0897430000, 0.1288506000, 0.2224151000, 0.5268013000", \
-                        "0.1012147000, 0.1055305000, 0.1163309000, 0.1414359000, 0.1938118000, 0.2997774000, 0.5583131000", \
-                        "0.1664303000, 0.1732680000, 0.1904969000, 0.2303589000, 0.3080212000, 0.4561075000, 0.7360311000");
-                }
-                related_pin : "B";
-                rise_transition ("del_1_7_7") {
-                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
-                    index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
-                    values("0.0556082000, 0.0614255000, 0.0774347000, 0.1211863000, 0.2412494000, 0.5733722000, 1.4860994000", \
-                        "0.0554051000, 0.0614012000, 0.0774506000, 0.1207148000, 0.2412002000, 0.5710328000, 1.4839330000", \
-                        "0.0557944000, 0.0615850000, 0.0772878000, 0.1212796000, 0.2414769000, 0.5705666000, 1.4904959000", \
-                        "0.0571563000, 0.0627295000, 0.0781564000, 0.1216595000, 0.2415536000, 0.5706252000, 1.4912858000", \
-                        "0.0738758000, 0.0792944000, 0.0933395000, 0.1314809000, 0.2439562000, 0.5744571000, 1.4866004000", \
-                        "0.1113906000, 0.1170693000, 0.1336293000, 0.1749030000, 0.2802974000, 0.5800641000, 1.4839238000", \
-                        "0.1937913000, 0.2011665000, 0.2219989000, 0.2710132000, 0.3889577000, 0.6707701000, 1.4976191000");
-                }
-                timing_sense : "negative_unate";
-                timing_type : "combinational";
-            }
-        }
-    }
-
-/* removed sky130_fd_sc_hd__xor3_1 */
-
-/* removed sky130_fd_sc_hd__xor3_2 */
-
-/* removed sky130_fd_sc_hd__xor3_4 */
-
-}
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/cmds.log b/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/cmds.log
index e1cc5de..24726b3 100644
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/cmds.log
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/cmds.log
@@ -1,36 +1,30 @@
-Thu Nov 26 18:55:53 UTC 2020 - Executing "/openLANE_flow/scripts/mergeLef.py -i /home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd.tlef {/home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_fd_sc_hd.lef /home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_ef_sc_hd__fakediode_2.lef} -o /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/tmp/merged_unpadded.lef |& tee >&@stdout"
+Sun Nov 29 19:42:30 UTC 2020 - Executing "/openLANE_flow/scripts/mergeLef.py -i /home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd.tlef {/home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_fd_sc_hd.lef /home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_ef_sc_hd__fakediode_2.lef} -o /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/tmp/merged_unpadded.lef |& tee >&@stdout"
 
-Thu Nov 26 18:55:53 UTC 2020 - Executing "/openLANE_flow/scripts/mergeLef.py -i /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/tmp/merged_unpadded.lef /project/openlane/user_project_wrapper_empty/../../lef/user_proj_example.lef -o /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/tmp/merged_unpadded.lef |& tee >&@stdout"
+Sun Nov 29 19:42:30 UTC 2020 - Executing "/openLANE_flow/scripts/mergeLef.py -i /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/tmp/merged_unpadded.lef /project/openlane/user_project_wrapper_empty/../../lef/user_proj_example.lef -o /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/tmp/merged_unpadded.lef |& tee >&@stdout"
 
-Thu Nov 26 18:55:53 UTC 2020 - Executing "/openLANE_flow/scripts/libtrim.pl /home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib /home/xrex/usr/devel/pdks/sky130A/libs.tech/openlane/sky130_fd_sc_hd/no_synth.cells > /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/tmp/trimmed.lib"
+Sun Nov 29 19:42:30 UTC 2020 - Executing "/openLANE_flow/scripts/libtrim.pl /home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib /home/xrex/usr/devel/pdks/sky130A/libs.tech/openlane/sky130_fd_sc_hd/no_synth.cells > /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/tmp/trimmed.lib"
 
-Thu Nov 26 18:55:54 UTC 2020 - Executing "yosys -c /openLANE_flow/scripts/synth_top.tcl -l /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/logs/synthesis/yosys.log |& tee >&@stdout"
+Sun Nov 29 19:42:31 UTC 2020 - Executing "yosys -c /openLANE_flow/scripts/synth_top.tcl -l /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/logs/synthesis/yosys.log |& tee >&@stdout"
 
-Thu Nov 26 18:55:54 UTC 2020 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/project\/openlane\/user_project_wrapper_empty\/runs\/user_project_wrapper_empty\/results\/synthesis\/user_project_wrapper.synthesis.v/} /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/config.tcl"
+Sun Nov 29 19:42:31 UTC 2020 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/project\/openlane\/user_project_wrapper_empty\/runs\/user_project_wrapper_empty\/results\/synthesis\/user_project_wrapper.synthesis.v/} /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/config.tcl"
 
-Thu Nov 26 18:55:54 UTC 2020 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 0/} /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/config.tcl"
+Sun Nov 29 19:42:31 UTC 2020 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 0/} /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/config.tcl"
 
-Thu Nov 26 18:55:54 UTC 2020 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_floorplan.tcl |& tee >&@stdout /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/logs/floorplan/verilog2def.openroad.log"
+Sun Nov 29 19:42:31 UTC 2020 - Executing "sed -ie /defparam/d /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/results/synthesis/user_project_wrapper.synthesis.v"
 
-Thu Nov 26 18:55:54 UTC 2020 - Executing "python3 /openLANE_flow/scripts/io_place.py --input-lef /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/tmp/merged.lef --input-def /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/tmp/floorplan/verilog2def_openroad.def --config /project/openlane/user_project_wrapper_empty/pin_order.cfg --hor-layer 4 --ver-layer 3 --ver-width-mult 4 --hor-width-mult 4 --hor-extension 4.8 --ver-extension 4.8 --length 2.4 -o /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/tmp/floorplan/ioPlacer.def |& tee /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/logs/floorplan/place_io_ol.log >&@stdout"
+Sun Nov 29 19:42:31 UTC 2020 - Executing "openroad -exit /openLANE_flow/scripts/openroad/or_floorplan.tcl |& tee >&@stdout /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/logs/floorplan/verilog2def.openroad.log"
 
-Thu Nov 26 18:55:55 UTC 2020 - Executing "python3 /openLANE_flow/scripts/addObstruction.py -d /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/tmp/floorplan/ioPlacer.def -l /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/tmp/merged_unpadded.lef -obs core_obs -ln met1 met2 met3 met4 met5 -px 2.4 -py 2.4 -sw 2915.2 -sh 3515.2 -db 1000 -f"
+Sun Nov 29 19:42:32 UTC 2020 - Executing "python3 /openLANE_flow/scripts/io_place.py --input-lef /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/tmp/merged.lef --input-def /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/tmp/floorplan/verilog2def_openroad.def --config /project/openlane/user_project_wrapper_empty/pin_order.cfg --hor-layer 4 --ver-layer 3 --ver-width-mult 4 --hor-width-mult 4 --hor-extension 4.8 --ver-extension 4.8 --length 2.4 -o /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/tmp/floorplan/ioPlacer.def |& tee /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/logs/floorplan/place_io_ol.log >&@stdout"
 
-Thu Nov 26 18:55:55 UTC 2020 - Executing "openroad -exit /openLANE_flow/scripts/new_pdn.tcl |& tee >&@stdout /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/logs/floorplan/pdn.log"
+Sun Nov 29 19:42:33 UTC 2020 - Executing "python3 /openLANE_flow/scripts/addObstruction.py -d /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/tmp/floorplan/ioPlacer.def -l /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/tmp/merged_unpadded.lef -obs core_obs -ln met1 met2 met3 met4 met5 -px 2.4 -py 2.4 -sw 2915.2 -sh 3515.2 -db 1000 -f"
 
-Thu Nov 26 18:55:56 UTC 2020 - Executing "openroad -exit /openLANE_flow/scripts/new_pdn.tcl |& tee >&@stdout /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/logs/floorplan/pdn.log"
+Sun Nov 29 19:42:34 UTC 2020 - Executing "/openLANE_flow/scripts/remove_nets.sh /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/tmp/floorplan/pdn.def"
 
-Thu Nov 26 18:55:56 UTC 2020 - Executing "openroad -exit /openLANE_flow/scripts/new_pdn.tcl |& tee >&@stdout /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/logs/floorplan/pdn.log"
+Sun Nov 29 19:42:34 UTC 2020 - Executing "/openLANE_flow/scripts/remove_components.sh /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/tmp/floorplan/pdn.def"
 
-Thu Nov 26 18:55:57 UTC 2020 - Executing "openroad -exit /openLANE_flow/scripts/new_pdn.tcl |& tee >&@stdout /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/logs/floorplan/pdn.log"
+Sun Nov 29 19:42:34 UTC 2020 - Executing "magic -noconsole -dnull -rcfile /home/xrex/usr/devel/pdks/sky130A/libs.tech/magic/sky130A.magicrc /openLANE_flow/scripts/magic.tcl </dev/null |& tee >&@stdout /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/logs/magic/magic.log"
 
-Thu Nov 26 18:55:57 UTC 2020 - Executing "/openLANE_flow/scripts/remove_nets.sh /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/tmp/floorplan/pdn.def"
+Sun Nov 29 19:42:37 UTC 2020 - Executing "magic -noconsole -dnull -rcfile /home/xrex/usr/devel/pdks/sky130A/libs.tech/magic/sky130A.magicrc /openLANE_flow/scripts/magic_mag.tcl </dev/null |& tee >&@stdout /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/logs/magic/magic.log"
 
-Thu Nov 26 18:55:57 UTC 2020 - Executing "/openLANE_flow/scripts/remove_components.sh /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/tmp/floorplan/pdn.def"
-
-Thu Nov 26 18:55:57 UTC 2020 - Executing "magic -noconsole -dnull -rcfile /home/xrex/usr/devel/pdks/sky130A/libs.tech/magic/sky130A.magicrc /openLANE_flow/scripts/magic.tcl </dev/null |& tee >&@stdout /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/logs/magic/magic.log"
-
-Thu Nov 26 18:55:59 UTC 2020 - Executing "magic -noconsole -dnull -rcfile /home/xrex/usr/devel/pdks/sky130A/libs.tech/magic/sky130A.magicrc /openLANE_flow/scripts/magic_mag.tcl </dev/null |& tee >&@stdout /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/logs/magic/magic.log"
-
-Thu Nov 26 18:55:59 UTC 2020 - Executing "magic -noconsole -dnull -rcfile /home/xrex/usr/devel/pdks/sky130A/libs.tech/magic/sky130A.magicrc /openLANE_flow/scripts/magic_maglef.tcl </dev/null |& tee >&@stdout /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/logs/magic/magic.maglef.log"
+Sun Nov 29 19:42:38 UTC 2020 - Executing "magic -noconsole -dnull -rcfile /home/xrex/usr/devel/pdks/sky130A/libs.tech/magic/sky130A.magicrc /openLANE_flow/scripts/magic_maglef.tcl </dev/null |& tee >&@stdout /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/logs/magic/magic.maglef.log"
 
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/logs/floorplan/pdn.log b/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/logs/floorplan/pdn.log
deleted file mode 100644
index cd99a77..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/logs/floorplan/pdn.log
+++ /dev/null
@@ -1,48 +0,0 @@
-OpenROAD 0.9.0 d03ebfc244
-This program is licensed under the BSD-3 license. See the LICENSE file for details. 
-Components of this program may be licensed under more restrictive licenses which must be honored.
-Error: cannot open '/.openroad'.
-Notice 0: Reading LEF file:  /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/tmp/merged_unpadded.lef
-Notice 0:     Created 13 technology layers
-Notice 0:     Created 25 technology vias
-Notice 0:     Created 440 library cells
-Notice 0: Finished LEF file:  /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/tmp/merged_unpadded.lef
-Notice 0: 
-Reading DEF file: /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/tmp/floorplan/pdn.def
-Notice 0: Design: user_project_wrapper
-Notice 0:     Created 642 pins.
-Notice 0:     Created 2 components and 606 component-terminals.
-Notice 0:     Created 6 special nets and 0 connections.
-Notice 0:     Created 636 nets and 604 connections.
-Notice 0: Finished DEF file: /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/tmp/floorplan/pdn.def
-[INFO] [PDNG-0016] Power Delivery Network Generator: Generating PDN
-[INFO] [PDNG-0016]   config: /project/openlane/user_project_wrapper_empty/pdn.tcl
-[INFO] [PDNG-0008] Design Name is user_project_wrapper
-[INFO] [PDNG-0009] Reading technology data
-[ERROR] [PDNG-0037] Cannot find pin vdda2 on instance obs_core_obs (obs_core_obs)
-[ERROR] [PDNG-0037] Cannot find pin vssa2 on instance obs_core_obs (obs_core_obs)
-[ERROR] [PDNG-0037] Cannot find pin vdda2 on instance mprj (user_proj_example)
-[ERROR] [PDNG-0037] Cannot find pin vssa2 on instance mprj (user_proj_example)
-[INFO] [PDNG-0011] ****** INFO ******
-Type: stdcell, grid
-    Core Rings
-      Layer: met5 -  width: 3.000  spacing: 1.600  core_offset: 41.600 
-      Layer: met4 -  width: 3.000  spacing: 1.600  core_offset: 41.600 
-    Stdcell Rails
-    Straps
-      Layer: met4 -  width: 3.000  pitch: 180.000  offset: 54.000 
-      Layer: met5 -  width: 3.000  pitch: 180.000  offset: 54.000 
-    Connect: {met4 met5}
-Type: macro, macro_1
-    Instance: obs_core_obs
-    Straps
-    Connect: 
-Type: macro, macro_2
-    Straps
-    Connect: 
-[INFO] [PDNG-0012] **** END INFO ****
-[INFO] [PDNG-0013] Inserting stdcell grid - grid
-[INFO] [PDNG-0010] Inserting macro grid for 2 macros
-[INFO] [PDNG-0034]   - grid for instance obs_core_obs
-[INFO] [PDNG-0034]   - grid for instance mprj
-[INFO] [PDNG-0015] Writing to database
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/logs/floorplan/pdn_runtime.txt b/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/logs/floorplan/pdn_runtime.txt
deleted file mode 100644
index c4fcd6d..0000000
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/logs/floorplan/pdn_runtime.txt
+++ /dev/null
@@ -1,4 +0,0 @@
-0h0m0s537ms
-0h0m0s544ms
-0h0m0s546ms
-0h0m0s544ms
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/logs/floorplan/verilog2def.openroad.log b/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/logs/floorplan/verilog2def.openroad.log
index 5383277..9f9ca61 100644
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/logs/floorplan/verilog2def.openroad.log
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/logs/floorplan/verilog2def.openroad.log
@@ -8,13 +8,5 @@
 Notice 0:     Created 25 technology vias
 Notice 0:     Created 439 library cells
 Notice 0: Finished LEF file:  /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/tmp/merged_unpadded.lef
-Warning: /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/results/synthesis/user_project_wrapper.synthesis.v, line 30 instance mprj port vccd1 not found.
-Warning: /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/results/synthesis/user_project_wrapper.synthesis.v, line 30 instance mprj port vccd2 not found.
-Warning: /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/results/synthesis/user_project_wrapper.synthesis.v, line 30 instance mprj port vdda1 not found.
-Warning: /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/results/synthesis/user_project_wrapper.synthesis.v, line 30 instance mprj port vdda2 not found.
-Warning: /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/results/synthesis/user_project_wrapper.synthesis.v, line 30 instance mprj port vssa1 not found.
-Warning: /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/results/synthesis/user_project_wrapper.synthesis.v, line 30 instance mprj port vssa2 not found.
-Warning: /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/results/synthesis/user_project_wrapper.synthesis.v, line 30 instance mprj port vssd1 not found.
-Warning: /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/results/synthesis/user_project_wrapper.synthesis.v, line 30 instance mprj port vssd2 not found.
 Warning: LEF master user_proj_example has no liberty cell.
 Info: Added 1286 rows of 6323 sites.
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/logs/floorplan/verilog2def_openroad_runtime.txt b/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/logs/floorplan/verilog2def_openroad_runtime.txt
index fa23776..d893560 100644
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/logs/floorplan/verilog2def_openroad_runtime.txt
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/logs/floorplan/verilog2def_openroad_runtime.txt
@@ -1 +1 @@
-0h0m0s758ms
+0h0m1s131ms
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/logs/synthesis/yosys.log b/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/logs/synthesis/yosys.log
index ad20972..588cf18 100644
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/logs/synthesis/yosys.log
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/logs/synthesis/yosys.log
@@ -44,14 +44,6 @@
 4. Executing Verilog-2005 frontend: /project/openlane/user_project_wrapper_empty/../../verilog/rtl/user_project_wrapper.v
 Parsing Verilog input from `/project/openlane/user_project_wrapper_empty/../../verilog/rtl/user_project_wrapper.v' to AST representation.
 Generating RTLIL representation for module `\user_project_wrapper'.
-/project/openlane/user_project_wrapper_empty/../../verilog/rtl/user_project_wrapper.v:68: Warning: Identifier `\vdda1' is implicitly declared.
-/project/openlane/user_project_wrapper_empty/../../verilog/rtl/user_project_wrapper.v:69: Warning: Identifier `\vdda2' is implicitly declared.
-/project/openlane/user_project_wrapper_empty/../../verilog/rtl/user_project_wrapper.v:70: Warning: Identifier `\vssa1' is implicitly declared.
-/project/openlane/user_project_wrapper_empty/../../verilog/rtl/user_project_wrapper.v:71: Warning: Identifier `\vssa2' is implicitly declared.
-/project/openlane/user_project_wrapper_empty/../../verilog/rtl/user_project_wrapper.v:72: Warning: Identifier `\vccd1' is implicitly declared.
-/project/openlane/user_project_wrapper_empty/../../verilog/rtl/user_project_wrapper.v:73: Warning: Identifier `\vccd2' is implicitly declared.
-/project/openlane/user_project_wrapper_empty/../../verilog/rtl/user_project_wrapper.v:74: Warning: Identifier `\vssd1' is implicitly declared.
-/project/openlane/user_project_wrapper_empty/../../verilog/rtl/user_project_wrapper.v:75: Warning: Identifier `\vssd2' is implicitly declared.
 Successfully finished Verilog frontend.
 
 5. Generating Graphviz representation of design.
@@ -71,10 +63,10 @@
 
 === user_project_wrapper ===
 
-   Number of wires:                 26
-   Number of wire bits:            644
-   Number of public wires:          26
-   Number of public wire bits:     644
+   Number of wires:                 18
+   Number of wire bits:            636
+   Number of public wires:          18
+   Number of public wire bits:     636
    Number of memories:               0
    Number of memory bits:            0
    Number of processes:              0
@@ -94,10 +86,10 @@
 
 === user_project_wrapper ===
 
-   Number of wires:                 26
-   Number of wire bits:            644
-   Number of public wires:          26
-   Number of public wire bits:     644
+   Number of wires:                 18
+   Number of wire bits:            636
+   Number of public wires:          18
+   Number of public wire bits:     636
    Number of memories:               0
    Number of memory bits:            0
    Number of processes:              0
@@ -109,7 +101,6 @@
 12. Executing Verilog backend.
 Dumping module `\user_project_wrapper'.
 
-Warnings: 8 unique messages, 8 total
-End of script. Logfile hash: 5193408c97, CPU: user 0.06s system 0.00s, MEM: 13.20 MB peak
+End of script. Logfile hash: 64e7221b13, CPU: user 0.06s system 0.00s, MEM: 13.16 MB peak
 Yosys 0.9+3621 (git sha1 84e9fa7, gcc 8.3.1 -fPIC -Os)
-Time spent: 65% 2x stat (0 sec), 16% 8x read_verilog (0 sec), ...
+Time spent: 70% 2x stat (0 sec), 13% 8x read_verilog (0 sec), ...
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/logs/synthesis/yosys_runtime.txt b/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/logs/synthesis/yosys_runtime.txt
index b3d55dd..36b4319 100644
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/logs/synthesis/yosys_runtime.txt
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/logs/synthesis/yosys_runtime.txt
@@ -1 +1 @@
-0h0m0s69ms
+0h0m0s116ms
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/reports/synthesis/yosys.stat.rpt b/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/reports/synthesis/yosys.stat.rpt
index 4f10540..c4c0572 100644
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/reports/synthesis/yosys.stat.rpt
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/reports/synthesis/yosys.stat.rpt
@@ -3,10 +3,10 @@
 
 === user_project_wrapper ===
 
-   Number of wires:                 26
-   Number of wire bits:            644
-   Number of public wires:          26
-   Number of public wire bits:     644
+   Number of wires:                 18
+   Number of wire bits:            636
+   Number of public wires:          18
+   Number of public wire bits:     636
    Number of memories:               0
    Number of memory bits:            0
    Number of processes:              0
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/reports/synthesis/yosys_synth.stat b/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/reports/synthesis/yosys_synth.stat
index b8aecbc..e07e1ab 100644
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/reports/synthesis/yosys_synth.stat
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/reports/synthesis/yosys_synth.stat
@@ -3,10 +3,10 @@
 
 === user_project_wrapper ===
 
-   Number of wires:                 26
-   Number of wire bits:            644
-   Number of public wires:          26
-   Number of public wire bits:     644
+   Number of wires:                 18
+   Number of wire bits:            636
+   Number of public wires:          18
+   Number of public wire bits:     636
    Number of memories:               0
    Number of memory bits:            0
    Number of processes:              0
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/results/magic/user_project_wrapper.gds b/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/results/magic/user_project_wrapper.gds
index e2f8a8f..1f84574 100644
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/results/magic/user_project_wrapper.gds
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/results/magic/user_project_wrapper.gds
Binary files differ
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/results/magic/user_project_wrapper.lef b/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/results/magic/user_project_wrapper.lef
index ac413eb..1d27714 100644
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/results/magic/user_project_wrapper.lef
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/results/magic/user_project_wrapper.lef
@@ -4464,40 +4464,40 @@
     PORT
       LAYER met4 ;
         RECT -9.980 -4.620 -6.980 3524.300 ;
-        RECT 4.020 3517.600 7.020 3528.900 ;
-        RECT 184.020 3517.600 187.020 3528.900 ;
-        RECT 364.020 3517.600 367.020 3528.900 ;
-        RECT 544.020 3517.600 547.020 3528.900 ;
-        RECT 724.020 3517.600 727.020 3528.900 ;
-        RECT 904.020 3517.600 907.020 3528.900 ;
-        RECT 1084.020 3517.600 1087.020 3528.900 ;
-        RECT 1264.020 3517.600 1267.020 3528.900 ;
-        RECT 1444.020 3517.600 1447.020 3528.900 ;
-        RECT 1624.020 3517.600 1627.020 3528.900 ;
-        RECT 1804.020 3517.600 1807.020 3528.900 ;
-        RECT 1984.020 3517.600 1987.020 3528.900 ;
-        RECT 2164.020 3517.600 2167.020 3528.900 ;
-        RECT 2344.020 3517.600 2347.020 3528.900 ;
-        RECT 2524.020 3517.600 2527.020 3528.900 ;
-        RECT 2704.020 3517.600 2707.020 3528.900 ;
-        RECT 2884.020 3517.600 2887.020 3528.900 ;
-        RECT 4.020 -9.220 7.020 2.400 ;
-        RECT 184.020 -9.220 187.020 2.400 ;
-        RECT 364.020 -9.220 367.020 2.400 ;
-        RECT 544.020 -9.220 547.020 2.400 ;
-        RECT 724.020 -9.220 727.020 2.400 ;
-        RECT 904.020 -9.220 907.020 2.400 ;
-        RECT 1084.020 -9.220 1087.020 2.400 ;
-        RECT 1264.020 -9.220 1267.020 2.400 ;
-        RECT 1444.020 -9.220 1447.020 2.400 ;
-        RECT 1624.020 -9.220 1627.020 2.400 ;
-        RECT 1804.020 -9.220 1807.020 2.400 ;
-        RECT 1984.020 -9.220 1987.020 2.400 ;
-        RECT 2164.020 -9.220 2167.020 2.400 ;
-        RECT 2344.020 -9.220 2347.020 2.400 ;
-        RECT 2524.020 -9.220 2527.020 2.400 ;
-        RECT 2704.020 -9.220 2707.020 2.400 ;
-        RECT 2884.020 -9.220 2887.020 2.400 ;
+        RECT 4.020 3517.600 7.020 3529.000 ;
+        RECT 184.020 3517.600 187.020 3529.000 ;
+        RECT 364.020 3517.600 367.020 3529.000 ;
+        RECT 544.020 3517.600 547.020 3529.000 ;
+        RECT 724.020 3517.600 727.020 3529.000 ;
+        RECT 904.020 3517.600 907.020 3529.000 ;
+        RECT 1084.020 3517.600 1087.020 3529.000 ;
+        RECT 1264.020 3517.600 1267.020 3529.000 ;
+        RECT 1444.020 3517.600 1447.020 3529.000 ;
+        RECT 1624.020 3517.600 1627.020 3529.000 ;
+        RECT 1804.020 3517.600 1807.020 3529.000 ;
+        RECT 1984.020 3517.600 1987.020 3529.000 ;
+        RECT 2164.020 3517.600 2167.020 3529.000 ;
+        RECT 2344.020 3517.600 2347.020 3529.000 ;
+        RECT 2524.020 3517.600 2527.020 3529.000 ;
+        RECT 2704.020 3517.600 2707.020 3529.000 ;
+        RECT 2884.020 3517.600 2887.020 3529.000 ;
+        RECT 4.020 -9.320 7.020 2.400 ;
+        RECT 184.020 -9.320 187.020 2.400 ;
+        RECT 364.020 -9.320 367.020 2.400 ;
+        RECT 544.020 -9.320 547.020 2.400 ;
+        RECT 724.020 -9.320 727.020 2.400 ;
+        RECT 904.020 -9.320 907.020 2.400 ;
+        RECT 1084.020 -9.320 1087.020 2.400 ;
+        RECT 1264.020 -9.320 1267.020 2.400 ;
+        RECT 1444.020 -9.320 1447.020 2.400 ;
+        RECT 1624.020 -9.320 1627.020 2.400 ;
+        RECT 1804.020 -9.320 1807.020 2.400 ;
+        RECT 1984.020 -9.320 1987.020 2.400 ;
+        RECT 2164.020 -9.320 2167.020 2.400 ;
+        RECT 2344.020 -9.320 2347.020 2.400 ;
+        RECT 2524.020 -9.320 2527.020 2.400 ;
+        RECT 2704.020 -9.320 2707.020 2.400 ;
+        RECT 2884.020 -9.320 2887.020 2.400 ;
         RECT 2926.600 -4.620 2929.600 3524.300 ;
       LAYER M4M5_PR_C ;
         RECT -9.070 3523.010 -7.890 3524.190 ;
@@ -4698,122 +4698,122 @@
         RECT 2926.600 3521.290 2929.600 3521.300 ;
         RECT -9.980 3432.380 -6.980 3432.390 ;
         RECT 2926.600 3432.380 2929.600 3432.390 ;
-        RECT -14.580 3429.380 2.400 3432.380 ;
-        RECT 2917.600 3429.380 2934.200 3432.380 ;
+        RECT -14.680 3429.380 2.400 3432.380 ;
+        RECT 2917.600 3429.380 2934.300 3432.380 ;
         RECT -9.980 3429.370 -6.980 3429.380 ;
         RECT 2926.600 3429.370 2929.600 3429.380 ;
         RECT -9.980 3252.380 -6.980 3252.390 ;
         RECT 2926.600 3252.380 2929.600 3252.390 ;
-        RECT -14.580 3249.380 2.400 3252.380 ;
-        RECT 2917.600 3249.380 2934.200 3252.380 ;
+        RECT -14.680 3249.380 2.400 3252.380 ;
+        RECT 2917.600 3249.380 2934.300 3252.380 ;
         RECT -9.980 3249.370 -6.980 3249.380 ;
         RECT 2926.600 3249.370 2929.600 3249.380 ;
         RECT -9.980 3072.380 -6.980 3072.390 ;
         RECT 2926.600 3072.380 2929.600 3072.390 ;
-        RECT -14.580 3069.380 2.400 3072.380 ;
-        RECT 2917.600 3069.380 2934.200 3072.380 ;
+        RECT -14.680 3069.380 2.400 3072.380 ;
+        RECT 2917.600 3069.380 2934.300 3072.380 ;
         RECT -9.980 3069.370 -6.980 3069.380 ;
         RECT 2926.600 3069.370 2929.600 3069.380 ;
         RECT -9.980 2892.380 -6.980 2892.390 ;
         RECT 2926.600 2892.380 2929.600 2892.390 ;
-        RECT -14.580 2889.380 2.400 2892.380 ;
-        RECT 2917.600 2889.380 2934.200 2892.380 ;
+        RECT -14.680 2889.380 2.400 2892.380 ;
+        RECT 2917.600 2889.380 2934.300 2892.380 ;
         RECT -9.980 2889.370 -6.980 2889.380 ;
         RECT 2926.600 2889.370 2929.600 2889.380 ;
         RECT -9.980 2712.380 -6.980 2712.390 ;
         RECT 2926.600 2712.380 2929.600 2712.390 ;
-        RECT -14.580 2709.380 2.400 2712.380 ;
-        RECT 2917.600 2709.380 2934.200 2712.380 ;
+        RECT -14.680 2709.380 2.400 2712.380 ;
+        RECT 2917.600 2709.380 2934.300 2712.380 ;
         RECT -9.980 2709.370 -6.980 2709.380 ;
         RECT 2926.600 2709.370 2929.600 2709.380 ;
         RECT -9.980 2532.380 -6.980 2532.390 ;
         RECT 2926.600 2532.380 2929.600 2532.390 ;
-        RECT -14.580 2529.380 2.400 2532.380 ;
-        RECT 2917.600 2529.380 2934.200 2532.380 ;
+        RECT -14.680 2529.380 2.400 2532.380 ;
+        RECT 2917.600 2529.380 2934.300 2532.380 ;
         RECT -9.980 2529.370 -6.980 2529.380 ;
         RECT 2926.600 2529.370 2929.600 2529.380 ;
         RECT -9.980 2352.380 -6.980 2352.390 ;
         RECT 2926.600 2352.380 2929.600 2352.390 ;
-        RECT -14.580 2349.380 2.400 2352.380 ;
-        RECT 2917.600 2349.380 2934.200 2352.380 ;
+        RECT -14.680 2349.380 2.400 2352.380 ;
+        RECT 2917.600 2349.380 2934.300 2352.380 ;
         RECT -9.980 2349.370 -6.980 2349.380 ;
         RECT 2926.600 2349.370 2929.600 2349.380 ;
         RECT -9.980 2172.380 -6.980 2172.390 ;
         RECT 2926.600 2172.380 2929.600 2172.390 ;
-        RECT -14.580 2169.380 2.400 2172.380 ;
-        RECT 2917.600 2169.380 2934.200 2172.380 ;
+        RECT -14.680 2169.380 2.400 2172.380 ;
+        RECT 2917.600 2169.380 2934.300 2172.380 ;
         RECT -9.980 2169.370 -6.980 2169.380 ;
         RECT 2926.600 2169.370 2929.600 2169.380 ;
         RECT -9.980 1992.380 -6.980 1992.390 ;
         RECT 2926.600 1992.380 2929.600 1992.390 ;
-        RECT -14.580 1989.380 2.400 1992.380 ;
-        RECT 2917.600 1989.380 2934.200 1992.380 ;
+        RECT -14.680 1989.380 2.400 1992.380 ;
+        RECT 2917.600 1989.380 2934.300 1992.380 ;
         RECT -9.980 1989.370 -6.980 1989.380 ;
         RECT 2926.600 1989.370 2929.600 1989.380 ;
         RECT -9.980 1812.380 -6.980 1812.390 ;
         RECT 2926.600 1812.380 2929.600 1812.390 ;
-        RECT -14.580 1809.380 2.400 1812.380 ;
-        RECT 2917.600 1809.380 2934.200 1812.380 ;
+        RECT -14.680 1809.380 2.400 1812.380 ;
+        RECT 2917.600 1809.380 2934.300 1812.380 ;
         RECT -9.980 1809.370 -6.980 1809.380 ;
         RECT 2926.600 1809.370 2929.600 1809.380 ;
         RECT -9.980 1632.380 -6.980 1632.390 ;
         RECT 2926.600 1632.380 2929.600 1632.390 ;
-        RECT -14.580 1629.380 2.400 1632.380 ;
-        RECT 2917.600 1629.380 2934.200 1632.380 ;
+        RECT -14.680 1629.380 2.400 1632.380 ;
+        RECT 2917.600 1629.380 2934.300 1632.380 ;
         RECT -9.980 1629.370 -6.980 1629.380 ;
         RECT 2926.600 1629.370 2929.600 1629.380 ;
         RECT -9.980 1452.380 -6.980 1452.390 ;
         RECT 2926.600 1452.380 2929.600 1452.390 ;
-        RECT -14.580 1449.380 2.400 1452.380 ;
-        RECT 2917.600 1449.380 2934.200 1452.380 ;
+        RECT -14.680 1449.380 2.400 1452.380 ;
+        RECT 2917.600 1449.380 2934.300 1452.380 ;
         RECT -9.980 1449.370 -6.980 1449.380 ;
         RECT 2926.600 1449.370 2929.600 1449.380 ;
         RECT -9.980 1272.380 -6.980 1272.390 ;
         RECT 2926.600 1272.380 2929.600 1272.390 ;
-        RECT -14.580 1269.380 2.400 1272.380 ;
-        RECT 2917.600 1269.380 2934.200 1272.380 ;
+        RECT -14.680 1269.380 2.400 1272.380 ;
+        RECT 2917.600 1269.380 2934.300 1272.380 ;
         RECT -9.980 1269.370 -6.980 1269.380 ;
         RECT 2926.600 1269.370 2929.600 1269.380 ;
         RECT -9.980 1092.380 -6.980 1092.390 ;
         RECT 2926.600 1092.380 2929.600 1092.390 ;
-        RECT -14.580 1089.380 2.400 1092.380 ;
-        RECT 2917.600 1089.380 2934.200 1092.380 ;
+        RECT -14.680 1089.380 2.400 1092.380 ;
+        RECT 2917.600 1089.380 2934.300 1092.380 ;
         RECT -9.980 1089.370 -6.980 1089.380 ;
         RECT 2926.600 1089.370 2929.600 1089.380 ;
         RECT -9.980 912.380 -6.980 912.390 ;
         RECT 2926.600 912.380 2929.600 912.390 ;
-        RECT -14.580 909.380 2.400 912.380 ;
-        RECT 2917.600 909.380 2934.200 912.380 ;
+        RECT -14.680 909.380 2.400 912.380 ;
+        RECT 2917.600 909.380 2934.300 912.380 ;
         RECT -9.980 909.370 -6.980 909.380 ;
         RECT 2926.600 909.370 2929.600 909.380 ;
         RECT -9.980 732.380 -6.980 732.390 ;
         RECT 2926.600 732.380 2929.600 732.390 ;
-        RECT -14.580 729.380 2.400 732.380 ;
-        RECT 2917.600 729.380 2934.200 732.380 ;
+        RECT -14.680 729.380 2.400 732.380 ;
+        RECT 2917.600 729.380 2934.300 732.380 ;
         RECT -9.980 729.370 -6.980 729.380 ;
         RECT 2926.600 729.370 2929.600 729.380 ;
         RECT -9.980 552.380 -6.980 552.390 ;
         RECT 2926.600 552.380 2929.600 552.390 ;
-        RECT -14.580 549.380 2.400 552.380 ;
-        RECT 2917.600 549.380 2934.200 552.380 ;
+        RECT -14.680 549.380 2.400 552.380 ;
+        RECT 2917.600 549.380 2934.300 552.380 ;
         RECT -9.980 549.370 -6.980 549.380 ;
         RECT 2926.600 549.370 2929.600 549.380 ;
         RECT -9.980 372.380 -6.980 372.390 ;
         RECT 2926.600 372.380 2929.600 372.390 ;
-        RECT -14.580 369.380 2.400 372.380 ;
-        RECT 2917.600 369.380 2934.200 372.380 ;
+        RECT -14.680 369.380 2.400 372.380 ;
+        RECT 2917.600 369.380 2934.300 372.380 ;
         RECT -9.980 369.370 -6.980 369.380 ;
         RECT 2926.600 369.370 2929.600 369.380 ;
         RECT -9.980 192.380 -6.980 192.390 ;
         RECT 2926.600 192.380 2929.600 192.390 ;
-        RECT -14.580 189.380 2.400 192.380 ;
-        RECT 2917.600 189.380 2934.200 192.380 ;
+        RECT -14.680 189.380 2.400 192.380 ;
+        RECT 2917.600 189.380 2934.300 192.380 ;
         RECT -9.980 189.370 -6.980 189.380 ;
         RECT 2926.600 189.370 2929.600 189.380 ;
         RECT -9.980 12.380 -6.980 12.390 ;
         RECT 2926.600 12.380 2929.600 12.390 ;
-        RECT -14.580 9.380 2.400 12.380 ;
-        RECT 2917.600 9.380 2934.200 12.380 ;
+        RECT -14.680 9.380 2.400 12.380 ;
+        RECT 2917.600 9.380 2934.300 12.380 ;
         RECT -9.980 9.370 -6.980 9.380 ;
         RECT 2926.600 9.370 2929.600 9.380 ;
         RECT -9.980 -1.620 -6.980 -1.610 ;
@@ -4861,2686 +4861,2686 @@
     DIRECTION INPUT ;
     PORT
       LAYER met4 ;
-        RECT -14.580 -9.220 -11.580 3528.900 ;
-        RECT 94.020 3517.600 97.020 3528.900 ;
-        RECT 274.020 3517.600 277.020 3528.900 ;
-        RECT 454.020 3517.600 457.020 3528.900 ;
-        RECT 634.020 3517.600 637.020 3528.900 ;
-        RECT 814.020 3517.600 817.020 3528.900 ;
-        RECT 994.020 3517.600 997.020 3528.900 ;
-        RECT 1174.020 3517.600 1177.020 3528.900 ;
-        RECT 1354.020 3517.600 1357.020 3528.900 ;
-        RECT 1534.020 3517.600 1537.020 3528.900 ;
-        RECT 1714.020 3517.600 1717.020 3528.900 ;
-        RECT 1894.020 3517.600 1897.020 3528.900 ;
-        RECT 2074.020 3517.600 2077.020 3528.900 ;
-        RECT 2254.020 3517.600 2257.020 3528.900 ;
-        RECT 2434.020 3517.600 2437.020 3528.900 ;
-        RECT 2614.020 3517.600 2617.020 3528.900 ;
-        RECT 2794.020 3517.600 2797.020 3528.900 ;
-        RECT 94.020 -9.220 97.020 2.400 ;
-        RECT 274.020 -9.220 277.020 2.400 ;
-        RECT 454.020 -9.220 457.020 2.400 ;
-        RECT 634.020 -9.220 637.020 2.400 ;
-        RECT 814.020 -9.220 817.020 2.400 ;
-        RECT 994.020 -9.220 997.020 2.400 ;
-        RECT 1174.020 -9.220 1177.020 2.400 ;
-        RECT 1354.020 -9.220 1357.020 2.400 ;
-        RECT 1534.020 -9.220 1537.020 2.400 ;
-        RECT 1714.020 -9.220 1717.020 2.400 ;
-        RECT 1894.020 -9.220 1897.020 2.400 ;
-        RECT 2074.020 -9.220 2077.020 2.400 ;
-        RECT 2254.020 -9.220 2257.020 2.400 ;
-        RECT 2434.020 -9.220 2437.020 2.400 ;
-        RECT 2614.020 -9.220 2617.020 2.400 ;
-        RECT 2794.020 -9.220 2797.020 2.400 ;
-        RECT 2931.200 -9.220 2934.200 3528.900 ;
+        RECT -14.680 -9.320 -11.680 3529.000 ;
+        RECT 94.020 3517.600 97.020 3529.000 ;
+        RECT 274.020 3517.600 277.020 3529.000 ;
+        RECT 454.020 3517.600 457.020 3529.000 ;
+        RECT 634.020 3517.600 637.020 3529.000 ;
+        RECT 814.020 3517.600 817.020 3529.000 ;
+        RECT 994.020 3517.600 997.020 3529.000 ;
+        RECT 1174.020 3517.600 1177.020 3529.000 ;
+        RECT 1354.020 3517.600 1357.020 3529.000 ;
+        RECT 1534.020 3517.600 1537.020 3529.000 ;
+        RECT 1714.020 3517.600 1717.020 3529.000 ;
+        RECT 1894.020 3517.600 1897.020 3529.000 ;
+        RECT 2074.020 3517.600 2077.020 3529.000 ;
+        RECT 2254.020 3517.600 2257.020 3529.000 ;
+        RECT 2434.020 3517.600 2437.020 3529.000 ;
+        RECT 2614.020 3517.600 2617.020 3529.000 ;
+        RECT 2794.020 3517.600 2797.020 3529.000 ;
+        RECT 94.020 -9.320 97.020 2.400 ;
+        RECT 274.020 -9.320 277.020 2.400 ;
+        RECT 454.020 -9.320 457.020 2.400 ;
+        RECT 634.020 -9.320 637.020 2.400 ;
+        RECT 814.020 -9.320 817.020 2.400 ;
+        RECT 994.020 -9.320 997.020 2.400 ;
+        RECT 1174.020 -9.320 1177.020 2.400 ;
+        RECT 1354.020 -9.320 1357.020 2.400 ;
+        RECT 1534.020 -9.320 1537.020 2.400 ;
+        RECT 1714.020 -9.320 1717.020 2.400 ;
+        RECT 1894.020 -9.320 1897.020 2.400 ;
+        RECT 2074.020 -9.320 2077.020 2.400 ;
+        RECT 2254.020 -9.320 2257.020 2.400 ;
+        RECT 2434.020 -9.320 2437.020 2.400 ;
+        RECT 2614.020 -9.320 2617.020 2.400 ;
+        RECT 2794.020 -9.320 2797.020 2.400 ;
+        RECT 2931.300 -9.320 2934.300 3529.000 ;
       LAYER M4M5_PR_C ;
-        RECT -13.670 3527.610 -12.490 3528.790 ;
-        RECT -13.670 3526.010 -12.490 3527.190 ;
-        RECT 94.930 3527.610 96.110 3528.790 ;
-        RECT 94.930 3526.010 96.110 3527.190 ;
-        RECT 274.930 3527.610 276.110 3528.790 ;
-        RECT 274.930 3526.010 276.110 3527.190 ;
-        RECT 454.930 3527.610 456.110 3528.790 ;
-        RECT 454.930 3526.010 456.110 3527.190 ;
-        RECT 634.930 3527.610 636.110 3528.790 ;
-        RECT 634.930 3526.010 636.110 3527.190 ;
-        RECT 814.930 3527.610 816.110 3528.790 ;
-        RECT 814.930 3526.010 816.110 3527.190 ;
-        RECT 994.930 3527.610 996.110 3528.790 ;
-        RECT 994.930 3526.010 996.110 3527.190 ;
-        RECT 1174.930 3527.610 1176.110 3528.790 ;
-        RECT 1174.930 3526.010 1176.110 3527.190 ;
-        RECT 1354.930 3527.610 1356.110 3528.790 ;
-        RECT 1354.930 3526.010 1356.110 3527.190 ;
-        RECT 1534.930 3527.610 1536.110 3528.790 ;
-        RECT 1534.930 3526.010 1536.110 3527.190 ;
-        RECT 1714.930 3527.610 1716.110 3528.790 ;
-        RECT 1714.930 3526.010 1716.110 3527.190 ;
-        RECT 1894.930 3527.610 1896.110 3528.790 ;
-        RECT 1894.930 3526.010 1896.110 3527.190 ;
-        RECT 2074.930 3527.610 2076.110 3528.790 ;
-        RECT 2074.930 3526.010 2076.110 3527.190 ;
-        RECT 2254.930 3527.610 2256.110 3528.790 ;
-        RECT 2254.930 3526.010 2256.110 3527.190 ;
-        RECT 2434.930 3527.610 2436.110 3528.790 ;
-        RECT 2434.930 3526.010 2436.110 3527.190 ;
-        RECT 2614.930 3527.610 2616.110 3528.790 ;
-        RECT 2614.930 3526.010 2616.110 3527.190 ;
-        RECT 2794.930 3527.610 2796.110 3528.790 ;
-        RECT 2794.930 3526.010 2796.110 3527.190 ;
-        RECT 2932.110 3527.610 2933.290 3528.790 ;
-        RECT 2932.110 3526.010 2933.290 3527.190 ;
-        RECT -13.670 3341.090 -12.490 3342.270 ;
-        RECT -13.670 3339.490 -12.490 3340.670 ;
-        RECT -13.670 3161.090 -12.490 3162.270 ;
-        RECT -13.670 3159.490 -12.490 3160.670 ;
-        RECT -13.670 2981.090 -12.490 2982.270 ;
-        RECT -13.670 2979.490 -12.490 2980.670 ;
-        RECT -13.670 2801.090 -12.490 2802.270 ;
-        RECT -13.670 2799.490 -12.490 2800.670 ;
-        RECT -13.670 2621.090 -12.490 2622.270 ;
-        RECT -13.670 2619.490 -12.490 2620.670 ;
-        RECT -13.670 2441.090 -12.490 2442.270 ;
-        RECT -13.670 2439.490 -12.490 2440.670 ;
-        RECT -13.670 2261.090 -12.490 2262.270 ;
-        RECT -13.670 2259.490 -12.490 2260.670 ;
-        RECT -13.670 2081.090 -12.490 2082.270 ;
-        RECT -13.670 2079.490 -12.490 2080.670 ;
-        RECT -13.670 1901.090 -12.490 1902.270 ;
-        RECT -13.670 1899.490 -12.490 1900.670 ;
-        RECT -13.670 1721.090 -12.490 1722.270 ;
-        RECT -13.670 1719.490 -12.490 1720.670 ;
-        RECT -13.670 1541.090 -12.490 1542.270 ;
-        RECT -13.670 1539.490 -12.490 1540.670 ;
-        RECT -13.670 1361.090 -12.490 1362.270 ;
-        RECT -13.670 1359.490 -12.490 1360.670 ;
-        RECT -13.670 1181.090 -12.490 1182.270 ;
-        RECT -13.670 1179.490 -12.490 1180.670 ;
-        RECT -13.670 1001.090 -12.490 1002.270 ;
-        RECT -13.670 999.490 -12.490 1000.670 ;
-        RECT -13.670 821.090 -12.490 822.270 ;
-        RECT -13.670 819.490 -12.490 820.670 ;
-        RECT -13.670 641.090 -12.490 642.270 ;
-        RECT -13.670 639.490 -12.490 640.670 ;
-        RECT -13.670 461.090 -12.490 462.270 ;
-        RECT -13.670 459.490 -12.490 460.670 ;
-        RECT -13.670 281.090 -12.490 282.270 ;
-        RECT -13.670 279.490 -12.490 280.670 ;
-        RECT -13.670 101.090 -12.490 102.270 ;
-        RECT -13.670 99.490 -12.490 100.670 ;
-        RECT 2932.110 3341.090 2933.290 3342.270 ;
-        RECT 2932.110 3339.490 2933.290 3340.670 ;
-        RECT 2932.110 3161.090 2933.290 3162.270 ;
-        RECT 2932.110 3159.490 2933.290 3160.670 ;
-        RECT 2932.110 2981.090 2933.290 2982.270 ;
-        RECT 2932.110 2979.490 2933.290 2980.670 ;
-        RECT 2932.110 2801.090 2933.290 2802.270 ;
-        RECT 2932.110 2799.490 2933.290 2800.670 ;
-        RECT 2932.110 2621.090 2933.290 2622.270 ;
-        RECT 2932.110 2619.490 2933.290 2620.670 ;
-        RECT 2932.110 2441.090 2933.290 2442.270 ;
-        RECT 2932.110 2439.490 2933.290 2440.670 ;
-        RECT 2932.110 2261.090 2933.290 2262.270 ;
-        RECT 2932.110 2259.490 2933.290 2260.670 ;
-        RECT 2932.110 2081.090 2933.290 2082.270 ;
-        RECT 2932.110 2079.490 2933.290 2080.670 ;
-        RECT 2932.110 1901.090 2933.290 1902.270 ;
-        RECT 2932.110 1899.490 2933.290 1900.670 ;
-        RECT 2932.110 1721.090 2933.290 1722.270 ;
-        RECT 2932.110 1719.490 2933.290 1720.670 ;
-        RECT 2932.110 1541.090 2933.290 1542.270 ;
-        RECT 2932.110 1539.490 2933.290 1540.670 ;
-        RECT 2932.110 1361.090 2933.290 1362.270 ;
-        RECT 2932.110 1359.490 2933.290 1360.670 ;
-        RECT 2932.110 1181.090 2933.290 1182.270 ;
-        RECT 2932.110 1179.490 2933.290 1180.670 ;
-        RECT 2932.110 1001.090 2933.290 1002.270 ;
-        RECT 2932.110 999.490 2933.290 1000.670 ;
-        RECT 2932.110 821.090 2933.290 822.270 ;
-        RECT 2932.110 819.490 2933.290 820.670 ;
-        RECT 2932.110 641.090 2933.290 642.270 ;
-        RECT 2932.110 639.490 2933.290 640.670 ;
-        RECT 2932.110 461.090 2933.290 462.270 ;
-        RECT 2932.110 459.490 2933.290 460.670 ;
-        RECT 2932.110 281.090 2933.290 282.270 ;
-        RECT 2932.110 279.490 2933.290 280.670 ;
-        RECT 2932.110 101.090 2933.290 102.270 ;
-        RECT 2932.110 99.490 2933.290 100.670 ;
-        RECT -13.670 -7.510 -12.490 -6.330 ;
-        RECT -13.670 -9.110 -12.490 -7.930 ;
-        RECT 94.930 -7.510 96.110 -6.330 ;
-        RECT 94.930 -9.110 96.110 -7.930 ;
-        RECT 274.930 -7.510 276.110 -6.330 ;
-        RECT 274.930 -9.110 276.110 -7.930 ;
-        RECT 454.930 -7.510 456.110 -6.330 ;
-        RECT 454.930 -9.110 456.110 -7.930 ;
-        RECT 634.930 -7.510 636.110 -6.330 ;
-        RECT 634.930 -9.110 636.110 -7.930 ;
-        RECT 814.930 -7.510 816.110 -6.330 ;
-        RECT 814.930 -9.110 816.110 -7.930 ;
-        RECT 994.930 -7.510 996.110 -6.330 ;
-        RECT 994.930 -9.110 996.110 -7.930 ;
-        RECT 1174.930 -7.510 1176.110 -6.330 ;
-        RECT 1174.930 -9.110 1176.110 -7.930 ;
-        RECT 1354.930 -7.510 1356.110 -6.330 ;
-        RECT 1354.930 -9.110 1356.110 -7.930 ;
-        RECT 1534.930 -7.510 1536.110 -6.330 ;
-        RECT 1534.930 -9.110 1536.110 -7.930 ;
-        RECT 1714.930 -7.510 1716.110 -6.330 ;
-        RECT 1714.930 -9.110 1716.110 -7.930 ;
-        RECT 1894.930 -7.510 1896.110 -6.330 ;
-        RECT 1894.930 -9.110 1896.110 -7.930 ;
-        RECT 2074.930 -7.510 2076.110 -6.330 ;
-        RECT 2074.930 -9.110 2076.110 -7.930 ;
-        RECT 2254.930 -7.510 2256.110 -6.330 ;
-        RECT 2254.930 -9.110 2256.110 -7.930 ;
-        RECT 2434.930 -7.510 2436.110 -6.330 ;
-        RECT 2434.930 -9.110 2436.110 -7.930 ;
-        RECT 2614.930 -7.510 2616.110 -6.330 ;
-        RECT 2614.930 -9.110 2616.110 -7.930 ;
-        RECT 2794.930 -7.510 2796.110 -6.330 ;
-        RECT 2794.930 -9.110 2796.110 -7.930 ;
-        RECT 2932.110 -7.510 2933.290 -6.330 ;
-        RECT 2932.110 -9.110 2933.290 -7.930 ;
+        RECT -13.770 3527.710 -12.590 3528.890 ;
+        RECT -13.770 3526.110 -12.590 3527.290 ;
+        RECT 94.930 3527.710 96.110 3528.890 ;
+        RECT 94.930 3526.110 96.110 3527.290 ;
+        RECT 274.930 3527.710 276.110 3528.890 ;
+        RECT 274.930 3526.110 276.110 3527.290 ;
+        RECT 454.930 3527.710 456.110 3528.890 ;
+        RECT 454.930 3526.110 456.110 3527.290 ;
+        RECT 634.930 3527.710 636.110 3528.890 ;
+        RECT 634.930 3526.110 636.110 3527.290 ;
+        RECT 814.930 3527.710 816.110 3528.890 ;
+        RECT 814.930 3526.110 816.110 3527.290 ;
+        RECT 994.930 3527.710 996.110 3528.890 ;
+        RECT 994.930 3526.110 996.110 3527.290 ;
+        RECT 1174.930 3527.710 1176.110 3528.890 ;
+        RECT 1174.930 3526.110 1176.110 3527.290 ;
+        RECT 1354.930 3527.710 1356.110 3528.890 ;
+        RECT 1354.930 3526.110 1356.110 3527.290 ;
+        RECT 1534.930 3527.710 1536.110 3528.890 ;
+        RECT 1534.930 3526.110 1536.110 3527.290 ;
+        RECT 1714.930 3527.710 1716.110 3528.890 ;
+        RECT 1714.930 3526.110 1716.110 3527.290 ;
+        RECT 1894.930 3527.710 1896.110 3528.890 ;
+        RECT 1894.930 3526.110 1896.110 3527.290 ;
+        RECT 2074.930 3527.710 2076.110 3528.890 ;
+        RECT 2074.930 3526.110 2076.110 3527.290 ;
+        RECT 2254.930 3527.710 2256.110 3528.890 ;
+        RECT 2254.930 3526.110 2256.110 3527.290 ;
+        RECT 2434.930 3527.710 2436.110 3528.890 ;
+        RECT 2434.930 3526.110 2436.110 3527.290 ;
+        RECT 2614.930 3527.710 2616.110 3528.890 ;
+        RECT 2614.930 3526.110 2616.110 3527.290 ;
+        RECT 2794.930 3527.710 2796.110 3528.890 ;
+        RECT 2794.930 3526.110 2796.110 3527.290 ;
+        RECT 2932.210 3527.710 2933.390 3528.890 ;
+        RECT 2932.210 3526.110 2933.390 3527.290 ;
+        RECT -13.770 3341.090 -12.590 3342.270 ;
+        RECT -13.770 3339.490 -12.590 3340.670 ;
+        RECT -13.770 3161.090 -12.590 3162.270 ;
+        RECT -13.770 3159.490 -12.590 3160.670 ;
+        RECT -13.770 2981.090 -12.590 2982.270 ;
+        RECT -13.770 2979.490 -12.590 2980.670 ;
+        RECT -13.770 2801.090 -12.590 2802.270 ;
+        RECT -13.770 2799.490 -12.590 2800.670 ;
+        RECT -13.770 2621.090 -12.590 2622.270 ;
+        RECT -13.770 2619.490 -12.590 2620.670 ;
+        RECT -13.770 2441.090 -12.590 2442.270 ;
+        RECT -13.770 2439.490 -12.590 2440.670 ;
+        RECT -13.770 2261.090 -12.590 2262.270 ;
+        RECT -13.770 2259.490 -12.590 2260.670 ;
+        RECT -13.770 2081.090 -12.590 2082.270 ;
+        RECT -13.770 2079.490 -12.590 2080.670 ;
+        RECT -13.770 1901.090 -12.590 1902.270 ;
+        RECT -13.770 1899.490 -12.590 1900.670 ;
+        RECT -13.770 1721.090 -12.590 1722.270 ;
+        RECT -13.770 1719.490 -12.590 1720.670 ;
+        RECT -13.770 1541.090 -12.590 1542.270 ;
+        RECT -13.770 1539.490 -12.590 1540.670 ;
+        RECT -13.770 1361.090 -12.590 1362.270 ;
+        RECT -13.770 1359.490 -12.590 1360.670 ;
+        RECT -13.770 1181.090 -12.590 1182.270 ;
+        RECT -13.770 1179.490 -12.590 1180.670 ;
+        RECT -13.770 1001.090 -12.590 1002.270 ;
+        RECT -13.770 999.490 -12.590 1000.670 ;
+        RECT -13.770 821.090 -12.590 822.270 ;
+        RECT -13.770 819.490 -12.590 820.670 ;
+        RECT -13.770 641.090 -12.590 642.270 ;
+        RECT -13.770 639.490 -12.590 640.670 ;
+        RECT -13.770 461.090 -12.590 462.270 ;
+        RECT -13.770 459.490 -12.590 460.670 ;
+        RECT -13.770 281.090 -12.590 282.270 ;
+        RECT -13.770 279.490 -12.590 280.670 ;
+        RECT -13.770 101.090 -12.590 102.270 ;
+        RECT -13.770 99.490 -12.590 100.670 ;
+        RECT 2932.210 3341.090 2933.390 3342.270 ;
+        RECT 2932.210 3339.490 2933.390 3340.670 ;
+        RECT 2932.210 3161.090 2933.390 3162.270 ;
+        RECT 2932.210 3159.490 2933.390 3160.670 ;
+        RECT 2932.210 2981.090 2933.390 2982.270 ;
+        RECT 2932.210 2979.490 2933.390 2980.670 ;
+        RECT 2932.210 2801.090 2933.390 2802.270 ;
+        RECT 2932.210 2799.490 2933.390 2800.670 ;
+        RECT 2932.210 2621.090 2933.390 2622.270 ;
+        RECT 2932.210 2619.490 2933.390 2620.670 ;
+        RECT 2932.210 2441.090 2933.390 2442.270 ;
+        RECT 2932.210 2439.490 2933.390 2440.670 ;
+        RECT 2932.210 2261.090 2933.390 2262.270 ;
+        RECT 2932.210 2259.490 2933.390 2260.670 ;
+        RECT 2932.210 2081.090 2933.390 2082.270 ;
+        RECT 2932.210 2079.490 2933.390 2080.670 ;
+        RECT 2932.210 1901.090 2933.390 1902.270 ;
+        RECT 2932.210 1899.490 2933.390 1900.670 ;
+        RECT 2932.210 1721.090 2933.390 1722.270 ;
+        RECT 2932.210 1719.490 2933.390 1720.670 ;
+        RECT 2932.210 1541.090 2933.390 1542.270 ;
+        RECT 2932.210 1539.490 2933.390 1540.670 ;
+        RECT 2932.210 1361.090 2933.390 1362.270 ;
+        RECT 2932.210 1359.490 2933.390 1360.670 ;
+        RECT 2932.210 1181.090 2933.390 1182.270 ;
+        RECT 2932.210 1179.490 2933.390 1180.670 ;
+        RECT 2932.210 1001.090 2933.390 1002.270 ;
+        RECT 2932.210 999.490 2933.390 1000.670 ;
+        RECT 2932.210 821.090 2933.390 822.270 ;
+        RECT 2932.210 819.490 2933.390 820.670 ;
+        RECT 2932.210 641.090 2933.390 642.270 ;
+        RECT 2932.210 639.490 2933.390 640.670 ;
+        RECT 2932.210 461.090 2933.390 462.270 ;
+        RECT 2932.210 459.490 2933.390 460.670 ;
+        RECT 2932.210 281.090 2933.390 282.270 ;
+        RECT 2932.210 279.490 2933.390 280.670 ;
+        RECT 2932.210 101.090 2933.390 102.270 ;
+        RECT 2932.210 99.490 2933.390 100.670 ;
+        RECT -13.770 -7.610 -12.590 -6.430 ;
+        RECT -13.770 -9.210 -12.590 -8.030 ;
+        RECT 94.930 -7.610 96.110 -6.430 ;
+        RECT 94.930 -9.210 96.110 -8.030 ;
+        RECT 274.930 -7.610 276.110 -6.430 ;
+        RECT 274.930 -9.210 276.110 -8.030 ;
+        RECT 454.930 -7.610 456.110 -6.430 ;
+        RECT 454.930 -9.210 456.110 -8.030 ;
+        RECT 634.930 -7.610 636.110 -6.430 ;
+        RECT 634.930 -9.210 636.110 -8.030 ;
+        RECT 814.930 -7.610 816.110 -6.430 ;
+        RECT 814.930 -9.210 816.110 -8.030 ;
+        RECT 994.930 -7.610 996.110 -6.430 ;
+        RECT 994.930 -9.210 996.110 -8.030 ;
+        RECT 1174.930 -7.610 1176.110 -6.430 ;
+        RECT 1174.930 -9.210 1176.110 -8.030 ;
+        RECT 1354.930 -7.610 1356.110 -6.430 ;
+        RECT 1354.930 -9.210 1356.110 -8.030 ;
+        RECT 1534.930 -7.610 1536.110 -6.430 ;
+        RECT 1534.930 -9.210 1536.110 -8.030 ;
+        RECT 1714.930 -7.610 1716.110 -6.430 ;
+        RECT 1714.930 -9.210 1716.110 -8.030 ;
+        RECT 1894.930 -7.610 1896.110 -6.430 ;
+        RECT 1894.930 -9.210 1896.110 -8.030 ;
+        RECT 2074.930 -7.610 2076.110 -6.430 ;
+        RECT 2074.930 -9.210 2076.110 -8.030 ;
+        RECT 2254.930 -7.610 2256.110 -6.430 ;
+        RECT 2254.930 -9.210 2256.110 -8.030 ;
+        RECT 2434.930 -7.610 2436.110 -6.430 ;
+        RECT 2434.930 -9.210 2436.110 -8.030 ;
+        RECT 2614.930 -7.610 2616.110 -6.430 ;
+        RECT 2614.930 -9.210 2616.110 -8.030 ;
+        RECT 2794.930 -7.610 2796.110 -6.430 ;
+        RECT 2794.930 -9.210 2796.110 -8.030 ;
+        RECT 2932.210 -7.610 2933.390 -6.430 ;
+        RECT 2932.210 -9.210 2933.390 -8.030 ;
       LAYER met5 ;
-        RECT -14.580 3528.900 -11.580 3528.910 ;
-        RECT 94.020 3528.900 97.020 3528.910 ;
-        RECT 274.020 3528.900 277.020 3528.910 ;
-        RECT 454.020 3528.900 457.020 3528.910 ;
-        RECT 634.020 3528.900 637.020 3528.910 ;
-        RECT 814.020 3528.900 817.020 3528.910 ;
-        RECT 994.020 3528.900 997.020 3528.910 ;
-        RECT 1174.020 3528.900 1177.020 3528.910 ;
-        RECT 1354.020 3528.900 1357.020 3528.910 ;
-        RECT 1534.020 3528.900 1537.020 3528.910 ;
-        RECT 1714.020 3528.900 1717.020 3528.910 ;
-        RECT 1894.020 3528.900 1897.020 3528.910 ;
-        RECT 2074.020 3528.900 2077.020 3528.910 ;
-        RECT 2254.020 3528.900 2257.020 3528.910 ;
-        RECT 2434.020 3528.900 2437.020 3528.910 ;
-        RECT 2614.020 3528.900 2617.020 3528.910 ;
-        RECT 2794.020 3528.900 2797.020 3528.910 ;
-        RECT 2931.200 3528.900 2934.200 3528.910 ;
-        RECT -14.580 3525.900 2934.200 3528.900 ;
-        RECT -14.580 3525.890 -11.580 3525.900 ;
-        RECT 94.020 3525.890 97.020 3525.900 ;
-        RECT 274.020 3525.890 277.020 3525.900 ;
-        RECT 454.020 3525.890 457.020 3525.900 ;
-        RECT 634.020 3525.890 637.020 3525.900 ;
-        RECT 814.020 3525.890 817.020 3525.900 ;
-        RECT 994.020 3525.890 997.020 3525.900 ;
-        RECT 1174.020 3525.890 1177.020 3525.900 ;
-        RECT 1354.020 3525.890 1357.020 3525.900 ;
-        RECT 1534.020 3525.890 1537.020 3525.900 ;
-        RECT 1714.020 3525.890 1717.020 3525.900 ;
-        RECT 1894.020 3525.890 1897.020 3525.900 ;
-        RECT 2074.020 3525.890 2077.020 3525.900 ;
-        RECT 2254.020 3525.890 2257.020 3525.900 ;
-        RECT 2434.020 3525.890 2437.020 3525.900 ;
-        RECT 2614.020 3525.890 2617.020 3525.900 ;
-        RECT 2794.020 3525.890 2797.020 3525.900 ;
-        RECT 2931.200 3525.890 2934.200 3525.900 ;
-        RECT -14.580 3342.380 -11.580 3342.390 ;
-        RECT 2931.200 3342.380 2934.200 3342.390 ;
-        RECT -14.580 3339.380 2.400 3342.380 ;
-        RECT 2917.600 3339.380 2934.200 3342.380 ;
-        RECT -14.580 3339.370 -11.580 3339.380 ;
-        RECT 2931.200 3339.370 2934.200 3339.380 ;
-        RECT -14.580 3162.380 -11.580 3162.390 ;
-        RECT 2931.200 3162.380 2934.200 3162.390 ;
-        RECT -14.580 3159.380 2.400 3162.380 ;
-        RECT 2917.600 3159.380 2934.200 3162.380 ;
-        RECT -14.580 3159.370 -11.580 3159.380 ;
-        RECT 2931.200 3159.370 2934.200 3159.380 ;
-        RECT -14.580 2982.380 -11.580 2982.390 ;
-        RECT 2931.200 2982.380 2934.200 2982.390 ;
-        RECT -14.580 2979.380 2.400 2982.380 ;
-        RECT 2917.600 2979.380 2934.200 2982.380 ;
-        RECT -14.580 2979.370 -11.580 2979.380 ;
-        RECT 2931.200 2979.370 2934.200 2979.380 ;
-        RECT -14.580 2802.380 -11.580 2802.390 ;
-        RECT 2931.200 2802.380 2934.200 2802.390 ;
-        RECT -14.580 2799.380 2.400 2802.380 ;
-        RECT 2917.600 2799.380 2934.200 2802.380 ;
-        RECT -14.580 2799.370 -11.580 2799.380 ;
-        RECT 2931.200 2799.370 2934.200 2799.380 ;
-        RECT -14.580 2622.380 -11.580 2622.390 ;
-        RECT 2931.200 2622.380 2934.200 2622.390 ;
-        RECT -14.580 2619.380 2.400 2622.380 ;
-        RECT 2917.600 2619.380 2934.200 2622.380 ;
-        RECT -14.580 2619.370 -11.580 2619.380 ;
-        RECT 2931.200 2619.370 2934.200 2619.380 ;
-        RECT -14.580 2442.380 -11.580 2442.390 ;
-        RECT 2931.200 2442.380 2934.200 2442.390 ;
-        RECT -14.580 2439.380 2.400 2442.380 ;
-        RECT 2917.600 2439.380 2934.200 2442.380 ;
-        RECT -14.580 2439.370 -11.580 2439.380 ;
-        RECT 2931.200 2439.370 2934.200 2439.380 ;
-        RECT -14.580 2262.380 -11.580 2262.390 ;
-        RECT 2931.200 2262.380 2934.200 2262.390 ;
-        RECT -14.580 2259.380 2.400 2262.380 ;
-        RECT 2917.600 2259.380 2934.200 2262.380 ;
-        RECT -14.580 2259.370 -11.580 2259.380 ;
-        RECT 2931.200 2259.370 2934.200 2259.380 ;
-        RECT -14.580 2082.380 -11.580 2082.390 ;
-        RECT 2931.200 2082.380 2934.200 2082.390 ;
-        RECT -14.580 2079.380 2.400 2082.380 ;
-        RECT 2917.600 2079.380 2934.200 2082.380 ;
-        RECT -14.580 2079.370 -11.580 2079.380 ;
-        RECT 2931.200 2079.370 2934.200 2079.380 ;
-        RECT -14.580 1902.380 -11.580 1902.390 ;
-        RECT 2931.200 1902.380 2934.200 1902.390 ;
-        RECT -14.580 1899.380 2.400 1902.380 ;
-        RECT 2917.600 1899.380 2934.200 1902.380 ;
-        RECT -14.580 1899.370 -11.580 1899.380 ;
-        RECT 2931.200 1899.370 2934.200 1899.380 ;
-        RECT -14.580 1722.380 -11.580 1722.390 ;
-        RECT 2931.200 1722.380 2934.200 1722.390 ;
-        RECT -14.580 1719.380 2.400 1722.380 ;
-        RECT 2917.600 1719.380 2934.200 1722.380 ;
-        RECT -14.580 1719.370 -11.580 1719.380 ;
-        RECT 2931.200 1719.370 2934.200 1719.380 ;
-        RECT -14.580 1542.380 -11.580 1542.390 ;
-        RECT 2931.200 1542.380 2934.200 1542.390 ;
-        RECT -14.580 1539.380 2.400 1542.380 ;
-        RECT 2917.600 1539.380 2934.200 1542.380 ;
-        RECT -14.580 1539.370 -11.580 1539.380 ;
-        RECT 2931.200 1539.370 2934.200 1539.380 ;
-        RECT -14.580 1362.380 -11.580 1362.390 ;
-        RECT 2931.200 1362.380 2934.200 1362.390 ;
-        RECT -14.580 1359.380 2.400 1362.380 ;
-        RECT 2917.600 1359.380 2934.200 1362.380 ;
-        RECT -14.580 1359.370 -11.580 1359.380 ;
-        RECT 2931.200 1359.370 2934.200 1359.380 ;
-        RECT -14.580 1182.380 -11.580 1182.390 ;
-        RECT 2931.200 1182.380 2934.200 1182.390 ;
-        RECT -14.580 1179.380 2.400 1182.380 ;
-        RECT 2917.600 1179.380 2934.200 1182.380 ;
-        RECT -14.580 1179.370 -11.580 1179.380 ;
-        RECT 2931.200 1179.370 2934.200 1179.380 ;
-        RECT -14.580 1002.380 -11.580 1002.390 ;
-        RECT 2931.200 1002.380 2934.200 1002.390 ;
-        RECT -14.580 999.380 2.400 1002.380 ;
-        RECT 2917.600 999.380 2934.200 1002.380 ;
-        RECT -14.580 999.370 -11.580 999.380 ;
-        RECT 2931.200 999.370 2934.200 999.380 ;
-        RECT -14.580 822.380 -11.580 822.390 ;
-        RECT 2931.200 822.380 2934.200 822.390 ;
-        RECT -14.580 819.380 2.400 822.380 ;
-        RECT 2917.600 819.380 2934.200 822.380 ;
-        RECT -14.580 819.370 -11.580 819.380 ;
-        RECT 2931.200 819.370 2934.200 819.380 ;
-        RECT -14.580 642.380 -11.580 642.390 ;
-        RECT 2931.200 642.380 2934.200 642.390 ;
-        RECT -14.580 639.380 2.400 642.380 ;
-        RECT 2917.600 639.380 2934.200 642.380 ;
-        RECT -14.580 639.370 -11.580 639.380 ;
-        RECT 2931.200 639.370 2934.200 639.380 ;
-        RECT -14.580 462.380 -11.580 462.390 ;
-        RECT 2931.200 462.380 2934.200 462.390 ;
-        RECT -14.580 459.380 2.400 462.380 ;
-        RECT 2917.600 459.380 2934.200 462.380 ;
-        RECT -14.580 459.370 -11.580 459.380 ;
-        RECT 2931.200 459.370 2934.200 459.380 ;
-        RECT -14.580 282.380 -11.580 282.390 ;
-        RECT 2931.200 282.380 2934.200 282.390 ;
-        RECT -14.580 279.380 2.400 282.380 ;
-        RECT 2917.600 279.380 2934.200 282.380 ;
-        RECT -14.580 279.370 -11.580 279.380 ;
-        RECT 2931.200 279.370 2934.200 279.380 ;
-        RECT -14.580 102.380 -11.580 102.390 ;
-        RECT 2931.200 102.380 2934.200 102.390 ;
-        RECT -14.580 99.380 2.400 102.380 ;
-        RECT 2917.600 99.380 2934.200 102.380 ;
-        RECT -14.580 99.370 -11.580 99.380 ;
-        RECT 2931.200 99.370 2934.200 99.380 ;
-        RECT -14.580 -6.220 -11.580 -6.210 ;
-        RECT 94.020 -6.220 97.020 -6.210 ;
-        RECT 274.020 -6.220 277.020 -6.210 ;
-        RECT 454.020 -6.220 457.020 -6.210 ;
-        RECT 634.020 -6.220 637.020 -6.210 ;
-        RECT 814.020 -6.220 817.020 -6.210 ;
-        RECT 994.020 -6.220 997.020 -6.210 ;
-        RECT 1174.020 -6.220 1177.020 -6.210 ;
-        RECT 1354.020 -6.220 1357.020 -6.210 ;
-        RECT 1534.020 -6.220 1537.020 -6.210 ;
-        RECT 1714.020 -6.220 1717.020 -6.210 ;
-        RECT 1894.020 -6.220 1897.020 -6.210 ;
-        RECT 2074.020 -6.220 2077.020 -6.210 ;
-        RECT 2254.020 -6.220 2257.020 -6.210 ;
-        RECT 2434.020 -6.220 2437.020 -6.210 ;
-        RECT 2614.020 -6.220 2617.020 -6.210 ;
-        RECT 2794.020 -6.220 2797.020 -6.210 ;
-        RECT 2931.200 -6.220 2934.200 -6.210 ;
-        RECT -14.580 -9.220 2934.200 -6.220 ;
-        RECT -14.580 -9.230 -11.580 -9.220 ;
-        RECT 94.020 -9.230 97.020 -9.220 ;
-        RECT 274.020 -9.230 277.020 -9.220 ;
-        RECT 454.020 -9.230 457.020 -9.220 ;
-        RECT 634.020 -9.230 637.020 -9.220 ;
-        RECT 814.020 -9.230 817.020 -9.220 ;
-        RECT 994.020 -9.230 997.020 -9.220 ;
-        RECT 1174.020 -9.230 1177.020 -9.220 ;
-        RECT 1354.020 -9.230 1357.020 -9.220 ;
-        RECT 1534.020 -9.230 1537.020 -9.220 ;
-        RECT 1714.020 -9.230 1717.020 -9.220 ;
-        RECT 1894.020 -9.230 1897.020 -9.220 ;
-        RECT 2074.020 -9.230 2077.020 -9.220 ;
-        RECT 2254.020 -9.230 2257.020 -9.220 ;
-        RECT 2434.020 -9.230 2437.020 -9.220 ;
-        RECT 2614.020 -9.230 2617.020 -9.220 ;
-        RECT 2794.020 -9.230 2797.020 -9.220 ;
-        RECT 2931.200 -9.230 2934.200 -9.220 ;
+        RECT -14.680 3529.000 -11.680 3529.010 ;
+        RECT 94.020 3529.000 97.020 3529.010 ;
+        RECT 274.020 3529.000 277.020 3529.010 ;
+        RECT 454.020 3529.000 457.020 3529.010 ;
+        RECT 634.020 3529.000 637.020 3529.010 ;
+        RECT 814.020 3529.000 817.020 3529.010 ;
+        RECT 994.020 3529.000 997.020 3529.010 ;
+        RECT 1174.020 3529.000 1177.020 3529.010 ;
+        RECT 1354.020 3529.000 1357.020 3529.010 ;
+        RECT 1534.020 3529.000 1537.020 3529.010 ;
+        RECT 1714.020 3529.000 1717.020 3529.010 ;
+        RECT 1894.020 3529.000 1897.020 3529.010 ;
+        RECT 2074.020 3529.000 2077.020 3529.010 ;
+        RECT 2254.020 3529.000 2257.020 3529.010 ;
+        RECT 2434.020 3529.000 2437.020 3529.010 ;
+        RECT 2614.020 3529.000 2617.020 3529.010 ;
+        RECT 2794.020 3529.000 2797.020 3529.010 ;
+        RECT 2931.300 3529.000 2934.300 3529.010 ;
+        RECT -14.680 3526.000 2934.300 3529.000 ;
+        RECT -14.680 3525.990 -11.680 3526.000 ;
+        RECT 94.020 3525.990 97.020 3526.000 ;
+        RECT 274.020 3525.990 277.020 3526.000 ;
+        RECT 454.020 3525.990 457.020 3526.000 ;
+        RECT 634.020 3525.990 637.020 3526.000 ;
+        RECT 814.020 3525.990 817.020 3526.000 ;
+        RECT 994.020 3525.990 997.020 3526.000 ;
+        RECT 1174.020 3525.990 1177.020 3526.000 ;
+        RECT 1354.020 3525.990 1357.020 3526.000 ;
+        RECT 1534.020 3525.990 1537.020 3526.000 ;
+        RECT 1714.020 3525.990 1717.020 3526.000 ;
+        RECT 1894.020 3525.990 1897.020 3526.000 ;
+        RECT 2074.020 3525.990 2077.020 3526.000 ;
+        RECT 2254.020 3525.990 2257.020 3526.000 ;
+        RECT 2434.020 3525.990 2437.020 3526.000 ;
+        RECT 2614.020 3525.990 2617.020 3526.000 ;
+        RECT 2794.020 3525.990 2797.020 3526.000 ;
+        RECT 2931.300 3525.990 2934.300 3526.000 ;
+        RECT -14.680 3342.380 -11.680 3342.390 ;
+        RECT 2931.300 3342.380 2934.300 3342.390 ;
+        RECT -14.680 3339.380 2.400 3342.380 ;
+        RECT 2917.600 3339.380 2934.300 3342.380 ;
+        RECT -14.680 3339.370 -11.680 3339.380 ;
+        RECT 2931.300 3339.370 2934.300 3339.380 ;
+        RECT -14.680 3162.380 -11.680 3162.390 ;
+        RECT 2931.300 3162.380 2934.300 3162.390 ;
+        RECT -14.680 3159.380 2.400 3162.380 ;
+        RECT 2917.600 3159.380 2934.300 3162.380 ;
+        RECT -14.680 3159.370 -11.680 3159.380 ;
+        RECT 2931.300 3159.370 2934.300 3159.380 ;
+        RECT -14.680 2982.380 -11.680 2982.390 ;
+        RECT 2931.300 2982.380 2934.300 2982.390 ;
+        RECT -14.680 2979.380 2.400 2982.380 ;
+        RECT 2917.600 2979.380 2934.300 2982.380 ;
+        RECT -14.680 2979.370 -11.680 2979.380 ;
+        RECT 2931.300 2979.370 2934.300 2979.380 ;
+        RECT -14.680 2802.380 -11.680 2802.390 ;
+        RECT 2931.300 2802.380 2934.300 2802.390 ;
+        RECT -14.680 2799.380 2.400 2802.380 ;
+        RECT 2917.600 2799.380 2934.300 2802.380 ;
+        RECT -14.680 2799.370 -11.680 2799.380 ;
+        RECT 2931.300 2799.370 2934.300 2799.380 ;
+        RECT -14.680 2622.380 -11.680 2622.390 ;
+        RECT 2931.300 2622.380 2934.300 2622.390 ;
+        RECT -14.680 2619.380 2.400 2622.380 ;
+        RECT 2917.600 2619.380 2934.300 2622.380 ;
+        RECT -14.680 2619.370 -11.680 2619.380 ;
+        RECT 2931.300 2619.370 2934.300 2619.380 ;
+        RECT -14.680 2442.380 -11.680 2442.390 ;
+        RECT 2931.300 2442.380 2934.300 2442.390 ;
+        RECT -14.680 2439.380 2.400 2442.380 ;
+        RECT 2917.600 2439.380 2934.300 2442.380 ;
+        RECT -14.680 2439.370 -11.680 2439.380 ;
+        RECT 2931.300 2439.370 2934.300 2439.380 ;
+        RECT -14.680 2262.380 -11.680 2262.390 ;
+        RECT 2931.300 2262.380 2934.300 2262.390 ;
+        RECT -14.680 2259.380 2.400 2262.380 ;
+        RECT 2917.600 2259.380 2934.300 2262.380 ;
+        RECT -14.680 2259.370 -11.680 2259.380 ;
+        RECT 2931.300 2259.370 2934.300 2259.380 ;
+        RECT -14.680 2082.380 -11.680 2082.390 ;
+        RECT 2931.300 2082.380 2934.300 2082.390 ;
+        RECT -14.680 2079.380 2.400 2082.380 ;
+        RECT 2917.600 2079.380 2934.300 2082.380 ;
+        RECT -14.680 2079.370 -11.680 2079.380 ;
+        RECT 2931.300 2079.370 2934.300 2079.380 ;
+        RECT -14.680 1902.380 -11.680 1902.390 ;
+        RECT 2931.300 1902.380 2934.300 1902.390 ;
+        RECT -14.680 1899.380 2.400 1902.380 ;
+        RECT 2917.600 1899.380 2934.300 1902.380 ;
+        RECT -14.680 1899.370 -11.680 1899.380 ;
+        RECT 2931.300 1899.370 2934.300 1899.380 ;
+        RECT -14.680 1722.380 -11.680 1722.390 ;
+        RECT 2931.300 1722.380 2934.300 1722.390 ;
+        RECT -14.680 1719.380 2.400 1722.380 ;
+        RECT 2917.600 1719.380 2934.300 1722.380 ;
+        RECT -14.680 1719.370 -11.680 1719.380 ;
+        RECT 2931.300 1719.370 2934.300 1719.380 ;
+        RECT -14.680 1542.380 -11.680 1542.390 ;
+        RECT 2931.300 1542.380 2934.300 1542.390 ;
+        RECT -14.680 1539.380 2.400 1542.380 ;
+        RECT 2917.600 1539.380 2934.300 1542.380 ;
+        RECT -14.680 1539.370 -11.680 1539.380 ;
+        RECT 2931.300 1539.370 2934.300 1539.380 ;
+        RECT -14.680 1362.380 -11.680 1362.390 ;
+        RECT 2931.300 1362.380 2934.300 1362.390 ;
+        RECT -14.680 1359.380 2.400 1362.380 ;
+        RECT 2917.600 1359.380 2934.300 1362.380 ;
+        RECT -14.680 1359.370 -11.680 1359.380 ;
+        RECT 2931.300 1359.370 2934.300 1359.380 ;
+        RECT -14.680 1182.380 -11.680 1182.390 ;
+        RECT 2931.300 1182.380 2934.300 1182.390 ;
+        RECT -14.680 1179.380 2.400 1182.380 ;
+        RECT 2917.600 1179.380 2934.300 1182.380 ;
+        RECT -14.680 1179.370 -11.680 1179.380 ;
+        RECT 2931.300 1179.370 2934.300 1179.380 ;
+        RECT -14.680 1002.380 -11.680 1002.390 ;
+        RECT 2931.300 1002.380 2934.300 1002.390 ;
+        RECT -14.680 999.380 2.400 1002.380 ;
+        RECT 2917.600 999.380 2934.300 1002.380 ;
+        RECT -14.680 999.370 -11.680 999.380 ;
+        RECT 2931.300 999.370 2934.300 999.380 ;
+        RECT -14.680 822.380 -11.680 822.390 ;
+        RECT 2931.300 822.380 2934.300 822.390 ;
+        RECT -14.680 819.380 2.400 822.380 ;
+        RECT 2917.600 819.380 2934.300 822.380 ;
+        RECT -14.680 819.370 -11.680 819.380 ;
+        RECT 2931.300 819.370 2934.300 819.380 ;
+        RECT -14.680 642.380 -11.680 642.390 ;
+        RECT 2931.300 642.380 2934.300 642.390 ;
+        RECT -14.680 639.380 2.400 642.380 ;
+        RECT 2917.600 639.380 2934.300 642.380 ;
+        RECT -14.680 639.370 -11.680 639.380 ;
+        RECT 2931.300 639.370 2934.300 639.380 ;
+        RECT -14.680 462.380 -11.680 462.390 ;
+        RECT 2931.300 462.380 2934.300 462.390 ;
+        RECT -14.680 459.380 2.400 462.380 ;
+        RECT 2917.600 459.380 2934.300 462.380 ;
+        RECT -14.680 459.370 -11.680 459.380 ;
+        RECT 2931.300 459.370 2934.300 459.380 ;
+        RECT -14.680 282.380 -11.680 282.390 ;
+        RECT 2931.300 282.380 2934.300 282.390 ;
+        RECT -14.680 279.380 2.400 282.380 ;
+        RECT 2917.600 279.380 2934.300 282.380 ;
+        RECT -14.680 279.370 -11.680 279.380 ;
+        RECT 2931.300 279.370 2934.300 279.380 ;
+        RECT -14.680 102.380 -11.680 102.390 ;
+        RECT 2931.300 102.380 2934.300 102.390 ;
+        RECT -14.680 99.380 2.400 102.380 ;
+        RECT 2917.600 99.380 2934.300 102.380 ;
+        RECT -14.680 99.370 -11.680 99.380 ;
+        RECT 2931.300 99.370 2934.300 99.380 ;
+        RECT -14.680 -6.320 -11.680 -6.310 ;
+        RECT 94.020 -6.320 97.020 -6.310 ;
+        RECT 274.020 -6.320 277.020 -6.310 ;
+        RECT 454.020 -6.320 457.020 -6.310 ;
+        RECT 634.020 -6.320 637.020 -6.310 ;
+        RECT 814.020 -6.320 817.020 -6.310 ;
+        RECT 994.020 -6.320 997.020 -6.310 ;
+        RECT 1174.020 -6.320 1177.020 -6.310 ;
+        RECT 1354.020 -6.320 1357.020 -6.310 ;
+        RECT 1534.020 -6.320 1537.020 -6.310 ;
+        RECT 1714.020 -6.320 1717.020 -6.310 ;
+        RECT 1894.020 -6.320 1897.020 -6.310 ;
+        RECT 2074.020 -6.320 2077.020 -6.310 ;
+        RECT 2254.020 -6.320 2257.020 -6.310 ;
+        RECT 2434.020 -6.320 2437.020 -6.310 ;
+        RECT 2614.020 -6.320 2617.020 -6.310 ;
+        RECT 2794.020 -6.320 2797.020 -6.310 ;
+        RECT 2931.300 -6.320 2934.300 -6.310 ;
+        RECT -14.680 -9.320 2934.300 -6.320 ;
+        RECT -14.680 -9.330 -11.680 -9.320 ;
+        RECT 94.020 -9.330 97.020 -9.320 ;
+        RECT 274.020 -9.330 277.020 -9.320 ;
+        RECT 454.020 -9.330 457.020 -9.320 ;
+        RECT 634.020 -9.330 637.020 -9.320 ;
+        RECT 814.020 -9.330 817.020 -9.320 ;
+        RECT 994.020 -9.330 997.020 -9.320 ;
+        RECT 1174.020 -9.330 1177.020 -9.320 ;
+        RECT 1354.020 -9.330 1357.020 -9.320 ;
+        RECT 1534.020 -9.330 1537.020 -9.320 ;
+        RECT 1714.020 -9.330 1717.020 -9.320 ;
+        RECT 1894.020 -9.330 1897.020 -9.320 ;
+        RECT 2074.020 -9.330 2077.020 -9.320 ;
+        RECT 2254.020 -9.330 2257.020 -9.320 ;
+        RECT 2434.020 -9.330 2437.020 -9.320 ;
+        RECT 2614.020 -9.330 2617.020 -9.320 ;
+        RECT 2794.020 -9.330 2797.020 -9.320 ;
+        RECT 2931.300 -9.330 2934.300 -9.320 ;
     END
   END vssd1
   PIN vccd2
     DIRECTION INPUT ;
     PORT
       LAYER met4 ;
-        RECT -19.180 -13.820 -16.180 3533.500 ;
-        RECT 22.020 3517.600 25.020 3538.100 ;
-        RECT 202.020 3517.600 205.020 3538.100 ;
-        RECT 382.020 3517.600 385.020 3538.100 ;
-        RECT 562.020 3517.600 565.020 3538.100 ;
-        RECT 742.020 3517.600 745.020 3538.100 ;
-        RECT 922.020 3517.600 925.020 3538.100 ;
-        RECT 1102.020 3517.600 1105.020 3538.100 ;
-        RECT 1282.020 3517.600 1285.020 3538.100 ;
-        RECT 1462.020 3517.600 1465.020 3538.100 ;
-        RECT 1642.020 3517.600 1645.020 3538.100 ;
-        RECT 1822.020 3517.600 1825.020 3538.100 ;
-        RECT 2002.020 3517.600 2005.020 3538.100 ;
-        RECT 2182.020 3517.600 2185.020 3538.100 ;
-        RECT 2362.020 3517.600 2365.020 3538.100 ;
-        RECT 2542.020 3517.600 2545.020 3538.100 ;
-        RECT 2722.020 3517.600 2725.020 3538.100 ;
-        RECT 2902.020 3517.600 2905.020 3538.100 ;
-        RECT 22.020 -18.420 25.020 2.400 ;
-        RECT 202.020 -18.420 205.020 2.400 ;
-        RECT 382.020 -18.420 385.020 2.400 ;
-        RECT 562.020 -18.420 565.020 2.400 ;
-        RECT 742.020 -18.420 745.020 2.400 ;
-        RECT 922.020 -18.420 925.020 2.400 ;
-        RECT 1102.020 -18.420 1105.020 2.400 ;
-        RECT 1282.020 -18.420 1285.020 2.400 ;
-        RECT 1462.020 -18.420 1465.020 2.400 ;
-        RECT 1642.020 -18.420 1645.020 2.400 ;
-        RECT 1822.020 -18.420 1825.020 2.400 ;
-        RECT 2002.020 -18.420 2005.020 2.400 ;
-        RECT 2182.020 -18.420 2185.020 2.400 ;
-        RECT 2362.020 -18.420 2365.020 2.400 ;
-        RECT 2542.020 -18.420 2545.020 2.400 ;
-        RECT 2722.020 -18.420 2725.020 2.400 ;
-        RECT 2902.020 -18.420 2905.020 2.400 ;
-        RECT 2935.800 -13.820 2938.800 3533.500 ;
+        RECT -19.380 -14.020 -16.380 3533.700 ;
+        RECT 22.020 3517.600 25.020 3538.400 ;
+        RECT 202.020 3517.600 205.020 3538.400 ;
+        RECT 382.020 3517.600 385.020 3538.400 ;
+        RECT 562.020 3517.600 565.020 3538.400 ;
+        RECT 742.020 3517.600 745.020 3538.400 ;
+        RECT 922.020 3517.600 925.020 3538.400 ;
+        RECT 1102.020 3517.600 1105.020 3538.400 ;
+        RECT 1282.020 3517.600 1285.020 3538.400 ;
+        RECT 1462.020 3517.600 1465.020 3538.400 ;
+        RECT 1642.020 3517.600 1645.020 3538.400 ;
+        RECT 1822.020 3517.600 1825.020 3538.400 ;
+        RECT 2002.020 3517.600 2005.020 3538.400 ;
+        RECT 2182.020 3517.600 2185.020 3538.400 ;
+        RECT 2362.020 3517.600 2365.020 3538.400 ;
+        RECT 2542.020 3517.600 2545.020 3538.400 ;
+        RECT 2722.020 3517.600 2725.020 3538.400 ;
+        RECT 2902.020 3517.600 2905.020 3538.400 ;
+        RECT 22.020 -18.720 25.020 2.400 ;
+        RECT 202.020 -18.720 205.020 2.400 ;
+        RECT 382.020 -18.720 385.020 2.400 ;
+        RECT 562.020 -18.720 565.020 2.400 ;
+        RECT 742.020 -18.720 745.020 2.400 ;
+        RECT 922.020 -18.720 925.020 2.400 ;
+        RECT 1102.020 -18.720 1105.020 2.400 ;
+        RECT 1282.020 -18.720 1285.020 2.400 ;
+        RECT 1462.020 -18.720 1465.020 2.400 ;
+        RECT 1642.020 -18.720 1645.020 2.400 ;
+        RECT 1822.020 -18.720 1825.020 2.400 ;
+        RECT 2002.020 -18.720 2005.020 2.400 ;
+        RECT 2182.020 -18.720 2185.020 2.400 ;
+        RECT 2362.020 -18.720 2365.020 2.400 ;
+        RECT 2542.020 -18.720 2545.020 2.400 ;
+        RECT 2722.020 -18.720 2725.020 2.400 ;
+        RECT 2902.020 -18.720 2905.020 2.400 ;
+        RECT 2936.000 -14.020 2939.000 3533.700 ;
       LAYER M4M5_PR_C ;
-        RECT -18.270 3532.210 -17.090 3533.390 ;
-        RECT -18.270 3530.610 -17.090 3531.790 ;
-        RECT 22.930 3532.210 24.110 3533.390 ;
-        RECT 22.930 3530.610 24.110 3531.790 ;
-        RECT 202.930 3532.210 204.110 3533.390 ;
-        RECT 202.930 3530.610 204.110 3531.790 ;
-        RECT 382.930 3532.210 384.110 3533.390 ;
-        RECT 382.930 3530.610 384.110 3531.790 ;
-        RECT 562.930 3532.210 564.110 3533.390 ;
-        RECT 562.930 3530.610 564.110 3531.790 ;
-        RECT 742.930 3532.210 744.110 3533.390 ;
-        RECT 742.930 3530.610 744.110 3531.790 ;
-        RECT 922.930 3532.210 924.110 3533.390 ;
-        RECT 922.930 3530.610 924.110 3531.790 ;
-        RECT 1102.930 3532.210 1104.110 3533.390 ;
-        RECT 1102.930 3530.610 1104.110 3531.790 ;
-        RECT 1282.930 3532.210 1284.110 3533.390 ;
-        RECT 1282.930 3530.610 1284.110 3531.790 ;
-        RECT 1462.930 3532.210 1464.110 3533.390 ;
-        RECT 1462.930 3530.610 1464.110 3531.790 ;
-        RECT 1642.930 3532.210 1644.110 3533.390 ;
-        RECT 1642.930 3530.610 1644.110 3531.790 ;
-        RECT 1822.930 3532.210 1824.110 3533.390 ;
-        RECT 1822.930 3530.610 1824.110 3531.790 ;
-        RECT 2002.930 3532.210 2004.110 3533.390 ;
-        RECT 2002.930 3530.610 2004.110 3531.790 ;
-        RECT 2182.930 3532.210 2184.110 3533.390 ;
-        RECT 2182.930 3530.610 2184.110 3531.790 ;
-        RECT 2362.930 3532.210 2364.110 3533.390 ;
-        RECT 2362.930 3530.610 2364.110 3531.790 ;
-        RECT 2542.930 3532.210 2544.110 3533.390 ;
-        RECT 2542.930 3530.610 2544.110 3531.790 ;
-        RECT 2722.930 3532.210 2724.110 3533.390 ;
-        RECT 2722.930 3530.610 2724.110 3531.790 ;
-        RECT 2902.930 3532.210 2904.110 3533.390 ;
-        RECT 2902.930 3530.610 2904.110 3531.790 ;
-        RECT 2936.710 3532.210 2937.890 3533.390 ;
-        RECT 2936.710 3530.610 2937.890 3531.790 ;
-        RECT -18.270 3449.090 -17.090 3450.270 ;
-        RECT -18.270 3447.490 -17.090 3448.670 ;
-        RECT -18.270 3269.090 -17.090 3270.270 ;
-        RECT -18.270 3267.490 -17.090 3268.670 ;
-        RECT -18.270 3089.090 -17.090 3090.270 ;
-        RECT -18.270 3087.490 -17.090 3088.670 ;
-        RECT -18.270 2909.090 -17.090 2910.270 ;
-        RECT -18.270 2907.490 -17.090 2908.670 ;
-        RECT -18.270 2729.090 -17.090 2730.270 ;
-        RECT -18.270 2727.490 -17.090 2728.670 ;
-        RECT -18.270 2549.090 -17.090 2550.270 ;
-        RECT -18.270 2547.490 -17.090 2548.670 ;
-        RECT -18.270 2369.090 -17.090 2370.270 ;
-        RECT -18.270 2367.490 -17.090 2368.670 ;
-        RECT -18.270 2189.090 -17.090 2190.270 ;
-        RECT -18.270 2187.490 -17.090 2188.670 ;
-        RECT -18.270 2009.090 -17.090 2010.270 ;
-        RECT -18.270 2007.490 -17.090 2008.670 ;
-        RECT -18.270 1829.090 -17.090 1830.270 ;
-        RECT -18.270 1827.490 -17.090 1828.670 ;
-        RECT -18.270 1649.090 -17.090 1650.270 ;
-        RECT -18.270 1647.490 -17.090 1648.670 ;
-        RECT -18.270 1469.090 -17.090 1470.270 ;
-        RECT -18.270 1467.490 -17.090 1468.670 ;
-        RECT -18.270 1289.090 -17.090 1290.270 ;
-        RECT -18.270 1287.490 -17.090 1288.670 ;
-        RECT -18.270 1109.090 -17.090 1110.270 ;
-        RECT -18.270 1107.490 -17.090 1108.670 ;
-        RECT -18.270 929.090 -17.090 930.270 ;
-        RECT -18.270 927.490 -17.090 928.670 ;
-        RECT -18.270 749.090 -17.090 750.270 ;
-        RECT -18.270 747.490 -17.090 748.670 ;
-        RECT -18.270 569.090 -17.090 570.270 ;
-        RECT -18.270 567.490 -17.090 568.670 ;
-        RECT -18.270 389.090 -17.090 390.270 ;
-        RECT -18.270 387.490 -17.090 388.670 ;
-        RECT -18.270 209.090 -17.090 210.270 ;
-        RECT -18.270 207.490 -17.090 208.670 ;
-        RECT -18.270 29.090 -17.090 30.270 ;
-        RECT -18.270 27.490 -17.090 28.670 ;
-        RECT 2936.710 3449.090 2937.890 3450.270 ;
-        RECT 2936.710 3447.490 2937.890 3448.670 ;
-        RECT 2936.710 3269.090 2937.890 3270.270 ;
-        RECT 2936.710 3267.490 2937.890 3268.670 ;
-        RECT 2936.710 3089.090 2937.890 3090.270 ;
-        RECT 2936.710 3087.490 2937.890 3088.670 ;
-        RECT 2936.710 2909.090 2937.890 2910.270 ;
-        RECT 2936.710 2907.490 2937.890 2908.670 ;
-        RECT 2936.710 2729.090 2937.890 2730.270 ;
-        RECT 2936.710 2727.490 2937.890 2728.670 ;
-        RECT 2936.710 2549.090 2937.890 2550.270 ;
-        RECT 2936.710 2547.490 2937.890 2548.670 ;
-        RECT 2936.710 2369.090 2937.890 2370.270 ;
-        RECT 2936.710 2367.490 2937.890 2368.670 ;
-        RECT 2936.710 2189.090 2937.890 2190.270 ;
-        RECT 2936.710 2187.490 2937.890 2188.670 ;
-        RECT 2936.710 2009.090 2937.890 2010.270 ;
-        RECT 2936.710 2007.490 2937.890 2008.670 ;
-        RECT 2936.710 1829.090 2937.890 1830.270 ;
-        RECT 2936.710 1827.490 2937.890 1828.670 ;
-        RECT 2936.710 1649.090 2937.890 1650.270 ;
-        RECT 2936.710 1647.490 2937.890 1648.670 ;
-        RECT 2936.710 1469.090 2937.890 1470.270 ;
-        RECT 2936.710 1467.490 2937.890 1468.670 ;
-        RECT 2936.710 1289.090 2937.890 1290.270 ;
-        RECT 2936.710 1287.490 2937.890 1288.670 ;
-        RECT 2936.710 1109.090 2937.890 1110.270 ;
-        RECT 2936.710 1107.490 2937.890 1108.670 ;
-        RECT 2936.710 929.090 2937.890 930.270 ;
-        RECT 2936.710 927.490 2937.890 928.670 ;
-        RECT 2936.710 749.090 2937.890 750.270 ;
-        RECT 2936.710 747.490 2937.890 748.670 ;
-        RECT 2936.710 569.090 2937.890 570.270 ;
-        RECT 2936.710 567.490 2937.890 568.670 ;
-        RECT 2936.710 389.090 2937.890 390.270 ;
-        RECT 2936.710 387.490 2937.890 388.670 ;
-        RECT 2936.710 209.090 2937.890 210.270 ;
-        RECT 2936.710 207.490 2937.890 208.670 ;
-        RECT 2936.710 29.090 2937.890 30.270 ;
-        RECT 2936.710 27.490 2937.890 28.670 ;
-        RECT -18.270 -12.110 -17.090 -10.930 ;
-        RECT -18.270 -13.710 -17.090 -12.530 ;
-        RECT 22.930 -12.110 24.110 -10.930 ;
-        RECT 22.930 -13.710 24.110 -12.530 ;
-        RECT 202.930 -12.110 204.110 -10.930 ;
-        RECT 202.930 -13.710 204.110 -12.530 ;
-        RECT 382.930 -12.110 384.110 -10.930 ;
-        RECT 382.930 -13.710 384.110 -12.530 ;
-        RECT 562.930 -12.110 564.110 -10.930 ;
-        RECT 562.930 -13.710 564.110 -12.530 ;
-        RECT 742.930 -12.110 744.110 -10.930 ;
-        RECT 742.930 -13.710 744.110 -12.530 ;
-        RECT 922.930 -12.110 924.110 -10.930 ;
-        RECT 922.930 -13.710 924.110 -12.530 ;
-        RECT 1102.930 -12.110 1104.110 -10.930 ;
-        RECT 1102.930 -13.710 1104.110 -12.530 ;
-        RECT 1282.930 -12.110 1284.110 -10.930 ;
-        RECT 1282.930 -13.710 1284.110 -12.530 ;
-        RECT 1462.930 -12.110 1464.110 -10.930 ;
-        RECT 1462.930 -13.710 1464.110 -12.530 ;
-        RECT 1642.930 -12.110 1644.110 -10.930 ;
-        RECT 1642.930 -13.710 1644.110 -12.530 ;
-        RECT 1822.930 -12.110 1824.110 -10.930 ;
-        RECT 1822.930 -13.710 1824.110 -12.530 ;
-        RECT 2002.930 -12.110 2004.110 -10.930 ;
-        RECT 2002.930 -13.710 2004.110 -12.530 ;
-        RECT 2182.930 -12.110 2184.110 -10.930 ;
-        RECT 2182.930 -13.710 2184.110 -12.530 ;
-        RECT 2362.930 -12.110 2364.110 -10.930 ;
-        RECT 2362.930 -13.710 2364.110 -12.530 ;
-        RECT 2542.930 -12.110 2544.110 -10.930 ;
-        RECT 2542.930 -13.710 2544.110 -12.530 ;
-        RECT 2722.930 -12.110 2724.110 -10.930 ;
-        RECT 2722.930 -13.710 2724.110 -12.530 ;
-        RECT 2902.930 -12.110 2904.110 -10.930 ;
-        RECT 2902.930 -13.710 2904.110 -12.530 ;
-        RECT 2936.710 -12.110 2937.890 -10.930 ;
-        RECT 2936.710 -13.710 2937.890 -12.530 ;
+        RECT -18.470 3532.410 -17.290 3533.590 ;
+        RECT -18.470 3530.810 -17.290 3531.990 ;
+        RECT 22.930 3532.410 24.110 3533.590 ;
+        RECT 22.930 3530.810 24.110 3531.990 ;
+        RECT 202.930 3532.410 204.110 3533.590 ;
+        RECT 202.930 3530.810 204.110 3531.990 ;
+        RECT 382.930 3532.410 384.110 3533.590 ;
+        RECT 382.930 3530.810 384.110 3531.990 ;
+        RECT 562.930 3532.410 564.110 3533.590 ;
+        RECT 562.930 3530.810 564.110 3531.990 ;
+        RECT 742.930 3532.410 744.110 3533.590 ;
+        RECT 742.930 3530.810 744.110 3531.990 ;
+        RECT 922.930 3532.410 924.110 3533.590 ;
+        RECT 922.930 3530.810 924.110 3531.990 ;
+        RECT 1102.930 3532.410 1104.110 3533.590 ;
+        RECT 1102.930 3530.810 1104.110 3531.990 ;
+        RECT 1282.930 3532.410 1284.110 3533.590 ;
+        RECT 1282.930 3530.810 1284.110 3531.990 ;
+        RECT 1462.930 3532.410 1464.110 3533.590 ;
+        RECT 1462.930 3530.810 1464.110 3531.990 ;
+        RECT 1642.930 3532.410 1644.110 3533.590 ;
+        RECT 1642.930 3530.810 1644.110 3531.990 ;
+        RECT 1822.930 3532.410 1824.110 3533.590 ;
+        RECT 1822.930 3530.810 1824.110 3531.990 ;
+        RECT 2002.930 3532.410 2004.110 3533.590 ;
+        RECT 2002.930 3530.810 2004.110 3531.990 ;
+        RECT 2182.930 3532.410 2184.110 3533.590 ;
+        RECT 2182.930 3530.810 2184.110 3531.990 ;
+        RECT 2362.930 3532.410 2364.110 3533.590 ;
+        RECT 2362.930 3530.810 2364.110 3531.990 ;
+        RECT 2542.930 3532.410 2544.110 3533.590 ;
+        RECT 2542.930 3530.810 2544.110 3531.990 ;
+        RECT 2722.930 3532.410 2724.110 3533.590 ;
+        RECT 2722.930 3530.810 2724.110 3531.990 ;
+        RECT 2902.930 3532.410 2904.110 3533.590 ;
+        RECT 2902.930 3530.810 2904.110 3531.990 ;
+        RECT 2936.910 3532.410 2938.090 3533.590 ;
+        RECT 2936.910 3530.810 2938.090 3531.990 ;
+        RECT -18.470 3449.090 -17.290 3450.270 ;
+        RECT -18.470 3447.490 -17.290 3448.670 ;
+        RECT -18.470 3269.090 -17.290 3270.270 ;
+        RECT -18.470 3267.490 -17.290 3268.670 ;
+        RECT -18.470 3089.090 -17.290 3090.270 ;
+        RECT -18.470 3087.490 -17.290 3088.670 ;
+        RECT -18.470 2909.090 -17.290 2910.270 ;
+        RECT -18.470 2907.490 -17.290 2908.670 ;
+        RECT -18.470 2729.090 -17.290 2730.270 ;
+        RECT -18.470 2727.490 -17.290 2728.670 ;
+        RECT -18.470 2549.090 -17.290 2550.270 ;
+        RECT -18.470 2547.490 -17.290 2548.670 ;
+        RECT -18.470 2369.090 -17.290 2370.270 ;
+        RECT -18.470 2367.490 -17.290 2368.670 ;
+        RECT -18.470 2189.090 -17.290 2190.270 ;
+        RECT -18.470 2187.490 -17.290 2188.670 ;
+        RECT -18.470 2009.090 -17.290 2010.270 ;
+        RECT -18.470 2007.490 -17.290 2008.670 ;
+        RECT -18.470 1829.090 -17.290 1830.270 ;
+        RECT -18.470 1827.490 -17.290 1828.670 ;
+        RECT -18.470 1649.090 -17.290 1650.270 ;
+        RECT -18.470 1647.490 -17.290 1648.670 ;
+        RECT -18.470 1469.090 -17.290 1470.270 ;
+        RECT -18.470 1467.490 -17.290 1468.670 ;
+        RECT -18.470 1289.090 -17.290 1290.270 ;
+        RECT -18.470 1287.490 -17.290 1288.670 ;
+        RECT -18.470 1109.090 -17.290 1110.270 ;
+        RECT -18.470 1107.490 -17.290 1108.670 ;
+        RECT -18.470 929.090 -17.290 930.270 ;
+        RECT -18.470 927.490 -17.290 928.670 ;
+        RECT -18.470 749.090 -17.290 750.270 ;
+        RECT -18.470 747.490 -17.290 748.670 ;
+        RECT -18.470 569.090 -17.290 570.270 ;
+        RECT -18.470 567.490 -17.290 568.670 ;
+        RECT -18.470 389.090 -17.290 390.270 ;
+        RECT -18.470 387.490 -17.290 388.670 ;
+        RECT -18.470 209.090 -17.290 210.270 ;
+        RECT -18.470 207.490 -17.290 208.670 ;
+        RECT -18.470 29.090 -17.290 30.270 ;
+        RECT -18.470 27.490 -17.290 28.670 ;
+        RECT 2936.910 3449.090 2938.090 3450.270 ;
+        RECT 2936.910 3447.490 2938.090 3448.670 ;
+        RECT 2936.910 3269.090 2938.090 3270.270 ;
+        RECT 2936.910 3267.490 2938.090 3268.670 ;
+        RECT 2936.910 3089.090 2938.090 3090.270 ;
+        RECT 2936.910 3087.490 2938.090 3088.670 ;
+        RECT 2936.910 2909.090 2938.090 2910.270 ;
+        RECT 2936.910 2907.490 2938.090 2908.670 ;
+        RECT 2936.910 2729.090 2938.090 2730.270 ;
+        RECT 2936.910 2727.490 2938.090 2728.670 ;
+        RECT 2936.910 2549.090 2938.090 2550.270 ;
+        RECT 2936.910 2547.490 2938.090 2548.670 ;
+        RECT 2936.910 2369.090 2938.090 2370.270 ;
+        RECT 2936.910 2367.490 2938.090 2368.670 ;
+        RECT 2936.910 2189.090 2938.090 2190.270 ;
+        RECT 2936.910 2187.490 2938.090 2188.670 ;
+        RECT 2936.910 2009.090 2938.090 2010.270 ;
+        RECT 2936.910 2007.490 2938.090 2008.670 ;
+        RECT 2936.910 1829.090 2938.090 1830.270 ;
+        RECT 2936.910 1827.490 2938.090 1828.670 ;
+        RECT 2936.910 1649.090 2938.090 1650.270 ;
+        RECT 2936.910 1647.490 2938.090 1648.670 ;
+        RECT 2936.910 1469.090 2938.090 1470.270 ;
+        RECT 2936.910 1467.490 2938.090 1468.670 ;
+        RECT 2936.910 1289.090 2938.090 1290.270 ;
+        RECT 2936.910 1287.490 2938.090 1288.670 ;
+        RECT 2936.910 1109.090 2938.090 1110.270 ;
+        RECT 2936.910 1107.490 2938.090 1108.670 ;
+        RECT 2936.910 929.090 2938.090 930.270 ;
+        RECT 2936.910 927.490 2938.090 928.670 ;
+        RECT 2936.910 749.090 2938.090 750.270 ;
+        RECT 2936.910 747.490 2938.090 748.670 ;
+        RECT 2936.910 569.090 2938.090 570.270 ;
+        RECT 2936.910 567.490 2938.090 568.670 ;
+        RECT 2936.910 389.090 2938.090 390.270 ;
+        RECT 2936.910 387.490 2938.090 388.670 ;
+        RECT 2936.910 209.090 2938.090 210.270 ;
+        RECT 2936.910 207.490 2938.090 208.670 ;
+        RECT 2936.910 29.090 2938.090 30.270 ;
+        RECT 2936.910 27.490 2938.090 28.670 ;
+        RECT -18.470 -12.310 -17.290 -11.130 ;
+        RECT -18.470 -13.910 -17.290 -12.730 ;
+        RECT 22.930 -12.310 24.110 -11.130 ;
+        RECT 22.930 -13.910 24.110 -12.730 ;
+        RECT 202.930 -12.310 204.110 -11.130 ;
+        RECT 202.930 -13.910 204.110 -12.730 ;
+        RECT 382.930 -12.310 384.110 -11.130 ;
+        RECT 382.930 -13.910 384.110 -12.730 ;
+        RECT 562.930 -12.310 564.110 -11.130 ;
+        RECT 562.930 -13.910 564.110 -12.730 ;
+        RECT 742.930 -12.310 744.110 -11.130 ;
+        RECT 742.930 -13.910 744.110 -12.730 ;
+        RECT 922.930 -12.310 924.110 -11.130 ;
+        RECT 922.930 -13.910 924.110 -12.730 ;
+        RECT 1102.930 -12.310 1104.110 -11.130 ;
+        RECT 1102.930 -13.910 1104.110 -12.730 ;
+        RECT 1282.930 -12.310 1284.110 -11.130 ;
+        RECT 1282.930 -13.910 1284.110 -12.730 ;
+        RECT 1462.930 -12.310 1464.110 -11.130 ;
+        RECT 1462.930 -13.910 1464.110 -12.730 ;
+        RECT 1642.930 -12.310 1644.110 -11.130 ;
+        RECT 1642.930 -13.910 1644.110 -12.730 ;
+        RECT 1822.930 -12.310 1824.110 -11.130 ;
+        RECT 1822.930 -13.910 1824.110 -12.730 ;
+        RECT 2002.930 -12.310 2004.110 -11.130 ;
+        RECT 2002.930 -13.910 2004.110 -12.730 ;
+        RECT 2182.930 -12.310 2184.110 -11.130 ;
+        RECT 2182.930 -13.910 2184.110 -12.730 ;
+        RECT 2362.930 -12.310 2364.110 -11.130 ;
+        RECT 2362.930 -13.910 2364.110 -12.730 ;
+        RECT 2542.930 -12.310 2544.110 -11.130 ;
+        RECT 2542.930 -13.910 2544.110 -12.730 ;
+        RECT 2722.930 -12.310 2724.110 -11.130 ;
+        RECT 2722.930 -13.910 2724.110 -12.730 ;
+        RECT 2902.930 -12.310 2904.110 -11.130 ;
+        RECT 2902.930 -13.910 2904.110 -12.730 ;
+        RECT 2936.910 -12.310 2938.090 -11.130 ;
+        RECT 2936.910 -13.910 2938.090 -12.730 ;
       LAYER met5 ;
-        RECT -19.180 3533.500 -16.180 3533.510 ;
-        RECT 22.020 3533.500 25.020 3533.510 ;
-        RECT 202.020 3533.500 205.020 3533.510 ;
-        RECT 382.020 3533.500 385.020 3533.510 ;
-        RECT 562.020 3533.500 565.020 3533.510 ;
-        RECT 742.020 3533.500 745.020 3533.510 ;
-        RECT 922.020 3533.500 925.020 3533.510 ;
-        RECT 1102.020 3533.500 1105.020 3533.510 ;
-        RECT 1282.020 3533.500 1285.020 3533.510 ;
-        RECT 1462.020 3533.500 1465.020 3533.510 ;
-        RECT 1642.020 3533.500 1645.020 3533.510 ;
-        RECT 1822.020 3533.500 1825.020 3533.510 ;
-        RECT 2002.020 3533.500 2005.020 3533.510 ;
-        RECT 2182.020 3533.500 2185.020 3533.510 ;
-        RECT 2362.020 3533.500 2365.020 3533.510 ;
-        RECT 2542.020 3533.500 2545.020 3533.510 ;
-        RECT 2722.020 3533.500 2725.020 3533.510 ;
-        RECT 2902.020 3533.500 2905.020 3533.510 ;
-        RECT 2935.800 3533.500 2938.800 3533.510 ;
-        RECT -19.180 3530.500 2938.800 3533.500 ;
-        RECT -19.180 3530.490 -16.180 3530.500 ;
-        RECT 22.020 3530.490 25.020 3530.500 ;
-        RECT 202.020 3530.490 205.020 3530.500 ;
-        RECT 382.020 3530.490 385.020 3530.500 ;
-        RECT 562.020 3530.490 565.020 3530.500 ;
-        RECT 742.020 3530.490 745.020 3530.500 ;
-        RECT 922.020 3530.490 925.020 3530.500 ;
-        RECT 1102.020 3530.490 1105.020 3530.500 ;
-        RECT 1282.020 3530.490 1285.020 3530.500 ;
-        RECT 1462.020 3530.490 1465.020 3530.500 ;
-        RECT 1642.020 3530.490 1645.020 3530.500 ;
-        RECT 1822.020 3530.490 1825.020 3530.500 ;
-        RECT 2002.020 3530.490 2005.020 3530.500 ;
-        RECT 2182.020 3530.490 2185.020 3530.500 ;
-        RECT 2362.020 3530.490 2365.020 3530.500 ;
-        RECT 2542.020 3530.490 2545.020 3530.500 ;
-        RECT 2722.020 3530.490 2725.020 3530.500 ;
-        RECT 2902.020 3530.490 2905.020 3530.500 ;
-        RECT 2935.800 3530.490 2938.800 3530.500 ;
-        RECT -19.180 3450.380 -16.180 3450.390 ;
-        RECT 2935.800 3450.380 2938.800 3450.390 ;
-        RECT -23.780 3447.380 2.400 3450.380 ;
-        RECT 2917.600 3447.380 2943.400 3450.380 ;
-        RECT -19.180 3447.370 -16.180 3447.380 ;
-        RECT 2935.800 3447.370 2938.800 3447.380 ;
-        RECT -19.180 3270.380 -16.180 3270.390 ;
-        RECT 2935.800 3270.380 2938.800 3270.390 ;
-        RECT -23.780 3267.380 2.400 3270.380 ;
-        RECT 2917.600 3267.380 2943.400 3270.380 ;
-        RECT -19.180 3267.370 -16.180 3267.380 ;
-        RECT 2935.800 3267.370 2938.800 3267.380 ;
-        RECT -19.180 3090.380 -16.180 3090.390 ;
-        RECT 2935.800 3090.380 2938.800 3090.390 ;
-        RECT -23.780 3087.380 2.400 3090.380 ;
-        RECT 2917.600 3087.380 2943.400 3090.380 ;
-        RECT -19.180 3087.370 -16.180 3087.380 ;
-        RECT 2935.800 3087.370 2938.800 3087.380 ;
-        RECT -19.180 2910.380 -16.180 2910.390 ;
-        RECT 2935.800 2910.380 2938.800 2910.390 ;
-        RECT -23.780 2907.380 2.400 2910.380 ;
-        RECT 2917.600 2907.380 2943.400 2910.380 ;
-        RECT -19.180 2907.370 -16.180 2907.380 ;
-        RECT 2935.800 2907.370 2938.800 2907.380 ;
-        RECT -19.180 2730.380 -16.180 2730.390 ;
-        RECT 2935.800 2730.380 2938.800 2730.390 ;
-        RECT -23.780 2727.380 2.400 2730.380 ;
-        RECT 2917.600 2727.380 2943.400 2730.380 ;
-        RECT -19.180 2727.370 -16.180 2727.380 ;
-        RECT 2935.800 2727.370 2938.800 2727.380 ;
-        RECT -19.180 2550.380 -16.180 2550.390 ;
-        RECT 2935.800 2550.380 2938.800 2550.390 ;
-        RECT -23.780 2547.380 2.400 2550.380 ;
-        RECT 2917.600 2547.380 2943.400 2550.380 ;
-        RECT -19.180 2547.370 -16.180 2547.380 ;
-        RECT 2935.800 2547.370 2938.800 2547.380 ;
-        RECT -19.180 2370.380 -16.180 2370.390 ;
-        RECT 2935.800 2370.380 2938.800 2370.390 ;
-        RECT -23.780 2367.380 2.400 2370.380 ;
-        RECT 2917.600 2367.380 2943.400 2370.380 ;
-        RECT -19.180 2367.370 -16.180 2367.380 ;
-        RECT 2935.800 2367.370 2938.800 2367.380 ;
-        RECT -19.180 2190.380 -16.180 2190.390 ;
-        RECT 2935.800 2190.380 2938.800 2190.390 ;
-        RECT -23.780 2187.380 2.400 2190.380 ;
-        RECT 2917.600 2187.380 2943.400 2190.380 ;
-        RECT -19.180 2187.370 -16.180 2187.380 ;
-        RECT 2935.800 2187.370 2938.800 2187.380 ;
-        RECT -19.180 2010.380 -16.180 2010.390 ;
-        RECT 2935.800 2010.380 2938.800 2010.390 ;
-        RECT -23.780 2007.380 2.400 2010.380 ;
-        RECT 2917.600 2007.380 2943.400 2010.380 ;
-        RECT -19.180 2007.370 -16.180 2007.380 ;
-        RECT 2935.800 2007.370 2938.800 2007.380 ;
-        RECT -19.180 1830.380 -16.180 1830.390 ;
-        RECT 2935.800 1830.380 2938.800 1830.390 ;
-        RECT -23.780 1827.380 2.400 1830.380 ;
-        RECT 2917.600 1827.380 2943.400 1830.380 ;
-        RECT -19.180 1827.370 -16.180 1827.380 ;
-        RECT 2935.800 1827.370 2938.800 1827.380 ;
-        RECT -19.180 1650.380 -16.180 1650.390 ;
-        RECT 2935.800 1650.380 2938.800 1650.390 ;
-        RECT -23.780 1647.380 2.400 1650.380 ;
-        RECT 2917.600 1647.380 2943.400 1650.380 ;
-        RECT -19.180 1647.370 -16.180 1647.380 ;
-        RECT 2935.800 1647.370 2938.800 1647.380 ;
-        RECT -19.180 1470.380 -16.180 1470.390 ;
-        RECT 2935.800 1470.380 2938.800 1470.390 ;
-        RECT -23.780 1467.380 2.400 1470.380 ;
-        RECT 2917.600 1467.380 2943.400 1470.380 ;
-        RECT -19.180 1467.370 -16.180 1467.380 ;
-        RECT 2935.800 1467.370 2938.800 1467.380 ;
-        RECT -19.180 1290.380 -16.180 1290.390 ;
-        RECT 2935.800 1290.380 2938.800 1290.390 ;
-        RECT -23.780 1287.380 2.400 1290.380 ;
-        RECT 2917.600 1287.380 2943.400 1290.380 ;
-        RECT -19.180 1287.370 -16.180 1287.380 ;
-        RECT 2935.800 1287.370 2938.800 1287.380 ;
-        RECT -19.180 1110.380 -16.180 1110.390 ;
-        RECT 2935.800 1110.380 2938.800 1110.390 ;
-        RECT -23.780 1107.380 2.400 1110.380 ;
-        RECT 2917.600 1107.380 2943.400 1110.380 ;
-        RECT -19.180 1107.370 -16.180 1107.380 ;
-        RECT 2935.800 1107.370 2938.800 1107.380 ;
-        RECT -19.180 930.380 -16.180 930.390 ;
-        RECT 2935.800 930.380 2938.800 930.390 ;
-        RECT -23.780 927.380 2.400 930.380 ;
-        RECT 2917.600 927.380 2943.400 930.380 ;
-        RECT -19.180 927.370 -16.180 927.380 ;
-        RECT 2935.800 927.370 2938.800 927.380 ;
-        RECT -19.180 750.380 -16.180 750.390 ;
-        RECT 2935.800 750.380 2938.800 750.390 ;
-        RECT -23.780 747.380 2.400 750.380 ;
-        RECT 2917.600 747.380 2943.400 750.380 ;
-        RECT -19.180 747.370 -16.180 747.380 ;
-        RECT 2935.800 747.370 2938.800 747.380 ;
-        RECT -19.180 570.380 -16.180 570.390 ;
-        RECT 2935.800 570.380 2938.800 570.390 ;
-        RECT -23.780 567.380 2.400 570.380 ;
-        RECT 2917.600 567.380 2943.400 570.380 ;
-        RECT -19.180 567.370 -16.180 567.380 ;
-        RECT 2935.800 567.370 2938.800 567.380 ;
-        RECT -19.180 390.380 -16.180 390.390 ;
-        RECT 2935.800 390.380 2938.800 390.390 ;
-        RECT -23.780 387.380 2.400 390.380 ;
-        RECT 2917.600 387.380 2943.400 390.380 ;
-        RECT -19.180 387.370 -16.180 387.380 ;
-        RECT 2935.800 387.370 2938.800 387.380 ;
-        RECT -19.180 210.380 -16.180 210.390 ;
-        RECT 2935.800 210.380 2938.800 210.390 ;
-        RECT -23.780 207.380 2.400 210.380 ;
-        RECT 2917.600 207.380 2943.400 210.380 ;
-        RECT -19.180 207.370 -16.180 207.380 ;
-        RECT 2935.800 207.370 2938.800 207.380 ;
-        RECT -19.180 30.380 -16.180 30.390 ;
-        RECT 2935.800 30.380 2938.800 30.390 ;
-        RECT -23.780 27.380 2.400 30.380 ;
-        RECT 2917.600 27.380 2943.400 30.380 ;
-        RECT -19.180 27.370 -16.180 27.380 ;
-        RECT 2935.800 27.370 2938.800 27.380 ;
-        RECT -19.180 -10.820 -16.180 -10.810 ;
-        RECT 22.020 -10.820 25.020 -10.810 ;
-        RECT 202.020 -10.820 205.020 -10.810 ;
-        RECT 382.020 -10.820 385.020 -10.810 ;
-        RECT 562.020 -10.820 565.020 -10.810 ;
-        RECT 742.020 -10.820 745.020 -10.810 ;
-        RECT 922.020 -10.820 925.020 -10.810 ;
-        RECT 1102.020 -10.820 1105.020 -10.810 ;
-        RECT 1282.020 -10.820 1285.020 -10.810 ;
-        RECT 1462.020 -10.820 1465.020 -10.810 ;
-        RECT 1642.020 -10.820 1645.020 -10.810 ;
-        RECT 1822.020 -10.820 1825.020 -10.810 ;
-        RECT 2002.020 -10.820 2005.020 -10.810 ;
-        RECT 2182.020 -10.820 2185.020 -10.810 ;
-        RECT 2362.020 -10.820 2365.020 -10.810 ;
-        RECT 2542.020 -10.820 2545.020 -10.810 ;
-        RECT 2722.020 -10.820 2725.020 -10.810 ;
-        RECT 2902.020 -10.820 2905.020 -10.810 ;
-        RECT 2935.800 -10.820 2938.800 -10.810 ;
-        RECT -19.180 -13.820 2938.800 -10.820 ;
-        RECT -19.180 -13.830 -16.180 -13.820 ;
-        RECT 22.020 -13.830 25.020 -13.820 ;
-        RECT 202.020 -13.830 205.020 -13.820 ;
-        RECT 382.020 -13.830 385.020 -13.820 ;
-        RECT 562.020 -13.830 565.020 -13.820 ;
-        RECT 742.020 -13.830 745.020 -13.820 ;
-        RECT 922.020 -13.830 925.020 -13.820 ;
-        RECT 1102.020 -13.830 1105.020 -13.820 ;
-        RECT 1282.020 -13.830 1285.020 -13.820 ;
-        RECT 1462.020 -13.830 1465.020 -13.820 ;
-        RECT 1642.020 -13.830 1645.020 -13.820 ;
-        RECT 1822.020 -13.830 1825.020 -13.820 ;
-        RECT 2002.020 -13.830 2005.020 -13.820 ;
-        RECT 2182.020 -13.830 2185.020 -13.820 ;
-        RECT 2362.020 -13.830 2365.020 -13.820 ;
-        RECT 2542.020 -13.830 2545.020 -13.820 ;
-        RECT 2722.020 -13.830 2725.020 -13.820 ;
-        RECT 2902.020 -13.830 2905.020 -13.820 ;
-        RECT 2935.800 -13.830 2938.800 -13.820 ;
+        RECT -19.380 3533.700 -16.380 3533.710 ;
+        RECT 22.020 3533.700 25.020 3533.710 ;
+        RECT 202.020 3533.700 205.020 3533.710 ;
+        RECT 382.020 3533.700 385.020 3533.710 ;
+        RECT 562.020 3533.700 565.020 3533.710 ;
+        RECT 742.020 3533.700 745.020 3533.710 ;
+        RECT 922.020 3533.700 925.020 3533.710 ;
+        RECT 1102.020 3533.700 1105.020 3533.710 ;
+        RECT 1282.020 3533.700 1285.020 3533.710 ;
+        RECT 1462.020 3533.700 1465.020 3533.710 ;
+        RECT 1642.020 3533.700 1645.020 3533.710 ;
+        RECT 1822.020 3533.700 1825.020 3533.710 ;
+        RECT 2002.020 3533.700 2005.020 3533.710 ;
+        RECT 2182.020 3533.700 2185.020 3533.710 ;
+        RECT 2362.020 3533.700 2365.020 3533.710 ;
+        RECT 2542.020 3533.700 2545.020 3533.710 ;
+        RECT 2722.020 3533.700 2725.020 3533.710 ;
+        RECT 2902.020 3533.700 2905.020 3533.710 ;
+        RECT 2936.000 3533.700 2939.000 3533.710 ;
+        RECT -19.380 3530.700 2939.000 3533.700 ;
+        RECT -19.380 3530.690 -16.380 3530.700 ;
+        RECT 22.020 3530.690 25.020 3530.700 ;
+        RECT 202.020 3530.690 205.020 3530.700 ;
+        RECT 382.020 3530.690 385.020 3530.700 ;
+        RECT 562.020 3530.690 565.020 3530.700 ;
+        RECT 742.020 3530.690 745.020 3530.700 ;
+        RECT 922.020 3530.690 925.020 3530.700 ;
+        RECT 1102.020 3530.690 1105.020 3530.700 ;
+        RECT 1282.020 3530.690 1285.020 3530.700 ;
+        RECT 1462.020 3530.690 1465.020 3530.700 ;
+        RECT 1642.020 3530.690 1645.020 3530.700 ;
+        RECT 1822.020 3530.690 1825.020 3530.700 ;
+        RECT 2002.020 3530.690 2005.020 3530.700 ;
+        RECT 2182.020 3530.690 2185.020 3530.700 ;
+        RECT 2362.020 3530.690 2365.020 3530.700 ;
+        RECT 2542.020 3530.690 2545.020 3530.700 ;
+        RECT 2722.020 3530.690 2725.020 3530.700 ;
+        RECT 2902.020 3530.690 2905.020 3530.700 ;
+        RECT 2936.000 3530.690 2939.000 3530.700 ;
+        RECT -19.380 3450.380 -16.380 3450.390 ;
+        RECT 2936.000 3450.380 2939.000 3450.390 ;
+        RECT -24.080 3447.380 2.400 3450.380 ;
+        RECT 2917.600 3447.380 2943.700 3450.380 ;
+        RECT -19.380 3447.370 -16.380 3447.380 ;
+        RECT 2936.000 3447.370 2939.000 3447.380 ;
+        RECT -19.380 3270.380 -16.380 3270.390 ;
+        RECT 2936.000 3270.380 2939.000 3270.390 ;
+        RECT -24.080 3267.380 2.400 3270.380 ;
+        RECT 2917.600 3267.380 2943.700 3270.380 ;
+        RECT -19.380 3267.370 -16.380 3267.380 ;
+        RECT 2936.000 3267.370 2939.000 3267.380 ;
+        RECT -19.380 3090.380 -16.380 3090.390 ;
+        RECT 2936.000 3090.380 2939.000 3090.390 ;
+        RECT -24.080 3087.380 2.400 3090.380 ;
+        RECT 2917.600 3087.380 2943.700 3090.380 ;
+        RECT -19.380 3087.370 -16.380 3087.380 ;
+        RECT 2936.000 3087.370 2939.000 3087.380 ;
+        RECT -19.380 2910.380 -16.380 2910.390 ;
+        RECT 2936.000 2910.380 2939.000 2910.390 ;
+        RECT -24.080 2907.380 2.400 2910.380 ;
+        RECT 2917.600 2907.380 2943.700 2910.380 ;
+        RECT -19.380 2907.370 -16.380 2907.380 ;
+        RECT 2936.000 2907.370 2939.000 2907.380 ;
+        RECT -19.380 2730.380 -16.380 2730.390 ;
+        RECT 2936.000 2730.380 2939.000 2730.390 ;
+        RECT -24.080 2727.380 2.400 2730.380 ;
+        RECT 2917.600 2727.380 2943.700 2730.380 ;
+        RECT -19.380 2727.370 -16.380 2727.380 ;
+        RECT 2936.000 2727.370 2939.000 2727.380 ;
+        RECT -19.380 2550.380 -16.380 2550.390 ;
+        RECT 2936.000 2550.380 2939.000 2550.390 ;
+        RECT -24.080 2547.380 2.400 2550.380 ;
+        RECT 2917.600 2547.380 2943.700 2550.380 ;
+        RECT -19.380 2547.370 -16.380 2547.380 ;
+        RECT 2936.000 2547.370 2939.000 2547.380 ;
+        RECT -19.380 2370.380 -16.380 2370.390 ;
+        RECT 2936.000 2370.380 2939.000 2370.390 ;
+        RECT -24.080 2367.380 2.400 2370.380 ;
+        RECT 2917.600 2367.380 2943.700 2370.380 ;
+        RECT -19.380 2367.370 -16.380 2367.380 ;
+        RECT 2936.000 2367.370 2939.000 2367.380 ;
+        RECT -19.380 2190.380 -16.380 2190.390 ;
+        RECT 2936.000 2190.380 2939.000 2190.390 ;
+        RECT -24.080 2187.380 2.400 2190.380 ;
+        RECT 2917.600 2187.380 2943.700 2190.380 ;
+        RECT -19.380 2187.370 -16.380 2187.380 ;
+        RECT 2936.000 2187.370 2939.000 2187.380 ;
+        RECT -19.380 2010.380 -16.380 2010.390 ;
+        RECT 2936.000 2010.380 2939.000 2010.390 ;
+        RECT -24.080 2007.380 2.400 2010.380 ;
+        RECT 2917.600 2007.380 2943.700 2010.380 ;
+        RECT -19.380 2007.370 -16.380 2007.380 ;
+        RECT 2936.000 2007.370 2939.000 2007.380 ;
+        RECT -19.380 1830.380 -16.380 1830.390 ;
+        RECT 2936.000 1830.380 2939.000 1830.390 ;
+        RECT -24.080 1827.380 2.400 1830.380 ;
+        RECT 2917.600 1827.380 2943.700 1830.380 ;
+        RECT -19.380 1827.370 -16.380 1827.380 ;
+        RECT 2936.000 1827.370 2939.000 1827.380 ;
+        RECT -19.380 1650.380 -16.380 1650.390 ;
+        RECT 2936.000 1650.380 2939.000 1650.390 ;
+        RECT -24.080 1647.380 2.400 1650.380 ;
+        RECT 2917.600 1647.380 2943.700 1650.380 ;
+        RECT -19.380 1647.370 -16.380 1647.380 ;
+        RECT 2936.000 1647.370 2939.000 1647.380 ;
+        RECT -19.380 1470.380 -16.380 1470.390 ;
+        RECT 2936.000 1470.380 2939.000 1470.390 ;
+        RECT -24.080 1467.380 2.400 1470.380 ;
+        RECT 2917.600 1467.380 2943.700 1470.380 ;
+        RECT -19.380 1467.370 -16.380 1467.380 ;
+        RECT 2936.000 1467.370 2939.000 1467.380 ;
+        RECT -19.380 1290.380 -16.380 1290.390 ;
+        RECT 2936.000 1290.380 2939.000 1290.390 ;
+        RECT -24.080 1287.380 2.400 1290.380 ;
+        RECT 2917.600 1287.380 2943.700 1290.380 ;
+        RECT -19.380 1287.370 -16.380 1287.380 ;
+        RECT 2936.000 1287.370 2939.000 1287.380 ;
+        RECT -19.380 1110.380 -16.380 1110.390 ;
+        RECT 2936.000 1110.380 2939.000 1110.390 ;
+        RECT -24.080 1107.380 2.400 1110.380 ;
+        RECT 2917.600 1107.380 2943.700 1110.380 ;
+        RECT -19.380 1107.370 -16.380 1107.380 ;
+        RECT 2936.000 1107.370 2939.000 1107.380 ;
+        RECT -19.380 930.380 -16.380 930.390 ;
+        RECT 2936.000 930.380 2939.000 930.390 ;
+        RECT -24.080 927.380 2.400 930.380 ;
+        RECT 2917.600 927.380 2943.700 930.380 ;
+        RECT -19.380 927.370 -16.380 927.380 ;
+        RECT 2936.000 927.370 2939.000 927.380 ;
+        RECT -19.380 750.380 -16.380 750.390 ;
+        RECT 2936.000 750.380 2939.000 750.390 ;
+        RECT -24.080 747.380 2.400 750.380 ;
+        RECT 2917.600 747.380 2943.700 750.380 ;
+        RECT -19.380 747.370 -16.380 747.380 ;
+        RECT 2936.000 747.370 2939.000 747.380 ;
+        RECT -19.380 570.380 -16.380 570.390 ;
+        RECT 2936.000 570.380 2939.000 570.390 ;
+        RECT -24.080 567.380 2.400 570.380 ;
+        RECT 2917.600 567.380 2943.700 570.380 ;
+        RECT -19.380 567.370 -16.380 567.380 ;
+        RECT 2936.000 567.370 2939.000 567.380 ;
+        RECT -19.380 390.380 -16.380 390.390 ;
+        RECT 2936.000 390.380 2939.000 390.390 ;
+        RECT -24.080 387.380 2.400 390.380 ;
+        RECT 2917.600 387.380 2943.700 390.380 ;
+        RECT -19.380 387.370 -16.380 387.380 ;
+        RECT 2936.000 387.370 2939.000 387.380 ;
+        RECT -19.380 210.380 -16.380 210.390 ;
+        RECT 2936.000 210.380 2939.000 210.390 ;
+        RECT -24.080 207.380 2.400 210.380 ;
+        RECT 2917.600 207.380 2943.700 210.380 ;
+        RECT -19.380 207.370 -16.380 207.380 ;
+        RECT 2936.000 207.370 2939.000 207.380 ;
+        RECT -19.380 30.380 -16.380 30.390 ;
+        RECT 2936.000 30.380 2939.000 30.390 ;
+        RECT -24.080 27.380 2.400 30.380 ;
+        RECT 2917.600 27.380 2943.700 30.380 ;
+        RECT -19.380 27.370 -16.380 27.380 ;
+        RECT 2936.000 27.370 2939.000 27.380 ;
+        RECT -19.380 -11.020 -16.380 -11.010 ;
+        RECT 22.020 -11.020 25.020 -11.010 ;
+        RECT 202.020 -11.020 205.020 -11.010 ;
+        RECT 382.020 -11.020 385.020 -11.010 ;
+        RECT 562.020 -11.020 565.020 -11.010 ;
+        RECT 742.020 -11.020 745.020 -11.010 ;
+        RECT 922.020 -11.020 925.020 -11.010 ;
+        RECT 1102.020 -11.020 1105.020 -11.010 ;
+        RECT 1282.020 -11.020 1285.020 -11.010 ;
+        RECT 1462.020 -11.020 1465.020 -11.010 ;
+        RECT 1642.020 -11.020 1645.020 -11.010 ;
+        RECT 1822.020 -11.020 1825.020 -11.010 ;
+        RECT 2002.020 -11.020 2005.020 -11.010 ;
+        RECT 2182.020 -11.020 2185.020 -11.010 ;
+        RECT 2362.020 -11.020 2365.020 -11.010 ;
+        RECT 2542.020 -11.020 2545.020 -11.010 ;
+        RECT 2722.020 -11.020 2725.020 -11.010 ;
+        RECT 2902.020 -11.020 2905.020 -11.010 ;
+        RECT 2936.000 -11.020 2939.000 -11.010 ;
+        RECT -19.380 -14.020 2939.000 -11.020 ;
+        RECT -19.380 -14.030 -16.380 -14.020 ;
+        RECT 22.020 -14.030 25.020 -14.020 ;
+        RECT 202.020 -14.030 205.020 -14.020 ;
+        RECT 382.020 -14.030 385.020 -14.020 ;
+        RECT 562.020 -14.030 565.020 -14.020 ;
+        RECT 742.020 -14.030 745.020 -14.020 ;
+        RECT 922.020 -14.030 925.020 -14.020 ;
+        RECT 1102.020 -14.030 1105.020 -14.020 ;
+        RECT 1282.020 -14.030 1285.020 -14.020 ;
+        RECT 1462.020 -14.030 1465.020 -14.020 ;
+        RECT 1642.020 -14.030 1645.020 -14.020 ;
+        RECT 1822.020 -14.030 1825.020 -14.020 ;
+        RECT 2002.020 -14.030 2005.020 -14.020 ;
+        RECT 2182.020 -14.030 2185.020 -14.020 ;
+        RECT 2362.020 -14.030 2365.020 -14.020 ;
+        RECT 2542.020 -14.030 2545.020 -14.020 ;
+        RECT 2722.020 -14.030 2725.020 -14.020 ;
+        RECT 2902.020 -14.030 2905.020 -14.020 ;
+        RECT 2936.000 -14.030 2939.000 -14.020 ;
     END
   END vccd2
   PIN vssd2
     DIRECTION INPUT ;
     PORT
       LAYER met4 ;
-        RECT -23.780 -18.420 -20.780 3538.100 ;
-        RECT 112.020 3517.600 115.020 3538.100 ;
-        RECT 292.020 3517.600 295.020 3538.100 ;
-        RECT 472.020 3517.600 475.020 3538.100 ;
-        RECT 652.020 3517.600 655.020 3538.100 ;
-        RECT 832.020 3517.600 835.020 3538.100 ;
-        RECT 1012.020 3517.600 1015.020 3538.100 ;
-        RECT 1192.020 3517.600 1195.020 3538.100 ;
-        RECT 1372.020 3517.600 1375.020 3538.100 ;
-        RECT 1552.020 3517.600 1555.020 3538.100 ;
-        RECT 1732.020 3517.600 1735.020 3538.100 ;
-        RECT 1912.020 3517.600 1915.020 3538.100 ;
-        RECT 2092.020 3517.600 2095.020 3538.100 ;
-        RECT 2272.020 3517.600 2275.020 3538.100 ;
-        RECT 2452.020 3517.600 2455.020 3538.100 ;
-        RECT 2632.020 3517.600 2635.020 3538.100 ;
-        RECT 2812.020 3517.600 2815.020 3538.100 ;
-        RECT 112.020 -18.420 115.020 2.400 ;
-        RECT 292.020 -18.420 295.020 2.400 ;
-        RECT 472.020 -18.420 475.020 2.400 ;
-        RECT 652.020 -18.420 655.020 2.400 ;
-        RECT 832.020 -18.420 835.020 2.400 ;
-        RECT 1012.020 -18.420 1015.020 2.400 ;
-        RECT 1192.020 -18.420 1195.020 2.400 ;
-        RECT 1372.020 -18.420 1375.020 2.400 ;
-        RECT 1552.020 -18.420 1555.020 2.400 ;
-        RECT 1732.020 -18.420 1735.020 2.400 ;
-        RECT 1912.020 -18.420 1915.020 2.400 ;
-        RECT 2092.020 -18.420 2095.020 2.400 ;
-        RECT 2272.020 -18.420 2275.020 2.400 ;
-        RECT 2452.020 -18.420 2455.020 2.400 ;
-        RECT 2632.020 -18.420 2635.020 2.400 ;
-        RECT 2812.020 -18.420 2815.020 2.400 ;
-        RECT 2940.400 -18.420 2943.400 3538.100 ;
+        RECT -24.080 -18.720 -21.080 3538.400 ;
+        RECT 112.020 3517.600 115.020 3538.400 ;
+        RECT 292.020 3517.600 295.020 3538.400 ;
+        RECT 472.020 3517.600 475.020 3538.400 ;
+        RECT 652.020 3517.600 655.020 3538.400 ;
+        RECT 832.020 3517.600 835.020 3538.400 ;
+        RECT 1012.020 3517.600 1015.020 3538.400 ;
+        RECT 1192.020 3517.600 1195.020 3538.400 ;
+        RECT 1372.020 3517.600 1375.020 3538.400 ;
+        RECT 1552.020 3517.600 1555.020 3538.400 ;
+        RECT 1732.020 3517.600 1735.020 3538.400 ;
+        RECT 1912.020 3517.600 1915.020 3538.400 ;
+        RECT 2092.020 3517.600 2095.020 3538.400 ;
+        RECT 2272.020 3517.600 2275.020 3538.400 ;
+        RECT 2452.020 3517.600 2455.020 3538.400 ;
+        RECT 2632.020 3517.600 2635.020 3538.400 ;
+        RECT 2812.020 3517.600 2815.020 3538.400 ;
+        RECT 112.020 -18.720 115.020 2.400 ;
+        RECT 292.020 -18.720 295.020 2.400 ;
+        RECT 472.020 -18.720 475.020 2.400 ;
+        RECT 652.020 -18.720 655.020 2.400 ;
+        RECT 832.020 -18.720 835.020 2.400 ;
+        RECT 1012.020 -18.720 1015.020 2.400 ;
+        RECT 1192.020 -18.720 1195.020 2.400 ;
+        RECT 1372.020 -18.720 1375.020 2.400 ;
+        RECT 1552.020 -18.720 1555.020 2.400 ;
+        RECT 1732.020 -18.720 1735.020 2.400 ;
+        RECT 1912.020 -18.720 1915.020 2.400 ;
+        RECT 2092.020 -18.720 2095.020 2.400 ;
+        RECT 2272.020 -18.720 2275.020 2.400 ;
+        RECT 2452.020 -18.720 2455.020 2.400 ;
+        RECT 2632.020 -18.720 2635.020 2.400 ;
+        RECT 2812.020 -18.720 2815.020 2.400 ;
+        RECT 2940.700 -18.720 2943.700 3538.400 ;
       LAYER M4M5_PR_C ;
-        RECT -22.870 3536.810 -21.690 3537.990 ;
-        RECT -22.870 3535.210 -21.690 3536.390 ;
-        RECT 112.930 3536.810 114.110 3537.990 ;
-        RECT 112.930 3535.210 114.110 3536.390 ;
-        RECT 292.930 3536.810 294.110 3537.990 ;
-        RECT 292.930 3535.210 294.110 3536.390 ;
-        RECT 472.930 3536.810 474.110 3537.990 ;
-        RECT 472.930 3535.210 474.110 3536.390 ;
-        RECT 652.930 3536.810 654.110 3537.990 ;
-        RECT 652.930 3535.210 654.110 3536.390 ;
-        RECT 832.930 3536.810 834.110 3537.990 ;
-        RECT 832.930 3535.210 834.110 3536.390 ;
-        RECT 1012.930 3536.810 1014.110 3537.990 ;
-        RECT 1012.930 3535.210 1014.110 3536.390 ;
-        RECT 1192.930 3536.810 1194.110 3537.990 ;
-        RECT 1192.930 3535.210 1194.110 3536.390 ;
-        RECT 1372.930 3536.810 1374.110 3537.990 ;
-        RECT 1372.930 3535.210 1374.110 3536.390 ;
-        RECT 1552.930 3536.810 1554.110 3537.990 ;
-        RECT 1552.930 3535.210 1554.110 3536.390 ;
-        RECT 1732.930 3536.810 1734.110 3537.990 ;
-        RECT 1732.930 3535.210 1734.110 3536.390 ;
-        RECT 1912.930 3536.810 1914.110 3537.990 ;
-        RECT 1912.930 3535.210 1914.110 3536.390 ;
-        RECT 2092.930 3536.810 2094.110 3537.990 ;
-        RECT 2092.930 3535.210 2094.110 3536.390 ;
-        RECT 2272.930 3536.810 2274.110 3537.990 ;
-        RECT 2272.930 3535.210 2274.110 3536.390 ;
-        RECT 2452.930 3536.810 2454.110 3537.990 ;
-        RECT 2452.930 3535.210 2454.110 3536.390 ;
-        RECT 2632.930 3536.810 2634.110 3537.990 ;
-        RECT 2632.930 3535.210 2634.110 3536.390 ;
-        RECT 2812.930 3536.810 2814.110 3537.990 ;
-        RECT 2812.930 3535.210 2814.110 3536.390 ;
-        RECT 2941.310 3536.810 2942.490 3537.990 ;
-        RECT 2941.310 3535.210 2942.490 3536.390 ;
-        RECT -22.870 3359.090 -21.690 3360.270 ;
-        RECT -22.870 3357.490 -21.690 3358.670 ;
-        RECT -22.870 3179.090 -21.690 3180.270 ;
-        RECT -22.870 3177.490 -21.690 3178.670 ;
-        RECT -22.870 2999.090 -21.690 3000.270 ;
-        RECT -22.870 2997.490 -21.690 2998.670 ;
-        RECT -22.870 2819.090 -21.690 2820.270 ;
-        RECT -22.870 2817.490 -21.690 2818.670 ;
-        RECT -22.870 2639.090 -21.690 2640.270 ;
-        RECT -22.870 2637.490 -21.690 2638.670 ;
-        RECT -22.870 2459.090 -21.690 2460.270 ;
-        RECT -22.870 2457.490 -21.690 2458.670 ;
-        RECT -22.870 2279.090 -21.690 2280.270 ;
-        RECT -22.870 2277.490 -21.690 2278.670 ;
-        RECT -22.870 2099.090 -21.690 2100.270 ;
-        RECT -22.870 2097.490 -21.690 2098.670 ;
-        RECT -22.870 1919.090 -21.690 1920.270 ;
-        RECT -22.870 1917.490 -21.690 1918.670 ;
-        RECT -22.870 1739.090 -21.690 1740.270 ;
-        RECT -22.870 1737.490 -21.690 1738.670 ;
-        RECT -22.870 1559.090 -21.690 1560.270 ;
-        RECT -22.870 1557.490 -21.690 1558.670 ;
-        RECT -22.870 1379.090 -21.690 1380.270 ;
-        RECT -22.870 1377.490 -21.690 1378.670 ;
-        RECT -22.870 1199.090 -21.690 1200.270 ;
-        RECT -22.870 1197.490 -21.690 1198.670 ;
-        RECT -22.870 1019.090 -21.690 1020.270 ;
-        RECT -22.870 1017.490 -21.690 1018.670 ;
-        RECT -22.870 839.090 -21.690 840.270 ;
-        RECT -22.870 837.490 -21.690 838.670 ;
-        RECT -22.870 659.090 -21.690 660.270 ;
-        RECT -22.870 657.490 -21.690 658.670 ;
-        RECT -22.870 479.090 -21.690 480.270 ;
-        RECT -22.870 477.490 -21.690 478.670 ;
-        RECT -22.870 299.090 -21.690 300.270 ;
-        RECT -22.870 297.490 -21.690 298.670 ;
-        RECT -22.870 119.090 -21.690 120.270 ;
-        RECT -22.870 117.490 -21.690 118.670 ;
-        RECT 2941.310 3359.090 2942.490 3360.270 ;
-        RECT 2941.310 3357.490 2942.490 3358.670 ;
-        RECT 2941.310 3179.090 2942.490 3180.270 ;
-        RECT 2941.310 3177.490 2942.490 3178.670 ;
-        RECT 2941.310 2999.090 2942.490 3000.270 ;
-        RECT 2941.310 2997.490 2942.490 2998.670 ;
-        RECT 2941.310 2819.090 2942.490 2820.270 ;
-        RECT 2941.310 2817.490 2942.490 2818.670 ;
-        RECT 2941.310 2639.090 2942.490 2640.270 ;
-        RECT 2941.310 2637.490 2942.490 2638.670 ;
-        RECT 2941.310 2459.090 2942.490 2460.270 ;
-        RECT 2941.310 2457.490 2942.490 2458.670 ;
-        RECT 2941.310 2279.090 2942.490 2280.270 ;
-        RECT 2941.310 2277.490 2942.490 2278.670 ;
-        RECT 2941.310 2099.090 2942.490 2100.270 ;
-        RECT 2941.310 2097.490 2942.490 2098.670 ;
-        RECT 2941.310 1919.090 2942.490 1920.270 ;
-        RECT 2941.310 1917.490 2942.490 1918.670 ;
-        RECT 2941.310 1739.090 2942.490 1740.270 ;
-        RECT 2941.310 1737.490 2942.490 1738.670 ;
-        RECT 2941.310 1559.090 2942.490 1560.270 ;
-        RECT 2941.310 1557.490 2942.490 1558.670 ;
-        RECT 2941.310 1379.090 2942.490 1380.270 ;
-        RECT 2941.310 1377.490 2942.490 1378.670 ;
-        RECT 2941.310 1199.090 2942.490 1200.270 ;
-        RECT 2941.310 1197.490 2942.490 1198.670 ;
-        RECT 2941.310 1019.090 2942.490 1020.270 ;
-        RECT 2941.310 1017.490 2942.490 1018.670 ;
-        RECT 2941.310 839.090 2942.490 840.270 ;
-        RECT 2941.310 837.490 2942.490 838.670 ;
-        RECT 2941.310 659.090 2942.490 660.270 ;
-        RECT 2941.310 657.490 2942.490 658.670 ;
-        RECT 2941.310 479.090 2942.490 480.270 ;
-        RECT 2941.310 477.490 2942.490 478.670 ;
-        RECT 2941.310 299.090 2942.490 300.270 ;
-        RECT 2941.310 297.490 2942.490 298.670 ;
-        RECT 2941.310 119.090 2942.490 120.270 ;
-        RECT 2941.310 117.490 2942.490 118.670 ;
-        RECT -22.870 -16.710 -21.690 -15.530 ;
-        RECT -22.870 -18.310 -21.690 -17.130 ;
-        RECT 112.930 -16.710 114.110 -15.530 ;
-        RECT 112.930 -18.310 114.110 -17.130 ;
-        RECT 292.930 -16.710 294.110 -15.530 ;
-        RECT 292.930 -18.310 294.110 -17.130 ;
-        RECT 472.930 -16.710 474.110 -15.530 ;
-        RECT 472.930 -18.310 474.110 -17.130 ;
-        RECT 652.930 -16.710 654.110 -15.530 ;
-        RECT 652.930 -18.310 654.110 -17.130 ;
-        RECT 832.930 -16.710 834.110 -15.530 ;
-        RECT 832.930 -18.310 834.110 -17.130 ;
-        RECT 1012.930 -16.710 1014.110 -15.530 ;
-        RECT 1012.930 -18.310 1014.110 -17.130 ;
-        RECT 1192.930 -16.710 1194.110 -15.530 ;
-        RECT 1192.930 -18.310 1194.110 -17.130 ;
-        RECT 1372.930 -16.710 1374.110 -15.530 ;
-        RECT 1372.930 -18.310 1374.110 -17.130 ;
-        RECT 1552.930 -16.710 1554.110 -15.530 ;
-        RECT 1552.930 -18.310 1554.110 -17.130 ;
-        RECT 1732.930 -16.710 1734.110 -15.530 ;
-        RECT 1732.930 -18.310 1734.110 -17.130 ;
-        RECT 1912.930 -16.710 1914.110 -15.530 ;
-        RECT 1912.930 -18.310 1914.110 -17.130 ;
-        RECT 2092.930 -16.710 2094.110 -15.530 ;
-        RECT 2092.930 -18.310 2094.110 -17.130 ;
-        RECT 2272.930 -16.710 2274.110 -15.530 ;
-        RECT 2272.930 -18.310 2274.110 -17.130 ;
-        RECT 2452.930 -16.710 2454.110 -15.530 ;
-        RECT 2452.930 -18.310 2454.110 -17.130 ;
-        RECT 2632.930 -16.710 2634.110 -15.530 ;
-        RECT 2632.930 -18.310 2634.110 -17.130 ;
-        RECT 2812.930 -16.710 2814.110 -15.530 ;
-        RECT 2812.930 -18.310 2814.110 -17.130 ;
-        RECT 2941.310 -16.710 2942.490 -15.530 ;
-        RECT 2941.310 -18.310 2942.490 -17.130 ;
+        RECT -23.170 3537.110 -21.990 3538.290 ;
+        RECT -23.170 3535.510 -21.990 3536.690 ;
+        RECT 112.930 3537.110 114.110 3538.290 ;
+        RECT 112.930 3535.510 114.110 3536.690 ;
+        RECT 292.930 3537.110 294.110 3538.290 ;
+        RECT 292.930 3535.510 294.110 3536.690 ;
+        RECT 472.930 3537.110 474.110 3538.290 ;
+        RECT 472.930 3535.510 474.110 3536.690 ;
+        RECT 652.930 3537.110 654.110 3538.290 ;
+        RECT 652.930 3535.510 654.110 3536.690 ;
+        RECT 832.930 3537.110 834.110 3538.290 ;
+        RECT 832.930 3535.510 834.110 3536.690 ;
+        RECT 1012.930 3537.110 1014.110 3538.290 ;
+        RECT 1012.930 3535.510 1014.110 3536.690 ;
+        RECT 1192.930 3537.110 1194.110 3538.290 ;
+        RECT 1192.930 3535.510 1194.110 3536.690 ;
+        RECT 1372.930 3537.110 1374.110 3538.290 ;
+        RECT 1372.930 3535.510 1374.110 3536.690 ;
+        RECT 1552.930 3537.110 1554.110 3538.290 ;
+        RECT 1552.930 3535.510 1554.110 3536.690 ;
+        RECT 1732.930 3537.110 1734.110 3538.290 ;
+        RECT 1732.930 3535.510 1734.110 3536.690 ;
+        RECT 1912.930 3537.110 1914.110 3538.290 ;
+        RECT 1912.930 3535.510 1914.110 3536.690 ;
+        RECT 2092.930 3537.110 2094.110 3538.290 ;
+        RECT 2092.930 3535.510 2094.110 3536.690 ;
+        RECT 2272.930 3537.110 2274.110 3538.290 ;
+        RECT 2272.930 3535.510 2274.110 3536.690 ;
+        RECT 2452.930 3537.110 2454.110 3538.290 ;
+        RECT 2452.930 3535.510 2454.110 3536.690 ;
+        RECT 2632.930 3537.110 2634.110 3538.290 ;
+        RECT 2632.930 3535.510 2634.110 3536.690 ;
+        RECT 2812.930 3537.110 2814.110 3538.290 ;
+        RECT 2812.930 3535.510 2814.110 3536.690 ;
+        RECT 2941.610 3537.110 2942.790 3538.290 ;
+        RECT 2941.610 3535.510 2942.790 3536.690 ;
+        RECT -23.170 3359.090 -21.990 3360.270 ;
+        RECT -23.170 3357.490 -21.990 3358.670 ;
+        RECT -23.170 3179.090 -21.990 3180.270 ;
+        RECT -23.170 3177.490 -21.990 3178.670 ;
+        RECT -23.170 2999.090 -21.990 3000.270 ;
+        RECT -23.170 2997.490 -21.990 2998.670 ;
+        RECT -23.170 2819.090 -21.990 2820.270 ;
+        RECT -23.170 2817.490 -21.990 2818.670 ;
+        RECT -23.170 2639.090 -21.990 2640.270 ;
+        RECT -23.170 2637.490 -21.990 2638.670 ;
+        RECT -23.170 2459.090 -21.990 2460.270 ;
+        RECT -23.170 2457.490 -21.990 2458.670 ;
+        RECT -23.170 2279.090 -21.990 2280.270 ;
+        RECT -23.170 2277.490 -21.990 2278.670 ;
+        RECT -23.170 2099.090 -21.990 2100.270 ;
+        RECT -23.170 2097.490 -21.990 2098.670 ;
+        RECT -23.170 1919.090 -21.990 1920.270 ;
+        RECT -23.170 1917.490 -21.990 1918.670 ;
+        RECT -23.170 1739.090 -21.990 1740.270 ;
+        RECT -23.170 1737.490 -21.990 1738.670 ;
+        RECT -23.170 1559.090 -21.990 1560.270 ;
+        RECT -23.170 1557.490 -21.990 1558.670 ;
+        RECT -23.170 1379.090 -21.990 1380.270 ;
+        RECT -23.170 1377.490 -21.990 1378.670 ;
+        RECT -23.170 1199.090 -21.990 1200.270 ;
+        RECT -23.170 1197.490 -21.990 1198.670 ;
+        RECT -23.170 1019.090 -21.990 1020.270 ;
+        RECT -23.170 1017.490 -21.990 1018.670 ;
+        RECT -23.170 839.090 -21.990 840.270 ;
+        RECT -23.170 837.490 -21.990 838.670 ;
+        RECT -23.170 659.090 -21.990 660.270 ;
+        RECT -23.170 657.490 -21.990 658.670 ;
+        RECT -23.170 479.090 -21.990 480.270 ;
+        RECT -23.170 477.490 -21.990 478.670 ;
+        RECT -23.170 299.090 -21.990 300.270 ;
+        RECT -23.170 297.490 -21.990 298.670 ;
+        RECT -23.170 119.090 -21.990 120.270 ;
+        RECT -23.170 117.490 -21.990 118.670 ;
+        RECT 2941.610 3359.090 2942.790 3360.270 ;
+        RECT 2941.610 3357.490 2942.790 3358.670 ;
+        RECT 2941.610 3179.090 2942.790 3180.270 ;
+        RECT 2941.610 3177.490 2942.790 3178.670 ;
+        RECT 2941.610 2999.090 2942.790 3000.270 ;
+        RECT 2941.610 2997.490 2942.790 2998.670 ;
+        RECT 2941.610 2819.090 2942.790 2820.270 ;
+        RECT 2941.610 2817.490 2942.790 2818.670 ;
+        RECT 2941.610 2639.090 2942.790 2640.270 ;
+        RECT 2941.610 2637.490 2942.790 2638.670 ;
+        RECT 2941.610 2459.090 2942.790 2460.270 ;
+        RECT 2941.610 2457.490 2942.790 2458.670 ;
+        RECT 2941.610 2279.090 2942.790 2280.270 ;
+        RECT 2941.610 2277.490 2942.790 2278.670 ;
+        RECT 2941.610 2099.090 2942.790 2100.270 ;
+        RECT 2941.610 2097.490 2942.790 2098.670 ;
+        RECT 2941.610 1919.090 2942.790 1920.270 ;
+        RECT 2941.610 1917.490 2942.790 1918.670 ;
+        RECT 2941.610 1739.090 2942.790 1740.270 ;
+        RECT 2941.610 1737.490 2942.790 1738.670 ;
+        RECT 2941.610 1559.090 2942.790 1560.270 ;
+        RECT 2941.610 1557.490 2942.790 1558.670 ;
+        RECT 2941.610 1379.090 2942.790 1380.270 ;
+        RECT 2941.610 1377.490 2942.790 1378.670 ;
+        RECT 2941.610 1199.090 2942.790 1200.270 ;
+        RECT 2941.610 1197.490 2942.790 1198.670 ;
+        RECT 2941.610 1019.090 2942.790 1020.270 ;
+        RECT 2941.610 1017.490 2942.790 1018.670 ;
+        RECT 2941.610 839.090 2942.790 840.270 ;
+        RECT 2941.610 837.490 2942.790 838.670 ;
+        RECT 2941.610 659.090 2942.790 660.270 ;
+        RECT 2941.610 657.490 2942.790 658.670 ;
+        RECT 2941.610 479.090 2942.790 480.270 ;
+        RECT 2941.610 477.490 2942.790 478.670 ;
+        RECT 2941.610 299.090 2942.790 300.270 ;
+        RECT 2941.610 297.490 2942.790 298.670 ;
+        RECT 2941.610 119.090 2942.790 120.270 ;
+        RECT 2941.610 117.490 2942.790 118.670 ;
+        RECT -23.170 -17.010 -21.990 -15.830 ;
+        RECT -23.170 -18.610 -21.990 -17.430 ;
+        RECT 112.930 -17.010 114.110 -15.830 ;
+        RECT 112.930 -18.610 114.110 -17.430 ;
+        RECT 292.930 -17.010 294.110 -15.830 ;
+        RECT 292.930 -18.610 294.110 -17.430 ;
+        RECT 472.930 -17.010 474.110 -15.830 ;
+        RECT 472.930 -18.610 474.110 -17.430 ;
+        RECT 652.930 -17.010 654.110 -15.830 ;
+        RECT 652.930 -18.610 654.110 -17.430 ;
+        RECT 832.930 -17.010 834.110 -15.830 ;
+        RECT 832.930 -18.610 834.110 -17.430 ;
+        RECT 1012.930 -17.010 1014.110 -15.830 ;
+        RECT 1012.930 -18.610 1014.110 -17.430 ;
+        RECT 1192.930 -17.010 1194.110 -15.830 ;
+        RECT 1192.930 -18.610 1194.110 -17.430 ;
+        RECT 1372.930 -17.010 1374.110 -15.830 ;
+        RECT 1372.930 -18.610 1374.110 -17.430 ;
+        RECT 1552.930 -17.010 1554.110 -15.830 ;
+        RECT 1552.930 -18.610 1554.110 -17.430 ;
+        RECT 1732.930 -17.010 1734.110 -15.830 ;
+        RECT 1732.930 -18.610 1734.110 -17.430 ;
+        RECT 1912.930 -17.010 1914.110 -15.830 ;
+        RECT 1912.930 -18.610 1914.110 -17.430 ;
+        RECT 2092.930 -17.010 2094.110 -15.830 ;
+        RECT 2092.930 -18.610 2094.110 -17.430 ;
+        RECT 2272.930 -17.010 2274.110 -15.830 ;
+        RECT 2272.930 -18.610 2274.110 -17.430 ;
+        RECT 2452.930 -17.010 2454.110 -15.830 ;
+        RECT 2452.930 -18.610 2454.110 -17.430 ;
+        RECT 2632.930 -17.010 2634.110 -15.830 ;
+        RECT 2632.930 -18.610 2634.110 -17.430 ;
+        RECT 2812.930 -17.010 2814.110 -15.830 ;
+        RECT 2812.930 -18.610 2814.110 -17.430 ;
+        RECT 2941.610 -17.010 2942.790 -15.830 ;
+        RECT 2941.610 -18.610 2942.790 -17.430 ;
       LAYER met5 ;
-        RECT -23.780 3538.100 -20.780 3538.110 ;
-        RECT 112.020 3538.100 115.020 3538.110 ;
-        RECT 292.020 3538.100 295.020 3538.110 ;
-        RECT 472.020 3538.100 475.020 3538.110 ;
-        RECT 652.020 3538.100 655.020 3538.110 ;
-        RECT 832.020 3538.100 835.020 3538.110 ;
-        RECT 1012.020 3538.100 1015.020 3538.110 ;
-        RECT 1192.020 3538.100 1195.020 3538.110 ;
-        RECT 1372.020 3538.100 1375.020 3538.110 ;
-        RECT 1552.020 3538.100 1555.020 3538.110 ;
-        RECT 1732.020 3538.100 1735.020 3538.110 ;
-        RECT 1912.020 3538.100 1915.020 3538.110 ;
-        RECT 2092.020 3538.100 2095.020 3538.110 ;
-        RECT 2272.020 3538.100 2275.020 3538.110 ;
-        RECT 2452.020 3538.100 2455.020 3538.110 ;
-        RECT 2632.020 3538.100 2635.020 3538.110 ;
-        RECT 2812.020 3538.100 2815.020 3538.110 ;
-        RECT 2940.400 3538.100 2943.400 3538.110 ;
-        RECT -23.780 3535.100 2943.400 3538.100 ;
-        RECT -23.780 3535.090 -20.780 3535.100 ;
-        RECT 112.020 3535.090 115.020 3535.100 ;
-        RECT 292.020 3535.090 295.020 3535.100 ;
-        RECT 472.020 3535.090 475.020 3535.100 ;
-        RECT 652.020 3535.090 655.020 3535.100 ;
-        RECT 832.020 3535.090 835.020 3535.100 ;
-        RECT 1012.020 3535.090 1015.020 3535.100 ;
-        RECT 1192.020 3535.090 1195.020 3535.100 ;
-        RECT 1372.020 3535.090 1375.020 3535.100 ;
-        RECT 1552.020 3535.090 1555.020 3535.100 ;
-        RECT 1732.020 3535.090 1735.020 3535.100 ;
-        RECT 1912.020 3535.090 1915.020 3535.100 ;
-        RECT 2092.020 3535.090 2095.020 3535.100 ;
-        RECT 2272.020 3535.090 2275.020 3535.100 ;
-        RECT 2452.020 3535.090 2455.020 3535.100 ;
-        RECT 2632.020 3535.090 2635.020 3535.100 ;
-        RECT 2812.020 3535.090 2815.020 3535.100 ;
-        RECT 2940.400 3535.090 2943.400 3535.100 ;
-        RECT -23.780 3360.380 -20.780 3360.390 ;
-        RECT 2940.400 3360.380 2943.400 3360.390 ;
-        RECT -23.780 3357.380 2.400 3360.380 ;
-        RECT 2917.600 3357.380 2943.400 3360.380 ;
-        RECT -23.780 3357.370 -20.780 3357.380 ;
-        RECT 2940.400 3357.370 2943.400 3357.380 ;
-        RECT -23.780 3180.380 -20.780 3180.390 ;
-        RECT 2940.400 3180.380 2943.400 3180.390 ;
-        RECT -23.780 3177.380 2.400 3180.380 ;
-        RECT 2917.600 3177.380 2943.400 3180.380 ;
-        RECT -23.780 3177.370 -20.780 3177.380 ;
-        RECT 2940.400 3177.370 2943.400 3177.380 ;
-        RECT -23.780 3000.380 -20.780 3000.390 ;
-        RECT 2940.400 3000.380 2943.400 3000.390 ;
-        RECT -23.780 2997.380 2.400 3000.380 ;
-        RECT 2917.600 2997.380 2943.400 3000.380 ;
-        RECT -23.780 2997.370 -20.780 2997.380 ;
-        RECT 2940.400 2997.370 2943.400 2997.380 ;
-        RECT -23.780 2820.380 -20.780 2820.390 ;
-        RECT 2940.400 2820.380 2943.400 2820.390 ;
-        RECT -23.780 2817.380 2.400 2820.380 ;
-        RECT 2917.600 2817.380 2943.400 2820.380 ;
-        RECT -23.780 2817.370 -20.780 2817.380 ;
-        RECT 2940.400 2817.370 2943.400 2817.380 ;
-        RECT -23.780 2640.380 -20.780 2640.390 ;
-        RECT 2940.400 2640.380 2943.400 2640.390 ;
-        RECT -23.780 2637.380 2.400 2640.380 ;
-        RECT 2917.600 2637.380 2943.400 2640.380 ;
-        RECT -23.780 2637.370 -20.780 2637.380 ;
-        RECT 2940.400 2637.370 2943.400 2637.380 ;
-        RECT -23.780 2460.380 -20.780 2460.390 ;
-        RECT 2940.400 2460.380 2943.400 2460.390 ;
-        RECT -23.780 2457.380 2.400 2460.380 ;
-        RECT 2917.600 2457.380 2943.400 2460.380 ;
-        RECT -23.780 2457.370 -20.780 2457.380 ;
-        RECT 2940.400 2457.370 2943.400 2457.380 ;
-        RECT -23.780 2280.380 -20.780 2280.390 ;
-        RECT 2940.400 2280.380 2943.400 2280.390 ;
-        RECT -23.780 2277.380 2.400 2280.380 ;
-        RECT 2917.600 2277.380 2943.400 2280.380 ;
-        RECT -23.780 2277.370 -20.780 2277.380 ;
-        RECT 2940.400 2277.370 2943.400 2277.380 ;
-        RECT -23.780 2100.380 -20.780 2100.390 ;
-        RECT 2940.400 2100.380 2943.400 2100.390 ;
-        RECT -23.780 2097.380 2.400 2100.380 ;
-        RECT 2917.600 2097.380 2943.400 2100.380 ;
-        RECT -23.780 2097.370 -20.780 2097.380 ;
-        RECT 2940.400 2097.370 2943.400 2097.380 ;
-        RECT -23.780 1920.380 -20.780 1920.390 ;
-        RECT 2940.400 1920.380 2943.400 1920.390 ;
-        RECT -23.780 1917.380 2.400 1920.380 ;
-        RECT 2917.600 1917.380 2943.400 1920.380 ;
-        RECT -23.780 1917.370 -20.780 1917.380 ;
-        RECT 2940.400 1917.370 2943.400 1917.380 ;
-        RECT -23.780 1740.380 -20.780 1740.390 ;
-        RECT 2940.400 1740.380 2943.400 1740.390 ;
-        RECT -23.780 1737.380 2.400 1740.380 ;
-        RECT 2917.600 1737.380 2943.400 1740.380 ;
-        RECT -23.780 1737.370 -20.780 1737.380 ;
-        RECT 2940.400 1737.370 2943.400 1737.380 ;
-        RECT -23.780 1560.380 -20.780 1560.390 ;
-        RECT 2940.400 1560.380 2943.400 1560.390 ;
-        RECT -23.780 1557.380 2.400 1560.380 ;
-        RECT 2917.600 1557.380 2943.400 1560.380 ;
-        RECT -23.780 1557.370 -20.780 1557.380 ;
-        RECT 2940.400 1557.370 2943.400 1557.380 ;
-        RECT -23.780 1380.380 -20.780 1380.390 ;
-        RECT 2940.400 1380.380 2943.400 1380.390 ;
-        RECT -23.780 1377.380 2.400 1380.380 ;
-        RECT 2917.600 1377.380 2943.400 1380.380 ;
-        RECT -23.780 1377.370 -20.780 1377.380 ;
-        RECT 2940.400 1377.370 2943.400 1377.380 ;
-        RECT -23.780 1200.380 -20.780 1200.390 ;
-        RECT 2940.400 1200.380 2943.400 1200.390 ;
-        RECT -23.780 1197.380 2.400 1200.380 ;
-        RECT 2917.600 1197.380 2943.400 1200.380 ;
-        RECT -23.780 1197.370 -20.780 1197.380 ;
-        RECT 2940.400 1197.370 2943.400 1197.380 ;
-        RECT -23.780 1020.380 -20.780 1020.390 ;
-        RECT 2940.400 1020.380 2943.400 1020.390 ;
-        RECT -23.780 1017.380 2.400 1020.380 ;
-        RECT 2917.600 1017.380 2943.400 1020.380 ;
-        RECT -23.780 1017.370 -20.780 1017.380 ;
-        RECT 2940.400 1017.370 2943.400 1017.380 ;
-        RECT -23.780 840.380 -20.780 840.390 ;
-        RECT 2940.400 840.380 2943.400 840.390 ;
-        RECT -23.780 837.380 2.400 840.380 ;
-        RECT 2917.600 837.380 2943.400 840.380 ;
-        RECT -23.780 837.370 -20.780 837.380 ;
-        RECT 2940.400 837.370 2943.400 837.380 ;
-        RECT -23.780 660.380 -20.780 660.390 ;
-        RECT 2940.400 660.380 2943.400 660.390 ;
-        RECT -23.780 657.380 2.400 660.380 ;
-        RECT 2917.600 657.380 2943.400 660.380 ;
-        RECT -23.780 657.370 -20.780 657.380 ;
-        RECT 2940.400 657.370 2943.400 657.380 ;
-        RECT -23.780 480.380 -20.780 480.390 ;
-        RECT 2940.400 480.380 2943.400 480.390 ;
-        RECT -23.780 477.380 2.400 480.380 ;
-        RECT 2917.600 477.380 2943.400 480.380 ;
-        RECT -23.780 477.370 -20.780 477.380 ;
-        RECT 2940.400 477.370 2943.400 477.380 ;
-        RECT -23.780 300.380 -20.780 300.390 ;
-        RECT 2940.400 300.380 2943.400 300.390 ;
-        RECT -23.780 297.380 2.400 300.380 ;
-        RECT 2917.600 297.380 2943.400 300.380 ;
-        RECT -23.780 297.370 -20.780 297.380 ;
-        RECT 2940.400 297.370 2943.400 297.380 ;
-        RECT -23.780 120.380 -20.780 120.390 ;
-        RECT 2940.400 120.380 2943.400 120.390 ;
-        RECT -23.780 117.380 2.400 120.380 ;
-        RECT 2917.600 117.380 2943.400 120.380 ;
-        RECT -23.780 117.370 -20.780 117.380 ;
-        RECT 2940.400 117.370 2943.400 117.380 ;
-        RECT -23.780 -15.420 -20.780 -15.410 ;
-        RECT 112.020 -15.420 115.020 -15.410 ;
-        RECT 292.020 -15.420 295.020 -15.410 ;
-        RECT 472.020 -15.420 475.020 -15.410 ;
-        RECT 652.020 -15.420 655.020 -15.410 ;
-        RECT 832.020 -15.420 835.020 -15.410 ;
-        RECT 1012.020 -15.420 1015.020 -15.410 ;
-        RECT 1192.020 -15.420 1195.020 -15.410 ;
-        RECT 1372.020 -15.420 1375.020 -15.410 ;
-        RECT 1552.020 -15.420 1555.020 -15.410 ;
-        RECT 1732.020 -15.420 1735.020 -15.410 ;
-        RECT 1912.020 -15.420 1915.020 -15.410 ;
-        RECT 2092.020 -15.420 2095.020 -15.410 ;
-        RECT 2272.020 -15.420 2275.020 -15.410 ;
-        RECT 2452.020 -15.420 2455.020 -15.410 ;
-        RECT 2632.020 -15.420 2635.020 -15.410 ;
-        RECT 2812.020 -15.420 2815.020 -15.410 ;
-        RECT 2940.400 -15.420 2943.400 -15.410 ;
-        RECT -23.780 -18.420 2943.400 -15.420 ;
-        RECT -23.780 -18.430 -20.780 -18.420 ;
-        RECT 112.020 -18.430 115.020 -18.420 ;
-        RECT 292.020 -18.430 295.020 -18.420 ;
-        RECT 472.020 -18.430 475.020 -18.420 ;
-        RECT 652.020 -18.430 655.020 -18.420 ;
-        RECT 832.020 -18.430 835.020 -18.420 ;
-        RECT 1012.020 -18.430 1015.020 -18.420 ;
-        RECT 1192.020 -18.430 1195.020 -18.420 ;
-        RECT 1372.020 -18.430 1375.020 -18.420 ;
-        RECT 1552.020 -18.430 1555.020 -18.420 ;
-        RECT 1732.020 -18.430 1735.020 -18.420 ;
-        RECT 1912.020 -18.430 1915.020 -18.420 ;
-        RECT 2092.020 -18.430 2095.020 -18.420 ;
-        RECT 2272.020 -18.430 2275.020 -18.420 ;
-        RECT 2452.020 -18.430 2455.020 -18.420 ;
-        RECT 2632.020 -18.430 2635.020 -18.420 ;
-        RECT 2812.020 -18.430 2815.020 -18.420 ;
-        RECT 2940.400 -18.430 2943.400 -18.420 ;
+        RECT -24.080 3538.400 -21.080 3538.410 ;
+        RECT 112.020 3538.400 115.020 3538.410 ;
+        RECT 292.020 3538.400 295.020 3538.410 ;
+        RECT 472.020 3538.400 475.020 3538.410 ;
+        RECT 652.020 3538.400 655.020 3538.410 ;
+        RECT 832.020 3538.400 835.020 3538.410 ;
+        RECT 1012.020 3538.400 1015.020 3538.410 ;
+        RECT 1192.020 3538.400 1195.020 3538.410 ;
+        RECT 1372.020 3538.400 1375.020 3538.410 ;
+        RECT 1552.020 3538.400 1555.020 3538.410 ;
+        RECT 1732.020 3538.400 1735.020 3538.410 ;
+        RECT 1912.020 3538.400 1915.020 3538.410 ;
+        RECT 2092.020 3538.400 2095.020 3538.410 ;
+        RECT 2272.020 3538.400 2275.020 3538.410 ;
+        RECT 2452.020 3538.400 2455.020 3538.410 ;
+        RECT 2632.020 3538.400 2635.020 3538.410 ;
+        RECT 2812.020 3538.400 2815.020 3538.410 ;
+        RECT 2940.700 3538.400 2943.700 3538.410 ;
+        RECT -24.080 3535.400 2943.700 3538.400 ;
+        RECT -24.080 3535.390 -21.080 3535.400 ;
+        RECT 112.020 3535.390 115.020 3535.400 ;
+        RECT 292.020 3535.390 295.020 3535.400 ;
+        RECT 472.020 3535.390 475.020 3535.400 ;
+        RECT 652.020 3535.390 655.020 3535.400 ;
+        RECT 832.020 3535.390 835.020 3535.400 ;
+        RECT 1012.020 3535.390 1015.020 3535.400 ;
+        RECT 1192.020 3535.390 1195.020 3535.400 ;
+        RECT 1372.020 3535.390 1375.020 3535.400 ;
+        RECT 1552.020 3535.390 1555.020 3535.400 ;
+        RECT 1732.020 3535.390 1735.020 3535.400 ;
+        RECT 1912.020 3535.390 1915.020 3535.400 ;
+        RECT 2092.020 3535.390 2095.020 3535.400 ;
+        RECT 2272.020 3535.390 2275.020 3535.400 ;
+        RECT 2452.020 3535.390 2455.020 3535.400 ;
+        RECT 2632.020 3535.390 2635.020 3535.400 ;
+        RECT 2812.020 3535.390 2815.020 3535.400 ;
+        RECT 2940.700 3535.390 2943.700 3535.400 ;
+        RECT -24.080 3360.380 -21.080 3360.390 ;
+        RECT 2940.700 3360.380 2943.700 3360.390 ;
+        RECT -24.080 3357.380 2.400 3360.380 ;
+        RECT 2917.600 3357.380 2943.700 3360.380 ;
+        RECT -24.080 3357.370 -21.080 3357.380 ;
+        RECT 2940.700 3357.370 2943.700 3357.380 ;
+        RECT -24.080 3180.380 -21.080 3180.390 ;
+        RECT 2940.700 3180.380 2943.700 3180.390 ;
+        RECT -24.080 3177.380 2.400 3180.380 ;
+        RECT 2917.600 3177.380 2943.700 3180.380 ;
+        RECT -24.080 3177.370 -21.080 3177.380 ;
+        RECT 2940.700 3177.370 2943.700 3177.380 ;
+        RECT -24.080 3000.380 -21.080 3000.390 ;
+        RECT 2940.700 3000.380 2943.700 3000.390 ;
+        RECT -24.080 2997.380 2.400 3000.380 ;
+        RECT 2917.600 2997.380 2943.700 3000.380 ;
+        RECT -24.080 2997.370 -21.080 2997.380 ;
+        RECT 2940.700 2997.370 2943.700 2997.380 ;
+        RECT -24.080 2820.380 -21.080 2820.390 ;
+        RECT 2940.700 2820.380 2943.700 2820.390 ;
+        RECT -24.080 2817.380 2.400 2820.380 ;
+        RECT 2917.600 2817.380 2943.700 2820.380 ;
+        RECT -24.080 2817.370 -21.080 2817.380 ;
+        RECT 2940.700 2817.370 2943.700 2817.380 ;
+        RECT -24.080 2640.380 -21.080 2640.390 ;
+        RECT 2940.700 2640.380 2943.700 2640.390 ;
+        RECT -24.080 2637.380 2.400 2640.380 ;
+        RECT 2917.600 2637.380 2943.700 2640.380 ;
+        RECT -24.080 2637.370 -21.080 2637.380 ;
+        RECT 2940.700 2637.370 2943.700 2637.380 ;
+        RECT -24.080 2460.380 -21.080 2460.390 ;
+        RECT 2940.700 2460.380 2943.700 2460.390 ;
+        RECT -24.080 2457.380 2.400 2460.380 ;
+        RECT 2917.600 2457.380 2943.700 2460.380 ;
+        RECT -24.080 2457.370 -21.080 2457.380 ;
+        RECT 2940.700 2457.370 2943.700 2457.380 ;
+        RECT -24.080 2280.380 -21.080 2280.390 ;
+        RECT 2940.700 2280.380 2943.700 2280.390 ;
+        RECT -24.080 2277.380 2.400 2280.380 ;
+        RECT 2917.600 2277.380 2943.700 2280.380 ;
+        RECT -24.080 2277.370 -21.080 2277.380 ;
+        RECT 2940.700 2277.370 2943.700 2277.380 ;
+        RECT -24.080 2100.380 -21.080 2100.390 ;
+        RECT 2940.700 2100.380 2943.700 2100.390 ;
+        RECT -24.080 2097.380 2.400 2100.380 ;
+        RECT 2917.600 2097.380 2943.700 2100.380 ;
+        RECT -24.080 2097.370 -21.080 2097.380 ;
+        RECT 2940.700 2097.370 2943.700 2097.380 ;
+        RECT -24.080 1920.380 -21.080 1920.390 ;
+        RECT 2940.700 1920.380 2943.700 1920.390 ;
+        RECT -24.080 1917.380 2.400 1920.380 ;
+        RECT 2917.600 1917.380 2943.700 1920.380 ;
+        RECT -24.080 1917.370 -21.080 1917.380 ;
+        RECT 2940.700 1917.370 2943.700 1917.380 ;
+        RECT -24.080 1740.380 -21.080 1740.390 ;
+        RECT 2940.700 1740.380 2943.700 1740.390 ;
+        RECT -24.080 1737.380 2.400 1740.380 ;
+        RECT 2917.600 1737.380 2943.700 1740.380 ;
+        RECT -24.080 1737.370 -21.080 1737.380 ;
+        RECT 2940.700 1737.370 2943.700 1737.380 ;
+        RECT -24.080 1560.380 -21.080 1560.390 ;
+        RECT 2940.700 1560.380 2943.700 1560.390 ;
+        RECT -24.080 1557.380 2.400 1560.380 ;
+        RECT 2917.600 1557.380 2943.700 1560.380 ;
+        RECT -24.080 1557.370 -21.080 1557.380 ;
+        RECT 2940.700 1557.370 2943.700 1557.380 ;
+        RECT -24.080 1380.380 -21.080 1380.390 ;
+        RECT 2940.700 1380.380 2943.700 1380.390 ;
+        RECT -24.080 1377.380 2.400 1380.380 ;
+        RECT 2917.600 1377.380 2943.700 1380.380 ;
+        RECT -24.080 1377.370 -21.080 1377.380 ;
+        RECT 2940.700 1377.370 2943.700 1377.380 ;
+        RECT -24.080 1200.380 -21.080 1200.390 ;
+        RECT 2940.700 1200.380 2943.700 1200.390 ;
+        RECT -24.080 1197.380 2.400 1200.380 ;
+        RECT 2917.600 1197.380 2943.700 1200.380 ;
+        RECT -24.080 1197.370 -21.080 1197.380 ;
+        RECT 2940.700 1197.370 2943.700 1197.380 ;
+        RECT -24.080 1020.380 -21.080 1020.390 ;
+        RECT 2940.700 1020.380 2943.700 1020.390 ;
+        RECT -24.080 1017.380 2.400 1020.380 ;
+        RECT 2917.600 1017.380 2943.700 1020.380 ;
+        RECT -24.080 1017.370 -21.080 1017.380 ;
+        RECT 2940.700 1017.370 2943.700 1017.380 ;
+        RECT -24.080 840.380 -21.080 840.390 ;
+        RECT 2940.700 840.380 2943.700 840.390 ;
+        RECT -24.080 837.380 2.400 840.380 ;
+        RECT 2917.600 837.380 2943.700 840.380 ;
+        RECT -24.080 837.370 -21.080 837.380 ;
+        RECT 2940.700 837.370 2943.700 837.380 ;
+        RECT -24.080 660.380 -21.080 660.390 ;
+        RECT 2940.700 660.380 2943.700 660.390 ;
+        RECT -24.080 657.380 2.400 660.380 ;
+        RECT 2917.600 657.380 2943.700 660.380 ;
+        RECT -24.080 657.370 -21.080 657.380 ;
+        RECT 2940.700 657.370 2943.700 657.380 ;
+        RECT -24.080 480.380 -21.080 480.390 ;
+        RECT 2940.700 480.380 2943.700 480.390 ;
+        RECT -24.080 477.380 2.400 480.380 ;
+        RECT 2917.600 477.380 2943.700 480.380 ;
+        RECT -24.080 477.370 -21.080 477.380 ;
+        RECT 2940.700 477.370 2943.700 477.380 ;
+        RECT -24.080 300.380 -21.080 300.390 ;
+        RECT 2940.700 300.380 2943.700 300.390 ;
+        RECT -24.080 297.380 2.400 300.380 ;
+        RECT 2917.600 297.380 2943.700 300.380 ;
+        RECT -24.080 297.370 -21.080 297.380 ;
+        RECT 2940.700 297.370 2943.700 297.380 ;
+        RECT -24.080 120.380 -21.080 120.390 ;
+        RECT 2940.700 120.380 2943.700 120.390 ;
+        RECT -24.080 117.380 2.400 120.380 ;
+        RECT 2917.600 117.380 2943.700 120.380 ;
+        RECT -24.080 117.370 -21.080 117.380 ;
+        RECT 2940.700 117.370 2943.700 117.380 ;
+        RECT -24.080 -15.720 -21.080 -15.710 ;
+        RECT 112.020 -15.720 115.020 -15.710 ;
+        RECT 292.020 -15.720 295.020 -15.710 ;
+        RECT 472.020 -15.720 475.020 -15.710 ;
+        RECT 652.020 -15.720 655.020 -15.710 ;
+        RECT 832.020 -15.720 835.020 -15.710 ;
+        RECT 1012.020 -15.720 1015.020 -15.710 ;
+        RECT 1192.020 -15.720 1195.020 -15.710 ;
+        RECT 1372.020 -15.720 1375.020 -15.710 ;
+        RECT 1552.020 -15.720 1555.020 -15.710 ;
+        RECT 1732.020 -15.720 1735.020 -15.710 ;
+        RECT 1912.020 -15.720 1915.020 -15.710 ;
+        RECT 2092.020 -15.720 2095.020 -15.710 ;
+        RECT 2272.020 -15.720 2275.020 -15.710 ;
+        RECT 2452.020 -15.720 2455.020 -15.710 ;
+        RECT 2632.020 -15.720 2635.020 -15.710 ;
+        RECT 2812.020 -15.720 2815.020 -15.710 ;
+        RECT 2940.700 -15.720 2943.700 -15.710 ;
+        RECT -24.080 -18.720 2943.700 -15.720 ;
+        RECT -24.080 -18.730 -21.080 -18.720 ;
+        RECT 112.020 -18.730 115.020 -18.720 ;
+        RECT 292.020 -18.730 295.020 -18.720 ;
+        RECT 472.020 -18.730 475.020 -18.720 ;
+        RECT 652.020 -18.730 655.020 -18.720 ;
+        RECT 832.020 -18.730 835.020 -18.720 ;
+        RECT 1012.020 -18.730 1015.020 -18.720 ;
+        RECT 1192.020 -18.730 1195.020 -18.720 ;
+        RECT 1372.020 -18.730 1375.020 -18.720 ;
+        RECT 1552.020 -18.730 1555.020 -18.720 ;
+        RECT 1732.020 -18.730 1735.020 -18.720 ;
+        RECT 1912.020 -18.730 1915.020 -18.720 ;
+        RECT 2092.020 -18.730 2095.020 -18.720 ;
+        RECT 2272.020 -18.730 2275.020 -18.720 ;
+        RECT 2452.020 -18.730 2455.020 -18.720 ;
+        RECT 2632.020 -18.730 2635.020 -18.720 ;
+        RECT 2812.020 -18.730 2815.020 -18.720 ;
+        RECT 2940.700 -18.730 2943.700 -18.720 ;
     END
   END vssd2
   PIN vdda1
     DIRECTION INPUT ;
     PORT
       LAYER met4 ;
-        RECT -28.380 -23.020 -25.380 3542.700 ;
-        RECT 40.020 3517.600 43.020 3547.300 ;
-        RECT 220.020 3517.600 223.020 3547.300 ;
-        RECT 400.020 3517.600 403.020 3547.300 ;
-        RECT 580.020 3517.600 583.020 3547.300 ;
-        RECT 760.020 3517.600 763.020 3547.300 ;
-        RECT 940.020 3517.600 943.020 3547.300 ;
-        RECT 1120.020 3517.600 1123.020 3547.300 ;
-        RECT 1300.020 3517.600 1303.020 3547.300 ;
-        RECT 1480.020 3517.600 1483.020 3547.300 ;
-        RECT 1660.020 3517.600 1663.020 3547.300 ;
-        RECT 1840.020 3517.600 1843.020 3547.300 ;
-        RECT 2020.020 3517.600 2023.020 3547.300 ;
-        RECT 2200.020 3517.600 2203.020 3547.300 ;
-        RECT 2380.020 3517.600 2383.020 3547.300 ;
-        RECT 2560.020 3517.600 2563.020 3547.300 ;
-        RECT 2740.020 3517.600 2743.020 3547.300 ;
-        RECT 40.020 -27.620 43.020 2.400 ;
-        RECT 220.020 -27.620 223.020 2.400 ;
-        RECT 400.020 -27.620 403.020 2.400 ;
-        RECT 580.020 -27.620 583.020 2.400 ;
-        RECT 760.020 -27.620 763.020 2.400 ;
-        RECT 940.020 -27.620 943.020 2.400 ;
-        RECT 1120.020 -27.620 1123.020 2.400 ;
-        RECT 1300.020 -27.620 1303.020 2.400 ;
-        RECT 1480.020 -27.620 1483.020 2.400 ;
-        RECT 1660.020 -27.620 1663.020 2.400 ;
-        RECT 1840.020 -27.620 1843.020 2.400 ;
-        RECT 2020.020 -27.620 2023.020 2.400 ;
-        RECT 2200.020 -27.620 2203.020 2.400 ;
-        RECT 2380.020 -27.620 2383.020 2.400 ;
-        RECT 2560.020 -27.620 2563.020 2.400 ;
-        RECT 2740.020 -27.620 2743.020 2.400 ;
-        RECT 2945.000 -23.020 2948.000 3542.700 ;
+        RECT -28.780 -23.420 -25.780 3543.100 ;
+        RECT 40.020 3517.600 43.020 3547.800 ;
+        RECT 220.020 3517.600 223.020 3547.800 ;
+        RECT 400.020 3517.600 403.020 3547.800 ;
+        RECT 580.020 3517.600 583.020 3547.800 ;
+        RECT 760.020 3517.600 763.020 3547.800 ;
+        RECT 940.020 3517.600 943.020 3547.800 ;
+        RECT 1120.020 3517.600 1123.020 3547.800 ;
+        RECT 1300.020 3517.600 1303.020 3547.800 ;
+        RECT 1480.020 3517.600 1483.020 3547.800 ;
+        RECT 1660.020 3517.600 1663.020 3547.800 ;
+        RECT 1840.020 3517.600 1843.020 3547.800 ;
+        RECT 2020.020 3517.600 2023.020 3547.800 ;
+        RECT 2200.020 3517.600 2203.020 3547.800 ;
+        RECT 2380.020 3517.600 2383.020 3547.800 ;
+        RECT 2560.020 3517.600 2563.020 3547.800 ;
+        RECT 2740.020 3517.600 2743.020 3547.800 ;
+        RECT 40.020 -28.120 43.020 2.400 ;
+        RECT 220.020 -28.120 223.020 2.400 ;
+        RECT 400.020 -28.120 403.020 2.400 ;
+        RECT 580.020 -28.120 583.020 2.400 ;
+        RECT 760.020 -28.120 763.020 2.400 ;
+        RECT 940.020 -28.120 943.020 2.400 ;
+        RECT 1120.020 -28.120 1123.020 2.400 ;
+        RECT 1300.020 -28.120 1303.020 2.400 ;
+        RECT 1480.020 -28.120 1483.020 2.400 ;
+        RECT 1660.020 -28.120 1663.020 2.400 ;
+        RECT 1840.020 -28.120 1843.020 2.400 ;
+        RECT 2020.020 -28.120 2023.020 2.400 ;
+        RECT 2200.020 -28.120 2203.020 2.400 ;
+        RECT 2380.020 -28.120 2383.020 2.400 ;
+        RECT 2560.020 -28.120 2563.020 2.400 ;
+        RECT 2740.020 -28.120 2743.020 2.400 ;
+        RECT 2945.400 -23.420 2948.400 3543.100 ;
       LAYER M4M5_PR_C ;
-        RECT -27.470 3541.410 -26.290 3542.590 ;
-        RECT -27.470 3539.810 -26.290 3540.990 ;
-        RECT 40.930 3541.410 42.110 3542.590 ;
-        RECT 40.930 3539.810 42.110 3540.990 ;
-        RECT 220.930 3541.410 222.110 3542.590 ;
-        RECT 220.930 3539.810 222.110 3540.990 ;
-        RECT 400.930 3541.410 402.110 3542.590 ;
-        RECT 400.930 3539.810 402.110 3540.990 ;
-        RECT 580.930 3541.410 582.110 3542.590 ;
-        RECT 580.930 3539.810 582.110 3540.990 ;
-        RECT 760.930 3541.410 762.110 3542.590 ;
-        RECT 760.930 3539.810 762.110 3540.990 ;
-        RECT 940.930 3541.410 942.110 3542.590 ;
-        RECT 940.930 3539.810 942.110 3540.990 ;
-        RECT 1120.930 3541.410 1122.110 3542.590 ;
-        RECT 1120.930 3539.810 1122.110 3540.990 ;
-        RECT 1300.930 3541.410 1302.110 3542.590 ;
-        RECT 1300.930 3539.810 1302.110 3540.990 ;
-        RECT 1480.930 3541.410 1482.110 3542.590 ;
-        RECT 1480.930 3539.810 1482.110 3540.990 ;
-        RECT 1660.930 3541.410 1662.110 3542.590 ;
-        RECT 1660.930 3539.810 1662.110 3540.990 ;
-        RECT 1840.930 3541.410 1842.110 3542.590 ;
-        RECT 1840.930 3539.810 1842.110 3540.990 ;
-        RECT 2020.930 3541.410 2022.110 3542.590 ;
-        RECT 2020.930 3539.810 2022.110 3540.990 ;
-        RECT 2200.930 3541.410 2202.110 3542.590 ;
-        RECT 2200.930 3539.810 2202.110 3540.990 ;
-        RECT 2380.930 3541.410 2382.110 3542.590 ;
-        RECT 2380.930 3539.810 2382.110 3540.990 ;
-        RECT 2560.930 3541.410 2562.110 3542.590 ;
-        RECT 2560.930 3539.810 2562.110 3540.990 ;
-        RECT 2740.930 3541.410 2742.110 3542.590 ;
-        RECT 2740.930 3539.810 2742.110 3540.990 ;
-        RECT 2945.910 3541.410 2947.090 3542.590 ;
-        RECT 2945.910 3539.810 2947.090 3540.990 ;
-        RECT -27.470 3467.090 -26.290 3468.270 ;
-        RECT -27.470 3465.490 -26.290 3466.670 ;
-        RECT -27.470 3287.090 -26.290 3288.270 ;
-        RECT -27.470 3285.490 -26.290 3286.670 ;
-        RECT -27.470 3107.090 -26.290 3108.270 ;
-        RECT -27.470 3105.490 -26.290 3106.670 ;
-        RECT -27.470 2927.090 -26.290 2928.270 ;
-        RECT -27.470 2925.490 -26.290 2926.670 ;
-        RECT -27.470 2747.090 -26.290 2748.270 ;
-        RECT -27.470 2745.490 -26.290 2746.670 ;
-        RECT -27.470 2567.090 -26.290 2568.270 ;
-        RECT -27.470 2565.490 -26.290 2566.670 ;
-        RECT -27.470 2387.090 -26.290 2388.270 ;
-        RECT -27.470 2385.490 -26.290 2386.670 ;
-        RECT -27.470 2207.090 -26.290 2208.270 ;
-        RECT -27.470 2205.490 -26.290 2206.670 ;
-        RECT -27.470 2027.090 -26.290 2028.270 ;
-        RECT -27.470 2025.490 -26.290 2026.670 ;
-        RECT -27.470 1847.090 -26.290 1848.270 ;
-        RECT -27.470 1845.490 -26.290 1846.670 ;
-        RECT -27.470 1667.090 -26.290 1668.270 ;
-        RECT -27.470 1665.490 -26.290 1666.670 ;
-        RECT -27.470 1487.090 -26.290 1488.270 ;
-        RECT -27.470 1485.490 -26.290 1486.670 ;
-        RECT -27.470 1307.090 -26.290 1308.270 ;
-        RECT -27.470 1305.490 -26.290 1306.670 ;
-        RECT -27.470 1127.090 -26.290 1128.270 ;
-        RECT -27.470 1125.490 -26.290 1126.670 ;
-        RECT -27.470 947.090 -26.290 948.270 ;
-        RECT -27.470 945.490 -26.290 946.670 ;
-        RECT -27.470 767.090 -26.290 768.270 ;
-        RECT -27.470 765.490 -26.290 766.670 ;
-        RECT -27.470 587.090 -26.290 588.270 ;
-        RECT -27.470 585.490 -26.290 586.670 ;
-        RECT -27.470 407.090 -26.290 408.270 ;
-        RECT -27.470 405.490 -26.290 406.670 ;
-        RECT -27.470 227.090 -26.290 228.270 ;
-        RECT -27.470 225.490 -26.290 226.670 ;
-        RECT -27.470 47.090 -26.290 48.270 ;
-        RECT -27.470 45.490 -26.290 46.670 ;
-        RECT 2945.910 3467.090 2947.090 3468.270 ;
-        RECT 2945.910 3465.490 2947.090 3466.670 ;
-        RECT 2945.910 3287.090 2947.090 3288.270 ;
-        RECT 2945.910 3285.490 2947.090 3286.670 ;
-        RECT 2945.910 3107.090 2947.090 3108.270 ;
-        RECT 2945.910 3105.490 2947.090 3106.670 ;
-        RECT 2945.910 2927.090 2947.090 2928.270 ;
-        RECT 2945.910 2925.490 2947.090 2926.670 ;
-        RECT 2945.910 2747.090 2947.090 2748.270 ;
-        RECT 2945.910 2745.490 2947.090 2746.670 ;
-        RECT 2945.910 2567.090 2947.090 2568.270 ;
-        RECT 2945.910 2565.490 2947.090 2566.670 ;
-        RECT 2945.910 2387.090 2947.090 2388.270 ;
-        RECT 2945.910 2385.490 2947.090 2386.670 ;
-        RECT 2945.910 2207.090 2947.090 2208.270 ;
-        RECT 2945.910 2205.490 2947.090 2206.670 ;
-        RECT 2945.910 2027.090 2947.090 2028.270 ;
-        RECT 2945.910 2025.490 2947.090 2026.670 ;
-        RECT 2945.910 1847.090 2947.090 1848.270 ;
-        RECT 2945.910 1845.490 2947.090 1846.670 ;
-        RECT 2945.910 1667.090 2947.090 1668.270 ;
-        RECT 2945.910 1665.490 2947.090 1666.670 ;
-        RECT 2945.910 1487.090 2947.090 1488.270 ;
-        RECT 2945.910 1485.490 2947.090 1486.670 ;
-        RECT 2945.910 1307.090 2947.090 1308.270 ;
-        RECT 2945.910 1305.490 2947.090 1306.670 ;
-        RECT 2945.910 1127.090 2947.090 1128.270 ;
-        RECT 2945.910 1125.490 2947.090 1126.670 ;
-        RECT 2945.910 947.090 2947.090 948.270 ;
-        RECT 2945.910 945.490 2947.090 946.670 ;
-        RECT 2945.910 767.090 2947.090 768.270 ;
-        RECT 2945.910 765.490 2947.090 766.670 ;
-        RECT 2945.910 587.090 2947.090 588.270 ;
-        RECT 2945.910 585.490 2947.090 586.670 ;
-        RECT 2945.910 407.090 2947.090 408.270 ;
-        RECT 2945.910 405.490 2947.090 406.670 ;
-        RECT 2945.910 227.090 2947.090 228.270 ;
-        RECT 2945.910 225.490 2947.090 226.670 ;
-        RECT 2945.910 47.090 2947.090 48.270 ;
-        RECT 2945.910 45.490 2947.090 46.670 ;
-        RECT -27.470 -21.310 -26.290 -20.130 ;
-        RECT -27.470 -22.910 -26.290 -21.730 ;
-        RECT 40.930 -21.310 42.110 -20.130 ;
-        RECT 40.930 -22.910 42.110 -21.730 ;
-        RECT 220.930 -21.310 222.110 -20.130 ;
-        RECT 220.930 -22.910 222.110 -21.730 ;
-        RECT 400.930 -21.310 402.110 -20.130 ;
-        RECT 400.930 -22.910 402.110 -21.730 ;
-        RECT 580.930 -21.310 582.110 -20.130 ;
-        RECT 580.930 -22.910 582.110 -21.730 ;
-        RECT 760.930 -21.310 762.110 -20.130 ;
-        RECT 760.930 -22.910 762.110 -21.730 ;
-        RECT 940.930 -21.310 942.110 -20.130 ;
-        RECT 940.930 -22.910 942.110 -21.730 ;
-        RECT 1120.930 -21.310 1122.110 -20.130 ;
-        RECT 1120.930 -22.910 1122.110 -21.730 ;
-        RECT 1300.930 -21.310 1302.110 -20.130 ;
-        RECT 1300.930 -22.910 1302.110 -21.730 ;
-        RECT 1480.930 -21.310 1482.110 -20.130 ;
-        RECT 1480.930 -22.910 1482.110 -21.730 ;
-        RECT 1660.930 -21.310 1662.110 -20.130 ;
-        RECT 1660.930 -22.910 1662.110 -21.730 ;
-        RECT 1840.930 -21.310 1842.110 -20.130 ;
-        RECT 1840.930 -22.910 1842.110 -21.730 ;
-        RECT 2020.930 -21.310 2022.110 -20.130 ;
-        RECT 2020.930 -22.910 2022.110 -21.730 ;
-        RECT 2200.930 -21.310 2202.110 -20.130 ;
-        RECT 2200.930 -22.910 2202.110 -21.730 ;
-        RECT 2380.930 -21.310 2382.110 -20.130 ;
-        RECT 2380.930 -22.910 2382.110 -21.730 ;
-        RECT 2560.930 -21.310 2562.110 -20.130 ;
-        RECT 2560.930 -22.910 2562.110 -21.730 ;
-        RECT 2740.930 -21.310 2742.110 -20.130 ;
-        RECT 2740.930 -22.910 2742.110 -21.730 ;
-        RECT 2945.910 -21.310 2947.090 -20.130 ;
-        RECT 2945.910 -22.910 2947.090 -21.730 ;
+        RECT -27.870 3541.810 -26.690 3542.990 ;
+        RECT -27.870 3540.210 -26.690 3541.390 ;
+        RECT 40.930 3541.810 42.110 3542.990 ;
+        RECT 40.930 3540.210 42.110 3541.390 ;
+        RECT 220.930 3541.810 222.110 3542.990 ;
+        RECT 220.930 3540.210 222.110 3541.390 ;
+        RECT 400.930 3541.810 402.110 3542.990 ;
+        RECT 400.930 3540.210 402.110 3541.390 ;
+        RECT 580.930 3541.810 582.110 3542.990 ;
+        RECT 580.930 3540.210 582.110 3541.390 ;
+        RECT 760.930 3541.810 762.110 3542.990 ;
+        RECT 760.930 3540.210 762.110 3541.390 ;
+        RECT 940.930 3541.810 942.110 3542.990 ;
+        RECT 940.930 3540.210 942.110 3541.390 ;
+        RECT 1120.930 3541.810 1122.110 3542.990 ;
+        RECT 1120.930 3540.210 1122.110 3541.390 ;
+        RECT 1300.930 3541.810 1302.110 3542.990 ;
+        RECT 1300.930 3540.210 1302.110 3541.390 ;
+        RECT 1480.930 3541.810 1482.110 3542.990 ;
+        RECT 1480.930 3540.210 1482.110 3541.390 ;
+        RECT 1660.930 3541.810 1662.110 3542.990 ;
+        RECT 1660.930 3540.210 1662.110 3541.390 ;
+        RECT 1840.930 3541.810 1842.110 3542.990 ;
+        RECT 1840.930 3540.210 1842.110 3541.390 ;
+        RECT 2020.930 3541.810 2022.110 3542.990 ;
+        RECT 2020.930 3540.210 2022.110 3541.390 ;
+        RECT 2200.930 3541.810 2202.110 3542.990 ;
+        RECT 2200.930 3540.210 2202.110 3541.390 ;
+        RECT 2380.930 3541.810 2382.110 3542.990 ;
+        RECT 2380.930 3540.210 2382.110 3541.390 ;
+        RECT 2560.930 3541.810 2562.110 3542.990 ;
+        RECT 2560.930 3540.210 2562.110 3541.390 ;
+        RECT 2740.930 3541.810 2742.110 3542.990 ;
+        RECT 2740.930 3540.210 2742.110 3541.390 ;
+        RECT 2946.310 3541.810 2947.490 3542.990 ;
+        RECT 2946.310 3540.210 2947.490 3541.390 ;
+        RECT -27.870 3467.090 -26.690 3468.270 ;
+        RECT -27.870 3465.490 -26.690 3466.670 ;
+        RECT -27.870 3287.090 -26.690 3288.270 ;
+        RECT -27.870 3285.490 -26.690 3286.670 ;
+        RECT -27.870 3107.090 -26.690 3108.270 ;
+        RECT -27.870 3105.490 -26.690 3106.670 ;
+        RECT -27.870 2927.090 -26.690 2928.270 ;
+        RECT -27.870 2925.490 -26.690 2926.670 ;
+        RECT -27.870 2747.090 -26.690 2748.270 ;
+        RECT -27.870 2745.490 -26.690 2746.670 ;
+        RECT -27.870 2567.090 -26.690 2568.270 ;
+        RECT -27.870 2565.490 -26.690 2566.670 ;
+        RECT -27.870 2387.090 -26.690 2388.270 ;
+        RECT -27.870 2385.490 -26.690 2386.670 ;
+        RECT -27.870 2207.090 -26.690 2208.270 ;
+        RECT -27.870 2205.490 -26.690 2206.670 ;
+        RECT -27.870 2027.090 -26.690 2028.270 ;
+        RECT -27.870 2025.490 -26.690 2026.670 ;
+        RECT -27.870 1847.090 -26.690 1848.270 ;
+        RECT -27.870 1845.490 -26.690 1846.670 ;
+        RECT -27.870 1667.090 -26.690 1668.270 ;
+        RECT -27.870 1665.490 -26.690 1666.670 ;
+        RECT -27.870 1487.090 -26.690 1488.270 ;
+        RECT -27.870 1485.490 -26.690 1486.670 ;
+        RECT -27.870 1307.090 -26.690 1308.270 ;
+        RECT -27.870 1305.490 -26.690 1306.670 ;
+        RECT -27.870 1127.090 -26.690 1128.270 ;
+        RECT -27.870 1125.490 -26.690 1126.670 ;
+        RECT -27.870 947.090 -26.690 948.270 ;
+        RECT -27.870 945.490 -26.690 946.670 ;
+        RECT -27.870 767.090 -26.690 768.270 ;
+        RECT -27.870 765.490 -26.690 766.670 ;
+        RECT -27.870 587.090 -26.690 588.270 ;
+        RECT -27.870 585.490 -26.690 586.670 ;
+        RECT -27.870 407.090 -26.690 408.270 ;
+        RECT -27.870 405.490 -26.690 406.670 ;
+        RECT -27.870 227.090 -26.690 228.270 ;
+        RECT -27.870 225.490 -26.690 226.670 ;
+        RECT -27.870 47.090 -26.690 48.270 ;
+        RECT -27.870 45.490 -26.690 46.670 ;
+        RECT 2946.310 3467.090 2947.490 3468.270 ;
+        RECT 2946.310 3465.490 2947.490 3466.670 ;
+        RECT 2946.310 3287.090 2947.490 3288.270 ;
+        RECT 2946.310 3285.490 2947.490 3286.670 ;
+        RECT 2946.310 3107.090 2947.490 3108.270 ;
+        RECT 2946.310 3105.490 2947.490 3106.670 ;
+        RECT 2946.310 2927.090 2947.490 2928.270 ;
+        RECT 2946.310 2925.490 2947.490 2926.670 ;
+        RECT 2946.310 2747.090 2947.490 2748.270 ;
+        RECT 2946.310 2745.490 2947.490 2746.670 ;
+        RECT 2946.310 2567.090 2947.490 2568.270 ;
+        RECT 2946.310 2565.490 2947.490 2566.670 ;
+        RECT 2946.310 2387.090 2947.490 2388.270 ;
+        RECT 2946.310 2385.490 2947.490 2386.670 ;
+        RECT 2946.310 2207.090 2947.490 2208.270 ;
+        RECT 2946.310 2205.490 2947.490 2206.670 ;
+        RECT 2946.310 2027.090 2947.490 2028.270 ;
+        RECT 2946.310 2025.490 2947.490 2026.670 ;
+        RECT 2946.310 1847.090 2947.490 1848.270 ;
+        RECT 2946.310 1845.490 2947.490 1846.670 ;
+        RECT 2946.310 1667.090 2947.490 1668.270 ;
+        RECT 2946.310 1665.490 2947.490 1666.670 ;
+        RECT 2946.310 1487.090 2947.490 1488.270 ;
+        RECT 2946.310 1485.490 2947.490 1486.670 ;
+        RECT 2946.310 1307.090 2947.490 1308.270 ;
+        RECT 2946.310 1305.490 2947.490 1306.670 ;
+        RECT 2946.310 1127.090 2947.490 1128.270 ;
+        RECT 2946.310 1125.490 2947.490 1126.670 ;
+        RECT 2946.310 947.090 2947.490 948.270 ;
+        RECT 2946.310 945.490 2947.490 946.670 ;
+        RECT 2946.310 767.090 2947.490 768.270 ;
+        RECT 2946.310 765.490 2947.490 766.670 ;
+        RECT 2946.310 587.090 2947.490 588.270 ;
+        RECT 2946.310 585.490 2947.490 586.670 ;
+        RECT 2946.310 407.090 2947.490 408.270 ;
+        RECT 2946.310 405.490 2947.490 406.670 ;
+        RECT 2946.310 227.090 2947.490 228.270 ;
+        RECT 2946.310 225.490 2947.490 226.670 ;
+        RECT 2946.310 47.090 2947.490 48.270 ;
+        RECT 2946.310 45.490 2947.490 46.670 ;
+        RECT -27.870 -21.710 -26.690 -20.530 ;
+        RECT -27.870 -23.310 -26.690 -22.130 ;
+        RECT 40.930 -21.710 42.110 -20.530 ;
+        RECT 40.930 -23.310 42.110 -22.130 ;
+        RECT 220.930 -21.710 222.110 -20.530 ;
+        RECT 220.930 -23.310 222.110 -22.130 ;
+        RECT 400.930 -21.710 402.110 -20.530 ;
+        RECT 400.930 -23.310 402.110 -22.130 ;
+        RECT 580.930 -21.710 582.110 -20.530 ;
+        RECT 580.930 -23.310 582.110 -22.130 ;
+        RECT 760.930 -21.710 762.110 -20.530 ;
+        RECT 760.930 -23.310 762.110 -22.130 ;
+        RECT 940.930 -21.710 942.110 -20.530 ;
+        RECT 940.930 -23.310 942.110 -22.130 ;
+        RECT 1120.930 -21.710 1122.110 -20.530 ;
+        RECT 1120.930 -23.310 1122.110 -22.130 ;
+        RECT 1300.930 -21.710 1302.110 -20.530 ;
+        RECT 1300.930 -23.310 1302.110 -22.130 ;
+        RECT 1480.930 -21.710 1482.110 -20.530 ;
+        RECT 1480.930 -23.310 1482.110 -22.130 ;
+        RECT 1660.930 -21.710 1662.110 -20.530 ;
+        RECT 1660.930 -23.310 1662.110 -22.130 ;
+        RECT 1840.930 -21.710 1842.110 -20.530 ;
+        RECT 1840.930 -23.310 1842.110 -22.130 ;
+        RECT 2020.930 -21.710 2022.110 -20.530 ;
+        RECT 2020.930 -23.310 2022.110 -22.130 ;
+        RECT 2200.930 -21.710 2202.110 -20.530 ;
+        RECT 2200.930 -23.310 2202.110 -22.130 ;
+        RECT 2380.930 -21.710 2382.110 -20.530 ;
+        RECT 2380.930 -23.310 2382.110 -22.130 ;
+        RECT 2560.930 -21.710 2562.110 -20.530 ;
+        RECT 2560.930 -23.310 2562.110 -22.130 ;
+        RECT 2740.930 -21.710 2742.110 -20.530 ;
+        RECT 2740.930 -23.310 2742.110 -22.130 ;
+        RECT 2946.310 -21.710 2947.490 -20.530 ;
+        RECT 2946.310 -23.310 2947.490 -22.130 ;
       LAYER met5 ;
-        RECT -28.380 3542.700 -25.380 3542.710 ;
-        RECT 40.020 3542.700 43.020 3542.710 ;
-        RECT 220.020 3542.700 223.020 3542.710 ;
-        RECT 400.020 3542.700 403.020 3542.710 ;
-        RECT 580.020 3542.700 583.020 3542.710 ;
-        RECT 760.020 3542.700 763.020 3542.710 ;
-        RECT 940.020 3542.700 943.020 3542.710 ;
-        RECT 1120.020 3542.700 1123.020 3542.710 ;
-        RECT 1300.020 3542.700 1303.020 3542.710 ;
-        RECT 1480.020 3542.700 1483.020 3542.710 ;
-        RECT 1660.020 3542.700 1663.020 3542.710 ;
-        RECT 1840.020 3542.700 1843.020 3542.710 ;
-        RECT 2020.020 3542.700 2023.020 3542.710 ;
-        RECT 2200.020 3542.700 2203.020 3542.710 ;
-        RECT 2380.020 3542.700 2383.020 3542.710 ;
-        RECT 2560.020 3542.700 2563.020 3542.710 ;
-        RECT 2740.020 3542.700 2743.020 3542.710 ;
-        RECT 2945.000 3542.700 2948.000 3542.710 ;
-        RECT -28.380 3539.700 2948.000 3542.700 ;
-        RECT -28.380 3539.690 -25.380 3539.700 ;
-        RECT 40.020 3539.690 43.020 3539.700 ;
-        RECT 220.020 3539.690 223.020 3539.700 ;
-        RECT 400.020 3539.690 403.020 3539.700 ;
-        RECT 580.020 3539.690 583.020 3539.700 ;
-        RECT 760.020 3539.690 763.020 3539.700 ;
-        RECT 940.020 3539.690 943.020 3539.700 ;
-        RECT 1120.020 3539.690 1123.020 3539.700 ;
-        RECT 1300.020 3539.690 1303.020 3539.700 ;
-        RECT 1480.020 3539.690 1483.020 3539.700 ;
-        RECT 1660.020 3539.690 1663.020 3539.700 ;
-        RECT 1840.020 3539.690 1843.020 3539.700 ;
-        RECT 2020.020 3539.690 2023.020 3539.700 ;
-        RECT 2200.020 3539.690 2203.020 3539.700 ;
-        RECT 2380.020 3539.690 2383.020 3539.700 ;
-        RECT 2560.020 3539.690 2563.020 3539.700 ;
-        RECT 2740.020 3539.690 2743.020 3539.700 ;
-        RECT 2945.000 3539.690 2948.000 3539.700 ;
-        RECT -28.380 3468.380 -25.380 3468.390 ;
-        RECT 2945.000 3468.380 2948.000 3468.390 ;
-        RECT -32.980 3465.380 2.400 3468.380 ;
-        RECT 2917.600 3465.380 2952.600 3468.380 ;
-        RECT -28.380 3465.370 -25.380 3465.380 ;
-        RECT 2945.000 3465.370 2948.000 3465.380 ;
-        RECT -28.380 3288.380 -25.380 3288.390 ;
-        RECT 2945.000 3288.380 2948.000 3288.390 ;
-        RECT -32.980 3285.380 2.400 3288.380 ;
-        RECT 2917.600 3285.380 2952.600 3288.380 ;
-        RECT -28.380 3285.370 -25.380 3285.380 ;
-        RECT 2945.000 3285.370 2948.000 3285.380 ;
-        RECT -28.380 3108.380 -25.380 3108.390 ;
-        RECT 2945.000 3108.380 2948.000 3108.390 ;
-        RECT -32.980 3105.380 2.400 3108.380 ;
-        RECT 2917.600 3105.380 2952.600 3108.380 ;
-        RECT -28.380 3105.370 -25.380 3105.380 ;
-        RECT 2945.000 3105.370 2948.000 3105.380 ;
-        RECT -28.380 2928.380 -25.380 2928.390 ;
-        RECT 2945.000 2928.380 2948.000 2928.390 ;
-        RECT -32.980 2925.380 2.400 2928.380 ;
-        RECT 2917.600 2925.380 2952.600 2928.380 ;
-        RECT -28.380 2925.370 -25.380 2925.380 ;
-        RECT 2945.000 2925.370 2948.000 2925.380 ;
-        RECT -28.380 2748.380 -25.380 2748.390 ;
-        RECT 2945.000 2748.380 2948.000 2748.390 ;
-        RECT -32.980 2745.380 2.400 2748.380 ;
-        RECT 2917.600 2745.380 2952.600 2748.380 ;
-        RECT -28.380 2745.370 -25.380 2745.380 ;
-        RECT 2945.000 2745.370 2948.000 2745.380 ;
-        RECT -28.380 2568.380 -25.380 2568.390 ;
-        RECT 2945.000 2568.380 2948.000 2568.390 ;
-        RECT -32.980 2565.380 2.400 2568.380 ;
-        RECT 2917.600 2565.380 2952.600 2568.380 ;
-        RECT -28.380 2565.370 -25.380 2565.380 ;
-        RECT 2945.000 2565.370 2948.000 2565.380 ;
-        RECT -28.380 2388.380 -25.380 2388.390 ;
-        RECT 2945.000 2388.380 2948.000 2388.390 ;
-        RECT -32.980 2385.380 2.400 2388.380 ;
-        RECT 2917.600 2385.380 2952.600 2388.380 ;
-        RECT -28.380 2385.370 -25.380 2385.380 ;
-        RECT 2945.000 2385.370 2948.000 2385.380 ;
-        RECT -28.380 2208.380 -25.380 2208.390 ;
-        RECT 2945.000 2208.380 2948.000 2208.390 ;
-        RECT -32.980 2205.380 2.400 2208.380 ;
-        RECT 2917.600 2205.380 2952.600 2208.380 ;
-        RECT -28.380 2205.370 -25.380 2205.380 ;
-        RECT 2945.000 2205.370 2948.000 2205.380 ;
-        RECT -28.380 2028.380 -25.380 2028.390 ;
-        RECT 2945.000 2028.380 2948.000 2028.390 ;
-        RECT -32.980 2025.380 2.400 2028.380 ;
-        RECT 2917.600 2025.380 2952.600 2028.380 ;
-        RECT -28.380 2025.370 -25.380 2025.380 ;
-        RECT 2945.000 2025.370 2948.000 2025.380 ;
-        RECT -28.380 1848.380 -25.380 1848.390 ;
-        RECT 2945.000 1848.380 2948.000 1848.390 ;
-        RECT -32.980 1845.380 2.400 1848.380 ;
-        RECT 2917.600 1845.380 2952.600 1848.380 ;
-        RECT -28.380 1845.370 -25.380 1845.380 ;
-        RECT 2945.000 1845.370 2948.000 1845.380 ;
-        RECT -28.380 1668.380 -25.380 1668.390 ;
-        RECT 2945.000 1668.380 2948.000 1668.390 ;
-        RECT -32.980 1665.380 2.400 1668.380 ;
-        RECT 2917.600 1665.380 2952.600 1668.380 ;
-        RECT -28.380 1665.370 -25.380 1665.380 ;
-        RECT 2945.000 1665.370 2948.000 1665.380 ;
-        RECT -28.380 1488.380 -25.380 1488.390 ;
-        RECT 2945.000 1488.380 2948.000 1488.390 ;
-        RECT -32.980 1485.380 2.400 1488.380 ;
-        RECT 2917.600 1485.380 2952.600 1488.380 ;
-        RECT -28.380 1485.370 -25.380 1485.380 ;
-        RECT 2945.000 1485.370 2948.000 1485.380 ;
-        RECT -28.380 1308.380 -25.380 1308.390 ;
-        RECT 2945.000 1308.380 2948.000 1308.390 ;
-        RECT -32.980 1305.380 2.400 1308.380 ;
-        RECT 2917.600 1305.380 2952.600 1308.380 ;
-        RECT -28.380 1305.370 -25.380 1305.380 ;
-        RECT 2945.000 1305.370 2948.000 1305.380 ;
-        RECT -28.380 1128.380 -25.380 1128.390 ;
-        RECT 2945.000 1128.380 2948.000 1128.390 ;
-        RECT -32.980 1125.380 2.400 1128.380 ;
-        RECT 2917.600 1125.380 2952.600 1128.380 ;
-        RECT -28.380 1125.370 -25.380 1125.380 ;
-        RECT 2945.000 1125.370 2948.000 1125.380 ;
-        RECT -28.380 948.380 -25.380 948.390 ;
-        RECT 2945.000 948.380 2948.000 948.390 ;
-        RECT -32.980 945.380 2.400 948.380 ;
-        RECT 2917.600 945.380 2952.600 948.380 ;
-        RECT -28.380 945.370 -25.380 945.380 ;
-        RECT 2945.000 945.370 2948.000 945.380 ;
-        RECT -28.380 768.380 -25.380 768.390 ;
-        RECT 2945.000 768.380 2948.000 768.390 ;
-        RECT -32.980 765.380 2.400 768.380 ;
-        RECT 2917.600 765.380 2952.600 768.380 ;
-        RECT -28.380 765.370 -25.380 765.380 ;
-        RECT 2945.000 765.370 2948.000 765.380 ;
-        RECT -28.380 588.380 -25.380 588.390 ;
-        RECT 2945.000 588.380 2948.000 588.390 ;
-        RECT -32.980 585.380 2.400 588.380 ;
-        RECT 2917.600 585.380 2952.600 588.380 ;
-        RECT -28.380 585.370 -25.380 585.380 ;
-        RECT 2945.000 585.370 2948.000 585.380 ;
-        RECT -28.380 408.380 -25.380 408.390 ;
-        RECT 2945.000 408.380 2948.000 408.390 ;
-        RECT -32.980 405.380 2.400 408.380 ;
-        RECT 2917.600 405.380 2952.600 408.380 ;
-        RECT -28.380 405.370 -25.380 405.380 ;
-        RECT 2945.000 405.370 2948.000 405.380 ;
-        RECT -28.380 228.380 -25.380 228.390 ;
-        RECT 2945.000 228.380 2948.000 228.390 ;
-        RECT -32.980 225.380 2.400 228.380 ;
-        RECT 2917.600 225.380 2952.600 228.380 ;
-        RECT -28.380 225.370 -25.380 225.380 ;
-        RECT 2945.000 225.370 2948.000 225.380 ;
-        RECT -28.380 48.380 -25.380 48.390 ;
-        RECT 2945.000 48.380 2948.000 48.390 ;
-        RECT -32.980 45.380 2.400 48.380 ;
-        RECT 2917.600 45.380 2952.600 48.380 ;
-        RECT -28.380 45.370 -25.380 45.380 ;
-        RECT 2945.000 45.370 2948.000 45.380 ;
-        RECT -28.380 -20.020 -25.380 -20.010 ;
-        RECT 40.020 -20.020 43.020 -20.010 ;
-        RECT 220.020 -20.020 223.020 -20.010 ;
-        RECT 400.020 -20.020 403.020 -20.010 ;
-        RECT 580.020 -20.020 583.020 -20.010 ;
-        RECT 760.020 -20.020 763.020 -20.010 ;
-        RECT 940.020 -20.020 943.020 -20.010 ;
-        RECT 1120.020 -20.020 1123.020 -20.010 ;
-        RECT 1300.020 -20.020 1303.020 -20.010 ;
-        RECT 1480.020 -20.020 1483.020 -20.010 ;
-        RECT 1660.020 -20.020 1663.020 -20.010 ;
-        RECT 1840.020 -20.020 1843.020 -20.010 ;
-        RECT 2020.020 -20.020 2023.020 -20.010 ;
-        RECT 2200.020 -20.020 2203.020 -20.010 ;
-        RECT 2380.020 -20.020 2383.020 -20.010 ;
-        RECT 2560.020 -20.020 2563.020 -20.010 ;
-        RECT 2740.020 -20.020 2743.020 -20.010 ;
-        RECT 2945.000 -20.020 2948.000 -20.010 ;
-        RECT -28.380 -23.020 2948.000 -20.020 ;
-        RECT -28.380 -23.030 -25.380 -23.020 ;
-        RECT 40.020 -23.030 43.020 -23.020 ;
-        RECT 220.020 -23.030 223.020 -23.020 ;
-        RECT 400.020 -23.030 403.020 -23.020 ;
-        RECT 580.020 -23.030 583.020 -23.020 ;
-        RECT 760.020 -23.030 763.020 -23.020 ;
-        RECT 940.020 -23.030 943.020 -23.020 ;
-        RECT 1120.020 -23.030 1123.020 -23.020 ;
-        RECT 1300.020 -23.030 1303.020 -23.020 ;
-        RECT 1480.020 -23.030 1483.020 -23.020 ;
-        RECT 1660.020 -23.030 1663.020 -23.020 ;
-        RECT 1840.020 -23.030 1843.020 -23.020 ;
-        RECT 2020.020 -23.030 2023.020 -23.020 ;
-        RECT 2200.020 -23.030 2203.020 -23.020 ;
-        RECT 2380.020 -23.030 2383.020 -23.020 ;
-        RECT 2560.020 -23.030 2563.020 -23.020 ;
-        RECT 2740.020 -23.030 2743.020 -23.020 ;
-        RECT 2945.000 -23.030 2948.000 -23.020 ;
+        RECT -28.780 3543.100 -25.780 3543.110 ;
+        RECT 40.020 3543.100 43.020 3543.110 ;
+        RECT 220.020 3543.100 223.020 3543.110 ;
+        RECT 400.020 3543.100 403.020 3543.110 ;
+        RECT 580.020 3543.100 583.020 3543.110 ;
+        RECT 760.020 3543.100 763.020 3543.110 ;
+        RECT 940.020 3543.100 943.020 3543.110 ;
+        RECT 1120.020 3543.100 1123.020 3543.110 ;
+        RECT 1300.020 3543.100 1303.020 3543.110 ;
+        RECT 1480.020 3543.100 1483.020 3543.110 ;
+        RECT 1660.020 3543.100 1663.020 3543.110 ;
+        RECT 1840.020 3543.100 1843.020 3543.110 ;
+        RECT 2020.020 3543.100 2023.020 3543.110 ;
+        RECT 2200.020 3543.100 2203.020 3543.110 ;
+        RECT 2380.020 3543.100 2383.020 3543.110 ;
+        RECT 2560.020 3543.100 2563.020 3543.110 ;
+        RECT 2740.020 3543.100 2743.020 3543.110 ;
+        RECT 2945.400 3543.100 2948.400 3543.110 ;
+        RECT -28.780 3540.100 2948.400 3543.100 ;
+        RECT -28.780 3540.090 -25.780 3540.100 ;
+        RECT 40.020 3540.090 43.020 3540.100 ;
+        RECT 220.020 3540.090 223.020 3540.100 ;
+        RECT 400.020 3540.090 403.020 3540.100 ;
+        RECT 580.020 3540.090 583.020 3540.100 ;
+        RECT 760.020 3540.090 763.020 3540.100 ;
+        RECT 940.020 3540.090 943.020 3540.100 ;
+        RECT 1120.020 3540.090 1123.020 3540.100 ;
+        RECT 1300.020 3540.090 1303.020 3540.100 ;
+        RECT 1480.020 3540.090 1483.020 3540.100 ;
+        RECT 1660.020 3540.090 1663.020 3540.100 ;
+        RECT 1840.020 3540.090 1843.020 3540.100 ;
+        RECT 2020.020 3540.090 2023.020 3540.100 ;
+        RECT 2200.020 3540.090 2203.020 3540.100 ;
+        RECT 2380.020 3540.090 2383.020 3540.100 ;
+        RECT 2560.020 3540.090 2563.020 3540.100 ;
+        RECT 2740.020 3540.090 2743.020 3540.100 ;
+        RECT 2945.400 3540.090 2948.400 3540.100 ;
+        RECT -28.780 3468.380 -25.780 3468.390 ;
+        RECT 2945.400 3468.380 2948.400 3468.390 ;
+        RECT -33.480 3465.380 2.400 3468.380 ;
+        RECT 2917.600 3465.380 2953.100 3468.380 ;
+        RECT -28.780 3465.370 -25.780 3465.380 ;
+        RECT 2945.400 3465.370 2948.400 3465.380 ;
+        RECT -28.780 3288.380 -25.780 3288.390 ;
+        RECT 2945.400 3288.380 2948.400 3288.390 ;
+        RECT -33.480 3285.380 2.400 3288.380 ;
+        RECT 2917.600 3285.380 2953.100 3288.380 ;
+        RECT -28.780 3285.370 -25.780 3285.380 ;
+        RECT 2945.400 3285.370 2948.400 3285.380 ;
+        RECT -28.780 3108.380 -25.780 3108.390 ;
+        RECT 2945.400 3108.380 2948.400 3108.390 ;
+        RECT -33.480 3105.380 2.400 3108.380 ;
+        RECT 2917.600 3105.380 2953.100 3108.380 ;
+        RECT -28.780 3105.370 -25.780 3105.380 ;
+        RECT 2945.400 3105.370 2948.400 3105.380 ;
+        RECT -28.780 2928.380 -25.780 2928.390 ;
+        RECT 2945.400 2928.380 2948.400 2928.390 ;
+        RECT -33.480 2925.380 2.400 2928.380 ;
+        RECT 2917.600 2925.380 2953.100 2928.380 ;
+        RECT -28.780 2925.370 -25.780 2925.380 ;
+        RECT 2945.400 2925.370 2948.400 2925.380 ;
+        RECT -28.780 2748.380 -25.780 2748.390 ;
+        RECT 2945.400 2748.380 2948.400 2748.390 ;
+        RECT -33.480 2745.380 2.400 2748.380 ;
+        RECT 2917.600 2745.380 2953.100 2748.380 ;
+        RECT -28.780 2745.370 -25.780 2745.380 ;
+        RECT 2945.400 2745.370 2948.400 2745.380 ;
+        RECT -28.780 2568.380 -25.780 2568.390 ;
+        RECT 2945.400 2568.380 2948.400 2568.390 ;
+        RECT -33.480 2565.380 2.400 2568.380 ;
+        RECT 2917.600 2565.380 2953.100 2568.380 ;
+        RECT -28.780 2565.370 -25.780 2565.380 ;
+        RECT 2945.400 2565.370 2948.400 2565.380 ;
+        RECT -28.780 2388.380 -25.780 2388.390 ;
+        RECT 2945.400 2388.380 2948.400 2388.390 ;
+        RECT -33.480 2385.380 2.400 2388.380 ;
+        RECT 2917.600 2385.380 2953.100 2388.380 ;
+        RECT -28.780 2385.370 -25.780 2385.380 ;
+        RECT 2945.400 2385.370 2948.400 2385.380 ;
+        RECT -28.780 2208.380 -25.780 2208.390 ;
+        RECT 2945.400 2208.380 2948.400 2208.390 ;
+        RECT -33.480 2205.380 2.400 2208.380 ;
+        RECT 2917.600 2205.380 2953.100 2208.380 ;
+        RECT -28.780 2205.370 -25.780 2205.380 ;
+        RECT 2945.400 2205.370 2948.400 2205.380 ;
+        RECT -28.780 2028.380 -25.780 2028.390 ;
+        RECT 2945.400 2028.380 2948.400 2028.390 ;
+        RECT -33.480 2025.380 2.400 2028.380 ;
+        RECT 2917.600 2025.380 2953.100 2028.380 ;
+        RECT -28.780 2025.370 -25.780 2025.380 ;
+        RECT 2945.400 2025.370 2948.400 2025.380 ;
+        RECT -28.780 1848.380 -25.780 1848.390 ;
+        RECT 2945.400 1848.380 2948.400 1848.390 ;
+        RECT -33.480 1845.380 2.400 1848.380 ;
+        RECT 2917.600 1845.380 2953.100 1848.380 ;
+        RECT -28.780 1845.370 -25.780 1845.380 ;
+        RECT 2945.400 1845.370 2948.400 1845.380 ;
+        RECT -28.780 1668.380 -25.780 1668.390 ;
+        RECT 2945.400 1668.380 2948.400 1668.390 ;
+        RECT -33.480 1665.380 2.400 1668.380 ;
+        RECT 2917.600 1665.380 2953.100 1668.380 ;
+        RECT -28.780 1665.370 -25.780 1665.380 ;
+        RECT 2945.400 1665.370 2948.400 1665.380 ;
+        RECT -28.780 1488.380 -25.780 1488.390 ;
+        RECT 2945.400 1488.380 2948.400 1488.390 ;
+        RECT -33.480 1485.380 2.400 1488.380 ;
+        RECT 2917.600 1485.380 2953.100 1488.380 ;
+        RECT -28.780 1485.370 -25.780 1485.380 ;
+        RECT 2945.400 1485.370 2948.400 1485.380 ;
+        RECT -28.780 1308.380 -25.780 1308.390 ;
+        RECT 2945.400 1308.380 2948.400 1308.390 ;
+        RECT -33.480 1305.380 2.400 1308.380 ;
+        RECT 2917.600 1305.380 2953.100 1308.380 ;
+        RECT -28.780 1305.370 -25.780 1305.380 ;
+        RECT 2945.400 1305.370 2948.400 1305.380 ;
+        RECT -28.780 1128.380 -25.780 1128.390 ;
+        RECT 2945.400 1128.380 2948.400 1128.390 ;
+        RECT -33.480 1125.380 2.400 1128.380 ;
+        RECT 2917.600 1125.380 2953.100 1128.380 ;
+        RECT -28.780 1125.370 -25.780 1125.380 ;
+        RECT 2945.400 1125.370 2948.400 1125.380 ;
+        RECT -28.780 948.380 -25.780 948.390 ;
+        RECT 2945.400 948.380 2948.400 948.390 ;
+        RECT -33.480 945.380 2.400 948.380 ;
+        RECT 2917.600 945.380 2953.100 948.380 ;
+        RECT -28.780 945.370 -25.780 945.380 ;
+        RECT 2945.400 945.370 2948.400 945.380 ;
+        RECT -28.780 768.380 -25.780 768.390 ;
+        RECT 2945.400 768.380 2948.400 768.390 ;
+        RECT -33.480 765.380 2.400 768.380 ;
+        RECT 2917.600 765.380 2953.100 768.380 ;
+        RECT -28.780 765.370 -25.780 765.380 ;
+        RECT 2945.400 765.370 2948.400 765.380 ;
+        RECT -28.780 588.380 -25.780 588.390 ;
+        RECT 2945.400 588.380 2948.400 588.390 ;
+        RECT -33.480 585.380 2.400 588.380 ;
+        RECT 2917.600 585.380 2953.100 588.380 ;
+        RECT -28.780 585.370 -25.780 585.380 ;
+        RECT 2945.400 585.370 2948.400 585.380 ;
+        RECT -28.780 408.380 -25.780 408.390 ;
+        RECT 2945.400 408.380 2948.400 408.390 ;
+        RECT -33.480 405.380 2.400 408.380 ;
+        RECT 2917.600 405.380 2953.100 408.380 ;
+        RECT -28.780 405.370 -25.780 405.380 ;
+        RECT 2945.400 405.370 2948.400 405.380 ;
+        RECT -28.780 228.380 -25.780 228.390 ;
+        RECT 2945.400 228.380 2948.400 228.390 ;
+        RECT -33.480 225.380 2.400 228.380 ;
+        RECT 2917.600 225.380 2953.100 228.380 ;
+        RECT -28.780 225.370 -25.780 225.380 ;
+        RECT 2945.400 225.370 2948.400 225.380 ;
+        RECT -28.780 48.380 -25.780 48.390 ;
+        RECT 2945.400 48.380 2948.400 48.390 ;
+        RECT -33.480 45.380 2.400 48.380 ;
+        RECT 2917.600 45.380 2953.100 48.380 ;
+        RECT -28.780 45.370 -25.780 45.380 ;
+        RECT 2945.400 45.370 2948.400 45.380 ;
+        RECT -28.780 -20.420 -25.780 -20.410 ;
+        RECT 40.020 -20.420 43.020 -20.410 ;
+        RECT 220.020 -20.420 223.020 -20.410 ;
+        RECT 400.020 -20.420 403.020 -20.410 ;
+        RECT 580.020 -20.420 583.020 -20.410 ;
+        RECT 760.020 -20.420 763.020 -20.410 ;
+        RECT 940.020 -20.420 943.020 -20.410 ;
+        RECT 1120.020 -20.420 1123.020 -20.410 ;
+        RECT 1300.020 -20.420 1303.020 -20.410 ;
+        RECT 1480.020 -20.420 1483.020 -20.410 ;
+        RECT 1660.020 -20.420 1663.020 -20.410 ;
+        RECT 1840.020 -20.420 1843.020 -20.410 ;
+        RECT 2020.020 -20.420 2023.020 -20.410 ;
+        RECT 2200.020 -20.420 2203.020 -20.410 ;
+        RECT 2380.020 -20.420 2383.020 -20.410 ;
+        RECT 2560.020 -20.420 2563.020 -20.410 ;
+        RECT 2740.020 -20.420 2743.020 -20.410 ;
+        RECT 2945.400 -20.420 2948.400 -20.410 ;
+        RECT -28.780 -23.420 2948.400 -20.420 ;
+        RECT -28.780 -23.430 -25.780 -23.420 ;
+        RECT 40.020 -23.430 43.020 -23.420 ;
+        RECT 220.020 -23.430 223.020 -23.420 ;
+        RECT 400.020 -23.430 403.020 -23.420 ;
+        RECT 580.020 -23.430 583.020 -23.420 ;
+        RECT 760.020 -23.430 763.020 -23.420 ;
+        RECT 940.020 -23.430 943.020 -23.420 ;
+        RECT 1120.020 -23.430 1123.020 -23.420 ;
+        RECT 1300.020 -23.430 1303.020 -23.420 ;
+        RECT 1480.020 -23.430 1483.020 -23.420 ;
+        RECT 1660.020 -23.430 1663.020 -23.420 ;
+        RECT 1840.020 -23.430 1843.020 -23.420 ;
+        RECT 2020.020 -23.430 2023.020 -23.420 ;
+        RECT 2200.020 -23.430 2203.020 -23.420 ;
+        RECT 2380.020 -23.430 2383.020 -23.420 ;
+        RECT 2560.020 -23.430 2563.020 -23.420 ;
+        RECT 2740.020 -23.430 2743.020 -23.420 ;
+        RECT 2945.400 -23.430 2948.400 -23.420 ;
     END
   END vdda1
   PIN vssa1
     DIRECTION INPUT ;
     PORT
       LAYER met4 ;
-        RECT -32.980 -27.620 -29.980 3547.300 ;
-        RECT 130.020 3517.600 133.020 3547.300 ;
-        RECT 310.020 3517.600 313.020 3547.300 ;
-        RECT 490.020 3517.600 493.020 3547.300 ;
-        RECT 670.020 3517.600 673.020 3547.300 ;
-        RECT 850.020 3517.600 853.020 3547.300 ;
-        RECT 1030.020 3517.600 1033.020 3547.300 ;
-        RECT 1210.020 3517.600 1213.020 3547.300 ;
-        RECT 1390.020 3517.600 1393.020 3547.300 ;
-        RECT 1570.020 3517.600 1573.020 3547.300 ;
-        RECT 1750.020 3517.600 1753.020 3547.300 ;
-        RECT 1930.020 3517.600 1933.020 3547.300 ;
-        RECT 2110.020 3517.600 2113.020 3547.300 ;
-        RECT 2290.020 3517.600 2293.020 3547.300 ;
-        RECT 2470.020 3517.600 2473.020 3547.300 ;
-        RECT 2650.020 3517.600 2653.020 3547.300 ;
-        RECT 2830.020 3517.600 2833.020 3547.300 ;
-        RECT 130.020 -27.620 133.020 2.400 ;
-        RECT 310.020 -27.620 313.020 2.400 ;
-        RECT 490.020 -27.620 493.020 2.400 ;
-        RECT 670.020 -27.620 673.020 2.400 ;
-        RECT 850.020 -27.620 853.020 2.400 ;
-        RECT 1030.020 -27.620 1033.020 2.400 ;
-        RECT 1210.020 -27.620 1213.020 2.400 ;
-        RECT 1390.020 -27.620 1393.020 2.400 ;
-        RECT 1570.020 -27.620 1573.020 2.400 ;
-        RECT 1750.020 -27.620 1753.020 2.400 ;
-        RECT 1930.020 -27.620 1933.020 2.400 ;
-        RECT 2110.020 -27.620 2113.020 2.400 ;
-        RECT 2290.020 -27.620 2293.020 2.400 ;
-        RECT 2470.020 -27.620 2473.020 2.400 ;
-        RECT 2650.020 -27.620 2653.020 2.400 ;
-        RECT 2830.020 -27.620 2833.020 2.400 ;
-        RECT 2949.600 -27.620 2952.600 3547.300 ;
+        RECT -33.480 -28.120 -30.480 3547.800 ;
+        RECT 130.020 3517.600 133.020 3547.800 ;
+        RECT 310.020 3517.600 313.020 3547.800 ;
+        RECT 490.020 3517.600 493.020 3547.800 ;
+        RECT 670.020 3517.600 673.020 3547.800 ;
+        RECT 850.020 3517.600 853.020 3547.800 ;
+        RECT 1030.020 3517.600 1033.020 3547.800 ;
+        RECT 1210.020 3517.600 1213.020 3547.800 ;
+        RECT 1390.020 3517.600 1393.020 3547.800 ;
+        RECT 1570.020 3517.600 1573.020 3547.800 ;
+        RECT 1750.020 3517.600 1753.020 3547.800 ;
+        RECT 1930.020 3517.600 1933.020 3547.800 ;
+        RECT 2110.020 3517.600 2113.020 3547.800 ;
+        RECT 2290.020 3517.600 2293.020 3547.800 ;
+        RECT 2470.020 3517.600 2473.020 3547.800 ;
+        RECT 2650.020 3517.600 2653.020 3547.800 ;
+        RECT 2830.020 3517.600 2833.020 3547.800 ;
+        RECT 130.020 -28.120 133.020 2.400 ;
+        RECT 310.020 -28.120 313.020 2.400 ;
+        RECT 490.020 -28.120 493.020 2.400 ;
+        RECT 670.020 -28.120 673.020 2.400 ;
+        RECT 850.020 -28.120 853.020 2.400 ;
+        RECT 1030.020 -28.120 1033.020 2.400 ;
+        RECT 1210.020 -28.120 1213.020 2.400 ;
+        RECT 1390.020 -28.120 1393.020 2.400 ;
+        RECT 1570.020 -28.120 1573.020 2.400 ;
+        RECT 1750.020 -28.120 1753.020 2.400 ;
+        RECT 1930.020 -28.120 1933.020 2.400 ;
+        RECT 2110.020 -28.120 2113.020 2.400 ;
+        RECT 2290.020 -28.120 2293.020 2.400 ;
+        RECT 2470.020 -28.120 2473.020 2.400 ;
+        RECT 2650.020 -28.120 2653.020 2.400 ;
+        RECT 2830.020 -28.120 2833.020 2.400 ;
+        RECT 2950.100 -28.120 2953.100 3547.800 ;
       LAYER M4M5_PR_C ;
-        RECT -32.070 3546.010 -30.890 3547.190 ;
-        RECT -32.070 3544.410 -30.890 3545.590 ;
-        RECT 130.930 3546.010 132.110 3547.190 ;
-        RECT 130.930 3544.410 132.110 3545.590 ;
-        RECT 310.930 3546.010 312.110 3547.190 ;
-        RECT 310.930 3544.410 312.110 3545.590 ;
-        RECT 490.930 3546.010 492.110 3547.190 ;
-        RECT 490.930 3544.410 492.110 3545.590 ;
-        RECT 670.930 3546.010 672.110 3547.190 ;
-        RECT 670.930 3544.410 672.110 3545.590 ;
-        RECT 850.930 3546.010 852.110 3547.190 ;
-        RECT 850.930 3544.410 852.110 3545.590 ;
-        RECT 1030.930 3546.010 1032.110 3547.190 ;
-        RECT 1030.930 3544.410 1032.110 3545.590 ;
-        RECT 1210.930 3546.010 1212.110 3547.190 ;
-        RECT 1210.930 3544.410 1212.110 3545.590 ;
-        RECT 1390.930 3546.010 1392.110 3547.190 ;
-        RECT 1390.930 3544.410 1392.110 3545.590 ;
-        RECT 1570.930 3546.010 1572.110 3547.190 ;
-        RECT 1570.930 3544.410 1572.110 3545.590 ;
-        RECT 1750.930 3546.010 1752.110 3547.190 ;
-        RECT 1750.930 3544.410 1752.110 3545.590 ;
-        RECT 1930.930 3546.010 1932.110 3547.190 ;
-        RECT 1930.930 3544.410 1932.110 3545.590 ;
-        RECT 2110.930 3546.010 2112.110 3547.190 ;
-        RECT 2110.930 3544.410 2112.110 3545.590 ;
-        RECT 2290.930 3546.010 2292.110 3547.190 ;
-        RECT 2290.930 3544.410 2292.110 3545.590 ;
-        RECT 2470.930 3546.010 2472.110 3547.190 ;
-        RECT 2470.930 3544.410 2472.110 3545.590 ;
-        RECT 2650.930 3546.010 2652.110 3547.190 ;
-        RECT 2650.930 3544.410 2652.110 3545.590 ;
-        RECT 2830.930 3546.010 2832.110 3547.190 ;
-        RECT 2830.930 3544.410 2832.110 3545.590 ;
-        RECT 2950.510 3546.010 2951.690 3547.190 ;
-        RECT 2950.510 3544.410 2951.690 3545.590 ;
-        RECT -32.070 3377.090 -30.890 3378.270 ;
-        RECT -32.070 3375.490 -30.890 3376.670 ;
-        RECT -32.070 3197.090 -30.890 3198.270 ;
-        RECT -32.070 3195.490 -30.890 3196.670 ;
-        RECT -32.070 3017.090 -30.890 3018.270 ;
-        RECT -32.070 3015.490 -30.890 3016.670 ;
-        RECT -32.070 2837.090 -30.890 2838.270 ;
-        RECT -32.070 2835.490 -30.890 2836.670 ;
-        RECT -32.070 2657.090 -30.890 2658.270 ;
-        RECT -32.070 2655.490 -30.890 2656.670 ;
-        RECT -32.070 2477.090 -30.890 2478.270 ;
-        RECT -32.070 2475.490 -30.890 2476.670 ;
-        RECT -32.070 2297.090 -30.890 2298.270 ;
-        RECT -32.070 2295.490 -30.890 2296.670 ;
-        RECT -32.070 2117.090 -30.890 2118.270 ;
-        RECT -32.070 2115.490 -30.890 2116.670 ;
-        RECT -32.070 1937.090 -30.890 1938.270 ;
-        RECT -32.070 1935.490 -30.890 1936.670 ;
-        RECT -32.070 1757.090 -30.890 1758.270 ;
-        RECT -32.070 1755.490 -30.890 1756.670 ;
-        RECT -32.070 1577.090 -30.890 1578.270 ;
-        RECT -32.070 1575.490 -30.890 1576.670 ;
-        RECT -32.070 1397.090 -30.890 1398.270 ;
-        RECT -32.070 1395.490 -30.890 1396.670 ;
-        RECT -32.070 1217.090 -30.890 1218.270 ;
-        RECT -32.070 1215.490 -30.890 1216.670 ;
-        RECT -32.070 1037.090 -30.890 1038.270 ;
-        RECT -32.070 1035.490 -30.890 1036.670 ;
-        RECT -32.070 857.090 -30.890 858.270 ;
-        RECT -32.070 855.490 -30.890 856.670 ;
-        RECT -32.070 677.090 -30.890 678.270 ;
-        RECT -32.070 675.490 -30.890 676.670 ;
-        RECT -32.070 497.090 -30.890 498.270 ;
-        RECT -32.070 495.490 -30.890 496.670 ;
-        RECT -32.070 317.090 -30.890 318.270 ;
-        RECT -32.070 315.490 -30.890 316.670 ;
-        RECT -32.070 137.090 -30.890 138.270 ;
-        RECT -32.070 135.490 -30.890 136.670 ;
-        RECT 2950.510 3377.090 2951.690 3378.270 ;
-        RECT 2950.510 3375.490 2951.690 3376.670 ;
-        RECT 2950.510 3197.090 2951.690 3198.270 ;
-        RECT 2950.510 3195.490 2951.690 3196.670 ;
-        RECT 2950.510 3017.090 2951.690 3018.270 ;
-        RECT 2950.510 3015.490 2951.690 3016.670 ;
-        RECT 2950.510 2837.090 2951.690 2838.270 ;
-        RECT 2950.510 2835.490 2951.690 2836.670 ;
-        RECT 2950.510 2657.090 2951.690 2658.270 ;
-        RECT 2950.510 2655.490 2951.690 2656.670 ;
-        RECT 2950.510 2477.090 2951.690 2478.270 ;
-        RECT 2950.510 2475.490 2951.690 2476.670 ;
-        RECT 2950.510 2297.090 2951.690 2298.270 ;
-        RECT 2950.510 2295.490 2951.690 2296.670 ;
-        RECT 2950.510 2117.090 2951.690 2118.270 ;
-        RECT 2950.510 2115.490 2951.690 2116.670 ;
-        RECT 2950.510 1937.090 2951.690 1938.270 ;
-        RECT 2950.510 1935.490 2951.690 1936.670 ;
-        RECT 2950.510 1757.090 2951.690 1758.270 ;
-        RECT 2950.510 1755.490 2951.690 1756.670 ;
-        RECT 2950.510 1577.090 2951.690 1578.270 ;
-        RECT 2950.510 1575.490 2951.690 1576.670 ;
-        RECT 2950.510 1397.090 2951.690 1398.270 ;
-        RECT 2950.510 1395.490 2951.690 1396.670 ;
-        RECT 2950.510 1217.090 2951.690 1218.270 ;
-        RECT 2950.510 1215.490 2951.690 1216.670 ;
-        RECT 2950.510 1037.090 2951.690 1038.270 ;
-        RECT 2950.510 1035.490 2951.690 1036.670 ;
-        RECT 2950.510 857.090 2951.690 858.270 ;
-        RECT 2950.510 855.490 2951.690 856.670 ;
-        RECT 2950.510 677.090 2951.690 678.270 ;
-        RECT 2950.510 675.490 2951.690 676.670 ;
-        RECT 2950.510 497.090 2951.690 498.270 ;
-        RECT 2950.510 495.490 2951.690 496.670 ;
-        RECT 2950.510 317.090 2951.690 318.270 ;
-        RECT 2950.510 315.490 2951.690 316.670 ;
-        RECT 2950.510 137.090 2951.690 138.270 ;
-        RECT 2950.510 135.490 2951.690 136.670 ;
-        RECT -32.070 -25.910 -30.890 -24.730 ;
-        RECT -32.070 -27.510 -30.890 -26.330 ;
-        RECT 130.930 -25.910 132.110 -24.730 ;
-        RECT 130.930 -27.510 132.110 -26.330 ;
-        RECT 310.930 -25.910 312.110 -24.730 ;
-        RECT 310.930 -27.510 312.110 -26.330 ;
-        RECT 490.930 -25.910 492.110 -24.730 ;
-        RECT 490.930 -27.510 492.110 -26.330 ;
-        RECT 670.930 -25.910 672.110 -24.730 ;
-        RECT 670.930 -27.510 672.110 -26.330 ;
-        RECT 850.930 -25.910 852.110 -24.730 ;
-        RECT 850.930 -27.510 852.110 -26.330 ;
-        RECT 1030.930 -25.910 1032.110 -24.730 ;
-        RECT 1030.930 -27.510 1032.110 -26.330 ;
-        RECT 1210.930 -25.910 1212.110 -24.730 ;
-        RECT 1210.930 -27.510 1212.110 -26.330 ;
-        RECT 1390.930 -25.910 1392.110 -24.730 ;
-        RECT 1390.930 -27.510 1392.110 -26.330 ;
-        RECT 1570.930 -25.910 1572.110 -24.730 ;
-        RECT 1570.930 -27.510 1572.110 -26.330 ;
-        RECT 1750.930 -25.910 1752.110 -24.730 ;
-        RECT 1750.930 -27.510 1752.110 -26.330 ;
-        RECT 1930.930 -25.910 1932.110 -24.730 ;
-        RECT 1930.930 -27.510 1932.110 -26.330 ;
-        RECT 2110.930 -25.910 2112.110 -24.730 ;
-        RECT 2110.930 -27.510 2112.110 -26.330 ;
-        RECT 2290.930 -25.910 2292.110 -24.730 ;
-        RECT 2290.930 -27.510 2292.110 -26.330 ;
-        RECT 2470.930 -25.910 2472.110 -24.730 ;
-        RECT 2470.930 -27.510 2472.110 -26.330 ;
-        RECT 2650.930 -25.910 2652.110 -24.730 ;
-        RECT 2650.930 -27.510 2652.110 -26.330 ;
-        RECT 2830.930 -25.910 2832.110 -24.730 ;
-        RECT 2830.930 -27.510 2832.110 -26.330 ;
-        RECT 2950.510 -25.910 2951.690 -24.730 ;
-        RECT 2950.510 -27.510 2951.690 -26.330 ;
+        RECT -32.570 3546.510 -31.390 3547.690 ;
+        RECT -32.570 3544.910 -31.390 3546.090 ;
+        RECT 130.930 3546.510 132.110 3547.690 ;
+        RECT 130.930 3544.910 132.110 3546.090 ;
+        RECT 310.930 3546.510 312.110 3547.690 ;
+        RECT 310.930 3544.910 312.110 3546.090 ;
+        RECT 490.930 3546.510 492.110 3547.690 ;
+        RECT 490.930 3544.910 492.110 3546.090 ;
+        RECT 670.930 3546.510 672.110 3547.690 ;
+        RECT 670.930 3544.910 672.110 3546.090 ;
+        RECT 850.930 3546.510 852.110 3547.690 ;
+        RECT 850.930 3544.910 852.110 3546.090 ;
+        RECT 1030.930 3546.510 1032.110 3547.690 ;
+        RECT 1030.930 3544.910 1032.110 3546.090 ;
+        RECT 1210.930 3546.510 1212.110 3547.690 ;
+        RECT 1210.930 3544.910 1212.110 3546.090 ;
+        RECT 1390.930 3546.510 1392.110 3547.690 ;
+        RECT 1390.930 3544.910 1392.110 3546.090 ;
+        RECT 1570.930 3546.510 1572.110 3547.690 ;
+        RECT 1570.930 3544.910 1572.110 3546.090 ;
+        RECT 1750.930 3546.510 1752.110 3547.690 ;
+        RECT 1750.930 3544.910 1752.110 3546.090 ;
+        RECT 1930.930 3546.510 1932.110 3547.690 ;
+        RECT 1930.930 3544.910 1932.110 3546.090 ;
+        RECT 2110.930 3546.510 2112.110 3547.690 ;
+        RECT 2110.930 3544.910 2112.110 3546.090 ;
+        RECT 2290.930 3546.510 2292.110 3547.690 ;
+        RECT 2290.930 3544.910 2292.110 3546.090 ;
+        RECT 2470.930 3546.510 2472.110 3547.690 ;
+        RECT 2470.930 3544.910 2472.110 3546.090 ;
+        RECT 2650.930 3546.510 2652.110 3547.690 ;
+        RECT 2650.930 3544.910 2652.110 3546.090 ;
+        RECT 2830.930 3546.510 2832.110 3547.690 ;
+        RECT 2830.930 3544.910 2832.110 3546.090 ;
+        RECT 2951.010 3546.510 2952.190 3547.690 ;
+        RECT 2951.010 3544.910 2952.190 3546.090 ;
+        RECT -32.570 3377.090 -31.390 3378.270 ;
+        RECT -32.570 3375.490 -31.390 3376.670 ;
+        RECT -32.570 3197.090 -31.390 3198.270 ;
+        RECT -32.570 3195.490 -31.390 3196.670 ;
+        RECT -32.570 3017.090 -31.390 3018.270 ;
+        RECT -32.570 3015.490 -31.390 3016.670 ;
+        RECT -32.570 2837.090 -31.390 2838.270 ;
+        RECT -32.570 2835.490 -31.390 2836.670 ;
+        RECT -32.570 2657.090 -31.390 2658.270 ;
+        RECT -32.570 2655.490 -31.390 2656.670 ;
+        RECT -32.570 2477.090 -31.390 2478.270 ;
+        RECT -32.570 2475.490 -31.390 2476.670 ;
+        RECT -32.570 2297.090 -31.390 2298.270 ;
+        RECT -32.570 2295.490 -31.390 2296.670 ;
+        RECT -32.570 2117.090 -31.390 2118.270 ;
+        RECT -32.570 2115.490 -31.390 2116.670 ;
+        RECT -32.570 1937.090 -31.390 1938.270 ;
+        RECT -32.570 1935.490 -31.390 1936.670 ;
+        RECT -32.570 1757.090 -31.390 1758.270 ;
+        RECT -32.570 1755.490 -31.390 1756.670 ;
+        RECT -32.570 1577.090 -31.390 1578.270 ;
+        RECT -32.570 1575.490 -31.390 1576.670 ;
+        RECT -32.570 1397.090 -31.390 1398.270 ;
+        RECT -32.570 1395.490 -31.390 1396.670 ;
+        RECT -32.570 1217.090 -31.390 1218.270 ;
+        RECT -32.570 1215.490 -31.390 1216.670 ;
+        RECT -32.570 1037.090 -31.390 1038.270 ;
+        RECT -32.570 1035.490 -31.390 1036.670 ;
+        RECT -32.570 857.090 -31.390 858.270 ;
+        RECT -32.570 855.490 -31.390 856.670 ;
+        RECT -32.570 677.090 -31.390 678.270 ;
+        RECT -32.570 675.490 -31.390 676.670 ;
+        RECT -32.570 497.090 -31.390 498.270 ;
+        RECT -32.570 495.490 -31.390 496.670 ;
+        RECT -32.570 317.090 -31.390 318.270 ;
+        RECT -32.570 315.490 -31.390 316.670 ;
+        RECT -32.570 137.090 -31.390 138.270 ;
+        RECT -32.570 135.490 -31.390 136.670 ;
+        RECT 2951.010 3377.090 2952.190 3378.270 ;
+        RECT 2951.010 3375.490 2952.190 3376.670 ;
+        RECT 2951.010 3197.090 2952.190 3198.270 ;
+        RECT 2951.010 3195.490 2952.190 3196.670 ;
+        RECT 2951.010 3017.090 2952.190 3018.270 ;
+        RECT 2951.010 3015.490 2952.190 3016.670 ;
+        RECT 2951.010 2837.090 2952.190 2838.270 ;
+        RECT 2951.010 2835.490 2952.190 2836.670 ;
+        RECT 2951.010 2657.090 2952.190 2658.270 ;
+        RECT 2951.010 2655.490 2952.190 2656.670 ;
+        RECT 2951.010 2477.090 2952.190 2478.270 ;
+        RECT 2951.010 2475.490 2952.190 2476.670 ;
+        RECT 2951.010 2297.090 2952.190 2298.270 ;
+        RECT 2951.010 2295.490 2952.190 2296.670 ;
+        RECT 2951.010 2117.090 2952.190 2118.270 ;
+        RECT 2951.010 2115.490 2952.190 2116.670 ;
+        RECT 2951.010 1937.090 2952.190 1938.270 ;
+        RECT 2951.010 1935.490 2952.190 1936.670 ;
+        RECT 2951.010 1757.090 2952.190 1758.270 ;
+        RECT 2951.010 1755.490 2952.190 1756.670 ;
+        RECT 2951.010 1577.090 2952.190 1578.270 ;
+        RECT 2951.010 1575.490 2952.190 1576.670 ;
+        RECT 2951.010 1397.090 2952.190 1398.270 ;
+        RECT 2951.010 1395.490 2952.190 1396.670 ;
+        RECT 2951.010 1217.090 2952.190 1218.270 ;
+        RECT 2951.010 1215.490 2952.190 1216.670 ;
+        RECT 2951.010 1037.090 2952.190 1038.270 ;
+        RECT 2951.010 1035.490 2952.190 1036.670 ;
+        RECT 2951.010 857.090 2952.190 858.270 ;
+        RECT 2951.010 855.490 2952.190 856.670 ;
+        RECT 2951.010 677.090 2952.190 678.270 ;
+        RECT 2951.010 675.490 2952.190 676.670 ;
+        RECT 2951.010 497.090 2952.190 498.270 ;
+        RECT 2951.010 495.490 2952.190 496.670 ;
+        RECT 2951.010 317.090 2952.190 318.270 ;
+        RECT 2951.010 315.490 2952.190 316.670 ;
+        RECT 2951.010 137.090 2952.190 138.270 ;
+        RECT 2951.010 135.490 2952.190 136.670 ;
+        RECT -32.570 -26.410 -31.390 -25.230 ;
+        RECT -32.570 -28.010 -31.390 -26.830 ;
+        RECT 130.930 -26.410 132.110 -25.230 ;
+        RECT 130.930 -28.010 132.110 -26.830 ;
+        RECT 310.930 -26.410 312.110 -25.230 ;
+        RECT 310.930 -28.010 312.110 -26.830 ;
+        RECT 490.930 -26.410 492.110 -25.230 ;
+        RECT 490.930 -28.010 492.110 -26.830 ;
+        RECT 670.930 -26.410 672.110 -25.230 ;
+        RECT 670.930 -28.010 672.110 -26.830 ;
+        RECT 850.930 -26.410 852.110 -25.230 ;
+        RECT 850.930 -28.010 852.110 -26.830 ;
+        RECT 1030.930 -26.410 1032.110 -25.230 ;
+        RECT 1030.930 -28.010 1032.110 -26.830 ;
+        RECT 1210.930 -26.410 1212.110 -25.230 ;
+        RECT 1210.930 -28.010 1212.110 -26.830 ;
+        RECT 1390.930 -26.410 1392.110 -25.230 ;
+        RECT 1390.930 -28.010 1392.110 -26.830 ;
+        RECT 1570.930 -26.410 1572.110 -25.230 ;
+        RECT 1570.930 -28.010 1572.110 -26.830 ;
+        RECT 1750.930 -26.410 1752.110 -25.230 ;
+        RECT 1750.930 -28.010 1752.110 -26.830 ;
+        RECT 1930.930 -26.410 1932.110 -25.230 ;
+        RECT 1930.930 -28.010 1932.110 -26.830 ;
+        RECT 2110.930 -26.410 2112.110 -25.230 ;
+        RECT 2110.930 -28.010 2112.110 -26.830 ;
+        RECT 2290.930 -26.410 2292.110 -25.230 ;
+        RECT 2290.930 -28.010 2292.110 -26.830 ;
+        RECT 2470.930 -26.410 2472.110 -25.230 ;
+        RECT 2470.930 -28.010 2472.110 -26.830 ;
+        RECT 2650.930 -26.410 2652.110 -25.230 ;
+        RECT 2650.930 -28.010 2652.110 -26.830 ;
+        RECT 2830.930 -26.410 2832.110 -25.230 ;
+        RECT 2830.930 -28.010 2832.110 -26.830 ;
+        RECT 2951.010 -26.410 2952.190 -25.230 ;
+        RECT 2951.010 -28.010 2952.190 -26.830 ;
       LAYER met5 ;
-        RECT -32.980 3547.300 -29.980 3547.310 ;
-        RECT 130.020 3547.300 133.020 3547.310 ;
-        RECT 310.020 3547.300 313.020 3547.310 ;
-        RECT 490.020 3547.300 493.020 3547.310 ;
-        RECT 670.020 3547.300 673.020 3547.310 ;
-        RECT 850.020 3547.300 853.020 3547.310 ;
-        RECT 1030.020 3547.300 1033.020 3547.310 ;
-        RECT 1210.020 3547.300 1213.020 3547.310 ;
-        RECT 1390.020 3547.300 1393.020 3547.310 ;
-        RECT 1570.020 3547.300 1573.020 3547.310 ;
-        RECT 1750.020 3547.300 1753.020 3547.310 ;
-        RECT 1930.020 3547.300 1933.020 3547.310 ;
-        RECT 2110.020 3547.300 2113.020 3547.310 ;
-        RECT 2290.020 3547.300 2293.020 3547.310 ;
-        RECT 2470.020 3547.300 2473.020 3547.310 ;
-        RECT 2650.020 3547.300 2653.020 3547.310 ;
-        RECT 2830.020 3547.300 2833.020 3547.310 ;
-        RECT 2949.600 3547.300 2952.600 3547.310 ;
-        RECT -32.980 3544.300 2952.600 3547.300 ;
-        RECT -32.980 3544.290 -29.980 3544.300 ;
-        RECT 130.020 3544.290 133.020 3544.300 ;
-        RECT 310.020 3544.290 313.020 3544.300 ;
-        RECT 490.020 3544.290 493.020 3544.300 ;
-        RECT 670.020 3544.290 673.020 3544.300 ;
-        RECT 850.020 3544.290 853.020 3544.300 ;
-        RECT 1030.020 3544.290 1033.020 3544.300 ;
-        RECT 1210.020 3544.290 1213.020 3544.300 ;
-        RECT 1390.020 3544.290 1393.020 3544.300 ;
-        RECT 1570.020 3544.290 1573.020 3544.300 ;
-        RECT 1750.020 3544.290 1753.020 3544.300 ;
-        RECT 1930.020 3544.290 1933.020 3544.300 ;
-        RECT 2110.020 3544.290 2113.020 3544.300 ;
-        RECT 2290.020 3544.290 2293.020 3544.300 ;
-        RECT 2470.020 3544.290 2473.020 3544.300 ;
-        RECT 2650.020 3544.290 2653.020 3544.300 ;
-        RECT 2830.020 3544.290 2833.020 3544.300 ;
-        RECT 2949.600 3544.290 2952.600 3544.300 ;
-        RECT -32.980 3378.380 -29.980 3378.390 ;
-        RECT 2949.600 3378.380 2952.600 3378.390 ;
-        RECT -32.980 3375.380 2.400 3378.380 ;
-        RECT 2917.600 3375.380 2952.600 3378.380 ;
-        RECT -32.980 3375.370 -29.980 3375.380 ;
-        RECT 2949.600 3375.370 2952.600 3375.380 ;
-        RECT -32.980 3198.380 -29.980 3198.390 ;
-        RECT 2949.600 3198.380 2952.600 3198.390 ;
-        RECT -32.980 3195.380 2.400 3198.380 ;
-        RECT 2917.600 3195.380 2952.600 3198.380 ;
-        RECT -32.980 3195.370 -29.980 3195.380 ;
-        RECT 2949.600 3195.370 2952.600 3195.380 ;
-        RECT -32.980 3018.380 -29.980 3018.390 ;
-        RECT 2949.600 3018.380 2952.600 3018.390 ;
-        RECT -32.980 3015.380 2.400 3018.380 ;
-        RECT 2917.600 3015.380 2952.600 3018.380 ;
-        RECT -32.980 3015.370 -29.980 3015.380 ;
-        RECT 2949.600 3015.370 2952.600 3015.380 ;
-        RECT -32.980 2838.380 -29.980 2838.390 ;
-        RECT 2949.600 2838.380 2952.600 2838.390 ;
-        RECT -32.980 2835.380 2.400 2838.380 ;
-        RECT 2917.600 2835.380 2952.600 2838.380 ;
-        RECT -32.980 2835.370 -29.980 2835.380 ;
-        RECT 2949.600 2835.370 2952.600 2835.380 ;
-        RECT -32.980 2658.380 -29.980 2658.390 ;
-        RECT 2949.600 2658.380 2952.600 2658.390 ;
-        RECT -32.980 2655.380 2.400 2658.380 ;
-        RECT 2917.600 2655.380 2952.600 2658.380 ;
-        RECT -32.980 2655.370 -29.980 2655.380 ;
-        RECT 2949.600 2655.370 2952.600 2655.380 ;
-        RECT -32.980 2478.380 -29.980 2478.390 ;
-        RECT 2949.600 2478.380 2952.600 2478.390 ;
-        RECT -32.980 2475.380 2.400 2478.380 ;
-        RECT 2917.600 2475.380 2952.600 2478.380 ;
-        RECT -32.980 2475.370 -29.980 2475.380 ;
-        RECT 2949.600 2475.370 2952.600 2475.380 ;
-        RECT -32.980 2298.380 -29.980 2298.390 ;
-        RECT 2949.600 2298.380 2952.600 2298.390 ;
-        RECT -32.980 2295.380 2.400 2298.380 ;
-        RECT 2917.600 2295.380 2952.600 2298.380 ;
-        RECT -32.980 2295.370 -29.980 2295.380 ;
-        RECT 2949.600 2295.370 2952.600 2295.380 ;
-        RECT -32.980 2118.380 -29.980 2118.390 ;
-        RECT 2949.600 2118.380 2952.600 2118.390 ;
-        RECT -32.980 2115.380 2.400 2118.380 ;
-        RECT 2917.600 2115.380 2952.600 2118.380 ;
-        RECT -32.980 2115.370 -29.980 2115.380 ;
-        RECT 2949.600 2115.370 2952.600 2115.380 ;
-        RECT -32.980 1938.380 -29.980 1938.390 ;
-        RECT 2949.600 1938.380 2952.600 1938.390 ;
-        RECT -32.980 1935.380 2.400 1938.380 ;
-        RECT 2917.600 1935.380 2952.600 1938.380 ;
-        RECT -32.980 1935.370 -29.980 1935.380 ;
-        RECT 2949.600 1935.370 2952.600 1935.380 ;
-        RECT -32.980 1758.380 -29.980 1758.390 ;
-        RECT 2949.600 1758.380 2952.600 1758.390 ;
-        RECT -32.980 1755.380 2.400 1758.380 ;
-        RECT 2917.600 1755.380 2952.600 1758.380 ;
-        RECT -32.980 1755.370 -29.980 1755.380 ;
-        RECT 2949.600 1755.370 2952.600 1755.380 ;
-        RECT -32.980 1578.380 -29.980 1578.390 ;
-        RECT 2949.600 1578.380 2952.600 1578.390 ;
-        RECT -32.980 1575.380 2.400 1578.380 ;
-        RECT 2917.600 1575.380 2952.600 1578.380 ;
-        RECT -32.980 1575.370 -29.980 1575.380 ;
-        RECT 2949.600 1575.370 2952.600 1575.380 ;
-        RECT -32.980 1398.380 -29.980 1398.390 ;
-        RECT 2949.600 1398.380 2952.600 1398.390 ;
-        RECT -32.980 1395.380 2.400 1398.380 ;
-        RECT 2917.600 1395.380 2952.600 1398.380 ;
-        RECT -32.980 1395.370 -29.980 1395.380 ;
-        RECT 2949.600 1395.370 2952.600 1395.380 ;
-        RECT -32.980 1218.380 -29.980 1218.390 ;
-        RECT 2949.600 1218.380 2952.600 1218.390 ;
-        RECT -32.980 1215.380 2.400 1218.380 ;
-        RECT 2917.600 1215.380 2952.600 1218.380 ;
-        RECT -32.980 1215.370 -29.980 1215.380 ;
-        RECT 2949.600 1215.370 2952.600 1215.380 ;
-        RECT -32.980 1038.380 -29.980 1038.390 ;
-        RECT 2949.600 1038.380 2952.600 1038.390 ;
-        RECT -32.980 1035.380 2.400 1038.380 ;
-        RECT 2917.600 1035.380 2952.600 1038.380 ;
-        RECT -32.980 1035.370 -29.980 1035.380 ;
-        RECT 2949.600 1035.370 2952.600 1035.380 ;
-        RECT -32.980 858.380 -29.980 858.390 ;
-        RECT 2949.600 858.380 2952.600 858.390 ;
-        RECT -32.980 855.380 2.400 858.380 ;
-        RECT 2917.600 855.380 2952.600 858.380 ;
-        RECT -32.980 855.370 -29.980 855.380 ;
-        RECT 2949.600 855.370 2952.600 855.380 ;
-        RECT -32.980 678.380 -29.980 678.390 ;
-        RECT 2949.600 678.380 2952.600 678.390 ;
-        RECT -32.980 675.380 2.400 678.380 ;
-        RECT 2917.600 675.380 2952.600 678.380 ;
-        RECT -32.980 675.370 -29.980 675.380 ;
-        RECT 2949.600 675.370 2952.600 675.380 ;
-        RECT -32.980 498.380 -29.980 498.390 ;
-        RECT 2949.600 498.380 2952.600 498.390 ;
-        RECT -32.980 495.380 2.400 498.380 ;
-        RECT 2917.600 495.380 2952.600 498.380 ;
-        RECT -32.980 495.370 -29.980 495.380 ;
-        RECT 2949.600 495.370 2952.600 495.380 ;
-        RECT -32.980 318.380 -29.980 318.390 ;
-        RECT 2949.600 318.380 2952.600 318.390 ;
-        RECT -32.980 315.380 2.400 318.380 ;
-        RECT 2917.600 315.380 2952.600 318.380 ;
-        RECT -32.980 315.370 -29.980 315.380 ;
-        RECT 2949.600 315.370 2952.600 315.380 ;
-        RECT -32.980 138.380 -29.980 138.390 ;
-        RECT 2949.600 138.380 2952.600 138.390 ;
-        RECT -32.980 135.380 2.400 138.380 ;
-        RECT 2917.600 135.380 2952.600 138.380 ;
-        RECT -32.980 135.370 -29.980 135.380 ;
-        RECT 2949.600 135.370 2952.600 135.380 ;
-        RECT -32.980 -24.620 -29.980 -24.610 ;
-        RECT 130.020 -24.620 133.020 -24.610 ;
-        RECT 310.020 -24.620 313.020 -24.610 ;
-        RECT 490.020 -24.620 493.020 -24.610 ;
-        RECT 670.020 -24.620 673.020 -24.610 ;
-        RECT 850.020 -24.620 853.020 -24.610 ;
-        RECT 1030.020 -24.620 1033.020 -24.610 ;
-        RECT 1210.020 -24.620 1213.020 -24.610 ;
-        RECT 1390.020 -24.620 1393.020 -24.610 ;
-        RECT 1570.020 -24.620 1573.020 -24.610 ;
-        RECT 1750.020 -24.620 1753.020 -24.610 ;
-        RECT 1930.020 -24.620 1933.020 -24.610 ;
-        RECT 2110.020 -24.620 2113.020 -24.610 ;
-        RECT 2290.020 -24.620 2293.020 -24.610 ;
-        RECT 2470.020 -24.620 2473.020 -24.610 ;
-        RECT 2650.020 -24.620 2653.020 -24.610 ;
-        RECT 2830.020 -24.620 2833.020 -24.610 ;
-        RECT 2949.600 -24.620 2952.600 -24.610 ;
-        RECT -32.980 -27.620 2952.600 -24.620 ;
-        RECT -32.980 -27.630 -29.980 -27.620 ;
-        RECT 130.020 -27.630 133.020 -27.620 ;
-        RECT 310.020 -27.630 313.020 -27.620 ;
-        RECT 490.020 -27.630 493.020 -27.620 ;
-        RECT 670.020 -27.630 673.020 -27.620 ;
-        RECT 850.020 -27.630 853.020 -27.620 ;
-        RECT 1030.020 -27.630 1033.020 -27.620 ;
-        RECT 1210.020 -27.630 1213.020 -27.620 ;
-        RECT 1390.020 -27.630 1393.020 -27.620 ;
-        RECT 1570.020 -27.630 1573.020 -27.620 ;
-        RECT 1750.020 -27.630 1753.020 -27.620 ;
-        RECT 1930.020 -27.630 1933.020 -27.620 ;
-        RECT 2110.020 -27.630 2113.020 -27.620 ;
-        RECT 2290.020 -27.630 2293.020 -27.620 ;
-        RECT 2470.020 -27.630 2473.020 -27.620 ;
-        RECT 2650.020 -27.630 2653.020 -27.620 ;
-        RECT 2830.020 -27.630 2833.020 -27.620 ;
-        RECT 2949.600 -27.630 2952.600 -27.620 ;
+        RECT -33.480 3547.800 -30.480 3547.810 ;
+        RECT 130.020 3547.800 133.020 3547.810 ;
+        RECT 310.020 3547.800 313.020 3547.810 ;
+        RECT 490.020 3547.800 493.020 3547.810 ;
+        RECT 670.020 3547.800 673.020 3547.810 ;
+        RECT 850.020 3547.800 853.020 3547.810 ;
+        RECT 1030.020 3547.800 1033.020 3547.810 ;
+        RECT 1210.020 3547.800 1213.020 3547.810 ;
+        RECT 1390.020 3547.800 1393.020 3547.810 ;
+        RECT 1570.020 3547.800 1573.020 3547.810 ;
+        RECT 1750.020 3547.800 1753.020 3547.810 ;
+        RECT 1930.020 3547.800 1933.020 3547.810 ;
+        RECT 2110.020 3547.800 2113.020 3547.810 ;
+        RECT 2290.020 3547.800 2293.020 3547.810 ;
+        RECT 2470.020 3547.800 2473.020 3547.810 ;
+        RECT 2650.020 3547.800 2653.020 3547.810 ;
+        RECT 2830.020 3547.800 2833.020 3547.810 ;
+        RECT 2950.100 3547.800 2953.100 3547.810 ;
+        RECT -33.480 3544.800 2953.100 3547.800 ;
+        RECT -33.480 3544.790 -30.480 3544.800 ;
+        RECT 130.020 3544.790 133.020 3544.800 ;
+        RECT 310.020 3544.790 313.020 3544.800 ;
+        RECT 490.020 3544.790 493.020 3544.800 ;
+        RECT 670.020 3544.790 673.020 3544.800 ;
+        RECT 850.020 3544.790 853.020 3544.800 ;
+        RECT 1030.020 3544.790 1033.020 3544.800 ;
+        RECT 1210.020 3544.790 1213.020 3544.800 ;
+        RECT 1390.020 3544.790 1393.020 3544.800 ;
+        RECT 1570.020 3544.790 1573.020 3544.800 ;
+        RECT 1750.020 3544.790 1753.020 3544.800 ;
+        RECT 1930.020 3544.790 1933.020 3544.800 ;
+        RECT 2110.020 3544.790 2113.020 3544.800 ;
+        RECT 2290.020 3544.790 2293.020 3544.800 ;
+        RECT 2470.020 3544.790 2473.020 3544.800 ;
+        RECT 2650.020 3544.790 2653.020 3544.800 ;
+        RECT 2830.020 3544.790 2833.020 3544.800 ;
+        RECT 2950.100 3544.790 2953.100 3544.800 ;
+        RECT -33.480 3378.380 -30.480 3378.390 ;
+        RECT 2950.100 3378.380 2953.100 3378.390 ;
+        RECT -33.480 3375.380 2.400 3378.380 ;
+        RECT 2917.600 3375.380 2953.100 3378.380 ;
+        RECT -33.480 3375.370 -30.480 3375.380 ;
+        RECT 2950.100 3375.370 2953.100 3375.380 ;
+        RECT -33.480 3198.380 -30.480 3198.390 ;
+        RECT 2950.100 3198.380 2953.100 3198.390 ;
+        RECT -33.480 3195.380 2.400 3198.380 ;
+        RECT 2917.600 3195.380 2953.100 3198.380 ;
+        RECT -33.480 3195.370 -30.480 3195.380 ;
+        RECT 2950.100 3195.370 2953.100 3195.380 ;
+        RECT -33.480 3018.380 -30.480 3018.390 ;
+        RECT 2950.100 3018.380 2953.100 3018.390 ;
+        RECT -33.480 3015.380 2.400 3018.380 ;
+        RECT 2917.600 3015.380 2953.100 3018.380 ;
+        RECT -33.480 3015.370 -30.480 3015.380 ;
+        RECT 2950.100 3015.370 2953.100 3015.380 ;
+        RECT -33.480 2838.380 -30.480 2838.390 ;
+        RECT 2950.100 2838.380 2953.100 2838.390 ;
+        RECT -33.480 2835.380 2.400 2838.380 ;
+        RECT 2917.600 2835.380 2953.100 2838.380 ;
+        RECT -33.480 2835.370 -30.480 2835.380 ;
+        RECT 2950.100 2835.370 2953.100 2835.380 ;
+        RECT -33.480 2658.380 -30.480 2658.390 ;
+        RECT 2950.100 2658.380 2953.100 2658.390 ;
+        RECT -33.480 2655.380 2.400 2658.380 ;
+        RECT 2917.600 2655.380 2953.100 2658.380 ;
+        RECT -33.480 2655.370 -30.480 2655.380 ;
+        RECT 2950.100 2655.370 2953.100 2655.380 ;
+        RECT -33.480 2478.380 -30.480 2478.390 ;
+        RECT 2950.100 2478.380 2953.100 2478.390 ;
+        RECT -33.480 2475.380 2.400 2478.380 ;
+        RECT 2917.600 2475.380 2953.100 2478.380 ;
+        RECT -33.480 2475.370 -30.480 2475.380 ;
+        RECT 2950.100 2475.370 2953.100 2475.380 ;
+        RECT -33.480 2298.380 -30.480 2298.390 ;
+        RECT 2950.100 2298.380 2953.100 2298.390 ;
+        RECT -33.480 2295.380 2.400 2298.380 ;
+        RECT 2917.600 2295.380 2953.100 2298.380 ;
+        RECT -33.480 2295.370 -30.480 2295.380 ;
+        RECT 2950.100 2295.370 2953.100 2295.380 ;
+        RECT -33.480 2118.380 -30.480 2118.390 ;
+        RECT 2950.100 2118.380 2953.100 2118.390 ;
+        RECT -33.480 2115.380 2.400 2118.380 ;
+        RECT 2917.600 2115.380 2953.100 2118.380 ;
+        RECT -33.480 2115.370 -30.480 2115.380 ;
+        RECT 2950.100 2115.370 2953.100 2115.380 ;
+        RECT -33.480 1938.380 -30.480 1938.390 ;
+        RECT 2950.100 1938.380 2953.100 1938.390 ;
+        RECT -33.480 1935.380 2.400 1938.380 ;
+        RECT 2917.600 1935.380 2953.100 1938.380 ;
+        RECT -33.480 1935.370 -30.480 1935.380 ;
+        RECT 2950.100 1935.370 2953.100 1935.380 ;
+        RECT -33.480 1758.380 -30.480 1758.390 ;
+        RECT 2950.100 1758.380 2953.100 1758.390 ;
+        RECT -33.480 1755.380 2.400 1758.380 ;
+        RECT 2917.600 1755.380 2953.100 1758.380 ;
+        RECT -33.480 1755.370 -30.480 1755.380 ;
+        RECT 2950.100 1755.370 2953.100 1755.380 ;
+        RECT -33.480 1578.380 -30.480 1578.390 ;
+        RECT 2950.100 1578.380 2953.100 1578.390 ;
+        RECT -33.480 1575.380 2.400 1578.380 ;
+        RECT 2917.600 1575.380 2953.100 1578.380 ;
+        RECT -33.480 1575.370 -30.480 1575.380 ;
+        RECT 2950.100 1575.370 2953.100 1575.380 ;
+        RECT -33.480 1398.380 -30.480 1398.390 ;
+        RECT 2950.100 1398.380 2953.100 1398.390 ;
+        RECT -33.480 1395.380 2.400 1398.380 ;
+        RECT 2917.600 1395.380 2953.100 1398.380 ;
+        RECT -33.480 1395.370 -30.480 1395.380 ;
+        RECT 2950.100 1395.370 2953.100 1395.380 ;
+        RECT -33.480 1218.380 -30.480 1218.390 ;
+        RECT 2950.100 1218.380 2953.100 1218.390 ;
+        RECT -33.480 1215.380 2.400 1218.380 ;
+        RECT 2917.600 1215.380 2953.100 1218.380 ;
+        RECT -33.480 1215.370 -30.480 1215.380 ;
+        RECT 2950.100 1215.370 2953.100 1215.380 ;
+        RECT -33.480 1038.380 -30.480 1038.390 ;
+        RECT 2950.100 1038.380 2953.100 1038.390 ;
+        RECT -33.480 1035.380 2.400 1038.380 ;
+        RECT 2917.600 1035.380 2953.100 1038.380 ;
+        RECT -33.480 1035.370 -30.480 1035.380 ;
+        RECT 2950.100 1035.370 2953.100 1035.380 ;
+        RECT -33.480 858.380 -30.480 858.390 ;
+        RECT 2950.100 858.380 2953.100 858.390 ;
+        RECT -33.480 855.380 2.400 858.380 ;
+        RECT 2917.600 855.380 2953.100 858.380 ;
+        RECT -33.480 855.370 -30.480 855.380 ;
+        RECT 2950.100 855.370 2953.100 855.380 ;
+        RECT -33.480 678.380 -30.480 678.390 ;
+        RECT 2950.100 678.380 2953.100 678.390 ;
+        RECT -33.480 675.380 2.400 678.380 ;
+        RECT 2917.600 675.380 2953.100 678.380 ;
+        RECT -33.480 675.370 -30.480 675.380 ;
+        RECT 2950.100 675.370 2953.100 675.380 ;
+        RECT -33.480 498.380 -30.480 498.390 ;
+        RECT 2950.100 498.380 2953.100 498.390 ;
+        RECT -33.480 495.380 2.400 498.380 ;
+        RECT 2917.600 495.380 2953.100 498.380 ;
+        RECT -33.480 495.370 -30.480 495.380 ;
+        RECT 2950.100 495.370 2953.100 495.380 ;
+        RECT -33.480 318.380 -30.480 318.390 ;
+        RECT 2950.100 318.380 2953.100 318.390 ;
+        RECT -33.480 315.380 2.400 318.380 ;
+        RECT 2917.600 315.380 2953.100 318.380 ;
+        RECT -33.480 315.370 -30.480 315.380 ;
+        RECT 2950.100 315.370 2953.100 315.380 ;
+        RECT -33.480 138.380 -30.480 138.390 ;
+        RECT 2950.100 138.380 2953.100 138.390 ;
+        RECT -33.480 135.380 2.400 138.380 ;
+        RECT 2917.600 135.380 2953.100 138.380 ;
+        RECT -33.480 135.370 -30.480 135.380 ;
+        RECT 2950.100 135.370 2953.100 135.380 ;
+        RECT -33.480 -25.120 -30.480 -25.110 ;
+        RECT 130.020 -25.120 133.020 -25.110 ;
+        RECT 310.020 -25.120 313.020 -25.110 ;
+        RECT 490.020 -25.120 493.020 -25.110 ;
+        RECT 670.020 -25.120 673.020 -25.110 ;
+        RECT 850.020 -25.120 853.020 -25.110 ;
+        RECT 1030.020 -25.120 1033.020 -25.110 ;
+        RECT 1210.020 -25.120 1213.020 -25.110 ;
+        RECT 1390.020 -25.120 1393.020 -25.110 ;
+        RECT 1570.020 -25.120 1573.020 -25.110 ;
+        RECT 1750.020 -25.120 1753.020 -25.110 ;
+        RECT 1930.020 -25.120 1933.020 -25.110 ;
+        RECT 2110.020 -25.120 2113.020 -25.110 ;
+        RECT 2290.020 -25.120 2293.020 -25.110 ;
+        RECT 2470.020 -25.120 2473.020 -25.110 ;
+        RECT 2650.020 -25.120 2653.020 -25.110 ;
+        RECT 2830.020 -25.120 2833.020 -25.110 ;
+        RECT 2950.100 -25.120 2953.100 -25.110 ;
+        RECT -33.480 -28.120 2953.100 -25.120 ;
+        RECT -33.480 -28.130 -30.480 -28.120 ;
+        RECT 130.020 -28.130 133.020 -28.120 ;
+        RECT 310.020 -28.130 313.020 -28.120 ;
+        RECT 490.020 -28.130 493.020 -28.120 ;
+        RECT 670.020 -28.130 673.020 -28.120 ;
+        RECT 850.020 -28.130 853.020 -28.120 ;
+        RECT 1030.020 -28.130 1033.020 -28.120 ;
+        RECT 1210.020 -28.130 1213.020 -28.120 ;
+        RECT 1390.020 -28.130 1393.020 -28.120 ;
+        RECT 1570.020 -28.130 1573.020 -28.120 ;
+        RECT 1750.020 -28.130 1753.020 -28.120 ;
+        RECT 1930.020 -28.130 1933.020 -28.120 ;
+        RECT 2110.020 -28.130 2113.020 -28.120 ;
+        RECT 2290.020 -28.130 2293.020 -28.120 ;
+        RECT 2470.020 -28.130 2473.020 -28.120 ;
+        RECT 2650.020 -28.130 2653.020 -28.120 ;
+        RECT 2830.020 -28.130 2833.020 -28.120 ;
+        RECT 2950.100 -28.130 2953.100 -28.120 ;
     END
   END vssa1
   PIN vdda2
     DIRECTION INPUT ;
     PORT
       LAYER met4 ;
-        RECT -37.580 -32.220 -34.580 3551.900 ;
-        RECT 58.020 3517.600 61.020 3556.500 ;
-        RECT 238.020 3517.600 241.020 3556.500 ;
-        RECT 418.020 3517.600 421.020 3556.500 ;
-        RECT 598.020 3517.600 601.020 3556.500 ;
-        RECT 778.020 3517.600 781.020 3556.500 ;
-        RECT 958.020 3517.600 961.020 3556.500 ;
-        RECT 1138.020 3517.600 1141.020 3556.500 ;
-        RECT 1318.020 3517.600 1321.020 3556.500 ;
-        RECT 1498.020 3517.600 1501.020 3556.500 ;
-        RECT 1678.020 3517.600 1681.020 3556.500 ;
-        RECT 1858.020 3517.600 1861.020 3556.500 ;
-        RECT 2038.020 3517.600 2041.020 3556.500 ;
-        RECT 2218.020 3517.600 2221.020 3556.500 ;
-        RECT 2398.020 3517.600 2401.020 3556.500 ;
-        RECT 2578.020 3517.600 2581.020 3556.500 ;
-        RECT 2758.020 3517.600 2761.020 3556.500 ;
-        RECT 58.020 -36.820 61.020 2.400 ;
-        RECT 238.020 -36.820 241.020 2.400 ;
-        RECT 418.020 -36.820 421.020 2.400 ;
-        RECT 598.020 -36.820 601.020 2.400 ;
-        RECT 778.020 -36.820 781.020 2.400 ;
-        RECT 958.020 -36.820 961.020 2.400 ;
-        RECT 1138.020 -36.820 1141.020 2.400 ;
-        RECT 1318.020 -36.820 1321.020 2.400 ;
-        RECT 1498.020 -36.820 1501.020 2.400 ;
-        RECT 1678.020 -36.820 1681.020 2.400 ;
-        RECT 1858.020 -36.820 1861.020 2.400 ;
-        RECT 2038.020 -36.820 2041.020 2.400 ;
-        RECT 2218.020 -36.820 2221.020 2.400 ;
-        RECT 2398.020 -36.820 2401.020 2.400 ;
-        RECT 2578.020 -36.820 2581.020 2.400 ;
-        RECT 2758.020 -36.820 2761.020 2.400 ;
-        RECT 2954.200 -32.220 2957.200 3551.900 ;
+        RECT -38.180 -32.820 -35.180 3552.500 ;
+        RECT 58.020 3517.600 61.020 3557.200 ;
+        RECT 238.020 3517.600 241.020 3557.200 ;
+        RECT 418.020 3517.600 421.020 3557.200 ;
+        RECT 598.020 3517.600 601.020 3557.200 ;
+        RECT 778.020 3517.600 781.020 3557.200 ;
+        RECT 958.020 3517.600 961.020 3557.200 ;
+        RECT 1138.020 3517.600 1141.020 3557.200 ;
+        RECT 1318.020 3517.600 1321.020 3557.200 ;
+        RECT 1498.020 3517.600 1501.020 3557.200 ;
+        RECT 1678.020 3517.600 1681.020 3557.200 ;
+        RECT 1858.020 3517.600 1861.020 3557.200 ;
+        RECT 2038.020 3517.600 2041.020 3557.200 ;
+        RECT 2218.020 3517.600 2221.020 3557.200 ;
+        RECT 2398.020 3517.600 2401.020 3557.200 ;
+        RECT 2578.020 3517.600 2581.020 3557.200 ;
+        RECT 2758.020 3517.600 2761.020 3557.200 ;
+        RECT 58.020 -37.520 61.020 2.400 ;
+        RECT 238.020 -37.520 241.020 2.400 ;
+        RECT 418.020 -37.520 421.020 2.400 ;
+        RECT 598.020 -37.520 601.020 2.400 ;
+        RECT 778.020 -37.520 781.020 2.400 ;
+        RECT 958.020 -37.520 961.020 2.400 ;
+        RECT 1138.020 -37.520 1141.020 2.400 ;
+        RECT 1318.020 -37.520 1321.020 2.400 ;
+        RECT 1498.020 -37.520 1501.020 2.400 ;
+        RECT 1678.020 -37.520 1681.020 2.400 ;
+        RECT 1858.020 -37.520 1861.020 2.400 ;
+        RECT 2038.020 -37.520 2041.020 2.400 ;
+        RECT 2218.020 -37.520 2221.020 2.400 ;
+        RECT 2398.020 -37.520 2401.020 2.400 ;
+        RECT 2578.020 -37.520 2581.020 2.400 ;
+        RECT 2758.020 -37.520 2761.020 2.400 ;
+        RECT 2954.800 -32.820 2957.800 3552.500 ;
       LAYER M4M5_PR_C ;
-        RECT -36.670 3550.610 -35.490 3551.790 ;
-        RECT -36.670 3549.010 -35.490 3550.190 ;
-        RECT 58.930 3550.610 60.110 3551.790 ;
-        RECT 58.930 3549.010 60.110 3550.190 ;
-        RECT 238.930 3550.610 240.110 3551.790 ;
-        RECT 238.930 3549.010 240.110 3550.190 ;
-        RECT 418.930 3550.610 420.110 3551.790 ;
-        RECT 418.930 3549.010 420.110 3550.190 ;
-        RECT 598.930 3550.610 600.110 3551.790 ;
-        RECT 598.930 3549.010 600.110 3550.190 ;
-        RECT 778.930 3550.610 780.110 3551.790 ;
-        RECT 778.930 3549.010 780.110 3550.190 ;
-        RECT 958.930 3550.610 960.110 3551.790 ;
-        RECT 958.930 3549.010 960.110 3550.190 ;
-        RECT 1138.930 3550.610 1140.110 3551.790 ;
-        RECT 1138.930 3549.010 1140.110 3550.190 ;
-        RECT 1318.930 3550.610 1320.110 3551.790 ;
-        RECT 1318.930 3549.010 1320.110 3550.190 ;
-        RECT 1498.930 3550.610 1500.110 3551.790 ;
-        RECT 1498.930 3549.010 1500.110 3550.190 ;
-        RECT 1678.930 3550.610 1680.110 3551.790 ;
-        RECT 1678.930 3549.010 1680.110 3550.190 ;
-        RECT 1858.930 3550.610 1860.110 3551.790 ;
-        RECT 1858.930 3549.010 1860.110 3550.190 ;
-        RECT 2038.930 3550.610 2040.110 3551.790 ;
-        RECT 2038.930 3549.010 2040.110 3550.190 ;
-        RECT 2218.930 3550.610 2220.110 3551.790 ;
-        RECT 2218.930 3549.010 2220.110 3550.190 ;
-        RECT 2398.930 3550.610 2400.110 3551.790 ;
-        RECT 2398.930 3549.010 2400.110 3550.190 ;
-        RECT 2578.930 3550.610 2580.110 3551.790 ;
-        RECT 2578.930 3549.010 2580.110 3550.190 ;
-        RECT 2758.930 3550.610 2760.110 3551.790 ;
-        RECT 2758.930 3549.010 2760.110 3550.190 ;
-        RECT 2955.110 3550.610 2956.290 3551.790 ;
-        RECT 2955.110 3549.010 2956.290 3550.190 ;
-        RECT -36.670 3485.090 -35.490 3486.270 ;
-        RECT -36.670 3483.490 -35.490 3484.670 ;
-        RECT -36.670 3305.090 -35.490 3306.270 ;
-        RECT -36.670 3303.490 -35.490 3304.670 ;
-        RECT -36.670 3125.090 -35.490 3126.270 ;
-        RECT -36.670 3123.490 -35.490 3124.670 ;
-        RECT -36.670 2945.090 -35.490 2946.270 ;
-        RECT -36.670 2943.490 -35.490 2944.670 ;
-        RECT -36.670 2765.090 -35.490 2766.270 ;
-        RECT -36.670 2763.490 -35.490 2764.670 ;
-        RECT -36.670 2585.090 -35.490 2586.270 ;
-        RECT -36.670 2583.490 -35.490 2584.670 ;
-        RECT -36.670 2405.090 -35.490 2406.270 ;
-        RECT -36.670 2403.490 -35.490 2404.670 ;
-        RECT -36.670 2225.090 -35.490 2226.270 ;
-        RECT -36.670 2223.490 -35.490 2224.670 ;
-        RECT -36.670 2045.090 -35.490 2046.270 ;
-        RECT -36.670 2043.490 -35.490 2044.670 ;
-        RECT -36.670 1865.090 -35.490 1866.270 ;
-        RECT -36.670 1863.490 -35.490 1864.670 ;
-        RECT -36.670 1685.090 -35.490 1686.270 ;
-        RECT -36.670 1683.490 -35.490 1684.670 ;
-        RECT -36.670 1505.090 -35.490 1506.270 ;
-        RECT -36.670 1503.490 -35.490 1504.670 ;
-        RECT -36.670 1325.090 -35.490 1326.270 ;
-        RECT -36.670 1323.490 -35.490 1324.670 ;
-        RECT -36.670 1145.090 -35.490 1146.270 ;
-        RECT -36.670 1143.490 -35.490 1144.670 ;
-        RECT -36.670 965.090 -35.490 966.270 ;
-        RECT -36.670 963.490 -35.490 964.670 ;
-        RECT -36.670 785.090 -35.490 786.270 ;
-        RECT -36.670 783.490 -35.490 784.670 ;
-        RECT -36.670 605.090 -35.490 606.270 ;
-        RECT -36.670 603.490 -35.490 604.670 ;
-        RECT -36.670 425.090 -35.490 426.270 ;
-        RECT -36.670 423.490 -35.490 424.670 ;
-        RECT -36.670 245.090 -35.490 246.270 ;
-        RECT -36.670 243.490 -35.490 244.670 ;
-        RECT -36.670 65.090 -35.490 66.270 ;
-        RECT -36.670 63.490 -35.490 64.670 ;
-        RECT 2955.110 3485.090 2956.290 3486.270 ;
-        RECT 2955.110 3483.490 2956.290 3484.670 ;
-        RECT 2955.110 3305.090 2956.290 3306.270 ;
-        RECT 2955.110 3303.490 2956.290 3304.670 ;
-        RECT 2955.110 3125.090 2956.290 3126.270 ;
-        RECT 2955.110 3123.490 2956.290 3124.670 ;
-        RECT 2955.110 2945.090 2956.290 2946.270 ;
-        RECT 2955.110 2943.490 2956.290 2944.670 ;
-        RECT 2955.110 2765.090 2956.290 2766.270 ;
-        RECT 2955.110 2763.490 2956.290 2764.670 ;
-        RECT 2955.110 2585.090 2956.290 2586.270 ;
-        RECT 2955.110 2583.490 2956.290 2584.670 ;
-        RECT 2955.110 2405.090 2956.290 2406.270 ;
-        RECT 2955.110 2403.490 2956.290 2404.670 ;
-        RECT 2955.110 2225.090 2956.290 2226.270 ;
-        RECT 2955.110 2223.490 2956.290 2224.670 ;
-        RECT 2955.110 2045.090 2956.290 2046.270 ;
-        RECT 2955.110 2043.490 2956.290 2044.670 ;
-        RECT 2955.110 1865.090 2956.290 1866.270 ;
-        RECT 2955.110 1863.490 2956.290 1864.670 ;
-        RECT 2955.110 1685.090 2956.290 1686.270 ;
-        RECT 2955.110 1683.490 2956.290 1684.670 ;
-        RECT 2955.110 1505.090 2956.290 1506.270 ;
-        RECT 2955.110 1503.490 2956.290 1504.670 ;
-        RECT 2955.110 1325.090 2956.290 1326.270 ;
-        RECT 2955.110 1323.490 2956.290 1324.670 ;
-        RECT 2955.110 1145.090 2956.290 1146.270 ;
-        RECT 2955.110 1143.490 2956.290 1144.670 ;
-        RECT 2955.110 965.090 2956.290 966.270 ;
-        RECT 2955.110 963.490 2956.290 964.670 ;
-        RECT 2955.110 785.090 2956.290 786.270 ;
-        RECT 2955.110 783.490 2956.290 784.670 ;
-        RECT 2955.110 605.090 2956.290 606.270 ;
-        RECT 2955.110 603.490 2956.290 604.670 ;
-        RECT 2955.110 425.090 2956.290 426.270 ;
-        RECT 2955.110 423.490 2956.290 424.670 ;
-        RECT 2955.110 245.090 2956.290 246.270 ;
-        RECT 2955.110 243.490 2956.290 244.670 ;
-        RECT 2955.110 65.090 2956.290 66.270 ;
-        RECT 2955.110 63.490 2956.290 64.670 ;
-        RECT -36.670 -30.510 -35.490 -29.330 ;
-        RECT -36.670 -32.110 -35.490 -30.930 ;
-        RECT 58.930 -30.510 60.110 -29.330 ;
-        RECT 58.930 -32.110 60.110 -30.930 ;
-        RECT 238.930 -30.510 240.110 -29.330 ;
-        RECT 238.930 -32.110 240.110 -30.930 ;
-        RECT 418.930 -30.510 420.110 -29.330 ;
-        RECT 418.930 -32.110 420.110 -30.930 ;
-        RECT 598.930 -30.510 600.110 -29.330 ;
-        RECT 598.930 -32.110 600.110 -30.930 ;
-        RECT 778.930 -30.510 780.110 -29.330 ;
-        RECT 778.930 -32.110 780.110 -30.930 ;
-        RECT 958.930 -30.510 960.110 -29.330 ;
-        RECT 958.930 -32.110 960.110 -30.930 ;
-        RECT 1138.930 -30.510 1140.110 -29.330 ;
-        RECT 1138.930 -32.110 1140.110 -30.930 ;
-        RECT 1318.930 -30.510 1320.110 -29.330 ;
-        RECT 1318.930 -32.110 1320.110 -30.930 ;
-        RECT 1498.930 -30.510 1500.110 -29.330 ;
-        RECT 1498.930 -32.110 1500.110 -30.930 ;
-        RECT 1678.930 -30.510 1680.110 -29.330 ;
-        RECT 1678.930 -32.110 1680.110 -30.930 ;
-        RECT 1858.930 -30.510 1860.110 -29.330 ;
-        RECT 1858.930 -32.110 1860.110 -30.930 ;
-        RECT 2038.930 -30.510 2040.110 -29.330 ;
-        RECT 2038.930 -32.110 2040.110 -30.930 ;
-        RECT 2218.930 -30.510 2220.110 -29.330 ;
-        RECT 2218.930 -32.110 2220.110 -30.930 ;
-        RECT 2398.930 -30.510 2400.110 -29.330 ;
-        RECT 2398.930 -32.110 2400.110 -30.930 ;
-        RECT 2578.930 -30.510 2580.110 -29.330 ;
-        RECT 2578.930 -32.110 2580.110 -30.930 ;
-        RECT 2758.930 -30.510 2760.110 -29.330 ;
-        RECT 2758.930 -32.110 2760.110 -30.930 ;
-        RECT 2955.110 -30.510 2956.290 -29.330 ;
-        RECT 2955.110 -32.110 2956.290 -30.930 ;
+        RECT -37.270 3551.210 -36.090 3552.390 ;
+        RECT -37.270 3549.610 -36.090 3550.790 ;
+        RECT 58.930 3551.210 60.110 3552.390 ;
+        RECT 58.930 3549.610 60.110 3550.790 ;
+        RECT 238.930 3551.210 240.110 3552.390 ;
+        RECT 238.930 3549.610 240.110 3550.790 ;
+        RECT 418.930 3551.210 420.110 3552.390 ;
+        RECT 418.930 3549.610 420.110 3550.790 ;
+        RECT 598.930 3551.210 600.110 3552.390 ;
+        RECT 598.930 3549.610 600.110 3550.790 ;
+        RECT 778.930 3551.210 780.110 3552.390 ;
+        RECT 778.930 3549.610 780.110 3550.790 ;
+        RECT 958.930 3551.210 960.110 3552.390 ;
+        RECT 958.930 3549.610 960.110 3550.790 ;
+        RECT 1138.930 3551.210 1140.110 3552.390 ;
+        RECT 1138.930 3549.610 1140.110 3550.790 ;
+        RECT 1318.930 3551.210 1320.110 3552.390 ;
+        RECT 1318.930 3549.610 1320.110 3550.790 ;
+        RECT 1498.930 3551.210 1500.110 3552.390 ;
+        RECT 1498.930 3549.610 1500.110 3550.790 ;
+        RECT 1678.930 3551.210 1680.110 3552.390 ;
+        RECT 1678.930 3549.610 1680.110 3550.790 ;
+        RECT 1858.930 3551.210 1860.110 3552.390 ;
+        RECT 1858.930 3549.610 1860.110 3550.790 ;
+        RECT 2038.930 3551.210 2040.110 3552.390 ;
+        RECT 2038.930 3549.610 2040.110 3550.790 ;
+        RECT 2218.930 3551.210 2220.110 3552.390 ;
+        RECT 2218.930 3549.610 2220.110 3550.790 ;
+        RECT 2398.930 3551.210 2400.110 3552.390 ;
+        RECT 2398.930 3549.610 2400.110 3550.790 ;
+        RECT 2578.930 3551.210 2580.110 3552.390 ;
+        RECT 2578.930 3549.610 2580.110 3550.790 ;
+        RECT 2758.930 3551.210 2760.110 3552.390 ;
+        RECT 2758.930 3549.610 2760.110 3550.790 ;
+        RECT 2955.710 3551.210 2956.890 3552.390 ;
+        RECT 2955.710 3549.610 2956.890 3550.790 ;
+        RECT -37.270 3485.090 -36.090 3486.270 ;
+        RECT -37.270 3483.490 -36.090 3484.670 ;
+        RECT -37.270 3305.090 -36.090 3306.270 ;
+        RECT -37.270 3303.490 -36.090 3304.670 ;
+        RECT -37.270 3125.090 -36.090 3126.270 ;
+        RECT -37.270 3123.490 -36.090 3124.670 ;
+        RECT -37.270 2945.090 -36.090 2946.270 ;
+        RECT -37.270 2943.490 -36.090 2944.670 ;
+        RECT -37.270 2765.090 -36.090 2766.270 ;
+        RECT -37.270 2763.490 -36.090 2764.670 ;
+        RECT -37.270 2585.090 -36.090 2586.270 ;
+        RECT -37.270 2583.490 -36.090 2584.670 ;
+        RECT -37.270 2405.090 -36.090 2406.270 ;
+        RECT -37.270 2403.490 -36.090 2404.670 ;
+        RECT -37.270 2225.090 -36.090 2226.270 ;
+        RECT -37.270 2223.490 -36.090 2224.670 ;
+        RECT -37.270 2045.090 -36.090 2046.270 ;
+        RECT -37.270 2043.490 -36.090 2044.670 ;
+        RECT -37.270 1865.090 -36.090 1866.270 ;
+        RECT -37.270 1863.490 -36.090 1864.670 ;
+        RECT -37.270 1685.090 -36.090 1686.270 ;
+        RECT -37.270 1683.490 -36.090 1684.670 ;
+        RECT -37.270 1505.090 -36.090 1506.270 ;
+        RECT -37.270 1503.490 -36.090 1504.670 ;
+        RECT -37.270 1325.090 -36.090 1326.270 ;
+        RECT -37.270 1323.490 -36.090 1324.670 ;
+        RECT -37.270 1145.090 -36.090 1146.270 ;
+        RECT -37.270 1143.490 -36.090 1144.670 ;
+        RECT -37.270 965.090 -36.090 966.270 ;
+        RECT -37.270 963.490 -36.090 964.670 ;
+        RECT -37.270 785.090 -36.090 786.270 ;
+        RECT -37.270 783.490 -36.090 784.670 ;
+        RECT -37.270 605.090 -36.090 606.270 ;
+        RECT -37.270 603.490 -36.090 604.670 ;
+        RECT -37.270 425.090 -36.090 426.270 ;
+        RECT -37.270 423.490 -36.090 424.670 ;
+        RECT -37.270 245.090 -36.090 246.270 ;
+        RECT -37.270 243.490 -36.090 244.670 ;
+        RECT -37.270 65.090 -36.090 66.270 ;
+        RECT -37.270 63.490 -36.090 64.670 ;
+        RECT 2955.710 3485.090 2956.890 3486.270 ;
+        RECT 2955.710 3483.490 2956.890 3484.670 ;
+        RECT 2955.710 3305.090 2956.890 3306.270 ;
+        RECT 2955.710 3303.490 2956.890 3304.670 ;
+        RECT 2955.710 3125.090 2956.890 3126.270 ;
+        RECT 2955.710 3123.490 2956.890 3124.670 ;
+        RECT 2955.710 2945.090 2956.890 2946.270 ;
+        RECT 2955.710 2943.490 2956.890 2944.670 ;
+        RECT 2955.710 2765.090 2956.890 2766.270 ;
+        RECT 2955.710 2763.490 2956.890 2764.670 ;
+        RECT 2955.710 2585.090 2956.890 2586.270 ;
+        RECT 2955.710 2583.490 2956.890 2584.670 ;
+        RECT 2955.710 2405.090 2956.890 2406.270 ;
+        RECT 2955.710 2403.490 2956.890 2404.670 ;
+        RECT 2955.710 2225.090 2956.890 2226.270 ;
+        RECT 2955.710 2223.490 2956.890 2224.670 ;
+        RECT 2955.710 2045.090 2956.890 2046.270 ;
+        RECT 2955.710 2043.490 2956.890 2044.670 ;
+        RECT 2955.710 1865.090 2956.890 1866.270 ;
+        RECT 2955.710 1863.490 2956.890 1864.670 ;
+        RECT 2955.710 1685.090 2956.890 1686.270 ;
+        RECT 2955.710 1683.490 2956.890 1684.670 ;
+        RECT 2955.710 1505.090 2956.890 1506.270 ;
+        RECT 2955.710 1503.490 2956.890 1504.670 ;
+        RECT 2955.710 1325.090 2956.890 1326.270 ;
+        RECT 2955.710 1323.490 2956.890 1324.670 ;
+        RECT 2955.710 1145.090 2956.890 1146.270 ;
+        RECT 2955.710 1143.490 2956.890 1144.670 ;
+        RECT 2955.710 965.090 2956.890 966.270 ;
+        RECT 2955.710 963.490 2956.890 964.670 ;
+        RECT 2955.710 785.090 2956.890 786.270 ;
+        RECT 2955.710 783.490 2956.890 784.670 ;
+        RECT 2955.710 605.090 2956.890 606.270 ;
+        RECT 2955.710 603.490 2956.890 604.670 ;
+        RECT 2955.710 425.090 2956.890 426.270 ;
+        RECT 2955.710 423.490 2956.890 424.670 ;
+        RECT 2955.710 245.090 2956.890 246.270 ;
+        RECT 2955.710 243.490 2956.890 244.670 ;
+        RECT 2955.710 65.090 2956.890 66.270 ;
+        RECT 2955.710 63.490 2956.890 64.670 ;
+        RECT -37.270 -31.110 -36.090 -29.930 ;
+        RECT -37.270 -32.710 -36.090 -31.530 ;
+        RECT 58.930 -31.110 60.110 -29.930 ;
+        RECT 58.930 -32.710 60.110 -31.530 ;
+        RECT 238.930 -31.110 240.110 -29.930 ;
+        RECT 238.930 -32.710 240.110 -31.530 ;
+        RECT 418.930 -31.110 420.110 -29.930 ;
+        RECT 418.930 -32.710 420.110 -31.530 ;
+        RECT 598.930 -31.110 600.110 -29.930 ;
+        RECT 598.930 -32.710 600.110 -31.530 ;
+        RECT 778.930 -31.110 780.110 -29.930 ;
+        RECT 778.930 -32.710 780.110 -31.530 ;
+        RECT 958.930 -31.110 960.110 -29.930 ;
+        RECT 958.930 -32.710 960.110 -31.530 ;
+        RECT 1138.930 -31.110 1140.110 -29.930 ;
+        RECT 1138.930 -32.710 1140.110 -31.530 ;
+        RECT 1318.930 -31.110 1320.110 -29.930 ;
+        RECT 1318.930 -32.710 1320.110 -31.530 ;
+        RECT 1498.930 -31.110 1500.110 -29.930 ;
+        RECT 1498.930 -32.710 1500.110 -31.530 ;
+        RECT 1678.930 -31.110 1680.110 -29.930 ;
+        RECT 1678.930 -32.710 1680.110 -31.530 ;
+        RECT 1858.930 -31.110 1860.110 -29.930 ;
+        RECT 1858.930 -32.710 1860.110 -31.530 ;
+        RECT 2038.930 -31.110 2040.110 -29.930 ;
+        RECT 2038.930 -32.710 2040.110 -31.530 ;
+        RECT 2218.930 -31.110 2220.110 -29.930 ;
+        RECT 2218.930 -32.710 2220.110 -31.530 ;
+        RECT 2398.930 -31.110 2400.110 -29.930 ;
+        RECT 2398.930 -32.710 2400.110 -31.530 ;
+        RECT 2578.930 -31.110 2580.110 -29.930 ;
+        RECT 2578.930 -32.710 2580.110 -31.530 ;
+        RECT 2758.930 -31.110 2760.110 -29.930 ;
+        RECT 2758.930 -32.710 2760.110 -31.530 ;
+        RECT 2955.710 -31.110 2956.890 -29.930 ;
+        RECT 2955.710 -32.710 2956.890 -31.530 ;
       LAYER met5 ;
-        RECT -37.580 3551.900 -34.580 3551.910 ;
-        RECT 58.020 3551.900 61.020 3551.910 ;
-        RECT 238.020 3551.900 241.020 3551.910 ;
-        RECT 418.020 3551.900 421.020 3551.910 ;
-        RECT 598.020 3551.900 601.020 3551.910 ;
-        RECT 778.020 3551.900 781.020 3551.910 ;
-        RECT 958.020 3551.900 961.020 3551.910 ;
-        RECT 1138.020 3551.900 1141.020 3551.910 ;
-        RECT 1318.020 3551.900 1321.020 3551.910 ;
-        RECT 1498.020 3551.900 1501.020 3551.910 ;
-        RECT 1678.020 3551.900 1681.020 3551.910 ;
-        RECT 1858.020 3551.900 1861.020 3551.910 ;
-        RECT 2038.020 3551.900 2041.020 3551.910 ;
-        RECT 2218.020 3551.900 2221.020 3551.910 ;
-        RECT 2398.020 3551.900 2401.020 3551.910 ;
-        RECT 2578.020 3551.900 2581.020 3551.910 ;
-        RECT 2758.020 3551.900 2761.020 3551.910 ;
-        RECT 2954.200 3551.900 2957.200 3551.910 ;
-        RECT -37.580 3548.900 2957.200 3551.900 ;
-        RECT -37.580 3548.890 -34.580 3548.900 ;
-        RECT 58.020 3548.890 61.020 3548.900 ;
-        RECT 238.020 3548.890 241.020 3548.900 ;
-        RECT 418.020 3548.890 421.020 3548.900 ;
-        RECT 598.020 3548.890 601.020 3548.900 ;
-        RECT 778.020 3548.890 781.020 3548.900 ;
-        RECT 958.020 3548.890 961.020 3548.900 ;
-        RECT 1138.020 3548.890 1141.020 3548.900 ;
-        RECT 1318.020 3548.890 1321.020 3548.900 ;
-        RECT 1498.020 3548.890 1501.020 3548.900 ;
-        RECT 1678.020 3548.890 1681.020 3548.900 ;
-        RECT 1858.020 3548.890 1861.020 3548.900 ;
-        RECT 2038.020 3548.890 2041.020 3548.900 ;
-        RECT 2218.020 3548.890 2221.020 3548.900 ;
-        RECT 2398.020 3548.890 2401.020 3548.900 ;
-        RECT 2578.020 3548.890 2581.020 3548.900 ;
-        RECT 2758.020 3548.890 2761.020 3548.900 ;
-        RECT 2954.200 3548.890 2957.200 3548.900 ;
-        RECT -37.580 3486.380 -34.580 3486.390 ;
-        RECT 2954.200 3486.380 2957.200 3486.390 ;
-        RECT -42.180 3483.380 2.400 3486.380 ;
-        RECT 2917.600 3483.380 2961.800 3486.380 ;
-        RECT -37.580 3483.370 -34.580 3483.380 ;
-        RECT 2954.200 3483.370 2957.200 3483.380 ;
-        RECT -37.580 3306.380 -34.580 3306.390 ;
-        RECT 2954.200 3306.380 2957.200 3306.390 ;
-        RECT -42.180 3303.380 2.400 3306.380 ;
-        RECT 2917.600 3303.380 2961.800 3306.380 ;
-        RECT -37.580 3303.370 -34.580 3303.380 ;
-        RECT 2954.200 3303.370 2957.200 3303.380 ;
-        RECT -37.580 3126.380 -34.580 3126.390 ;
-        RECT 2954.200 3126.380 2957.200 3126.390 ;
-        RECT -42.180 3123.380 2.400 3126.380 ;
-        RECT 2917.600 3123.380 2961.800 3126.380 ;
-        RECT -37.580 3123.370 -34.580 3123.380 ;
-        RECT 2954.200 3123.370 2957.200 3123.380 ;
-        RECT -37.580 2946.380 -34.580 2946.390 ;
-        RECT 2954.200 2946.380 2957.200 2946.390 ;
-        RECT -42.180 2943.380 2.400 2946.380 ;
-        RECT 2917.600 2943.380 2961.800 2946.380 ;
-        RECT -37.580 2943.370 -34.580 2943.380 ;
-        RECT 2954.200 2943.370 2957.200 2943.380 ;
-        RECT -37.580 2766.380 -34.580 2766.390 ;
-        RECT 2954.200 2766.380 2957.200 2766.390 ;
-        RECT -42.180 2763.380 2.400 2766.380 ;
-        RECT 2917.600 2763.380 2961.800 2766.380 ;
-        RECT -37.580 2763.370 -34.580 2763.380 ;
-        RECT 2954.200 2763.370 2957.200 2763.380 ;
-        RECT -37.580 2586.380 -34.580 2586.390 ;
-        RECT 2954.200 2586.380 2957.200 2586.390 ;
-        RECT -42.180 2583.380 2.400 2586.380 ;
-        RECT 2917.600 2583.380 2961.800 2586.380 ;
-        RECT -37.580 2583.370 -34.580 2583.380 ;
-        RECT 2954.200 2583.370 2957.200 2583.380 ;
-        RECT -37.580 2406.380 -34.580 2406.390 ;
-        RECT 2954.200 2406.380 2957.200 2406.390 ;
-        RECT -42.180 2403.380 2.400 2406.380 ;
-        RECT 2917.600 2403.380 2961.800 2406.380 ;
-        RECT -37.580 2403.370 -34.580 2403.380 ;
-        RECT 2954.200 2403.370 2957.200 2403.380 ;
-        RECT -37.580 2226.380 -34.580 2226.390 ;
-        RECT 2954.200 2226.380 2957.200 2226.390 ;
-        RECT -42.180 2223.380 2.400 2226.380 ;
-        RECT 2917.600 2223.380 2961.800 2226.380 ;
-        RECT -37.580 2223.370 -34.580 2223.380 ;
-        RECT 2954.200 2223.370 2957.200 2223.380 ;
-        RECT -37.580 2046.380 -34.580 2046.390 ;
-        RECT 2954.200 2046.380 2957.200 2046.390 ;
-        RECT -42.180 2043.380 2.400 2046.380 ;
-        RECT 2917.600 2043.380 2961.800 2046.380 ;
-        RECT -37.580 2043.370 -34.580 2043.380 ;
-        RECT 2954.200 2043.370 2957.200 2043.380 ;
-        RECT -37.580 1866.380 -34.580 1866.390 ;
-        RECT 2954.200 1866.380 2957.200 1866.390 ;
-        RECT -42.180 1863.380 2.400 1866.380 ;
-        RECT 2917.600 1863.380 2961.800 1866.380 ;
-        RECT -37.580 1863.370 -34.580 1863.380 ;
-        RECT 2954.200 1863.370 2957.200 1863.380 ;
-        RECT -37.580 1686.380 -34.580 1686.390 ;
-        RECT 2954.200 1686.380 2957.200 1686.390 ;
-        RECT -42.180 1683.380 2.400 1686.380 ;
-        RECT 2917.600 1683.380 2961.800 1686.380 ;
-        RECT -37.580 1683.370 -34.580 1683.380 ;
-        RECT 2954.200 1683.370 2957.200 1683.380 ;
-        RECT -37.580 1506.380 -34.580 1506.390 ;
-        RECT 2954.200 1506.380 2957.200 1506.390 ;
-        RECT -42.180 1503.380 2.400 1506.380 ;
-        RECT 2917.600 1503.380 2961.800 1506.380 ;
-        RECT -37.580 1503.370 -34.580 1503.380 ;
-        RECT 2954.200 1503.370 2957.200 1503.380 ;
-        RECT -37.580 1326.380 -34.580 1326.390 ;
-        RECT 2954.200 1326.380 2957.200 1326.390 ;
-        RECT -42.180 1323.380 2.400 1326.380 ;
-        RECT 2917.600 1323.380 2961.800 1326.380 ;
-        RECT -37.580 1323.370 -34.580 1323.380 ;
-        RECT 2954.200 1323.370 2957.200 1323.380 ;
-        RECT -37.580 1146.380 -34.580 1146.390 ;
-        RECT 2954.200 1146.380 2957.200 1146.390 ;
-        RECT -42.180 1143.380 2.400 1146.380 ;
-        RECT 2917.600 1143.380 2961.800 1146.380 ;
-        RECT -37.580 1143.370 -34.580 1143.380 ;
-        RECT 2954.200 1143.370 2957.200 1143.380 ;
-        RECT -37.580 966.380 -34.580 966.390 ;
-        RECT 2954.200 966.380 2957.200 966.390 ;
-        RECT -42.180 963.380 2.400 966.380 ;
-        RECT 2917.600 963.380 2961.800 966.380 ;
-        RECT -37.580 963.370 -34.580 963.380 ;
-        RECT 2954.200 963.370 2957.200 963.380 ;
-        RECT -37.580 786.380 -34.580 786.390 ;
-        RECT 2954.200 786.380 2957.200 786.390 ;
-        RECT -42.180 783.380 2.400 786.380 ;
-        RECT 2917.600 783.380 2961.800 786.380 ;
-        RECT -37.580 783.370 -34.580 783.380 ;
-        RECT 2954.200 783.370 2957.200 783.380 ;
-        RECT -37.580 606.380 -34.580 606.390 ;
-        RECT 2954.200 606.380 2957.200 606.390 ;
-        RECT -42.180 603.380 2.400 606.380 ;
-        RECT 2917.600 603.380 2961.800 606.380 ;
-        RECT -37.580 603.370 -34.580 603.380 ;
-        RECT 2954.200 603.370 2957.200 603.380 ;
-        RECT -37.580 426.380 -34.580 426.390 ;
-        RECT 2954.200 426.380 2957.200 426.390 ;
-        RECT -42.180 423.380 2.400 426.380 ;
-        RECT 2917.600 423.380 2961.800 426.380 ;
-        RECT -37.580 423.370 -34.580 423.380 ;
-        RECT 2954.200 423.370 2957.200 423.380 ;
-        RECT -37.580 246.380 -34.580 246.390 ;
-        RECT 2954.200 246.380 2957.200 246.390 ;
-        RECT -42.180 243.380 2.400 246.380 ;
-        RECT 2917.600 243.380 2961.800 246.380 ;
-        RECT -37.580 243.370 -34.580 243.380 ;
-        RECT 2954.200 243.370 2957.200 243.380 ;
-        RECT -37.580 66.380 -34.580 66.390 ;
-        RECT 2954.200 66.380 2957.200 66.390 ;
-        RECT -42.180 63.380 2.400 66.380 ;
-        RECT 2917.600 63.380 2961.800 66.380 ;
-        RECT -37.580 63.370 -34.580 63.380 ;
-        RECT 2954.200 63.370 2957.200 63.380 ;
-        RECT -37.580 -29.220 -34.580 -29.210 ;
-        RECT 58.020 -29.220 61.020 -29.210 ;
-        RECT 238.020 -29.220 241.020 -29.210 ;
-        RECT 418.020 -29.220 421.020 -29.210 ;
-        RECT 598.020 -29.220 601.020 -29.210 ;
-        RECT 778.020 -29.220 781.020 -29.210 ;
-        RECT 958.020 -29.220 961.020 -29.210 ;
-        RECT 1138.020 -29.220 1141.020 -29.210 ;
-        RECT 1318.020 -29.220 1321.020 -29.210 ;
-        RECT 1498.020 -29.220 1501.020 -29.210 ;
-        RECT 1678.020 -29.220 1681.020 -29.210 ;
-        RECT 1858.020 -29.220 1861.020 -29.210 ;
-        RECT 2038.020 -29.220 2041.020 -29.210 ;
-        RECT 2218.020 -29.220 2221.020 -29.210 ;
-        RECT 2398.020 -29.220 2401.020 -29.210 ;
-        RECT 2578.020 -29.220 2581.020 -29.210 ;
-        RECT 2758.020 -29.220 2761.020 -29.210 ;
-        RECT 2954.200 -29.220 2957.200 -29.210 ;
-        RECT -37.580 -32.220 2957.200 -29.220 ;
-        RECT -37.580 -32.230 -34.580 -32.220 ;
-        RECT 58.020 -32.230 61.020 -32.220 ;
-        RECT 238.020 -32.230 241.020 -32.220 ;
-        RECT 418.020 -32.230 421.020 -32.220 ;
-        RECT 598.020 -32.230 601.020 -32.220 ;
-        RECT 778.020 -32.230 781.020 -32.220 ;
-        RECT 958.020 -32.230 961.020 -32.220 ;
-        RECT 1138.020 -32.230 1141.020 -32.220 ;
-        RECT 1318.020 -32.230 1321.020 -32.220 ;
-        RECT 1498.020 -32.230 1501.020 -32.220 ;
-        RECT 1678.020 -32.230 1681.020 -32.220 ;
-        RECT 1858.020 -32.230 1861.020 -32.220 ;
-        RECT 2038.020 -32.230 2041.020 -32.220 ;
-        RECT 2218.020 -32.230 2221.020 -32.220 ;
-        RECT 2398.020 -32.230 2401.020 -32.220 ;
-        RECT 2578.020 -32.230 2581.020 -32.220 ;
-        RECT 2758.020 -32.230 2761.020 -32.220 ;
-        RECT 2954.200 -32.230 2957.200 -32.220 ;
+        RECT -38.180 3552.500 -35.180 3552.510 ;
+        RECT 58.020 3552.500 61.020 3552.510 ;
+        RECT 238.020 3552.500 241.020 3552.510 ;
+        RECT 418.020 3552.500 421.020 3552.510 ;
+        RECT 598.020 3552.500 601.020 3552.510 ;
+        RECT 778.020 3552.500 781.020 3552.510 ;
+        RECT 958.020 3552.500 961.020 3552.510 ;
+        RECT 1138.020 3552.500 1141.020 3552.510 ;
+        RECT 1318.020 3552.500 1321.020 3552.510 ;
+        RECT 1498.020 3552.500 1501.020 3552.510 ;
+        RECT 1678.020 3552.500 1681.020 3552.510 ;
+        RECT 1858.020 3552.500 1861.020 3552.510 ;
+        RECT 2038.020 3552.500 2041.020 3552.510 ;
+        RECT 2218.020 3552.500 2221.020 3552.510 ;
+        RECT 2398.020 3552.500 2401.020 3552.510 ;
+        RECT 2578.020 3552.500 2581.020 3552.510 ;
+        RECT 2758.020 3552.500 2761.020 3552.510 ;
+        RECT 2954.800 3552.500 2957.800 3552.510 ;
+        RECT -38.180 3549.500 2957.800 3552.500 ;
+        RECT -38.180 3549.490 -35.180 3549.500 ;
+        RECT 58.020 3549.490 61.020 3549.500 ;
+        RECT 238.020 3549.490 241.020 3549.500 ;
+        RECT 418.020 3549.490 421.020 3549.500 ;
+        RECT 598.020 3549.490 601.020 3549.500 ;
+        RECT 778.020 3549.490 781.020 3549.500 ;
+        RECT 958.020 3549.490 961.020 3549.500 ;
+        RECT 1138.020 3549.490 1141.020 3549.500 ;
+        RECT 1318.020 3549.490 1321.020 3549.500 ;
+        RECT 1498.020 3549.490 1501.020 3549.500 ;
+        RECT 1678.020 3549.490 1681.020 3549.500 ;
+        RECT 1858.020 3549.490 1861.020 3549.500 ;
+        RECT 2038.020 3549.490 2041.020 3549.500 ;
+        RECT 2218.020 3549.490 2221.020 3549.500 ;
+        RECT 2398.020 3549.490 2401.020 3549.500 ;
+        RECT 2578.020 3549.490 2581.020 3549.500 ;
+        RECT 2758.020 3549.490 2761.020 3549.500 ;
+        RECT 2954.800 3549.490 2957.800 3549.500 ;
+        RECT -38.180 3486.380 -35.180 3486.390 ;
+        RECT 2954.800 3486.380 2957.800 3486.390 ;
+        RECT -42.880 3483.380 2.400 3486.380 ;
+        RECT 2917.600 3483.380 2962.500 3486.380 ;
+        RECT -38.180 3483.370 -35.180 3483.380 ;
+        RECT 2954.800 3483.370 2957.800 3483.380 ;
+        RECT -38.180 3306.380 -35.180 3306.390 ;
+        RECT 2954.800 3306.380 2957.800 3306.390 ;
+        RECT -42.880 3303.380 2.400 3306.380 ;
+        RECT 2917.600 3303.380 2962.500 3306.380 ;
+        RECT -38.180 3303.370 -35.180 3303.380 ;
+        RECT 2954.800 3303.370 2957.800 3303.380 ;
+        RECT -38.180 3126.380 -35.180 3126.390 ;
+        RECT 2954.800 3126.380 2957.800 3126.390 ;
+        RECT -42.880 3123.380 2.400 3126.380 ;
+        RECT 2917.600 3123.380 2962.500 3126.380 ;
+        RECT -38.180 3123.370 -35.180 3123.380 ;
+        RECT 2954.800 3123.370 2957.800 3123.380 ;
+        RECT -38.180 2946.380 -35.180 2946.390 ;
+        RECT 2954.800 2946.380 2957.800 2946.390 ;
+        RECT -42.880 2943.380 2.400 2946.380 ;
+        RECT 2917.600 2943.380 2962.500 2946.380 ;
+        RECT -38.180 2943.370 -35.180 2943.380 ;
+        RECT 2954.800 2943.370 2957.800 2943.380 ;
+        RECT -38.180 2766.380 -35.180 2766.390 ;
+        RECT 2954.800 2766.380 2957.800 2766.390 ;
+        RECT -42.880 2763.380 2.400 2766.380 ;
+        RECT 2917.600 2763.380 2962.500 2766.380 ;
+        RECT -38.180 2763.370 -35.180 2763.380 ;
+        RECT 2954.800 2763.370 2957.800 2763.380 ;
+        RECT -38.180 2586.380 -35.180 2586.390 ;
+        RECT 2954.800 2586.380 2957.800 2586.390 ;
+        RECT -42.880 2583.380 2.400 2586.380 ;
+        RECT 2917.600 2583.380 2962.500 2586.380 ;
+        RECT -38.180 2583.370 -35.180 2583.380 ;
+        RECT 2954.800 2583.370 2957.800 2583.380 ;
+        RECT -38.180 2406.380 -35.180 2406.390 ;
+        RECT 2954.800 2406.380 2957.800 2406.390 ;
+        RECT -42.880 2403.380 2.400 2406.380 ;
+        RECT 2917.600 2403.380 2962.500 2406.380 ;
+        RECT -38.180 2403.370 -35.180 2403.380 ;
+        RECT 2954.800 2403.370 2957.800 2403.380 ;
+        RECT -38.180 2226.380 -35.180 2226.390 ;
+        RECT 2954.800 2226.380 2957.800 2226.390 ;
+        RECT -42.880 2223.380 2.400 2226.380 ;
+        RECT 2917.600 2223.380 2962.500 2226.380 ;
+        RECT -38.180 2223.370 -35.180 2223.380 ;
+        RECT 2954.800 2223.370 2957.800 2223.380 ;
+        RECT -38.180 2046.380 -35.180 2046.390 ;
+        RECT 2954.800 2046.380 2957.800 2046.390 ;
+        RECT -42.880 2043.380 2.400 2046.380 ;
+        RECT 2917.600 2043.380 2962.500 2046.380 ;
+        RECT -38.180 2043.370 -35.180 2043.380 ;
+        RECT 2954.800 2043.370 2957.800 2043.380 ;
+        RECT -38.180 1866.380 -35.180 1866.390 ;
+        RECT 2954.800 1866.380 2957.800 1866.390 ;
+        RECT -42.880 1863.380 2.400 1866.380 ;
+        RECT 2917.600 1863.380 2962.500 1866.380 ;
+        RECT -38.180 1863.370 -35.180 1863.380 ;
+        RECT 2954.800 1863.370 2957.800 1863.380 ;
+        RECT -38.180 1686.380 -35.180 1686.390 ;
+        RECT 2954.800 1686.380 2957.800 1686.390 ;
+        RECT -42.880 1683.380 2.400 1686.380 ;
+        RECT 2917.600 1683.380 2962.500 1686.380 ;
+        RECT -38.180 1683.370 -35.180 1683.380 ;
+        RECT 2954.800 1683.370 2957.800 1683.380 ;
+        RECT -38.180 1506.380 -35.180 1506.390 ;
+        RECT 2954.800 1506.380 2957.800 1506.390 ;
+        RECT -42.880 1503.380 2.400 1506.380 ;
+        RECT 2917.600 1503.380 2962.500 1506.380 ;
+        RECT -38.180 1503.370 -35.180 1503.380 ;
+        RECT 2954.800 1503.370 2957.800 1503.380 ;
+        RECT -38.180 1326.380 -35.180 1326.390 ;
+        RECT 2954.800 1326.380 2957.800 1326.390 ;
+        RECT -42.880 1323.380 2.400 1326.380 ;
+        RECT 2917.600 1323.380 2962.500 1326.380 ;
+        RECT -38.180 1323.370 -35.180 1323.380 ;
+        RECT 2954.800 1323.370 2957.800 1323.380 ;
+        RECT -38.180 1146.380 -35.180 1146.390 ;
+        RECT 2954.800 1146.380 2957.800 1146.390 ;
+        RECT -42.880 1143.380 2.400 1146.380 ;
+        RECT 2917.600 1143.380 2962.500 1146.380 ;
+        RECT -38.180 1143.370 -35.180 1143.380 ;
+        RECT 2954.800 1143.370 2957.800 1143.380 ;
+        RECT -38.180 966.380 -35.180 966.390 ;
+        RECT 2954.800 966.380 2957.800 966.390 ;
+        RECT -42.880 963.380 2.400 966.380 ;
+        RECT 2917.600 963.380 2962.500 966.380 ;
+        RECT -38.180 963.370 -35.180 963.380 ;
+        RECT 2954.800 963.370 2957.800 963.380 ;
+        RECT -38.180 786.380 -35.180 786.390 ;
+        RECT 2954.800 786.380 2957.800 786.390 ;
+        RECT -42.880 783.380 2.400 786.380 ;
+        RECT 2917.600 783.380 2962.500 786.380 ;
+        RECT -38.180 783.370 -35.180 783.380 ;
+        RECT 2954.800 783.370 2957.800 783.380 ;
+        RECT -38.180 606.380 -35.180 606.390 ;
+        RECT 2954.800 606.380 2957.800 606.390 ;
+        RECT -42.880 603.380 2.400 606.380 ;
+        RECT 2917.600 603.380 2962.500 606.380 ;
+        RECT -38.180 603.370 -35.180 603.380 ;
+        RECT 2954.800 603.370 2957.800 603.380 ;
+        RECT -38.180 426.380 -35.180 426.390 ;
+        RECT 2954.800 426.380 2957.800 426.390 ;
+        RECT -42.880 423.380 2.400 426.380 ;
+        RECT 2917.600 423.380 2962.500 426.380 ;
+        RECT -38.180 423.370 -35.180 423.380 ;
+        RECT 2954.800 423.370 2957.800 423.380 ;
+        RECT -38.180 246.380 -35.180 246.390 ;
+        RECT 2954.800 246.380 2957.800 246.390 ;
+        RECT -42.880 243.380 2.400 246.380 ;
+        RECT 2917.600 243.380 2962.500 246.380 ;
+        RECT -38.180 243.370 -35.180 243.380 ;
+        RECT 2954.800 243.370 2957.800 243.380 ;
+        RECT -38.180 66.380 -35.180 66.390 ;
+        RECT 2954.800 66.380 2957.800 66.390 ;
+        RECT -42.880 63.380 2.400 66.380 ;
+        RECT 2917.600 63.380 2962.500 66.380 ;
+        RECT -38.180 63.370 -35.180 63.380 ;
+        RECT 2954.800 63.370 2957.800 63.380 ;
+        RECT -38.180 -29.820 -35.180 -29.810 ;
+        RECT 58.020 -29.820 61.020 -29.810 ;
+        RECT 238.020 -29.820 241.020 -29.810 ;
+        RECT 418.020 -29.820 421.020 -29.810 ;
+        RECT 598.020 -29.820 601.020 -29.810 ;
+        RECT 778.020 -29.820 781.020 -29.810 ;
+        RECT 958.020 -29.820 961.020 -29.810 ;
+        RECT 1138.020 -29.820 1141.020 -29.810 ;
+        RECT 1318.020 -29.820 1321.020 -29.810 ;
+        RECT 1498.020 -29.820 1501.020 -29.810 ;
+        RECT 1678.020 -29.820 1681.020 -29.810 ;
+        RECT 1858.020 -29.820 1861.020 -29.810 ;
+        RECT 2038.020 -29.820 2041.020 -29.810 ;
+        RECT 2218.020 -29.820 2221.020 -29.810 ;
+        RECT 2398.020 -29.820 2401.020 -29.810 ;
+        RECT 2578.020 -29.820 2581.020 -29.810 ;
+        RECT 2758.020 -29.820 2761.020 -29.810 ;
+        RECT 2954.800 -29.820 2957.800 -29.810 ;
+        RECT -38.180 -32.820 2957.800 -29.820 ;
+        RECT -38.180 -32.830 -35.180 -32.820 ;
+        RECT 58.020 -32.830 61.020 -32.820 ;
+        RECT 238.020 -32.830 241.020 -32.820 ;
+        RECT 418.020 -32.830 421.020 -32.820 ;
+        RECT 598.020 -32.830 601.020 -32.820 ;
+        RECT 778.020 -32.830 781.020 -32.820 ;
+        RECT 958.020 -32.830 961.020 -32.820 ;
+        RECT 1138.020 -32.830 1141.020 -32.820 ;
+        RECT 1318.020 -32.830 1321.020 -32.820 ;
+        RECT 1498.020 -32.830 1501.020 -32.820 ;
+        RECT 1678.020 -32.830 1681.020 -32.820 ;
+        RECT 1858.020 -32.830 1861.020 -32.820 ;
+        RECT 2038.020 -32.830 2041.020 -32.820 ;
+        RECT 2218.020 -32.830 2221.020 -32.820 ;
+        RECT 2398.020 -32.830 2401.020 -32.820 ;
+        RECT 2578.020 -32.830 2581.020 -32.820 ;
+        RECT 2758.020 -32.830 2761.020 -32.820 ;
+        RECT 2954.800 -32.830 2957.800 -32.820 ;
     END
   END vdda2
   PIN vssa2
     DIRECTION INPUT ;
     PORT
       LAYER met4 ;
-        RECT -42.180 -36.820 -39.180 3556.500 ;
-        RECT 148.020 3517.600 151.020 3556.500 ;
-        RECT 328.020 3517.600 331.020 3556.500 ;
-        RECT 508.020 3517.600 511.020 3556.500 ;
-        RECT 688.020 3517.600 691.020 3556.500 ;
-        RECT 868.020 3517.600 871.020 3556.500 ;
-        RECT 1048.020 3517.600 1051.020 3556.500 ;
-        RECT 1228.020 3517.600 1231.020 3556.500 ;
-        RECT 1408.020 3517.600 1411.020 3556.500 ;
-        RECT 1588.020 3517.600 1591.020 3556.500 ;
-        RECT 1768.020 3517.600 1771.020 3556.500 ;
-        RECT 1948.020 3517.600 1951.020 3556.500 ;
-        RECT 2128.020 3517.600 2131.020 3556.500 ;
-        RECT 2308.020 3517.600 2311.020 3556.500 ;
-        RECT 2488.020 3517.600 2491.020 3556.500 ;
-        RECT 2668.020 3517.600 2671.020 3556.500 ;
-        RECT 2848.020 3517.600 2851.020 3556.500 ;
-        RECT 148.020 -36.820 151.020 2.400 ;
-        RECT 328.020 -36.820 331.020 2.400 ;
-        RECT 508.020 -36.820 511.020 2.400 ;
-        RECT 688.020 -36.820 691.020 2.400 ;
-        RECT 868.020 -36.820 871.020 2.400 ;
-        RECT 1048.020 -36.820 1051.020 2.400 ;
-        RECT 1228.020 -36.820 1231.020 2.400 ;
-        RECT 1408.020 -36.820 1411.020 2.400 ;
-        RECT 1588.020 -36.820 1591.020 2.400 ;
-        RECT 1768.020 -36.820 1771.020 2.400 ;
-        RECT 1948.020 -36.820 1951.020 2.400 ;
-        RECT 2128.020 -36.820 2131.020 2.400 ;
-        RECT 2308.020 -36.820 2311.020 2.400 ;
-        RECT 2488.020 -36.820 2491.020 2.400 ;
-        RECT 2668.020 -36.820 2671.020 2.400 ;
-        RECT 2848.020 -36.820 2851.020 2.400 ;
-        RECT 2958.800 -36.820 2961.800 3556.500 ;
+        RECT -42.880 -37.520 -39.880 3557.200 ;
+        RECT 148.020 3517.600 151.020 3557.200 ;
+        RECT 328.020 3517.600 331.020 3557.200 ;
+        RECT 508.020 3517.600 511.020 3557.200 ;
+        RECT 688.020 3517.600 691.020 3557.200 ;
+        RECT 868.020 3517.600 871.020 3557.200 ;
+        RECT 1048.020 3517.600 1051.020 3557.200 ;
+        RECT 1228.020 3517.600 1231.020 3557.200 ;
+        RECT 1408.020 3517.600 1411.020 3557.200 ;
+        RECT 1588.020 3517.600 1591.020 3557.200 ;
+        RECT 1768.020 3517.600 1771.020 3557.200 ;
+        RECT 1948.020 3517.600 1951.020 3557.200 ;
+        RECT 2128.020 3517.600 2131.020 3557.200 ;
+        RECT 2308.020 3517.600 2311.020 3557.200 ;
+        RECT 2488.020 3517.600 2491.020 3557.200 ;
+        RECT 2668.020 3517.600 2671.020 3557.200 ;
+        RECT 2848.020 3517.600 2851.020 3557.200 ;
+        RECT 148.020 -37.520 151.020 2.400 ;
+        RECT 328.020 -37.520 331.020 2.400 ;
+        RECT 508.020 -37.520 511.020 2.400 ;
+        RECT 688.020 -37.520 691.020 2.400 ;
+        RECT 868.020 -37.520 871.020 2.400 ;
+        RECT 1048.020 -37.520 1051.020 2.400 ;
+        RECT 1228.020 -37.520 1231.020 2.400 ;
+        RECT 1408.020 -37.520 1411.020 2.400 ;
+        RECT 1588.020 -37.520 1591.020 2.400 ;
+        RECT 1768.020 -37.520 1771.020 2.400 ;
+        RECT 1948.020 -37.520 1951.020 2.400 ;
+        RECT 2128.020 -37.520 2131.020 2.400 ;
+        RECT 2308.020 -37.520 2311.020 2.400 ;
+        RECT 2488.020 -37.520 2491.020 2.400 ;
+        RECT 2668.020 -37.520 2671.020 2.400 ;
+        RECT 2848.020 -37.520 2851.020 2.400 ;
+        RECT 2959.500 -37.520 2962.500 3557.200 ;
       LAYER M4M5_PR_C ;
-        RECT -41.270 3555.210 -40.090 3556.390 ;
-        RECT -41.270 3553.610 -40.090 3554.790 ;
-        RECT 148.930 3555.210 150.110 3556.390 ;
-        RECT 148.930 3553.610 150.110 3554.790 ;
-        RECT 328.930 3555.210 330.110 3556.390 ;
-        RECT 328.930 3553.610 330.110 3554.790 ;
-        RECT 508.930 3555.210 510.110 3556.390 ;
-        RECT 508.930 3553.610 510.110 3554.790 ;
-        RECT 688.930 3555.210 690.110 3556.390 ;
-        RECT 688.930 3553.610 690.110 3554.790 ;
-        RECT 868.930 3555.210 870.110 3556.390 ;
-        RECT 868.930 3553.610 870.110 3554.790 ;
-        RECT 1048.930 3555.210 1050.110 3556.390 ;
-        RECT 1048.930 3553.610 1050.110 3554.790 ;
-        RECT 1228.930 3555.210 1230.110 3556.390 ;
-        RECT 1228.930 3553.610 1230.110 3554.790 ;
-        RECT 1408.930 3555.210 1410.110 3556.390 ;
-        RECT 1408.930 3553.610 1410.110 3554.790 ;
-        RECT 1588.930 3555.210 1590.110 3556.390 ;
-        RECT 1588.930 3553.610 1590.110 3554.790 ;
-        RECT 1768.930 3555.210 1770.110 3556.390 ;
-        RECT 1768.930 3553.610 1770.110 3554.790 ;
-        RECT 1948.930 3555.210 1950.110 3556.390 ;
-        RECT 1948.930 3553.610 1950.110 3554.790 ;
-        RECT 2128.930 3555.210 2130.110 3556.390 ;
-        RECT 2128.930 3553.610 2130.110 3554.790 ;
-        RECT 2308.930 3555.210 2310.110 3556.390 ;
-        RECT 2308.930 3553.610 2310.110 3554.790 ;
-        RECT 2488.930 3555.210 2490.110 3556.390 ;
-        RECT 2488.930 3553.610 2490.110 3554.790 ;
-        RECT 2668.930 3555.210 2670.110 3556.390 ;
-        RECT 2668.930 3553.610 2670.110 3554.790 ;
-        RECT 2848.930 3555.210 2850.110 3556.390 ;
-        RECT 2848.930 3553.610 2850.110 3554.790 ;
-        RECT 2959.710 3555.210 2960.890 3556.390 ;
-        RECT 2959.710 3553.610 2960.890 3554.790 ;
-        RECT -41.270 3395.090 -40.090 3396.270 ;
-        RECT -41.270 3393.490 -40.090 3394.670 ;
-        RECT -41.270 3215.090 -40.090 3216.270 ;
-        RECT -41.270 3213.490 -40.090 3214.670 ;
-        RECT -41.270 3035.090 -40.090 3036.270 ;
-        RECT -41.270 3033.490 -40.090 3034.670 ;
-        RECT -41.270 2855.090 -40.090 2856.270 ;
-        RECT -41.270 2853.490 -40.090 2854.670 ;
-        RECT -41.270 2675.090 -40.090 2676.270 ;
-        RECT -41.270 2673.490 -40.090 2674.670 ;
-        RECT -41.270 2495.090 -40.090 2496.270 ;
-        RECT -41.270 2493.490 -40.090 2494.670 ;
-        RECT -41.270 2315.090 -40.090 2316.270 ;
-        RECT -41.270 2313.490 -40.090 2314.670 ;
-        RECT -41.270 2135.090 -40.090 2136.270 ;
-        RECT -41.270 2133.490 -40.090 2134.670 ;
-        RECT -41.270 1955.090 -40.090 1956.270 ;
-        RECT -41.270 1953.490 -40.090 1954.670 ;
-        RECT -41.270 1775.090 -40.090 1776.270 ;
-        RECT -41.270 1773.490 -40.090 1774.670 ;
-        RECT -41.270 1595.090 -40.090 1596.270 ;
-        RECT -41.270 1593.490 -40.090 1594.670 ;
-        RECT -41.270 1415.090 -40.090 1416.270 ;
-        RECT -41.270 1413.490 -40.090 1414.670 ;
-        RECT -41.270 1235.090 -40.090 1236.270 ;
-        RECT -41.270 1233.490 -40.090 1234.670 ;
-        RECT -41.270 1055.090 -40.090 1056.270 ;
-        RECT -41.270 1053.490 -40.090 1054.670 ;
-        RECT -41.270 875.090 -40.090 876.270 ;
-        RECT -41.270 873.490 -40.090 874.670 ;
-        RECT -41.270 695.090 -40.090 696.270 ;
-        RECT -41.270 693.490 -40.090 694.670 ;
-        RECT -41.270 515.090 -40.090 516.270 ;
-        RECT -41.270 513.490 -40.090 514.670 ;
-        RECT -41.270 335.090 -40.090 336.270 ;
-        RECT -41.270 333.490 -40.090 334.670 ;
-        RECT -41.270 155.090 -40.090 156.270 ;
-        RECT -41.270 153.490 -40.090 154.670 ;
-        RECT 2959.710 3395.090 2960.890 3396.270 ;
-        RECT 2959.710 3393.490 2960.890 3394.670 ;
-        RECT 2959.710 3215.090 2960.890 3216.270 ;
-        RECT 2959.710 3213.490 2960.890 3214.670 ;
-        RECT 2959.710 3035.090 2960.890 3036.270 ;
-        RECT 2959.710 3033.490 2960.890 3034.670 ;
-        RECT 2959.710 2855.090 2960.890 2856.270 ;
-        RECT 2959.710 2853.490 2960.890 2854.670 ;
-        RECT 2959.710 2675.090 2960.890 2676.270 ;
-        RECT 2959.710 2673.490 2960.890 2674.670 ;
-        RECT 2959.710 2495.090 2960.890 2496.270 ;
-        RECT 2959.710 2493.490 2960.890 2494.670 ;
-        RECT 2959.710 2315.090 2960.890 2316.270 ;
-        RECT 2959.710 2313.490 2960.890 2314.670 ;
-        RECT 2959.710 2135.090 2960.890 2136.270 ;
-        RECT 2959.710 2133.490 2960.890 2134.670 ;
-        RECT 2959.710 1955.090 2960.890 1956.270 ;
-        RECT 2959.710 1953.490 2960.890 1954.670 ;
-        RECT 2959.710 1775.090 2960.890 1776.270 ;
-        RECT 2959.710 1773.490 2960.890 1774.670 ;
-        RECT 2959.710 1595.090 2960.890 1596.270 ;
-        RECT 2959.710 1593.490 2960.890 1594.670 ;
-        RECT 2959.710 1415.090 2960.890 1416.270 ;
-        RECT 2959.710 1413.490 2960.890 1414.670 ;
-        RECT 2959.710 1235.090 2960.890 1236.270 ;
-        RECT 2959.710 1233.490 2960.890 1234.670 ;
-        RECT 2959.710 1055.090 2960.890 1056.270 ;
-        RECT 2959.710 1053.490 2960.890 1054.670 ;
-        RECT 2959.710 875.090 2960.890 876.270 ;
-        RECT 2959.710 873.490 2960.890 874.670 ;
-        RECT 2959.710 695.090 2960.890 696.270 ;
-        RECT 2959.710 693.490 2960.890 694.670 ;
-        RECT 2959.710 515.090 2960.890 516.270 ;
-        RECT 2959.710 513.490 2960.890 514.670 ;
-        RECT 2959.710 335.090 2960.890 336.270 ;
-        RECT 2959.710 333.490 2960.890 334.670 ;
-        RECT 2959.710 155.090 2960.890 156.270 ;
-        RECT 2959.710 153.490 2960.890 154.670 ;
-        RECT -41.270 -35.110 -40.090 -33.930 ;
-        RECT -41.270 -36.710 -40.090 -35.530 ;
-        RECT 148.930 -35.110 150.110 -33.930 ;
-        RECT 148.930 -36.710 150.110 -35.530 ;
-        RECT 328.930 -35.110 330.110 -33.930 ;
-        RECT 328.930 -36.710 330.110 -35.530 ;
-        RECT 508.930 -35.110 510.110 -33.930 ;
-        RECT 508.930 -36.710 510.110 -35.530 ;
-        RECT 688.930 -35.110 690.110 -33.930 ;
-        RECT 688.930 -36.710 690.110 -35.530 ;
-        RECT 868.930 -35.110 870.110 -33.930 ;
-        RECT 868.930 -36.710 870.110 -35.530 ;
-        RECT 1048.930 -35.110 1050.110 -33.930 ;
-        RECT 1048.930 -36.710 1050.110 -35.530 ;
-        RECT 1228.930 -35.110 1230.110 -33.930 ;
-        RECT 1228.930 -36.710 1230.110 -35.530 ;
-        RECT 1408.930 -35.110 1410.110 -33.930 ;
-        RECT 1408.930 -36.710 1410.110 -35.530 ;
-        RECT 1588.930 -35.110 1590.110 -33.930 ;
-        RECT 1588.930 -36.710 1590.110 -35.530 ;
-        RECT 1768.930 -35.110 1770.110 -33.930 ;
-        RECT 1768.930 -36.710 1770.110 -35.530 ;
-        RECT 1948.930 -35.110 1950.110 -33.930 ;
-        RECT 1948.930 -36.710 1950.110 -35.530 ;
-        RECT 2128.930 -35.110 2130.110 -33.930 ;
-        RECT 2128.930 -36.710 2130.110 -35.530 ;
-        RECT 2308.930 -35.110 2310.110 -33.930 ;
-        RECT 2308.930 -36.710 2310.110 -35.530 ;
-        RECT 2488.930 -35.110 2490.110 -33.930 ;
-        RECT 2488.930 -36.710 2490.110 -35.530 ;
-        RECT 2668.930 -35.110 2670.110 -33.930 ;
-        RECT 2668.930 -36.710 2670.110 -35.530 ;
-        RECT 2848.930 -35.110 2850.110 -33.930 ;
-        RECT 2848.930 -36.710 2850.110 -35.530 ;
-        RECT 2959.710 -35.110 2960.890 -33.930 ;
-        RECT 2959.710 -36.710 2960.890 -35.530 ;
+        RECT -41.970 3555.910 -40.790 3557.090 ;
+        RECT -41.970 3554.310 -40.790 3555.490 ;
+        RECT 148.930 3555.910 150.110 3557.090 ;
+        RECT 148.930 3554.310 150.110 3555.490 ;
+        RECT 328.930 3555.910 330.110 3557.090 ;
+        RECT 328.930 3554.310 330.110 3555.490 ;
+        RECT 508.930 3555.910 510.110 3557.090 ;
+        RECT 508.930 3554.310 510.110 3555.490 ;
+        RECT 688.930 3555.910 690.110 3557.090 ;
+        RECT 688.930 3554.310 690.110 3555.490 ;
+        RECT 868.930 3555.910 870.110 3557.090 ;
+        RECT 868.930 3554.310 870.110 3555.490 ;
+        RECT 1048.930 3555.910 1050.110 3557.090 ;
+        RECT 1048.930 3554.310 1050.110 3555.490 ;
+        RECT 1228.930 3555.910 1230.110 3557.090 ;
+        RECT 1228.930 3554.310 1230.110 3555.490 ;
+        RECT 1408.930 3555.910 1410.110 3557.090 ;
+        RECT 1408.930 3554.310 1410.110 3555.490 ;
+        RECT 1588.930 3555.910 1590.110 3557.090 ;
+        RECT 1588.930 3554.310 1590.110 3555.490 ;
+        RECT 1768.930 3555.910 1770.110 3557.090 ;
+        RECT 1768.930 3554.310 1770.110 3555.490 ;
+        RECT 1948.930 3555.910 1950.110 3557.090 ;
+        RECT 1948.930 3554.310 1950.110 3555.490 ;
+        RECT 2128.930 3555.910 2130.110 3557.090 ;
+        RECT 2128.930 3554.310 2130.110 3555.490 ;
+        RECT 2308.930 3555.910 2310.110 3557.090 ;
+        RECT 2308.930 3554.310 2310.110 3555.490 ;
+        RECT 2488.930 3555.910 2490.110 3557.090 ;
+        RECT 2488.930 3554.310 2490.110 3555.490 ;
+        RECT 2668.930 3555.910 2670.110 3557.090 ;
+        RECT 2668.930 3554.310 2670.110 3555.490 ;
+        RECT 2848.930 3555.910 2850.110 3557.090 ;
+        RECT 2848.930 3554.310 2850.110 3555.490 ;
+        RECT 2960.410 3555.910 2961.590 3557.090 ;
+        RECT 2960.410 3554.310 2961.590 3555.490 ;
+        RECT -41.970 3395.090 -40.790 3396.270 ;
+        RECT -41.970 3393.490 -40.790 3394.670 ;
+        RECT -41.970 3215.090 -40.790 3216.270 ;
+        RECT -41.970 3213.490 -40.790 3214.670 ;
+        RECT -41.970 3035.090 -40.790 3036.270 ;
+        RECT -41.970 3033.490 -40.790 3034.670 ;
+        RECT -41.970 2855.090 -40.790 2856.270 ;
+        RECT -41.970 2853.490 -40.790 2854.670 ;
+        RECT -41.970 2675.090 -40.790 2676.270 ;
+        RECT -41.970 2673.490 -40.790 2674.670 ;
+        RECT -41.970 2495.090 -40.790 2496.270 ;
+        RECT -41.970 2493.490 -40.790 2494.670 ;
+        RECT -41.970 2315.090 -40.790 2316.270 ;
+        RECT -41.970 2313.490 -40.790 2314.670 ;
+        RECT -41.970 2135.090 -40.790 2136.270 ;
+        RECT -41.970 2133.490 -40.790 2134.670 ;
+        RECT -41.970 1955.090 -40.790 1956.270 ;
+        RECT -41.970 1953.490 -40.790 1954.670 ;
+        RECT -41.970 1775.090 -40.790 1776.270 ;
+        RECT -41.970 1773.490 -40.790 1774.670 ;
+        RECT -41.970 1595.090 -40.790 1596.270 ;
+        RECT -41.970 1593.490 -40.790 1594.670 ;
+        RECT -41.970 1415.090 -40.790 1416.270 ;
+        RECT -41.970 1413.490 -40.790 1414.670 ;
+        RECT -41.970 1235.090 -40.790 1236.270 ;
+        RECT -41.970 1233.490 -40.790 1234.670 ;
+        RECT -41.970 1055.090 -40.790 1056.270 ;
+        RECT -41.970 1053.490 -40.790 1054.670 ;
+        RECT -41.970 875.090 -40.790 876.270 ;
+        RECT -41.970 873.490 -40.790 874.670 ;
+        RECT -41.970 695.090 -40.790 696.270 ;
+        RECT -41.970 693.490 -40.790 694.670 ;
+        RECT -41.970 515.090 -40.790 516.270 ;
+        RECT -41.970 513.490 -40.790 514.670 ;
+        RECT -41.970 335.090 -40.790 336.270 ;
+        RECT -41.970 333.490 -40.790 334.670 ;
+        RECT -41.970 155.090 -40.790 156.270 ;
+        RECT -41.970 153.490 -40.790 154.670 ;
+        RECT 2960.410 3395.090 2961.590 3396.270 ;
+        RECT 2960.410 3393.490 2961.590 3394.670 ;
+        RECT 2960.410 3215.090 2961.590 3216.270 ;
+        RECT 2960.410 3213.490 2961.590 3214.670 ;
+        RECT 2960.410 3035.090 2961.590 3036.270 ;
+        RECT 2960.410 3033.490 2961.590 3034.670 ;
+        RECT 2960.410 2855.090 2961.590 2856.270 ;
+        RECT 2960.410 2853.490 2961.590 2854.670 ;
+        RECT 2960.410 2675.090 2961.590 2676.270 ;
+        RECT 2960.410 2673.490 2961.590 2674.670 ;
+        RECT 2960.410 2495.090 2961.590 2496.270 ;
+        RECT 2960.410 2493.490 2961.590 2494.670 ;
+        RECT 2960.410 2315.090 2961.590 2316.270 ;
+        RECT 2960.410 2313.490 2961.590 2314.670 ;
+        RECT 2960.410 2135.090 2961.590 2136.270 ;
+        RECT 2960.410 2133.490 2961.590 2134.670 ;
+        RECT 2960.410 1955.090 2961.590 1956.270 ;
+        RECT 2960.410 1953.490 2961.590 1954.670 ;
+        RECT 2960.410 1775.090 2961.590 1776.270 ;
+        RECT 2960.410 1773.490 2961.590 1774.670 ;
+        RECT 2960.410 1595.090 2961.590 1596.270 ;
+        RECT 2960.410 1593.490 2961.590 1594.670 ;
+        RECT 2960.410 1415.090 2961.590 1416.270 ;
+        RECT 2960.410 1413.490 2961.590 1414.670 ;
+        RECT 2960.410 1235.090 2961.590 1236.270 ;
+        RECT 2960.410 1233.490 2961.590 1234.670 ;
+        RECT 2960.410 1055.090 2961.590 1056.270 ;
+        RECT 2960.410 1053.490 2961.590 1054.670 ;
+        RECT 2960.410 875.090 2961.590 876.270 ;
+        RECT 2960.410 873.490 2961.590 874.670 ;
+        RECT 2960.410 695.090 2961.590 696.270 ;
+        RECT 2960.410 693.490 2961.590 694.670 ;
+        RECT 2960.410 515.090 2961.590 516.270 ;
+        RECT 2960.410 513.490 2961.590 514.670 ;
+        RECT 2960.410 335.090 2961.590 336.270 ;
+        RECT 2960.410 333.490 2961.590 334.670 ;
+        RECT 2960.410 155.090 2961.590 156.270 ;
+        RECT 2960.410 153.490 2961.590 154.670 ;
+        RECT -41.970 -35.810 -40.790 -34.630 ;
+        RECT -41.970 -37.410 -40.790 -36.230 ;
+        RECT 148.930 -35.810 150.110 -34.630 ;
+        RECT 148.930 -37.410 150.110 -36.230 ;
+        RECT 328.930 -35.810 330.110 -34.630 ;
+        RECT 328.930 -37.410 330.110 -36.230 ;
+        RECT 508.930 -35.810 510.110 -34.630 ;
+        RECT 508.930 -37.410 510.110 -36.230 ;
+        RECT 688.930 -35.810 690.110 -34.630 ;
+        RECT 688.930 -37.410 690.110 -36.230 ;
+        RECT 868.930 -35.810 870.110 -34.630 ;
+        RECT 868.930 -37.410 870.110 -36.230 ;
+        RECT 1048.930 -35.810 1050.110 -34.630 ;
+        RECT 1048.930 -37.410 1050.110 -36.230 ;
+        RECT 1228.930 -35.810 1230.110 -34.630 ;
+        RECT 1228.930 -37.410 1230.110 -36.230 ;
+        RECT 1408.930 -35.810 1410.110 -34.630 ;
+        RECT 1408.930 -37.410 1410.110 -36.230 ;
+        RECT 1588.930 -35.810 1590.110 -34.630 ;
+        RECT 1588.930 -37.410 1590.110 -36.230 ;
+        RECT 1768.930 -35.810 1770.110 -34.630 ;
+        RECT 1768.930 -37.410 1770.110 -36.230 ;
+        RECT 1948.930 -35.810 1950.110 -34.630 ;
+        RECT 1948.930 -37.410 1950.110 -36.230 ;
+        RECT 2128.930 -35.810 2130.110 -34.630 ;
+        RECT 2128.930 -37.410 2130.110 -36.230 ;
+        RECT 2308.930 -35.810 2310.110 -34.630 ;
+        RECT 2308.930 -37.410 2310.110 -36.230 ;
+        RECT 2488.930 -35.810 2490.110 -34.630 ;
+        RECT 2488.930 -37.410 2490.110 -36.230 ;
+        RECT 2668.930 -35.810 2670.110 -34.630 ;
+        RECT 2668.930 -37.410 2670.110 -36.230 ;
+        RECT 2848.930 -35.810 2850.110 -34.630 ;
+        RECT 2848.930 -37.410 2850.110 -36.230 ;
+        RECT 2960.410 -35.810 2961.590 -34.630 ;
+        RECT 2960.410 -37.410 2961.590 -36.230 ;
       LAYER met5 ;
-        RECT -42.180 3556.500 -39.180 3556.510 ;
-        RECT 148.020 3556.500 151.020 3556.510 ;
-        RECT 328.020 3556.500 331.020 3556.510 ;
-        RECT 508.020 3556.500 511.020 3556.510 ;
-        RECT 688.020 3556.500 691.020 3556.510 ;
-        RECT 868.020 3556.500 871.020 3556.510 ;
-        RECT 1048.020 3556.500 1051.020 3556.510 ;
-        RECT 1228.020 3556.500 1231.020 3556.510 ;
-        RECT 1408.020 3556.500 1411.020 3556.510 ;
-        RECT 1588.020 3556.500 1591.020 3556.510 ;
-        RECT 1768.020 3556.500 1771.020 3556.510 ;
-        RECT 1948.020 3556.500 1951.020 3556.510 ;
-        RECT 2128.020 3556.500 2131.020 3556.510 ;
-        RECT 2308.020 3556.500 2311.020 3556.510 ;
-        RECT 2488.020 3556.500 2491.020 3556.510 ;
-        RECT 2668.020 3556.500 2671.020 3556.510 ;
-        RECT 2848.020 3556.500 2851.020 3556.510 ;
-        RECT 2958.800 3556.500 2961.800 3556.510 ;
-        RECT -42.180 3553.500 2961.800 3556.500 ;
-        RECT -42.180 3553.490 -39.180 3553.500 ;
-        RECT 148.020 3553.490 151.020 3553.500 ;
-        RECT 328.020 3553.490 331.020 3553.500 ;
-        RECT 508.020 3553.490 511.020 3553.500 ;
-        RECT 688.020 3553.490 691.020 3553.500 ;
-        RECT 868.020 3553.490 871.020 3553.500 ;
-        RECT 1048.020 3553.490 1051.020 3553.500 ;
-        RECT 1228.020 3553.490 1231.020 3553.500 ;
-        RECT 1408.020 3553.490 1411.020 3553.500 ;
-        RECT 1588.020 3553.490 1591.020 3553.500 ;
-        RECT 1768.020 3553.490 1771.020 3553.500 ;
-        RECT 1948.020 3553.490 1951.020 3553.500 ;
-        RECT 2128.020 3553.490 2131.020 3553.500 ;
-        RECT 2308.020 3553.490 2311.020 3553.500 ;
-        RECT 2488.020 3553.490 2491.020 3553.500 ;
-        RECT 2668.020 3553.490 2671.020 3553.500 ;
-        RECT 2848.020 3553.490 2851.020 3553.500 ;
-        RECT 2958.800 3553.490 2961.800 3553.500 ;
-        RECT -42.180 3396.380 -39.180 3396.390 ;
-        RECT 2958.800 3396.380 2961.800 3396.390 ;
-        RECT -42.180 3393.380 2.400 3396.380 ;
-        RECT 2917.600 3393.380 2961.800 3396.380 ;
-        RECT -42.180 3393.370 -39.180 3393.380 ;
-        RECT 2958.800 3393.370 2961.800 3393.380 ;
-        RECT -42.180 3216.380 -39.180 3216.390 ;
-        RECT 2958.800 3216.380 2961.800 3216.390 ;
-        RECT -42.180 3213.380 2.400 3216.380 ;
-        RECT 2917.600 3213.380 2961.800 3216.380 ;
-        RECT -42.180 3213.370 -39.180 3213.380 ;
-        RECT 2958.800 3213.370 2961.800 3213.380 ;
-        RECT -42.180 3036.380 -39.180 3036.390 ;
-        RECT 2958.800 3036.380 2961.800 3036.390 ;
-        RECT -42.180 3033.380 2.400 3036.380 ;
-        RECT 2917.600 3033.380 2961.800 3036.380 ;
-        RECT -42.180 3033.370 -39.180 3033.380 ;
-        RECT 2958.800 3033.370 2961.800 3033.380 ;
-        RECT -42.180 2856.380 -39.180 2856.390 ;
-        RECT 2958.800 2856.380 2961.800 2856.390 ;
-        RECT -42.180 2853.380 2.400 2856.380 ;
-        RECT 2917.600 2853.380 2961.800 2856.380 ;
-        RECT -42.180 2853.370 -39.180 2853.380 ;
-        RECT 2958.800 2853.370 2961.800 2853.380 ;
-        RECT -42.180 2676.380 -39.180 2676.390 ;
-        RECT 2958.800 2676.380 2961.800 2676.390 ;
-        RECT -42.180 2673.380 2.400 2676.380 ;
-        RECT 2917.600 2673.380 2961.800 2676.380 ;
-        RECT -42.180 2673.370 -39.180 2673.380 ;
-        RECT 2958.800 2673.370 2961.800 2673.380 ;
-        RECT -42.180 2496.380 -39.180 2496.390 ;
-        RECT 2958.800 2496.380 2961.800 2496.390 ;
-        RECT -42.180 2493.380 2.400 2496.380 ;
-        RECT 2917.600 2493.380 2961.800 2496.380 ;
-        RECT -42.180 2493.370 -39.180 2493.380 ;
-        RECT 2958.800 2493.370 2961.800 2493.380 ;
-        RECT -42.180 2316.380 -39.180 2316.390 ;
-        RECT 2958.800 2316.380 2961.800 2316.390 ;
-        RECT -42.180 2313.380 2.400 2316.380 ;
-        RECT 2917.600 2313.380 2961.800 2316.380 ;
-        RECT -42.180 2313.370 -39.180 2313.380 ;
-        RECT 2958.800 2313.370 2961.800 2313.380 ;
-        RECT -42.180 2136.380 -39.180 2136.390 ;
-        RECT 2958.800 2136.380 2961.800 2136.390 ;
-        RECT -42.180 2133.380 2.400 2136.380 ;
-        RECT 2917.600 2133.380 2961.800 2136.380 ;
-        RECT -42.180 2133.370 -39.180 2133.380 ;
-        RECT 2958.800 2133.370 2961.800 2133.380 ;
-        RECT -42.180 1956.380 -39.180 1956.390 ;
-        RECT 2958.800 1956.380 2961.800 1956.390 ;
-        RECT -42.180 1953.380 2.400 1956.380 ;
-        RECT 2917.600 1953.380 2961.800 1956.380 ;
-        RECT -42.180 1953.370 -39.180 1953.380 ;
-        RECT 2958.800 1953.370 2961.800 1953.380 ;
-        RECT -42.180 1776.380 -39.180 1776.390 ;
-        RECT 2958.800 1776.380 2961.800 1776.390 ;
-        RECT -42.180 1773.380 2.400 1776.380 ;
-        RECT 2917.600 1773.380 2961.800 1776.380 ;
-        RECT -42.180 1773.370 -39.180 1773.380 ;
-        RECT 2958.800 1773.370 2961.800 1773.380 ;
-        RECT -42.180 1596.380 -39.180 1596.390 ;
-        RECT 2958.800 1596.380 2961.800 1596.390 ;
-        RECT -42.180 1593.380 2.400 1596.380 ;
-        RECT 2917.600 1593.380 2961.800 1596.380 ;
-        RECT -42.180 1593.370 -39.180 1593.380 ;
-        RECT 2958.800 1593.370 2961.800 1593.380 ;
-        RECT -42.180 1416.380 -39.180 1416.390 ;
-        RECT 2958.800 1416.380 2961.800 1416.390 ;
-        RECT -42.180 1413.380 2.400 1416.380 ;
-        RECT 2917.600 1413.380 2961.800 1416.380 ;
-        RECT -42.180 1413.370 -39.180 1413.380 ;
-        RECT 2958.800 1413.370 2961.800 1413.380 ;
-        RECT -42.180 1236.380 -39.180 1236.390 ;
-        RECT 2958.800 1236.380 2961.800 1236.390 ;
-        RECT -42.180 1233.380 2.400 1236.380 ;
-        RECT 2917.600 1233.380 2961.800 1236.380 ;
-        RECT -42.180 1233.370 -39.180 1233.380 ;
-        RECT 2958.800 1233.370 2961.800 1233.380 ;
-        RECT -42.180 1056.380 -39.180 1056.390 ;
-        RECT 2958.800 1056.380 2961.800 1056.390 ;
-        RECT -42.180 1053.380 2.400 1056.380 ;
-        RECT 2917.600 1053.380 2961.800 1056.380 ;
-        RECT -42.180 1053.370 -39.180 1053.380 ;
-        RECT 2958.800 1053.370 2961.800 1053.380 ;
-        RECT -42.180 876.380 -39.180 876.390 ;
-        RECT 2958.800 876.380 2961.800 876.390 ;
-        RECT -42.180 873.380 2.400 876.380 ;
-        RECT 2917.600 873.380 2961.800 876.380 ;
-        RECT -42.180 873.370 -39.180 873.380 ;
-        RECT 2958.800 873.370 2961.800 873.380 ;
-        RECT -42.180 696.380 -39.180 696.390 ;
-        RECT 2958.800 696.380 2961.800 696.390 ;
-        RECT -42.180 693.380 2.400 696.380 ;
-        RECT 2917.600 693.380 2961.800 696.380 ;
-        RECT -42.180 693.370 -39.180 693.380 ;
-        RECT 2958.800 693.370 2961.800 693.380 ;
-        RECT -42.180 516.380 -39.180 516.390 ;
-        RECT 2958.800 516.380 2961.800 516.390 ;
-        RECT -42.180 513.380 2.400 516.380 ;
-        RECT 2917.600 513.380 2961.800 516.380 ;
-        RECT -42.180 513.370 -39.180 513.380 ;
-        RECT 2958.800 513.370 2961.800 513.380 ;
-        RECT -42.180 336.380 -39.180 336.390 ;
-        RECT 2958.800 336.380 2961.800 336.390 ;
-        RECT -42.180 333.380 2.400 336.380 ;
-        RECT 2917.600 333.380 2961.800 336.380 ;
-        RECT -42.180 333.370 -39.180 333.380 ;
-        RECT 2958.800 333.370 2961.800 333.380 ;
-        RECT -42.180 156.380 -39.180 156.390 ;
-        RECT 2958.800 156.380 2961.800 156.390 ;
-        RECT -42.180 153.380 2.400 156.380 ;
-        RECT 2917.600 153.380 2961.800 156.380 ;
-        RECT -42.180 153.370 -39.180 153.380 ;
-        RECT 2958.800 153.370 2961.800 153.380 ;
-        RECT -42.180 -33.820 -39.180 -33.810 ;
-        RECT 148.020 -33.820 151.020 -33.810 ;
-        RECT 328.020 -33.820 331.020 -33.810 ;
-        RECT 508.020 -33.820 511.020 -33.810 ;
-        RECT 688.020 -33.820 691.020 -33.810 ;
-        RECT 868.020 -33.820 871.020 -33.810 ;
-        RECT 1048.020 -33.820 1051.020 -33.810 ;
-        RECT 1228.020 -33.820 1231.020 -33.810 ;
-        RECT 1408.020 -33.820 1411.020 -33.810 ;
-        RECT 1588.020 -33.820 1591.020 -33.810 ;
-        RECT 1768.020 -33.820 1771.020 -33.810 ;
-        RECT 1948.020 -33.820 1951.020 -33.810 ;
-        RECT 2128.020 -33.820 2131.020 -33.810 ;
-        RECT 2308.020 -33.820 2311.020 -33.810 ;
-        RECT 2488.020 -33.820 2491.020 -33.810 ;
-        RECT 2668.020 -33.820 2671.020 -33.810 ;
-        RECT 2848.020 -33.820 2851.020 -33.810 ;
-        RECT 2958.800 -33.820 2961.800 -33.810 ;
-        RECT -42.180 -36.820 2961.800 -33.820 ;
-        RECT -42.180 -36.830 -39.180 -36.820 ;
-        RECT 148.020 -36.830 151.020 -36.820 ;
-        RECT 328.020 -36.830 331.020 -36.820 ;
-        RECT 508.020 -36.830 511.020 -36.820 ;
-        RECT 688.020 -36.830 691.020 -36.820 ;
-        RECT 868.020 -36.830 871.020 -36.820 ;
-        RECT 1048.020 -36.830 1051.020 -36.820 ;
-        RECT 1228.020 -36.830 1231.020 -36.820 ;
-        RECT 1408.020 -36.830 1411.020 -36.820 ;
-        RECT 1588.020 -36.830 1591.020 -36.820 ;
-        RECT 1768.020 -36.830 1771.020 -36.820 ;
-        RECT 1948.020 -36.830 1951.020 -36.820 ;
-        RECT 2128.020 -36.830 2131.020 -36.820 ;
-        RECT 2308.020 -36.830 2311.020 -36.820 ;
-        RECT 2488.020 -36.830 2491.020 -36.820 ;
-        RECT 2668.020 -36.830 2671.020 -36.820 ;
-        RECT 2848.020 -36.830 2851.020 -36.820 ;
-        RECT 2958.800 -36.830 2961.800 -36.820 ;
+        RECT -42.880 3557.200 -39.880 3557.210 ;
+        RECT 148.020 3557.200 151.020 3557.210 ;
+        RECT 328.020 3557.200 331.020 3557.210 ;
+        RECT 508.020 3557.200 511.020 3557.210 ;
+        RECT 688.020 3557.200 691.020 3557.210 ;
+        RECT 868.020 3557.200 871.020 3557.210 ;
+        RECT 1048.020 3557.200 1051.020 3557.210 ;
+        RECT 1228.020 3557.200 1231.020 3557.210 ;
+        RECT 1408.020 3557.200 1411.020 3557.210 ;
+        RECT 1588.020 3557.200 1591.020 3557.210 ;
+        RECT 1768.020 3557.200 1771.020 3557.210 ;
+        RECT 1948.020 3557.200 1951.020 3557.210 ;
+        RECT 2128.020 3557.200 2131.020 3557.210 ;
+        RECT 2308.020 3557.200 2311.020 3557.210 ;
+        RECT 2488.020 3557.200 2491.020 3557.210 ;
+        RECT 2668.020 3557.200 2671.020 3557.210 ;
+        RECT 2848.020 3557.200 2851.020 3557.210 ;
+        RECT 2959.500 3557.200 2962.500 3557.210 ;
+        RECT -42.880 3554.200 2962.500 3557.200 ;
+        RECT -42.880 3554.190 -39.880 3554.200 ;
+        RECT 148.020 3554.190 151.020 3554.200 ;
+        RECT 328.020 3554.190 331.020 3554.200 ;
+        RECT 508.020 3554.190 511.020 3554.200 ;
+        RECT 688.020 3554.190 691.020 3554.200 ;
+        RECT 868.020 3554.190 871.020 3554.200 ;
+        RECT 1048.020 3554.190 1051.020 3554.200 ;
+        RECT 1228.020 3554.190 1231.020 3554.200 ;
+        RECT 1408.020 3554.190 1411.020 3554.200 ;
+        RECT 1588.020 3554.190 1591.020 3554.200 ;
+        RECT 1768.020 3554.190 1771.020 3554.200 ;
+        RECT 1948.020 3554.190 1951.020 3554.200 ;
+        RECT 2128.020 3554.190 2131.020 3554.200 ;
+        RECT 2308.020 3554.190 2311.020 3554.200 ;
+        RECT 2488.020 3554.190 2491.020 3554.200 ;
+        RECT 2668.020 3554.190 2671.020 3554.200 ;
+        RECT 2848.020 3554.190 2851.020 3554.200 ;
+        RECT 2959.500 3554.190 2962.500 3554.200 ;
+        RECT -42.880 3396.380 -39.880 3396.390 ;
+        RECT 2959.500 3396.380 2962.500 3396.390 ;
+        RECT -42.880 3393.380 2.400 3396.380 ;
+        RECT 2917.600 3393.380 2962.500 3396.380 ;
+        RECT -42.880 3393.370 -39.880 3393.380 ;
+        RECT 2959.500 3393.370 2962.500 3393.380 ;
+        RECT -42.880 3216.380 -39.880 3216.390 ;
+        RECT 2959.500 3216.380 2962.500 3216.390 ;
+        RECT -42.880 3213.380 2.400 3216.380 ;
+        RECT 2917.600 3213.380 2962.500 3216.380 ;
+        RECT -42.880 3213.370 -39.880 3213.380 ;
+        RECT 2959.500 3213.370 2962.500 3213.380 ;
+        RECT -42.880 3036.380 -39.880 3036.390 ;
+        RECT 2959.500 3036.380 2962.500 3036.390 ;
+        RECT -42.880 3033.380 2.400 3036.380 ;
+        RECT 2917.600 3033.380 2962.500 3036.380 ;
+        RECT -42.880 3033.370 -39.880 3033.380 ;
+        RECT 2959.500 3033.370 2962.500 3033.380 ;
+        RECT -42.880 2856.380 -39.880 2856.390 ;
+        RECT 2959.500 2856.380 2962.500 2856.390 ;
+        RECT -42.880 2853.380 2.400 2856.380 ;
+        RECT 2917.600 2853.380 2962.500 2856.380 ;
+        RECT -42.880 2853.370 -39.880 2853.380 ;
+        RECT 2959.500 2853.370 2962.500 2853.380 ;
+        RECT -42.880 2676.380 -39.880 2676.390 ;
+        RECT 2959.500 2676.380 2962.500 2676.390 ;
+        RECT -42.880 2673.380 2.400 2676.380 ;
+        RECT 2917.600 2673.380 2962.500 2676.380 ;
+        RECT -42.880 2673.370 -39.880 2673.380 ;
+        RECT 2959.500 2673.370 2962.500 2673.380 ;
+        RECT -42.880 2496.380 -39.880 2496.390 ;
+        RECT 2959.500 2496.380 2962.500 2496.390 ;
+        RECT -42.880 2493.380 2.400 2496.380 ;
+        RECT 2917.600 2493.380 2962.500 2496.380 ;
+        RECT -42.880 2493.370 -39.880 2493.380 ;
+        RECT 2959.500 2493.370 2962.500 2493.380 ;
+        RECT -42.880 2316.380 -39.880 2316.390 ;
+        RECT 2959.500 2316.380 2962.500 2316.390 ;
+        RECT -42.880 2313.380 2.400 2316.380 ;
+        RECT 2917.600 2313.380 2962.500 2316.380 ;
+        RECT -42.880 2313.370 -39.880 2313.380 ;
+        RECT 2959.500 2313.370 2962.500 2313.380 ;
+        RECT -42.880 2136.380 -39.880 2136.390 ;
+        RECT 2959.500 2136.380 2962.500 2136.390 ;
+        RECT -42.880 2133.380 2.400 2136.380 ;
+        RECT 2917.600 2133.380 2962.500 2136.380 ;
+        RECT -42.880 2133.370 -39.880 2133.380 ;
+        RECT 2959.500 2133.370 2962.500 2133.380 ;
+        RECT -42.880 1956.380 -39.880 1956.390 ;
+        RECT 2959.500 1956.380 2962.500 1956.390 ;
+        RECT -42.880 1953.380 2.400 1956.380 ;
+        RECT 2917.600 1953.380 2962.500 1956.380 ;
+        RECT -42.880 1953.370 -39.880 1953.380 ;
+        RECT 2959.500 1953.370 2962.500 1953.380 ;
+        RECT -42.880 1776.380 -39.880 1776.390 ;
+        RECT 2959.500 1776.380 2962.500 1776.390 ;
+        RECT -42.880 1773.380 2.400 1776.380 ;
+        RECT 2917.600 1773.380 2962.500 1776.380 ;
+        RECT -42.880 1773.370 -39.880 1773.380 ;
+        RECT 2959.500 1773.370 2962.500 1773.380 ;
+        RECT -42.880 1596.380 -39.880 1596.390 ;
+        RECT 2959.500 1596.380 2962.500 1596.390 ;
+        RECT -42.880 1593.380 2.400 1596.380 ;
+        RECT 2917.600 1593.380 2962.500 1596.380 ;
+        RECT -42.880 1593.370 -39.880 1593.380 ;
+        RECT 2959.500 1593.370 2962.500 1593.380 ;
+        RECT -42.880 1416.380 -39.880 1416.390 ;
+        RECT 2959.500 1416.380 2962.500 1416.390 ;
+        RECT -42.880 1413.380 2.400 1416.380 ;
+        RECT 2917.600 1413.380 2962.500 1416.380 ;
+        RECT -42.880 1413.370 -39.880 1413.380 ;
+        RECT 2959.500 1413.370 2962.500 1413.380 ;
+        RECT -42.880 1236.380 -39.880 1236.390 ;
+        RECT 2959.500 1236.380 2962.500 1236.390 ;
+        RECT -42.880 1233.380 2.400 1236.380 ;
+        RECT 2917.600 1233.380 2962.500 1236.380 ;
+        RECT -42.880 1233.370 -39.880 1233.380 ;
+        RECT 2959.500 1233.370 2962.500 1233.380 ;
+        RECT -42.880 1056.380 -39.880 1056.390 ;
+        RECT 2959.500 1056.380 2962.500 1056.390 ;
+        RECT -42.880 1053.380 2.400 1056.380 ;
+        RECT 2917.600 1053.380 2962.500 1056.380 ;
+        RECT -42.880 1053.370 -39.880 1053.380 ;
+        RECT 2959.500 1053.370 2962.500 1053.380 ;
+        RECT -42.880 876.380 -39.880 876.390 ;
+        RECT 2959.500 876.380 2962.500 876.390 ;
+        RECT -42.880 873.380 2.400 876.380 ;
+        RECT 2917.600 873.380 2962.500 876.380 ;
+        RECT -42.880 873.370 -39.880 873.380 ;
+        RECT 2959.500 873.370 2962.500 873.380 ;
+        RECT -42.880 696.380 -39.880 696.390 ;
+        RECT 2959.500 696.380 2962.500 696.390 ;
+        RECT -42.880 693.380 2.400 696.380 ;
+        RECT 2917.600 693.380 2962.500 696.380 ;
+        RECT -42.880 693.370 -39.880 693.380 ;
+        RECT 2959.500 693.370 2962.500 693.380 ;
+        RECT -42.880 516.380 -39.880 516.390 ;
+        RECT 2959.500 516.380 2962.500 516.390 ;
+        RECT -42.880 513.380 2.400 516.380 ;
+        RECT 2917.600 513.380 2962.500 516.380 ;
+        RECT -42.880 513.370 -39.880 513.380 ;
+        RECT 2959.500 513.370 2962.500 513.380 ;
+        RECT -42.880 336.380 -39.880 336.390 ;
+        RECT 2959.500 336.380 2962.500 336.390 ;
+        RECT -42.880 333.380 2.400 336.380 ;
+        RECT 2917.600 333.380 2962.500 336.380 ;
+        RECT -42.880 333.370 -39.880 333.380 ;
+        RECT 2959.500 333.370 2962.500 333.380 ;
+        RECT -42.880 156.380 -39.880 156.390 ;
+        RECT 2959.500 156.380 2962.500 156.390 ;
+        RECT -42.880 153.380 2.400 156.380 ;
+        RECT 2917.600 153.380 2962.500 156.380 ;
+        RECT -42.880 153.370 -39.880 153.380 ;
+        RECT 2959.500 153.370 2962.500 153.380 ;
+        RECT -42.880 -34.520 -39.880 -34.510 ;
+        RECT 148.020 -34.520 151.020 -34.510 ;
+        RECT 328.020 -34.520 331.020 -34.510 ;
+        RECT 508.020 -34.520 511.020 -34.510 ;
+        RECT 688.020 -34.520 691.020 -34.510 ;
+        RECT 868.020 -34.520 871.020 -34.510 ;
+        RECT 1048.020 -34.520 1051.020 -34.510 ;
+        RECT 1228.020 -34.520 1231.020 -34.510 ;
+        RECT 1408.020 -34.520 1411.020 -34.510 ;
+        RECT 1588.020 -34.520 1591.020 -34.510 ;
+        RECT 1768.020 -34.520 1771.020 -34.510 ;
+        RECT 1948.020 -34.520 1951.020 -34.510 ;
+        RECT 2128.020 -34.520 2131.020 -34.510 ;
+        RECT 2308.020 -34.520 2311.020 -34.510 ;
+        RECT 2488.020 -34.520 2491.020 -34.510 ;
+        RECT 2668.020 -34.520 2671.020 -34.510 ;
+        RECT 2848.020 -34.520 2851.020 -34.510 ;
+        RECT 2959.500 -34.520 2962.500 -34.510 ;
+        RECT -42.880 -37.520 2962.500 -34.520 ;
+        RECT -42.880 -37.530 -39.880 -37.520 ;
+        RECT 148.020 -37.530 151.020 -37.520 ;
+        RECT 328.020 -37.530 331.020 -37.520 ;
+        RECT 508.020 -37.530 511.020 -37.520 ;
+        RECT 688.020 -37.530 691.020 -37.520 ;
+        RECT 868.020 -37.530 871.020 -37.520 ;
+        RECT 1048.020 -37.530 1051.020 -37.520 ;
+        RECT 1228.020 -37.530 1231.020 -37.520 ;
+        RECT 1408.020 -37.530 1411.020 -37.520 ;
+        RECT 1588.020 -37.530 1591.020 -37.520 ;
+        RECT 1768.020 -37.530 1771.020 -37.520 ;
+        RECT 1948.020 -37.530 1951.020 -37.520 ;
+        RECT 2128.020 -37.530 2131.020 -37.520 ;
+        RECT 2308.020 -37.530 2311.020 -37.520 ;
+        RECT 2488.020 -37.530 2491.020 -37.520 ;
+        RECT 2668.020 -37.530 2671.020 -37.520 ;
+        RECT 2848.020 -37.530 2851.020 -37.520 ;
+        RECT 2959.500 -37.530 2962.500 -37.520 ;
     END
   END vssa2
 END user_project_wrapper
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/results/magic/user_project_wrapper.lef.mag b/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/results/magic/user_project_wrapper.lef.mag
index 1cfe6df..1248734 100644
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/results/magic/user_project_wrapper.lef.mag
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/results/magic/user_project_wrapper.lef.mag
@@ -1,6 +1,6 @@
 magic
 tech sky130A
-timestamp 1606416959
+timestamp 1606678958
 << metal2 >>
 rect 4043 351760 4099 352480
 rect 12139 351760 12195 352480
@@ -640,544 +640,544 @@
 rect -480 3510 240 3630
 rect 291760 2898 292480 3018
 << metal4 >>
-rect -4218 -3682 -3918 355650
-rect -3758 -3222 -3458 355190
-rect -3298 -2762 -2998 354730
-rect -2838 -2302 -2538 354270
-rect -2378 -1842 -2078 353810
-rect -1918 -1382 -1618 353350
-rect -1458 -922 -1158 352890
+rect -4288 -3752 -3988 355720
+rect -3818 -3282 -3518 355250
+rect -3348 -2812 -3048 354780
+rect -2878 -2342 -2578 354310
+rect -2408 -1872 -2108 353840
+rect -1938 -1402 -1638 353370
+rect -1468 -932 -1168 352900
 rect -998 -462 -698 352430
-rect 402 351760 702 352890
-rect 2202 351760 2502 353810
-rect 4002 351760 4302 354730
-rect 5802 351760 6102 355650
-rect 9402 351760 9702 352890
-rect 11202 351760 11502 353810
-rect 13002 351760 13302 354730
-rect 14802 351760 15102 355650
-rect 18402 351760 18702 352890
-rect 20202 351760 20502 353810
-rect 22002 351760 22302 354730
-rect 23802 351760 24102 355650
-rect 27402 351760 27702 352890
-rect 29202 351760 29502 353810
-rect 31002 351760 31302 354730
-rect 32802 351760 33102 355650
-rect 36402 351760 36702 352890
-rect 38202 351760 38502 353810
-rect 40002 351760 40302 354730
-rect 41802 351760 42102 355650
-rect 45402 351760 45702 352890
-rect 47202 351760 47502 353810
-rect 49002 351760 49302 354730
-rect 50802 351760 51102 355650
-rect 54402 351760 54702 352890
-rect 56202 351760 56502 353810
-rect 58002 351760 58302 354730
-rect 59802 351760 60102 355650
-rect 63402 351760 63702 352890
-rect 65202 351760 65502 353810
-rect 67002 351760 67302 354730
-rect 68802 351760 69102 355650
-rect 72402 351760 72702 352890
-rect 74202 351760 74502 353810
-rect 76002 351760 76302 354730
-rect 77802 351760 78102 355650
-rect 81402 351760 81702 352890
-rect 83202 351760 83502 353810
-rect 85002 351760 85302 354730
-rect 86802 351760 87102 355650
-rect 90402 351760 90702 352890
-rect 92202 351760 92502 353810
-rect 94002 351760 94302 354730
-rect 95802 351760 96102 355650
-rect 99402 351760 99702 352890
-rect 101202 351760 101502 353810
-rect 103002 351760 103302 354730
-rect 104802 351760 105102 355650
-rect 108402 351760 108702 352890
-rect 110202 351760 110502 353810
-rect 112002 351760 112302 354730
-rect 113802 351760 114102 355650
-rect 117402 351760 117702 352890
-rect 119202 351760 119502 353810
-rect 121002 351760 121302 354730
-rect 122802 351760 123102 355650
-rect 126402 351760 126702 352890
-rect 128202 351760 128502 353810
-rect 130002 351760 130302 354730
-rect 131802 351760 132102 355650
-rect 135402 351760 135702 352890
-rect 137202 351760 137502 353810
-rect 139002 351760 139302 354730
-rect 140802 351760 141102 355650
-rect 144402 351760 144702 352890
-rect 146202 351760 146502 353810
-rect 148002 351760 148302 354730
-rect 149802 351760 150102 355650
-rect 153402 351760 153702 352890
-rect 155202 351760 155502 353810
-rect 157002 351760 157302 354730
-rect 158802 351760 159102 355650
-rect 162402 351760 162702 352890
-rect 164202 351760 164502 353810
-rect 166002 351760 166302 354730
-rect 167802 351760 168102 355650
-rect 171402 351760 171702 352890
-rect 173202 351760 173502 353810
-rect 175002 351760 175302 354730
-rect 176802 351760 177102 355650
-rect 180402 351760 180702 352890
-rect 182202 351760 182502 353810
-rect 184002 351760 184302 354730
-rect 185802 351760 186102 355650
-rect 189402 351760 189702 352890
-rect 191202 351760 191502 353810
-rect 193002 351760 193302 354730
-rect 194802 351760 195102 355650
-rect 198402 351760 198702 352890
-rect 200202 351760 200502 353810
-rect 202002 351760 202302 354730
-rect 203802 351760 204102 355650
-rect 207402 351760 207702 352890
-rect 209202 351760 209502 353810
-rect 211002 351760 211302 354730
-rect 212802 351760 213102 355650
-rect 216402 351760 216702 352890
-rect 218202 351760 218502 353810
-rect 220002 351760 220302 354730
-rect 221802 351760 222102 355650
-rect 225402 351760 225702 352890
-rect 227202 351760 227502 353810
-rect 229002 351760 229302 354730
-rect 230802 351760 231102 355650
-rect 234402 351760 234702 352890
-rect 236202 351760 236502 353810
-rect 238002 351760 238302 354730
-rect 239802 351760 240102 355650
-rect 243402 351760 243702 352890
-rect 245202 351760 245502 353810
-rect 247002 351760 247302 354730
-rect 248802 351760 249102 355650
-rect 252402 351760 252702 352890
-rect 254202 351760 254502 353810
-rect 256002 351760 256302 354730
-rect 257802 351760 258102 355650
-rect 261402 351760 261702 352890
-rect 263202 351760 263502 353810
-rect 265002 351760 265302 354730
-rect 266802 351760 267102 355650
-rect 270402 351760 270702 352890
-rect 272202 351760 272502 353810
-rect 274002 351760 274302 354730
-rect 275802 351760 276102 355650
-rect 279402 351760 279702 352890
-rect 281202 351760 281502 353810
-rect 283002 351760 283302 354730
-rect 284802 351760 285102 355650
-rect 288402 351760 288702 352890
-rect 290202 351760 290502 353810
-rect 402 -922 702 240
-rect 2202 -1842 2502 240
-rect 4002 -2762 4302 240
-rect 5802 -3682 6102 240
-rect 9402 -922 9702 240
-rect 11202 -1842 11502 240
-rect 13002 -2762 13302 240
-rect 14802 -3682 15102 240
-rect 18402 -922 18702 240
-rect 20202 -1842 20502 240
-rect 22002 -2762 22302 240
-rect 23802 -3682 24102 240
-rect 27402 -922 27702 240
-rect 29202 -1842 29502 240
-rect 31002 -2762 31302 240
-rect 32802 -3682 33102 240
-rect 36402 -922 36702 240
-rect 38202 -1842 38502 240
-rect 40002 -2762 40302 240
-rect 41802 -3682 42102 240
-rect 45402 -922 45702 240
-rect 47202 -1842 47502 240
-rect 49002 -2762 49302 240
-rect 50802 -3682 51102 240
-rect 54402 -922 54702 240
-rect 56202 -1842 56502 240
-rect 58002 -2762 58302 240
-rect 59802 -3682 60102 240
-rect 63402 -922 63702 240
-rect 65202 -1842 65502 240
-rect 67002 -2762 67302 240
-rect 68802 -3682 69102 240
-rect 72402 -922 72702 240
-rect 74202 -1842 74502 240
-rect 76002 -2762 76302 240
-rect 77802 -3682 78102 240
-rect 81402 -922 81702 240
-rect 83202 -1842 83502 240
-rect 85002 -2762 85302 240
-rect 86802 -3682 87102 240
-rect 90402 -922 90702 240
-rect 92202 -1842 92502 240
-rect 94002 -2762 94302 240
-rect 95802 -3682 96102 240
-rect 99402 -922 99702 240
-rect 101202 -1842 101502 240
-rect 103002 -2762 103302 240
-rect 104802 -3682 105102 240
-rect 108402 -922 108702 240
-rect 110202 -1842 110502 240
-rect 112002 -2762 112302 240
-rect 113802 -3682 114102 240
-rect 117402 -922 117702 240
-rect 119202 -1842 119502 240
-rect 121002 -2762 121302 240
-rect 122802 -3682 123102 240
-rect 126402 -922 126702 240
-rect 128202 -1842 128502 240
-rect 130002 -2762 130302 240
-rect 131802 -3682 132102 240
-rect 135402 -922 135702 240
-rect 137202 -1842 137502 240
-rect 139002 -2762 139302 240
-rect 140802 -3682 141102 240
-rect 144402 -922 144702 240
-rect 146202 -1842 146502 240
-rect 148002 -2762 148302 240
-rect 149802 -3682 150102 240
-rect 153402 -922 153702 240
-rect 155202 -1842 155502 240
-rect 157002 -2762 157302 240
-rect 158802 -3682 159102 240
-rect 162402 -922 162702 240
-rect 164202 -1842 164502 240
-rect 166002 -2762 166302 240
-rect 167802 -3682 168102 240
-rect 171402 -922 171702 240
-rect 173202 -1842 173502 240
-rect 175002 -2762 175302 240
-rect 176802 -3682 177102 240
-rect 180402 -922 180702 240
-rect 182202 -1842 182502 240
-rect 184002 -2762 184302 240
-rect 185802 -3682 186102 240
-rect 189402 -922 189702 240
-rect 191202 -1842 191502 240
-rect 193002 -2762 193302 240
-rect 194802 -3682 195102 240
-rect 198402 -922 198702 240
-rect 200202 -1842 200502 240
-rect 202002 -2762 202302 240
-rect 203802 -3682 204102 240
-rect 207402 -922 207702 240
-rect 209202 -1842 209502 240
-rect 211002 -2762 211302 240
-rect 212802 -3682 213102 240
-rect 216402 -922 216702 240
-rect 218202 -1842 218502 240
-rect 220002 -2762 220302 240
-rect 221802 -3682 222102 240
-rect 225402 -922 225702 240
-rect 227202 -1842 227502 240
-rect 229002 -2762 229302 240
-rect 230802 -3682 231102 240
-rect 234402 -922 234702 240
-rect 236202 -1842 236502 240
-rect 238002 -2762 238302 240
-rect 239802 -3682 240102 240
-rect 243402 -922 243702 240
-rect 245202 -1842 245502 240
-rect 247002 -2762 247302 240
-rect 248802 -3682 249102 240
-rect 252402 -922 252702 240
-rect 254202 -1842 254502 240
-rect 256002 -2762 256302 240
-rect 257802 -3682 258102 240
-rect 261402 -922 261702 240
-rect 263202 -1842 263502 240
-rect 265002 -2762 265302 240
-rect 266802 -3682 267102 240
-rect 270402 -922 270702 240
-rect 272202 -1842 272502 240
-rect 274002 -2762 274302 240
-rect 275802 -3682 276102 240
-rect 279402 -922 279702 240
-rect 281202 -1842 281502 240
-rect 283002 -2762 283302 240
-rect 284802 -3682 285102 240
-rect 288402 -922 288702 240
-rect 290202 -1842 290502 240
+rect 402 351760 702 352900
+rect 2202 351760 2502 353840
+rect 4002 351760 4302 354780
+rect 5802 351760 6102 355720
+rect 9402 351760 9702 352900
+rect 11202 351760 11502 353840
+rect 13002 351760 13302 354780
+rect 14802 351760 15102 355720
+rect 18402 351760 18702 352900
+rect 20202 351760 20502 353840
+rect 22002 351760 22302 354780
+rect 23802 351760 24102 355720
+rect 27402 351760 27702 352900
+rect 29202 351760 29502 353840
+rect 31002 351760 31302 354780
+rect 32802 351760 33102 355720
+rect 36402 351760 36702 352900
+rect 38202 351760 38502 353840
+rect 40002 351760 40302 354780
+rect 41802 351760 42102 355720
+rect 45402 351760 45702 352900
+rect 47202 351760 47502 353840
+rect 49002 351760 49302 354780
+rect 50802 351760 51102 355720
+rect 54402 351760 54702 352900
+rect 56202 351760 56502 353840
+rect 58002 351760 58302 354780
+rect 59802 351760 60102 355720
+rect 63402 351760 63702 352900
+rect 65202 351760 65502 353840
+rect 67002 351760 67302 354780
+rect 68802 351760 69102 355720
+rect 72402 351760 72702 352900
+rect 74202 351760 74502 353840
+rect 76002 351760 76302 354780
+rect 77802 351760 78102 355720
+rect 81402 351760 81702 352900
+rect 83202 351760 83502 353840
+rect 85002 351760 85302 354780
+rect 86802 351760 87102 355720
+rect 90402 351760 90702 352900
+rect 92202 351760 92502 353840
+rect 94002 351760 94302 354780
+rect 95802 351760 96102 355720
+rect 99402 351760 99702 352900
+rect 101202 351760 101502 353840
+rect 103002 351760 103302 354780
+rect 104802 351760 105102 355720
+rect 108402 351760 108702 352900
+rect 110202 351760 110502 353840
+rect 112002 351760 112302 354780
+rect 113802 351760 114102 355720
+rect 117402 351760 117702 352900
+rect 119202 351760 119502 353840
+rect 121002 351760 121302 354780
+rect 122802 351760 123102 355720
+rect 126402 351760 126702 352900
+rect 128202 351760 128502 353840
+rect 130002 351760 130302 354780
+rect 131802 351760 132102 355720
+rect 135402 351760 135702 352900
+rect 137202 351760 137502 353840
+rect 139002 351760 139302 354780
+rect 140802 351760 141102 355720
+rect 144402 351760 144702 352900
+rect 146202 351760 146502 353840
+rect 148002 351760 148302 354780
+rect 149802 351760 150102 355720
+rect 153402 351760 153702 352900
+rect 155202 351760 155502 353840
+rect 157002 351760 157302 354780
+rect 158802 351760 159102 355720
+rect 162402 351760 162702 352900
+rect 164202 351760 164502 353840
+rect 166002 351760 166302 354780
+rect 167802 351760 168102 355720
+rect 171402 351760 171702 352900
+rect 173202 351760 173502 353840
+rect 175002 351760 175302 354780
+rect 176802 351760 177102 355720
+rect 180402 351760 180702 352900
+rect 182202 351760 182502 353840
+rect 184002 351760 184302 354780
+rect 185802 351760 186102 355720
+rect 189402 351760 189702 352900
+rect 191202 351760 191502 353840
+rect 193002 351760 193302 354780
+rect 194802 351760 195102 355720
+rect 198402 351760 198702 352900
+rect 200202 351760 200502 353840
+rect 202002 351760 202302 354780
+rect 203802 351760 204102 355720
+rect 207402 351760 207702 352900
+rect 209202 351760 209502 353840
+rect 211002 351760 211302 354780
+rect 212802 351760 213102 355720
+rect 216402 351760 216702 352900
+rect 218202 351760 218502 353840
+rect 220002 351760 220302 354780
+rect 221802 351760 222102 355720
+rect 225402 351760 225702 352900
+rect 227202 351760 227502 353840
+rect 229002 351760 229302 354780
+rect 230802 351760 231102 355720
+rect 234402 351760 234702 352900
+rect 236202 351760 236502 353840
+rect 238002 351760 238302 354780
+rect 239802 351760 240102 355720
+rect 243402 351760 243702 352900
+rect 245202 351760 245502 353840
+rect 247002 351760 247302 354780
+rect 248802 351760 249102 355720
+rect 252402 351760 252702 352900
+rect 254202 351760 254502 353840
+rect 256002 351760 256302 354780
+rect 257802 351760 258102 355720
+rect 261402 351760 261702 352900
+rect 263202 351760 263502 353840
+rect 265002 351760 265302 354780
+rect 266802 351760 267102 355720
+rect 270402 351760 270702 352900
+rect 272202 351760 272502 353840
+rect 274002 351760 274302 354780
+rect 275802 351760 276102 355720
+rect 279402 351760 279702 352900
+rect 281202 351760 281502 353840
+rect 283002 351760 283302 354780
+rect 284802 351760 285102 355720
+rect 288402 351760 288702 352900
+rect 290202 351760 290502 353840
+rect 402 -932 702 240
+rect 2202 -1872 2502 240
+rect 4002 -2812 4302 240
+rect 5802 -3752 6102 240
+rect 9402 -932 9702 240
+rect 11202 -1872 11502 240
+rect 13002 -2812 13302 240
+rect 14802 -3752 15102 240
+rect 18402 -932 18702 240
+rect 20202 -1872 20502 240
+rect 22002 -2812 22302 240
+rect 23802 -3752 24102 240
+rect 27402 -932 27702 240
+rect 29202 -1872 29502 240
+rect 31002 -2812 31302 240
+rect 32802 -3752 33102 240
+rect 36402 -932 36702 240
+rect 38202 -1872 38502 240
+rect 40002 -2812 40302 240
+rect 41802 -3752 42102 240
+rect 45402 -932 45702 240
+rect 47202 -1872 47502 240
+rect 49002 -2812 49302 240
+rect 50802 -3752 51102 240
+rect 54402 -932 54702 240
+rect 56202 -1872 56502 240
+rect 58002 -2812 58302 240
+rect 59802 -3752 60102 240
+rect 63402 -932 63702 240
+rect 65202 -1872 65502 240
+rect 67002 -2812 67302 240
+rect 68802 -3752 69102 240
+rect 72402 -932 72702 240
+rect 74202 -1872 74502 240
+rect 76002 -2812 76302 240
+rect 77802 -3752 78102 240
+rect 81402 -932 81702 240
+rect 83202 -1872 83502 240
+rect 85002 -2812 85302 240
+rect 86802 -3752 87102 240
+rect 90402 -932 90702 240
+rect 92202 -1872 92502 240
+rect 94002 -2812 94302 240
+rect 95802 -3752 96102 240
+rect 99402 -932 99702 240
+rect 101202 -1872 101502 240
+rect 103002 -2812 103302 240
+rect 104802 -3752 105102 240
+rect 108402 -932 108702 240
+rect 110202 -1872 110502 240
+rect 112002 -2812 112302 240
+rect 113802 -3752 114102 240
+rect 117402 -932 117702 240
+rect 119202 -1872 119502 240
+rect 121002 -2812 121302 240
+rect 122802 -3752 123102 240
+rect 126402 -932 126702 240
+rect 128202 -1872 128502 240
+rect 130002 -2812 130302 240
+rect 131802 -3752 132102 240
+rect 135402 -932 135702 240
+rect 137202 -1872 137502 240
+rect 139002 -2812 139302 240
+rect 140802 -3752 141102 240
+rect 144402 -932 144702 240
+rect 146202 -1872 146502 240
+rect 148002 -2812 148302 240
+rect 149802 -3752 150102 240
+rect 153402 -932 153702 240
+rect 155202 -1872 155502 240
+rect 157002 -2812 157302 240
+rect 158802 -3752 159102 240
+rect 162402 -932 162702 240
+rect 164202 -1872 164502 240
+rect 166002 -2812 166302 240
+rect 167802 -3752 168102 240
+rect 171402 -932 171702 240
+rect 173202 -1872 173502 240
+rect 175002 -2812 175302 240
+rect 176802 -3752 177102 240
+rect 180402 -932 180702 240
+rect 182202 -1872 182502 240
+rect 184002 -2812 184302 240
+rect 185802 -3752 186102 240
+rect 189402 -932 189702 240
+rect 191202 -1872 191502 240
+rect 193002 -2812 193302 240
+rect 194802 -3752 195102 240
+rect 198402 -932 198702 240
+rect 200202 -1872 200502 240
+rect 202002 -2812 202302 240
+rect 203802 -3752 204102 240
+rect 207402 -932 207702 240
+rect 209202 -1872 209502 240
+rect 211002 -2812 211302 240
+rect 212802 -3752 213102 240
+rect 216402 -932 216702 240
+rect 218202 -1872 218502 240
+rect 220002 -2812 220302 240
+rect 221802 -3752 222102 240
+rect 225402 -932 225702 240
+rect 227202 -1872 227502 240
+rect 229002 -2812 229302 240
+rect 230802 -3752 231102 240
+rect 234402 -932 234702 240
+rect 236202 -1872 236502 240
+rect 238002 -2812 238302 240
+rect 239802 -3752 240102 240
+rect 243402 -932 243702 240
+rect 245202 -1872 245502 240
+rect 247002 -2812 247302 240
+rect 248802 -3752 249102 240
+rect 252402 -932 252702 240
+rect 254202 -1872 254502 240
+rect 256002 -2812 256302 240
+rect 257802 -3752 258102 240
+rect 261402 -932 261702 240
+rect 263202 -1872 263502 240
+rect 265002 -2812 265302 240
+rect 266802 -3752 267102 240
+rect 270402 -932 270702 240
+rect 272202 -1872 272502 240
+rect 274002 -2812 274302 240
+rect 275802 -3752 276102 240
+rect 279402 -932 279702 240
+rect 281202 -1872 281502 240
+rect 283002 -2812 283302 240
+rect 284802 -3752 285102 240
+rect 288402 -932 288702 240
+rect 290202 -1872 290502 240
 rect 292660 -462 292960 352430
-rect 293120 -922 293420 352890
-rect 293580 -1382 293880 353350
-rect 294040 -1842 294340 353810
-rect 294500 -2302 294800 354270
-rect 294960 -2762 295260 354730
-rect 295420 -3222 295720 355190
-rect 295880 -3682 296180 355650
+rect 293130 -932 293430 352900
+rect 293600 -1402 293900 353370
+rect 294070 -1872 294370 353840
+rect 294540 -2342 294840 354310
+rect 295010 -2812 295310 354780
+rect 295480 -3282 295780 355250
+rect 295950 -3752 296250 355720
 << metal5 >>
-rect -4218 355650 -3918 355651
-rect 14802 355650 15102 355651
-rect 32802 355650 33102 355651
-rect 50802 355650 51102 355651
-rect 68802 355650 69102 355651
-rect 86802 355650 87102 355651
-rect 104802 355650 105102 355651
-rect 122802 355650 123102 355651
-rect 140802 355650 141102 355651
-rect 158802 355650 159102 355651
-rect 176802 355650 177102 355651
-rect 194802 355650 195102 355651
-rect 212802 355650 213102 355651
-rect 230802 355650 231102 355651
-rect 248802 355650 249102 355651
-rect 266802 355650 267102 355651
-rect 284802 355650 285102 355651
-rect 295880 355650 296180 355651
-rect -4218 355350 296180 355650
-rect -4218 355349 -3918 355350
-rect 14802 355349 15102 355350
-rect 32802 355349 33102 355350
-rect 50802 355349 51102 355350
-rect 68802 355349 69102 355350
-rect 86802 355349 87102 355350
-rect 104802 355349 105102 355350
-rect 122802 355349 123102 355350
-rect 140802 355349 141102 355350
-rect 158802 355349 159102 355350
-rect 176802 355349 177102 355350
-rect 194802 355349 195102 355350
-rect 212802 355349 213102 355350
-rect 230802 355349 231102 355350
-rect 248802 355349 249102 355350
-rect 266802 355349 267102 355350
-rect 284802 355349 285102 355350
-rect 295880 355349 296180 355350
-rect -3758 355190 -3458 355191
-rect 5802 355190 6102 355191
-rect 23802 355190 24102 355191
-rect 41802 355190 42102 355191
-rect 59802 355190 60102 355191
-rect 77802 355190 78102 355191
-rect 95802 355190 96102 355191
-rect 113802 355190 114102 355191
-rect 131802 355190 132102 355191
-rect 149802 355190 150102 355191
-rect 167802 355190 168102 355191
-rect 185802 355190 186102 355191
-rect 203802 355190 204102 355191
-rect 221802 355190 222102 355191
-rect 239802 355190 240102 355191
-rect 257802 355190 258102 355191
-rect 275802 355190 276102 355191
-rect 295420 355190 295720 355191
-rect -3758 354890 295720 355190
-rect -3758 354889 -3458 354890
-rect 5802 354889 6102 354890
-rect 23802 354889 24102 354890
-rect 41802 354889 42102 354890
-rect 59802 354889 60102 354890
-rect 77802 354889 78102 354890
-rect 95802 354889 96102 354890
-rect 113802 354889 114102 354890
-rect 131802 354889 132102 354890
-rect 149802 354889 150102 354890
-rect 167802 354889 168102 354890
-rect 185802 354889 186102 354890
-rect 203802 354889 204102 354890
-rect 221802 354889 222102 354890
-rect 239802 354889 240102 354890
-rect 257802 354889 258102 354890
-rect 275802 354889 276102 354890
-rect 295420 354889 295720 354890
-rect -3298 354730 -2998 354731
-rect 13002 354730 13302 354731
-rect 31002 354730 31302 354731
-rect 49002 354730 49302 354731
-rect 67002 354730 67302 354731
-rect 85002 354730 85302 354731
-rect 103002 354730 103302 354731
-rect 121002 354730 121302 354731
-rect 139002 354730 139302 354731
-rect 157002 354730 157302 354731
-rect 175002 354730 175302 354731
-rect 193002 354730 193302 354731
-rect 211002 354730 211302 354731
-rect 229002 354730 229302 354731
-rect 247002 354730 247302 354731
-rect 265002 354730 265302 354731
-rect 283002 354730 283302 354731
-rect 294960 354730 295260 354731
-rect -3298 354430 295260 354730
-rect -3298 354429 -2998 354430
-rect 13002 354429 13302 354430
-rect 31002 354429 31302 354430
-rect 49002 354429 49302 354430
-rect 67002 354429 67302 354430
-rect 85002 354429 85302 354430
-rect 103002 354429 103302 354430
-rect 121002 354429 121302 354430
-rect 139002 354429 139302 354430
-rect 157002 354429 157302 354430
-rect 175002 354429 175302 354430
-rect 193002 354429 193302 354430
-rect 211002 354429 211302 354430
-rect 229002 354429 229302 354430
-rect 247002 354429 247302 354430
-rect 265002 354429 265302 354430
-rect 283002 354429 283302 354430
-rect 294960 354429 295260 354430
-rect -2838 354270 -2538 354271
-rect 4002 354270 4302 354271
-rect 22002 354270 22302 354271
-rect 40002 354270 40302 354271
-rect 58002 354270 58302 354271
-rect 76002 354270 76302 354271
-rect 94002 354270 94302 354271
-rect 112002 354270 112302 354271
-rect 130002 354270 130302 354271
-rect 148002 354270 148302 354271
-rect 166002 354270 166302 354271
-rect 184002 354270 184302 354271
-rect 202002 354270 202302 354271
-rect 220002 354270 220302 354271
-rect 238002 354270 238302 354271
-rect 256002 354270 256302 354271
-rect 274002 354270 274302 354271
-rect 294500 354270 294800 354271
-rect -2838 353970 294800 354270
-rect -2838 353969 -2538 353970
-rect 4002 353969 4302 353970
-rect 22002 353969 22302 353970
-rect 40002 353969 40302 353970
-rect 58002 353969 58302 353970
-rect 76002 353969 76302 353970
-rect 94002 353969 94302 353970
-rect 112002 353969 112302 353970
-rect 130002 353969 130302 353970
-rect 148002 353969 148302 353970
-rect 166002 353969 166302 353970
-rect 184002 353969 184302 353970
-rect 202002 353969 202302 353970
-rect 220002 353969 220302 353970
-rect 238002 353969 238302 353970
-rect 256002 353969 256302 353970
-rect 274002 353969 274302 353970
-rect 294500 353969 294800 353970
-rect -2378 353810 -2078 353811
-rect 11202 353810 11502 353811
-rect 29202 353810 29502 353811
-rect 47202 353810 47502 353811
-rect 65202 353810 65502 353811
-rect 83202 353810 83502 353811
-rect 101202 353810 101502 353811
-rect 119202 353810 119502 353811
-rect 137202 353810 137502 353811
-rect 155202 353810 155502 353811
-rect 173202 353810 173502 353811
-rect 191202 353810 191502 353811
-rect 209202 353810 209502 353811
-rect 227202 353810 227502 353811
-rect 245202 353810 245502 353811
-rect 263202 353810 263502 353811
-rect 281202 353810 281502 353811
-rect 294040 353810 294340 353811
-rect -2378 353510 294340 353810
-rect -2378 353509 -2078 353510
-rect 11202 353509 11502 353510
-rect 29202 353509 29502 353510
-rect 47202 353509 47502 353510
-rect 65202 353509 65502 353510
-rect 83202 353509 83502 353510
-rect 101202 353509 101502 353510
-rect 119202 353509 119502 353510
-rect 137202 353509 137502 353510
-rect 155202 353509 155502 353510
-rect 173202 353509 173502 353510
-rect 191202 353509 191502 353510
-rect 209202 353509 209502 353510
-rect 227202 353509 227502 353510
-rect 245202 353509 245502 353510
-rect 263202 353509 263502 353510
-rect 281202 353509 281502 353510
-rect 294040 353509 294340 353510
-rect -1918 353350 -1618 353351
-rect 2202 353350 2502 353351
-rect 20202 353350 20502 353351
-rect 38202 353350 38502 353351
-rect 56202 353350 56502 353351
-rect 74202 353350 74502 353351
-rect 92202 353350 92502 353351
-rect 110202 353350 110502 353351
-rect 128202 353350 128502 353351
-rect 146202 353350 146502 353351
-rect 164202 353350 164502 353351
-rect 182202 353350 182502 353351
-rect 200202 353350 200502 353351
-rect 218202 353350 218502 353351
-rect 236202 353350 236502 353351
-rect 254202 353350 254502 353351
-rect 272202 353350 272502 353351
-rect 290202 353350 290502 353351
-rect 293580 353350 293880 353351
-rect -1918 353050 293880 353350
-rect -1918 353049 -1618 353050
-rect 2202 353049 2502 353050
-rect 20202 353049 20502 353050
-rect 38202 353049 38502 353050
-rect 56202 353049 56502 353050
-rect 74202 353049 74502 353050
-rect 92202 353049 92502 353050
-rect 110202 353049 110502 353050
-rect 128202 353049 128502 353050
-rect 146202 353049 146502 353050
-rect 164202 353049 164502 353050
-rect 182202 353049 182502 353050
-rect 200202 353049 200502 353050
-rect 218202 353049 218502 353050
-rect 236202 353049 236502 353050
-rect 254202 353049 254502 353050
-rect 272202 353049 272502 353050
-rect 290202 353049 290502 353050
-rect 293580 353049 293880 353050
-rect -1458 352890 -1158 352891
-rect 9402 352890 9702 352891
-rect 27402 352890 27702 352891
-rect 45402 352890 45702 352891
-rect 63402 352890 63702 352891
-rect 81402 352890 81702 352891
-rect 99402 352890 99702 352891
-rect 117402 352890 117702 352891
-rect 135402 352890 135702 352891
-rect 153402 352890 153702 352891
-rect 171402 352890 171702 352891
-rect 189402 352890 189702 352891
-rect 207402 352890 207702 352891
-rect 225402 352890 225702 352891
-rect 243402 352890 243702 352891
-rect 261402 352890 261702 352891
-rect 279402 352890 279702 352891
-rect 293120 352890 293420 352891
-rect -1458 352590 293420 352890
-rect -1458 352589 -1158 352590
-rect 9402 352589 9702 352590
-rect 27402 352589 27702 352590
-rect 45402 352589 45702 352590
-rect 63402 352589 63702 352590
-rect 81402 352589 81702 352590
-rect 99402 352589 99702 352590
-rect 117402 352589 117702 352590
-rect 135402 352589 135702 352590
-rect 153402 352589 153702 352590
-rect 171402 352589 171702 352590
-rect 189402 352589 189702 352590
-rect 207402 352589 207702 352590
-rect 225402 352589 225702 352590
-rect 243402 352589 243702 352590
-rect 261402 352589 261702 352590
-rect 279402 352589 279702 352590
-rect 293120 352589 293420 352590
+rect -4288 355720 -3988 355721
+rect 14802 355720 15102 355721
+rect 32802 355720 33102 355721
+rect 50802 355720 51102 355721
+rect 68802 355720 69102 355721
+rect 86802 355720 87102 355721
+rect 104802 355720 105102 355721
+rect 122802 355720 123102 355721
+rect 140802 355720 141102 355721
+rect 158802 355720 159102 355721
+rect 176802 355720 177102 355721
+rect 194802 355720 195102 355721
+rect 212802 355720 213102 355721
+rect 230802 355720 231102 355721
+rect 248802 355720 249102 355721
+rect 266802 355720 267102 355721
+rect 284802 355720 285102 355721
+rect 295950 355720 296250 355721
+rect -4288 355420 296250 355720
+rect -4288 355419 -3988 355420
+rect 14802 355419 15102 355420
+rect 32802 355419 33102 355420
+rect 50802 355419 51102 355420
+rect 68802 355419 69102 355420
+rect 86802 355419 87102 355420
+rect 104802 355419 105102 355420
+rect 122802 355419 123102 355420
+rect 140802 355419 141102 355420
+rect 158802 355419 159102 355420
+rect 176802 355419 177102 355420
+rect 194802 355419 195102 355420
+rect 212802 355419 213102 355420
+rect 230802 355419 231102 355420
+rect 248802 355419 249102 355420
+rect 266802 355419 267102 355420
+rect 284802 355419 285102 355420
+rect 295950 355419 296250 355420
+rect -3818 355250 -3518 355251
+rect 5802 355250 6102 355251
+rect 23802 355250 24102 355251
+rect 41802 355250 42102 355251
+rect 59802 355250 60102 355251
+rect 77802 355250 78102 355251
+rect 95802 355250 96102 355251
+rect 113802 355250 114102 355251
+rect 131802 355250 132102 355251
+rect 149802 355250 150102 355251
+rect 167802 355250 168102 355251
+rect 185802 355250 186102 355251
+rect 203802 355250 204102 355251
+rect 221802 355250 222102 355251
+rect 239802 355250 240102 355251
+rect 257802 355250 258102 355251
+rect 275802 355250 276102 355251
+rect 295480 355250 295780 355251
+rect -3818 354950 295780 355250
+rect -3818 354949 -3518 354950
+rect 5802 354949 6102 354950
+rect 23802 354949 24102 354950
+rect 41802 354949 42102 354950
+rect 59802 354949 60102 354950
+rect 77802 354949 78102 354950
+rect 95802 354949 96102 354950
+rect 113802 354949 114102 354950
+rect 131802 354949 132102 354950
+rect 149802 354949 150102 354950
+rect 167802 354949 168102 354950
+rect 185802 354949 186102 354950
+rect 203802 354949 204102 354950
+rect 221802 354949 222102 354950
+rect 239802 354949 240102 354950
+rect 257802 354949 258102 354950
+rect 275802 354949 276102 354950
+rect 295480 354949 295780 354950
+rect -3348 354780 -3048 354781
+rect 13002 354780 13302 354781
+rect 31002 354780 31302 354781
+rect 49002 354780 49302 354781
+rect 67002 354780 67302 354781
+rect 85002 354780 85302 354781
+rect 103002 354780 103302 354781
+rect 121002 354780 121302 354781
+rect 139002 354780 139302 354781
+rect 157002 354780 157302 354781
+rect 175002 354780 175302 354781
+rect 193002 354780 193302 354781
+rect 211002 354780 211302 354781
+rect 229002 354780 229302 354781
+rect 247002 354780 247302 354781
+rect 265002 354780 265302 354781
+rect 283002 354780 283302 354781
+rect 295010 354780 295310 354781
+rect -3348 354480 295310 354780
+rect -3348 354479 -3048 354480
+rect 13002 354479 13302 354480
+rect 31002 354479 31302 354480
+rect 49002 354479 49302 354480
+rect 67002 354479 67302 354480
+rect 85002 354479 85302 354480
+rect 103002 354479 103302 354480
+rect 121002 354479 121302 354480
+rect 139002 354479 139302 354480
+rect 157002 354479 157302 354480
+rect 175002 354479 175302 354480
+rect 193002 354479 193302 354480
+rect 211002 354479 211302 354480
+rect 229002 354479 229302 354480
+rect 247002 354479 247302 354480
+rect 265002 354479 265302 354480
+rect 283002 354479 283302 354480
+rect 295010 354479 295310 354480
+rect -2878 354310 -2578 354311
+rect 4002 354310 4302 354311
+rect 22002 354310 22302 354311
+rect 40002 354310 40302 354311
+rect 58002 354310 58302 354311
+rect 76002 354310 76302 354311
+rect 94002 354310 94302 354311
+rect 112002 354310 112302 354311
+rect 130002 354310 130302 354311
+rect 148002 354310 148302 354311
+rect 166002 354310 166302 354311
+rect 184002 354310 184302 354311
+rect 202002 354310 202302 354311
+rect 220002 354310 220302 354311
+rect 238002 354310 238302 354311
+rect 256002 354310 256302 354311
+rect 274002 354310 274302 354311
+rect 294540 354310 294840 354311
+rect -2878 354010 294840 354310
+rect -2878 354009 -2578 354010
+rect 4002 354009 4302 354010
+rect 22002 354009 22302 354010
+rect 40002 354009 40302 354010
+rect 58002 354009 58302 354010
+rect 76002 354009 76302 354010
+rect 94002 354009 94302 354010
+rect 112002 354009 112302 354010
+rect 130002 354009 130302 354010
+rect 148002 354009 148302 354010
+rect 166002 354009 166302 354010
+rect 184002 354009 184302 354010
+rect 202002 354009 202302 354010
+rect 220002 354009 220302 354010
+rect 238002 354009 238302 354010
+rect 256002 354009 256302 354010
+rect 274002 354009 274302 354010
+rect 294540 354009 294840 354010
+rect -2408 353840 -2108 353841
+rect 11202 353840 11502 353841
+rect 29202 353840 29502 353841
+rect 47202 353840 47502 353841
+rect 65202 353840 65502 353841
+rect 83202 353840 83502 353841
+rect 101202 353840 101502 353841
+rect 119202 353840 119502 353841
+rect 137202 353840 137502 353841
+rect 155202 353840 155502 353841
+rect 173202 353840 173502 353841
+rect 191202 353840 191502 353841
+rect 209202 353840 209502 353841
+rect 227202 353840 227502 353841
+rect 245202 353840 245502 353841
+rect 263202 353840 263502 353841
+rect 281202 353840 281502 353841
+rect 294070 353840 294370 353841
+rect -2408 353540 294370 353840
+rect -2408 353539 -2108 353540
+rect 11202 353539 11502 353540
+rect 29202 353539 29502 353540
+rect 47202 353539 47502 353540
+rect 65202 353539 65502 353540
+rect 83202 353539 83502 353540
+rect 101202 353539 101502 353540
+rect 119202 353539 119502 353540
+rect 137202 353539 137502 353540
+rect 155202 353539 155502 353540
+rect 173202 353539 173502 353540
+rect 191202 353539 191502 353540
+rect 209202 353539 209502 353540
+rect 227202 353539 227502 353540
+rect 245202 353539 245502 353540
+rect 263202 353539 263502 353540
+rect 281202 353539 281502 353540
+rect 294070 353539 294370 353540
+rect -1938 353370 -1638 353371
+rect 2202 353370 2502 353371
+rect 20202 353370 20502 353371
+rect 38202 353370 38502 353371
+rect 56202 353370 56502 353371
+rect 74202 353370 74502 353371
+rect 92202 353370 92502 353371
+rect 110202 353370 110502 353371
+rect 128202 353370 128502 353371
+rect 146202 353370 146502 353371
+rect 164202 353370 164502 353371
+rect 182202 353370 182502 353371
+rect 200202 353370 200502 353371
+rect 218202 353370 218502 353371
+rect 236202 353370 236502 353371
+rect 254202 353370 254502 353371
+rect 272202 353370 272502 353371
+rect 290202 353370 290502 353371
+rect 293600 353370 293900 353371
+rect -1938 353070 293900 353370
+rect -1938 353069 -1638 353070
+rect 2202 353069 2502 353070
+rect 20202 353069 20502 353070
+rect 38202 353069 38502 353070
+rect 56202 353069 56502 353070
+rect 74202 353069 74502 353070
+rect 92202 353069 92502 353070
+rect 110202 353069 110502 353070
+rect 128202 353069 128502 353070
+rect 146202 353069 146502 353070
+rect 164202 353069 164502 353070
+rect 182202 353069 182502 353070
+rect 200202 353069 200502 353070
+rect 218202 353069 218502 353070
+rect 236202 353069 236502 353070
+rect 254202 353069 254502 353070
+rect 272202 353069 272502 353070
+rect 290202 353069 290502 353070
+rect 293600 353069 293900 353070
+rect -1468 352900 -1168 352901
+rect 9402 352900 9702 352901
+rect 27402 352900 27702 352901
+rect 45402 352900 45702 352901
+rect 63402 352900 63702 352901
+rect 81402 352900 81702 352901
+rect 99402 352900 99702 352901
+rect 117402 352900 117702 352901
+rect 135402 352900 135702 352901
+rect 153402 352900 153702 352901
+rect 171402 352900 171702 352901
+rect 189402 352900 189702 352901
+rect 207402 352900 207702 352901
+rect 225402 352900 225702 352901
+rect 243402 352900 243702 352901
+rect 261402 352900 261702 352901
+rect 279402 352900 279702 352901
+rect 293130 352900 293430 352901
+rect -1468 352600 293430 352900
+rect -1468 352599 -1168 352600
+rect 9402 352599 9702 352600
+rect 27402 352599 27702 352600
+rect 45402 352599 45702 352600
+rect 63402 352599 63702 352600
+rect 81402 352599 81702 352600
+rect 99402 352599 99702 352600
+rect 117402 352599 117702 352600
+rect 135402 352599 135702 352600
+rect 153402 352599 153702 352600
+rect 171402 352599 171702 352600
+rect 189402 352599 189702 352600
+rect 207402 352599 207702 352600
+rect 225402 352599 225702 352600
+rect 243402 352599 243702 352600
+rect 261402 352599 261702 352600
+rect 279402 352599 279702 352600
+rect 293130 352599 293430 352600
 rect -998 352430 -698 352431
 rect 402 352430 702 352431
 rect 18402 352430 18702 352431
@@ -1217,940 +1217,940 @@
 rect 270402 352129 270702 352130
 rect 288402 352129 288702 352130
 rect 292660 352129 292960 352130
-rect -3758 348638 -3458 348639
-rect 295420 348638 295720 348639
-rect -4218 348338 240 348638
-rect 291760 348338 296180 348638
-rect -3758 348337 -3458 348338
-rect 295420 348337 295720 348338
-rect -2838 346838 -2538 346839
-rect 294500 346838 294800 346839
-rect -3298 346538 240 346838
-rect 291760 346538 295260 346838
-rect -2838 346537 -2538 346538
-rect 294500 346537 294800 346538
-rect -1918 345038 -1618 345039
-rect 293580 345038 293880 345039
-rect -2378 344738 240 345038
-rect 291760 344738 294340 345038
-rect -1918 344737 -1618 344738
-rect 293580 344737 293880 344738
+rect -3818 348638 -3518 348639
+rect 295480 348638 295780 348639
+rect -4288 348338 240 348638
+rect 291760 348338 296250 348638
+rect -3818 348337 -3518 348338
+rect 295480 348337 295780 348338
+rect -2878 346838 -2578 346839
+rect 294540 346838 294840 346839
+rect -3348 346538 240 346838
+rect 291760 346538 295310 346838
+rect -2878 346537 -2578 346538
+rect 294540 346537 294840 346538
+rect -1938 345038 -1638 345039
+rect 293600 345038 293900 345039
+rect -2408 344738 240 345038
+rect 291760 344738 294370 345038
+rect -1938 344737 -1638 344738
+rect 293600 344737 293900 344738
 rect -998 343238 -698 343239
 rect 292660 343238 292960 343239
-rect -1458 342938 240 343238
-rect 291760 342938 293420 343238
+rect -1468 342938 240 343238
+rect 291760 342938 293430 343238
 rect -998 342937 -698 342938
 rect 292660 342937 292960 342938
-rect -4218 339638 -3918 339639
-rect 295880 339638 296180 339639
-rect -4218 339338 240 339638
-rect 291760 339338 296180 339638
-rect -4218 339337 -3918 339338
-rect 295880 339337 296180 339338
-rect -3298 337838 -2998 337839
-rect 294960 337838 295260 337839
-rect -3298 337538 240 337838
-rect 291760 337538 295260 337838
-rect -3298 337537 -2998 337538
-rect 294960 337537 295260 337538
-rect -2378 336038 -2078 336039
-rect 294040 336038 294340 336039
-rect -2378 335738 240 336038
-rect 291760 335738 294340 336038
-rect -2378 335737 -2078 335738
-rect 294040 335737 294340 335738
-rect -1458 334238 -1158 334239
-rect 293120 334238 293420 334239
-rect -1458 333938 240 334238
-rect 291760 333938 293420 334238
-rect -1458 333937 -1158 333938
-rect 293120 333937 293420 333938
-rect -3758 330638 -3458 330639
-rect 295420 330638 295720 330639
-rect -4218 330338 240 330638
-rect 291760 330338 296180 330638
-rect -3758 330337 -3458 330338
-rect 295420 330337 295720 330338
-rect -2838 328838 -2538 328839
-rect 294500 328838 294800 328839
-rect -3298 328538 240 328838
-rect 291760 328538 295260 328838
-rect -2838 328537 -2538 328538
-rect 294500 328537 294800 328538
-rect -1918 327038 -1618 327039
-rect 293580 327038 293880 327039
-rect -2378 326738 240 327038
-rect 291760 326738 294340 327038
-rect -1918 326737 -1618 326738
-rect 293580 326737 293880 326738
+rect -4288 339638 -3988 339639
+rect 295950 339638 296250 339639
+rect -4288 339338 240 339638
+rect 291760 339338 296250 339638
+rect -4288 339337 -3988 339338
+rect 295950 339337 296250 339338
+rect -3348 337838 -3048 337839
+rect 295010 337838 295310 337839
+rect -3348 337538 240 337838
+rect 291760 337538 295310 337838
+rect -3348 337537 -3048 337538
+rect 295010 337537 295310 337538
+rect -2408 336038 -2108 336039
+rect 294070 336038 294370 336039
+rect -2408 335738 240 336038
+rect 291760 335738 294370 336038
+rect -2408 335737 -2108 335738
+rect 294070 335737 294370 335738
+rect -1468 334238 -1168 334239
+rect 293130 334238 293430 334239
+rect -1468 333938 240 334238
+rect 291760 333938 293430 334238
+rect -1468 333937 -1168 333938
+rect 293130 333937 293430 333938
+rect -3818 330638 -3518 330639
+rect 295480 330638 295780 330639
+rect -4288 330338 240 330638
+rect 291760 330338 296250 330638
+rect -3818 330337 -3518 330338
+rect 295480 330337 295780 330338
+rect -2878 328838 -2578 328839
+rect 294540 328838 294840 328839
+rect -3348 328538 240 328838
+rect 291760 328538 295310 328838
+rect -2878 328537 -2578 328538
+rect 294540 328537 294840 328538
+rect -1938 327038 -1638 327039
+rect 293600 327038 293900 327039
+rect -2408 326738 240 327038
+rect 291760 326738 294370 327038
+rect -1938 326737 -1638 326738
+rect 293600 326737 293900 326738
 rect -998 325238 -698 325239
 rect 292660 325238 292960 325239
-rect -1458 324938 240 325238
-rect 291760 324938 293420 325238
+rect -1468 324938 240 325238
+rect 291760 324938 293430 325238
 rect -998 324937 -698 324938
 rect 292660 324937 292960 324938
-rect -4218 321638 -3918 321639
-rect 295880 321638 296180 321639
-rect -4218 321338 240 321638
-rect 291760 321338 296180 321638
-rect -4218 321337 -3918 321338
-rect 295880 321337 296180 321338
-rect -3298 319838 -2998 319839
-rect 294960 319838 295260 319839
-rect -3298 319538 240 319838
-rect 291760 319538 295260 319838
-rect -3298 319537 -2998 319538
-rect 294960 319537 295260 319538
-rect -2378 318038 -2078 318039
-rect 294040 318038 294340 318039
-rect -2378 317738 240 318038
-rect 291760 317738 294340 318038
-rect -2378 317737 -2078 317738
-rect 294040 317737 294340 317738
-rect -1458 316238 -1158 316239
-rect 293120 316238 293420 316239
-rect -1458 315938 240 316238
-rect 291760 315938 293420 316238
-rect -1458 315937 -1158 315938
-rect 293120 315937 293420 315938
-rect -3758 312638 -3458 312639
-rect 295420 312638 295720 312639
-rect -4218 312338 240 312638
-rect 291760 312338 296180 312638
-rect -3758 312337 -3458 312338
-rect 295420 312337 295720 312338
-rect -2838 310838 -2538 310839
-rect 294500 310838 294800 310839
-rect -3298 310538 240 310838
-rect 291760 310538 295260 310838
-rect -2838 310537 -2538 310538
-rect 294500 310537 294800 310538
-rect -1918 309038 -1618 309039
-rect 293580 309038 293880 309039
-rect -2378 308738 240 309038
-rect 291760 308738 294340 309038
-rect -1918 308737 -1618 308738
-rect 293580 308737 293880 308738
+rect -4288 321638 -3988 321639
+rect 295950 321638 296250 321639
+rect -4288 321338 240 321638
+rect 291760 321338 296250 321638
+rect -4288 321337 -3988 321338
+rect 295950 321337 296250 321338
+rect -3348 319838 -3048 319839
+rect 295010 319838 295310 319839
+rect -3348 319538 240 319838
+rect 291760 319538 295310 319838
+rect -3348 319537 -3048 319538
+rect 295010 319537 295310 319538
+rect -2408 318038 -2108 318039
+rect 294070 318038 294370 318039
+rect -2408 317738 240 318038
+rect 291760 317738 294370 318038
+rect -2408 317737 -2108 317738
+rect 294070 317737 294370 317738
+rect -1468 316238 -1168 316239
+rect 293130 316238 293430 316239
+rect -1468 315938 240 316238
+rect 291760 315938 293430 316238
+rect -1468 315937 -1168 315938
+rect 293130 315937 293430 315938
+rect -3818 312638 -3518 312639
+rect 295480 312638 295780 312639
+rect -4288 312338 240 312638
+rect 291760 312338 296250 312638
+rect -3818 312337 -3518 312338
+rect 295480 312337 295780 312338
+rect -2878 310838 -2578 310839
+rect 294540 310838 294840 310839
+rect -3348 310538 240 310838
+rect 291760 310538 295310 310838
+rect -2878 310537 -2578 310538
+rect 294540 310537 294840 310538
+rect -1938 309038 -1638 309039
+rect 293600 309038 293900 309039
+rect -2408 308738 240 309038
+rect 291760 308738 294370 309038
+rect -1938 308737 -1638 308738
+rect 293600 308737 293900 308738
 rect -998 307238 -698 307239
 rect 292660 307238 292960 307239
-rect -1458 306938 240 307238
-rect 291760 306938 293420 307238
+rect -1468 306938 240 307238
+rect 291760 306938 293430 307238
 rect -998 306937 -698 306938
 rect 292660 306937 292960 306938
-rect -4218 303638 -3918 303639
-rect 295880 303638 296180 303639
-rect -4218 303338 240 303638
-rect 291760 303338 296180 303638
-rect -4218 303337 -3918 303338
-rect 295880 303337 296180 303338
-rect -3298 301838 -2998 301839
-rect 294960 301838 295260 301839
-rect -3298 301538 240 301838
-rect 291760 301538 295260 301838
-rect -3298 301537 -2998 301538
-rect 294960 301537 295260 301538
-rect -2378 300038 -2078 300039
-rect 294040 300038 294340 300039
-rect -2378 299738 240 300038
-rect 291760 299738 294340 300038
-rect -2378 299737 -2078 299738
-rect 294040 299737 294340 299738
-rect -1458 298238 -1158 298239
-rect 293120 298238 293420 298239
-rect -1458 297938 240 298238
-rect 291760 297938 293420 298238
-rect -1458 297937 -1158 297938
-rect 293120 297937 293420 297938
-rect -3758 294638 -3458 294639
-rect 295420 294638 295720 294639
-rect -4218 294338 240 294638
-rect 291760 294338 296180 294638
-rect -3758 294337 -3458 294338
-rect 295420 294337 295720 294338
-rect -2838 292838 -2538 292839
-rect 294500 292838 294800 292839
-rect -3298 292538 240 292838
-rect 291760 292538 295260 292838
-rect -2838 292537 -2538 292538
-rect 294500 292537 294800 292538
-rect -1918 291038 -1618 291039
-rect 293580 291038 293880 291039
-rect -2378 290738 240 291038
-rect 291760 290738 294340 291038
-rect -1918 290737 -1618 290738
-rect 293580 290737 293880 290738
+rect -4288 303638 -3988 303639
+rect 295950 303638 296250 303639
+rect -4288 303338 240 303638
+rect 291760 303338 296250 303638
+rect -4288 303337 -3988 303338
+rect 295950 303337 296250 303338
+rect -3348 301838 -3048 301839
+rect 295010 301838 295310 301839
+rect -3348 301538 240 301838
+rect 291760 301538 295310 301838
+rect -3348 301537 -3048 301538
+rect 295010 301537 295310 301538
+rect -2408 300038 -2108 300039
+rect 294070 300038 294370 300039
+rect -2408 299738 240 300038
+rect 291760 299738 294370 300038
+rect -2408 299737 -2108 299738
+rect 294070 299737 294370 299738
+rect -1468 298238 -1168 298239
+rect 293130 298238 293430 298239
+rect -1468 297938 240 298238
+rect 291760 297938 293430 298238
+rect -1468 297937 -1168 297938
+rect 293130 297937 293430 297938
+rect -3818 294638 -3518 294639
+rect 295480 294638 295780 294639
+rect -4288 294338 240 294638
+rect 291760 294338 296250 294638
+rect -3818 294337 -3518 294338
+rect 295480 294337 295780 294338
+rect -2878 292838 -2578 292839
+rect 294540 292838 294840 292839
+rect -3348 292538 240 292838
+rect 291760 292538 295310 292838
+rect -2878 292537 -2578 292538
+rect 294540 292537 294840 292538
+rect -1938 291038 -1638 291039
+rect 293600 291038 293900 291039
+rect -2408 290738 240 291038
+rect 291760 290738 294370 291038
+rect -1938 290737 -1638 290738
+rect 293600 290737 293900 290738
 rect -998 289238 -698 289239
 rect 292660 289238 292960 289239
-rect -1458 288938 240 289238
-rect 291760 288938 293420 289238
+rect -1468 288938 240 289238
+rect 291760 288938 293430 289238
 rect -998 288937 -698 288938
 rect 292660 288937 292960 288938
-rect -4218 285638 -3918 285639
-rect 295880 285638 296180 285639
-rect -4218 285338 240 285638
-rect 291760 285338 296180 285638
-rect -4218 285337 -3918 285338
-rect 295880 285337 296180 285338
-rect -3298 283838 -2998 283839
-rect 294960 283838 295260 283839
-rect -3298 283538 240 283838
-rect 291760 283538 295260 283838
-rect -3298 283537 -2998 283538
-rect 294960 283537 295260 283538
-rect -2378 282038 -2078 282039
-rect 294040 282038 294340 282039
-rect -2378 281738 240 282038
-rect 291760 281738 294340 282038
-rect -2378 281737 -2078 281738
-rect 294040 281737 294340 281738
-rect -1458 280238 -1158 280239
-rect 293120 280238 293420 280239
-rect -1458 279938 240 280238
-rect 291760 279938 293420 280238
-rect -1458 279937 -1158 279938
-rect 293120 279937 293420 279938
-rect -3758 276638 -3458 276639
-rect 295420 276638 295720 276639
-rect -4218 276338 240 276638
-rect 291760 276338 296180 276638
-rect -3758 276337 -3458 276338
-rect 295420 276337 295720 276338
-rect -2838 274838 -2538 274839
-rect 294500 274838 294800 274839
-rect -3298 274538 240 274838
-rect 291760 274538 295260 274838
-rect -2838 274537 -2538 274538
-rect 294500 274537 294800 274538
-rect -1918 273038 -1618 273039
-rect 293580 273038 293880 273039
-rect -2378 272738 240 273038
-rect 291760 272738 294340 273038
-rect -1918 272737 -1618 272738
-rect 293580 272737 293880 272738
+rect -4288 285638 -3988 285639
+rect 295950 285638 296250 285639
+rect -4288 285338 240 285638
+rect 291760 285338 296250 285638
+rect -4288 285337 -3988 285338
+rect 295950 285337 296250 285338
+rect -3348 283838 -3048 283839
+rect 295010 283838 295310 283839
+rect -3348 283538 240 283838
+rect 291760 283538 295310 283838
+rect -3348 283537 -3048 283538
+rect 295010 283537 295310 283538
+rect -2408 282038 -2108 282039
+rect 294070 282038 294370 282039
+rect -2408 281738 240 282038
+rect 291760 281738 294370 282038
+rect -2408 281737 -2108 281738
+rect 294070 281737 294370 281738
+rect -1468 280238 -1168 280239
+rect 293130 280238 293430 280239
+rect -1468 279938 240 280238
+rect 291760 279938 293430 280238
+rect -1468 279937 -1168 279938
+rect 293130 279937 293430 279938
+rect -3818 276638 -3518 276639
+rect 295480 276638 295780 276639
+rect -4288 276338 240 276638
+rect 291760 276338 296250 276638
+rect -3818 276337 -3518 276338
+rect 295480 276337 295780 276338
+rect -2878 274838 -2578 274839
+rect 294540 274838 294840 274839
+rect -3348 274538 240 274838
+rect 291760 274538 295310 274838
+rect -2878 274537 -2578 274538
+rect 294540 274537 294840 274538
+rect -1938 273038 -1638 273039
+rect 293600 273038 293900 273039
+rect -2408 272738 240 273038
+rect 291760 272738 294370 273038
+rect -1938 272737 -1638 272738
+rect 293600 272737 293900 272738
 rect -998 271238 -698 271239
 rect 292660 271238 292960 271239
-rect -1458 270938 240 271238
-rect 291760 270938 293420 271238
+rect -1468 270938 240 271238
+rect 291760 270938 293430 271238
 rect -998 270937 -698 270938
 rect 292660 270937 292960 270938
-rect -4218 267638 -3918 267639
-rect 295880 267638 296180 267639
-rect -4218 267338 240 267638
-rect 291760 267338 296180 267638
-rect -4218 267337 -3918 267338
-rect 295880 267337 296180 267338
-rect -3298 265838 -2998 265839
-rect 294960 265838 295260 265839
-rect -3298 265538 240 265838
-rect 291760 265538 295260 265838
-rect -3298 265537 -2998 265538
-rect 294960 265537 295260 265538
-rect -2378 264038 -2078 264039
-rect 294040 264038 294340 264039
-rect -2378 263738 240 264038
-rect 291760 263738 294340 264038
-rect -2378 263737 -2078 263738
-rect 294040 263737 294340 263738
-rect -1458 262238 -1158 262239
-rect 293120 262238 293420 262239
-rect -1458 261938 240 262238
-rect 291760 261938 293420 262238
-rect -1458 261937 -1158 261938
-rect 293120 261937 293420 261938
-rect -3758 258638 -3458 258639
-rect 295420 258638 295720 258639
-rect -4218 258338 240 258638
-rect 291760 258338 296180 258638
-rect -3758 258337 -3458 258338
-rect 295420 258337 295720 258338
-rect -2838 256838 -2538 256839
-rect 294500 256838 294800 256839
-rect -3298 256538 240 256838
-rect 291760 256538 295260 256838
-rect -2838 256537 -2538 256538
-rect 294500 256537 294800 256538
-rect -1918 255038 -1618 255039
-rect 293580 255038 293880 255039
-rect -2378 254738 240 255038
-rect 291760 254738 294340 255038
-rect -1918 254737 -1618 254738
-rect 293580 254737 293880 254738
+rect -4288 267638 -3988 267639
+rect 295950 267638 296250 267639
+rect -4288 267338 240 267638
+rect 291760 267338 296250 267638
+rect -4288 267337 -3988 267338
+rect 295950 267337 296250 267338
+rect -3348 265838 -3048 265839
+rect 295010 265838 295310 265839
+rect -3348 265538 240 265838
+rect 291760 265538 295310 265838
+rect -3348 265537 -3048 265538
+rect 295010 265537 295310 265538
+rect -2408 264038 -2108 264039
+rect 294070 264038 294370 264039
+rect -2408 263738 240 264038
+rect 291760 263738 294370 264038
+rect -2408 263737 -2108 263738
+rect 294070 263737 294370 263738
+rect -1468 262238 -1168 262239
+rect 293130 262238 293430 262239
+rect -1468 261938 240 262238
+rect 291760 261938 293430 262238
+rect -1468 261937 -1168 261938
+rect 293130 261937 293430 261938
+rect -3818 258638 -3518 258639
+rect 295480 258638 295780 258639
+rect -4288 258338 240 258638
+rect 291760 258338 296250 258638
+rect -3818 258337 -3518 258338
+rect 295480 258337 295780 258338
+rect -2878 256838 -2578 256839
+rect 294540 256838 294840 256839
+rect -3348 256538 240 256838
+rect 291760 256538 295310 256838
+rect -2878 256537 -2578 256538
+rect 294540 256537 294840 256538
+rect -1938 255038 -1638 255039
+rect 293600 255038 293900 255039
+rect -2408 254738 240 255038
+rect 291760 254738 294370 255038
+rect -1938 254737 -1638 254738
+rect 293600 254737 293900 254738
 rect -998 253238 -698 253239
 rect 292660 253238 292960 253239
-rect -1458 252938 240 253238
-rect 291760 252938 293420 253238
+rect -1468 252938 240 253238
+rect 291760 252938 293430 253238
 rect -998 252937 -698 252938
 rect 292660 252937 292960 252938
-rect -4218 249638 -3918 249639
-rect 295880 249638 296180 249639
-rect -4218 249338 240 249638
-rect 291760 249338 296180 249638
-rect -4218 249337 -3918 249338
-rect 295880 249337 296180 249338
-rect -3298 247838 -2998 247839
-rect 294960 247838 295260 247839
-rect -3298 247538 240 247838
-rect 291760 247538 295260 247838
-rect -3298 247537 -2998 247538
-rect 294960 247537 295260 247538
-rect -2378 246038 -2078 246039
-rect 294040 246038 294340 246039
-rect -2378 245738 240 246038
-rect 291760 245738 294340 246038
-rect -2378 245737 -2078 245738
-rect 294040 245737 294340 245738
-rect -1458 244238 -1158 244239
-rect 293120 244238 293420 244239
-rect -1458 243938 240 244238
-rect 291760 243938 293420 244238
-rect -1458 243937 -1158 243938
-rect 293120 243937 293420 243938
-rect -3758 240638 -3458 240639
-rect 295420 240638 295720 240639
-rect -4218 240338 240 240638
-rect 291760 240338 296180 240638
-rect -3758 240337 -3458 240338
-rect 295420 240337 295720 240338
-rect -2838 238838 -2538 238839
-rect 294500 238838 294800 238839
-rect -3298 238538 240 238838
-rect 291760 238538 295260 238838
-rect -2838 238537 -2538 238538
-rect 294500 238537 294800 238538
-rect -1918 237038 -1618 237039
-rect 293580 237038 293880 237039
-rect -2378 236738 240 237038
-rect 291760 236738 294340 237038
-rect -1918 236737 -1618 236738
-rect 293580 236737 293880 236738
+rect -4288 249638 -3988 249639
+rect 295950 249638 296250 249639
+rect -4288 249338 240 249638
+rect 291760 249338 296250 249638
+rect -4288 249337 -3988 249338
+rect 295950 249337 296250 249338
+rect -3348 247838 -3048 247839
+rect 295010 247838 295310 247839
+rect -3348 247538 240 247838
+rect 291760 247538 295310 247838
+rect -3348 247537 -3048 247538
+rect 295010 247537 295310 247538
+rect -2408 246038 -2108 246039
+rect 294070 246038 294370 246039
+rect -2408 245738 240 246038
+rect 291760 245738 294370 246038
+rect -2408 245737 -2108 245738
+rect 294070 245737 294370 245738
+rect -1468 244238 -1168 244239
+rect 293130 244238 293430 244239
+rect -1468 243938 240 244238
+rect 291760 243938 293430 244238
+rect -1468 243937 -1168 243938
+rect 293130 243937 293430 243938
+rect -3818 240638 -3518 240639
+rect 295480 240638 295780 240639
+rect -4288 240338 240 240638
+rect 291760 240338 296250 240638
+rect -3818 240337 -3518 240338
+rect 295480 240337 295780 240338
+rect -2878 238838 -2578 238839
+rect 294540 238838 294840 238839
+rect -3348 238538 240 238838
+rect 291760 238538 295310 238838
+rect -2878 238537 -2578 238538
+rect 294540 238537 294840 238538
+rect -1938 237038 -1638 237039
+rect 293600 237038 293900 237039
+rect -2408 236738 240 237038
+rect 291760 236738 294370 237038
+rect -1938 236737 -1638 236738
+rect 293600 236737 293900 236738
 rect -998 235238 -698 235239
 rect 292660 235238 292960 235239
-rect -1458 234938 240 235238
-rect 291760 234938 293420 235238
+rect -1468 234938 240 235238
+rect 291760 234938 293430 235238
 rect -998 234937 -698 234938
 rect 292660 234937 292960 234938
-rect -4218 231638 -3918 231639
-rect 295880 231638 296180 231639
-rect -4218 231338 240 231638
-rect 291760 231338 296180 231638
-rect -4218 231337 -3918 231338
-rect 295880 231337 296180 231338
-rect -3298 229838 -2998 229839
-rect 294960 229838 295260 229839
-rect -3298 229538 240 229838
-rect 291760 229538 295260 229838
-rect -3298 229537 -2998 229538
-rect 294960 229537 295260 229538
-rect -2378 228038 -2078 228039
-rect 294040 228038 294340 228039
-rect -2378 227738 240 228038
-rect 291760 227738 294340 228038
-rect -2378 227737 -2078 227738
-rect 294040 227737 294340 227738
-rect -1458 226238 -1158 226239
-rect 293120 226238 293420 226239
-rect -1458 225938 240 226238
-rect 291760 225938 293420 226238
-rect -1458 225937 -1158 225938
-rect 293120 225937 293420 225938
-rect -3758 222638 -3458 222639
-rect 295420 222638 295720 222639
-rect -4218 222338 240 222638
-rect 291760 222338 296180 222638
-rect -3758 222337 -3458 222338
-rect 295420 222337 295720 222338
-rect -2838 220838 -2538 220839
-rect 294500 220838 294800 220839
-rect -3298 220538 240 220838
-rect 291760 220538 295260 220838
-rect -2838 220537 -2538 220538
-rect 294500 220537 294800 220538
-rect -1918 219038 -1618 219039
-rect 293580 219038 293880 219039
-rect -2378 218738 240 219038
-rect 291760 218738 294340 219038
-rect -1918 218737 -1618 218738
-rect 293580 218737 293880 218738
+rect -4288 231638 -3988 231639
+rect 295950 231638 296250 231639
+rect -4288 231338 240 231638
+rect 291760 231338 296250 231638
+rect -4288 231337 -3988 231338
+rect 295950 231337 296250 231338
+rect -3348 229838 -3048 229839
+rect 295010 229838 295310 229839
+rect -3348 229538 240 229838
+rect 291760 229538 295310 229838
+rect -3348 229537 -3048 229538
+rect 295010 229537 295310 229538
+rect -2408 228038 -2108 228039
+rect 294070 228038 294370 228039
+rect -2408 227738 240 228038
+rect 291760 227738 294370 228038
+rect -2408 227737 -2108 227738
+rect 294070 227737 294370 227738
+rect -1468 226238 -1168 226239
+rect 293130 226238 293430 226239
+rect -1468 225938 240 226238
+rect 291760 225938 293430 226238
+rect -1468 225937 -1168 225938
+rect 293130 225937 293430 225938
+rect -3818 222638 -3518 222639
+rect 295480 222638 295780 222639
+rect -4288 222338 240 222638
+rect 291760 222338 296250 222638
+rect -3818 222337 -3518 222338
+rect 295480 222337 295780 222338
+rect -2878 220838 -2578 220839
+rect 294540 220838 294840 220839
+rect -3348 220538 240 220838
+rect 291760 220538 295310 220838
+rect -2878 220537 -2578 220538
+rect 294540 220537 294840 220538
+rect -1938 219038 -1638 219039
+rect 293600 219038 293900 219039
+rect -2408 218738 240 219038
+rect 291760 218738 294370 219038
+rect -1938 218737 -1638 218738
+rect 293600 218737 293900 218738
 rect -998 217238 -698 217239
 rect 292660 217238 292960 217239
-rect -1458 216938 240 217238
-rect 291760 216938 293420 217238
+rect -1468 216938 240 217238
+rect 291760 216938 293430 217238
 rect -998 216937 -698 216938
 rect 292660 216937 292960 216938
-rect -4218 213638 -3918 213639
-rect 295880 213638 296180 213639
-rect -4218 213338 240 213638
-rect 291760 213338 296180 213638
-rect -4218 213337 -3918 213338
-rect 295880 213337 296180 213338
-rect -3298 211838 -2998 211839
-rect 294960 211838 295260 211839
-rect -3298 211538 240 211838
-rect 291760 211538 295260 211838
-rect -3298 211537 -2998 211538
-rect 294960 211537 295260 211538
-rect -2378 210038 -2078 210039
-rect 294040 210038 294340 210039
-rect -2378 209738 240 210038
-rect 291760 209738 294340 210038
-rect -2378 209737 -2078 209738
-rect 294040 209737 294340 209738
-rect -1458 208238 -1158 208239
-rect 293120 208238 293420 208239
-rect -1458 207938 240 208238
-rect 291760 207938 293420 208238
-rect -1458 207937 -1158 207938
-rect 293120 207937 293420 207938
-rect -3758 204638 -3458 204639
-rect 295420 204638 295720 204639
-rect -4218 204338 240 204638
-rect 291760 204338 296180 204638
-rect -3758 204337 -3458 204338
-rect 295420 204337 295720 204338
-rect -2838 202838 -2538 202839
-rect 294500 202838 294800 202839
-rect -3298 202538 240 202838
-rect 291760 202538 295260 202838
-rect -2838 202537 -2538 202538
-rect 294500 202537 294800 202538
-rect -1918 201038 -1618 201039
-rect 293580 201038 293880 201039
-rect -2378 200738 240 201038
-rect 291760 200738 294340 201038
-rect -1918 200737 -1618 200738
-rect 293580 200737 293880 200738
+rect -4288 213638 -3988 213639
+rect 295950 213638 296250 213639
+rect -4288 213338 240 213638
+rect 291760 213338 296250 213638
+rect -4288 213337 -3988 213338
+rect 295950 213337 296250 213338
+rect -3348 211838 -3048 211839
+rect 295010 211838 295310 211839
+rect -3348 211538 240 211838
+rect 291760 211538 295310 211838
+rect -3348 211537 -3048 211538
+rect 295010 211537 295310 211538
+rect -2408 210038 -2108 210039
+rect 294070 210038 294370 210039
+rect -2408 209738 240 210038
+rect 291760 209738 294370 210038
+rect -2408 209737 -2108 209738
+rect 294070 209737 294370 209738
+rect -1468 208238 -1168 208239
+rect 293130 208238 293430 208239
+rect -1468 207938 240 208238
+rect 291760 207938 293430 208238
+rect -1468 207937 -1168 207938
+rect 293130 207937 293430 207938
+rect -3818 204638 -3518 204639
+rect 295480 204638 295780 204639
+rect -4288 204338 240 204638
+rect 291760 204338 296250 204638
+rect -3818 204337 -3518 204338
+rect 295480 204337 295780 204338
+rect -2878 202838 -2578 202839
+rect 294540 202838 294840 202839
+rect -3348 202538 240 202838
+rect 291760 202538 295310 202838
+rect -2878 202537 -2578 202538
+rect 294540 202537 294840 202538
+rect -1938 201038 -1638 201039
+rect 293600 201038 293900 201039
+rect -2408 200738 240 201038
+rect 291760 200738 294370 201038
+rect -1938 200737 -1638 200738
+rect 293600 200737 293900 200738
 rect -998 199238 -698 199239
 rect 292660 199238 292960 199239
-rect -1458 198938 240 199238
-rect 291760 198938 293420 199238
+rect -1468 198938 240 199238
+rect 291760 198938 293430 199238
 rect -998 198937 -698 198938
 rect 292660 198937 292960 198938
-rect -4218 195638 -3918 195639
-rect 295880 195638 296180 195639
-rect -4218 195338 240 195638
-rect 291760 195338 296180 195638
-rect -4218 195337 -3918 195338
-rect 295880 195337 296180 195338
-rect -3298 193838 -2998 193839
-rect 294960 193838 295260 193839
-rect -3298 193538 240 193838
-rect 291760 193538 295260 193838
-rect -3298 193537 -2998 193538
-rect 294960 193537 295260 193538
-rect -2378 192038 -2078 192039
-rect 294040 192038 294340 192039
-rect -2378 191738 240 192038
-rect 291760 191738 294340 192038
-rect -2378 191737 -2078 191738
-rect 294040 191737 294340 191738
-rect -1458 190238 -1158 190239
-rect 293120 190238 293420 190239
-rect -1458 189938 240 190238
-rect 291760 189938 293420 190238
-rect -1458 189937 -1158 189938
-rect 293120 189937 293420 189938
-rect -3758 186638 -3458 186639
-rect 295420 186638 295720 186639
-rect -4218 186338 240 186638
-rect 291760 186338 296180 186638
-rect -3758 186337 -3458 186338
-rect 295420 186337 295720 186338
-rect -2838 184838 -2538 184839
-rect 294500 184838 294800 184839
-rect -3298 184538 240 184838
-rect 291760 184538 295260 184838
-rect -2838 184537 -2538 184538
-rect 294500 184537 294800 184538
-rect -1918 183038 -1618 183039
-rect 293580 183038 293880 183039
-rect -2378 182738 240 183038
-rect 291760 182738 294340 183038
-rect -1918 182737 -1618 182738
-rect 293580 182737 293880 182738
+rect -4288 195638 -3988 195639
+rect 295950 195638 296250 195639
+rect -4288 195338 240 195638
+rect 291760 195338 296250 195638
+rect -4288 195337 -3988 195338
+rect 295950 195337 296250 195338
+rect -3348 193838 -3048 193839
+rect 295010 193838 295310 193839
+rect -3348 193538 240 193838
+rect 291760 193538 295310 193838
+rect -3348 193537 -3048 193538
+rect 295010 193537 295310 193538
+rect -2408 192038 -2108 192039
+rect 294070 192038 294370 192039
+rect -2408 191738 240 192038
+rect 291760 191738 294370 192038
+rect -2408 191737 -2108 191738
+rect 294070 191737 294370 191738
+rect -1468 190238 -1168 190239
+rect 293130 190238 293430 190239
+rect -1468 189938 240 190238
+rect 291760 189938 293430 190238
+rect -1468 189937 -1168 189938
+rect 293130 189937 293430 189938
+rect -3818 186638 -3518 186639
+rect 295480 186638 295780 186639
+rect -4288 186338 240 186638
+rect 291760 186338 296250 186638
+rect -3818 186337 -3518 186338
+rect 295480 186337 295780 186338
+rect -2878 184838 -2578 184839
+rect 294540 184838 294840 184839
+rect -3348 184538 240 184838
+rect 291760 184538 295310 184838
+rect -2878 184537 -2578 184538
+rect 294540 184537 294840 184538
+rect -1938 183038 -1638 183039
+rect 293600 183038 293900 183039
+rect -2408 182738 240 183038
+rect 291760 182738 294370 183038
+rect -1938 182737 -1638 182738
+rect 293600 182737 293900 182738
 rect -998 181238 -698 181239
 rect 292660 181238 292960 181239
-rect -1458 180938 240 181238
-rect 291760 180938 293420 181238
+rect -1468 180938 240 181238
+rect 291760 180938 293430 181238
 rect -998 180937 -698 180938
 rect 292660 180937 292960 180938
-rect -4218 177638 -3918 177639
-rect 295880 177638 296180 177639
-rect -4218 177338 240 177638
-rect 291760 177338 296180 177638
-rect -4218 177337 -3918 177338
-rect 295880 177337 296180 177338
-rect -3298 175838 -2998 175839
-rect 294960 175838 295260 175839
-rect -3298 175538 240 175838
-rect 291760 175538 295260 175838
-rect -3298 175537 -2998 175538
-rect 294960 175537 295260 175538
-rect -2378 174038 -2078 174039
-rect 294040 174038 294340 174039
-rect -2378 173738 240 174038
-rect 291760 173738 294340 174038
-rect -2378 173737 -2078 173738
-rect 294040 173737 294340 173738
-rect -1458 172238 -1158 172239
-rect 293120 172238 293420 172239
-rect -1458 171938 240 172238
-rect 291760 171938 293420 172238
-rect -1458 171937 -1158 171938
-rect 293120 171937 293420 171938
-rect -3758 168638 -3458 168639
-rect 295420 168638 295720 168639
-rect -4218 168338 240 168638
-rect 291760 168338 296180 168638
-rect -3758 168337 -3458 168338
-rect 295420 168337 295720 168338
-rect -2838 166838 -2538 166839
-rect 294500 166838 294800 166839
-rect -3298 166538 240 166838
-rect 291760 166538 295260 166838
-rect -2838 166537 -2538 166538
-rect 294500 166537 294800 166538
-rect -1918 165038 -1618 165039
-rect 293580 165038 293880 165039
-rect -2378 164738 240 165038
-rect 291760 164738 294340 165038
-rect -1918 164737 -1618 164738
-rect 293580 164737 293880 164738
+rect -4288 177638 -3988 177639
+rect 295950 177638 296250 177639
+rect -4288 177338 240 177638
+rect 291760 177338 296250 177638
+rect -4288 177337 -3988 177338
+rect 295950 177337 296250 177338
+rect -3348 175838 -3048 175839
+rect 295010 175838 295310 175839
+rect -3348 175538 240 175838
+rect 291760 175538 295310 175838
+rect -3348 175537 -3048 175538
+rect 295010 175537 295310 175538
+rect -2408 174038 -2108 174039
+rect 294070 174038 294370 174039
+rect -2408 173738 240 174038
+rect 291760 173738 294370 174038
+rect -2408 173737 -2108 173738
+rect 294070 173737 294370 173738
+rect -1468 172238 -1168 172239
+rect 293130 172238 293430 172239
+rect -1468 171938 240 172238
+rect 291760 171938 293430 172238
+rect -1468 171937 -1168 171938
+rect 293130 171937 293430 171938
+rect -3818 168638 -3518 168639
+rect 295480 168638 295780 168639
+rect -4288 168338 240 168638
+rect 291760 168338 296250 168638
+rect -3818 168337 -3518 168338
+rect 295480 168337 295780 168338
+rect -2878 166838 -2578 166839
+rect 294540 166838 294840 166839
+rect -3348 166538 240 166838
+rect 291760 166538 295310 166838
+rect -2878 166537 -2578 166538
+rect 294540 166537 294840 166538
+rect -1938 165038 -1638 165039
+rect 293600 165038 293900 165039
+rect -2408 164738 240 165038
+rect 291760 164738 294370 165038
+rect -1938 164737 -1638 164738
+rect 293600 164737 293900 164738
 rect -998 163238 -698 163239
 rect 292660 163238 292960 163239
-rect -1458 162938 240 163238
-rect 291760 162938 293420 163238
+rect -1468 162938 240 163238
+rect 291760 162938 293430 163238
 rect -998 162937 -698 162938
 rect 292660 162937 292960 162938
-rect -4218 159638 -3918 159639
-rect 295880 159638 296180 159639
-rect -4218 159338 240 159638
-rect 291760 159338 296180 159638
-rect -4218 159337 -3918 159338
-rect 295880 159337 296180 159338
-rect -3298 157838 -2998 157839
-rect 294960 157838 295260 157839
-rect -3298 157538 240 157838
-rect 291760 157538 295260 157838
-rect -3298 157537 -2998 157538
-rect 294960 157537 295260 157538
-rect -2378 156038 -2078 156039
-rect 294040 156038 294340 156039
-rect -2378 155738 240 156038
-rect 291760 155738 294340 156038
-rect -2378 155737 -2078 155738
-rect 294040 155737 294340 155738
-rect -1458 154238 -1158 154239
-rect 293120 154238 293420 154239
-rect -1458 153938 240 154238
-rect 291760 153938 293420 154238
-rect -1458 153937 -1158 153938
-rect 293120 153937 293420 153938
-rect -3758 150638 -3458 150639
-rect 295420 150638 295720 150639
-rect -4218 150338 240 150638
-rect 291760 150338 296180 150638
-rect -3758 150337 -3458 150338
-rect 295420 150337 295720 150338
-rect -2838 148838 -2538 148839
-rect 294500 148838 294800 148839
-rect -3298 148538 240 148838
-rect 291760 148538 295260 148838
-rect -2838 148537 -2538 148538
-rect 294500 148537 294800 148538
-rect -1918 147038 -1618 147039
-rect 293580 147038 293880 147039
-rect -2378 146738 240 147038
-rect 291760 146738 294340 147038
-rect -1918 146737 -1618 146738
-rect 293580 146737 293880 146738
+rect -4288 159638 -3988 159639
+rect 295950 159638 296250 159639
+rect -4288 159338 240 159638
+rect 291760 159338 296250 159638
+rect -4288 159337 -3988 159338
+rect 295950 159337 296250 159338
+rect -3348 157838 -3048 157839
+rect 295010 157838 295310 157839
+rect -3348 157538 240 157838
+rect 291760 157538 295310 157838
+rect -3348 157537 -3048 157538
+rect 295010 157537 295310 157538
+rect -2408 156038 -2108 156039
+rect 294070 156038 294370 156039
+rect -2408 155738 240 156038
+rect 291760 155738 294370 156038
+rect -2408 155737 -2108 155738
+rect 294070 155737 294370 155738
+rect -1468 154238 -1168 154239
+rect 293130 154238 293430 154239
+rect -1468 153938 240 154238
+rect 291760 153938 293430 154238
+rect -1468 153937 -1168 153938
+rect 293130 153937 293430 153938
+rect -3818 150638 -3518 150639
+rect 295480 150638 295780 150639
+rect -4288 150338 240 150638
+rect 291760 150338 296250 150638
+rect -3818 150337 -3518 150338
+rect 295480 150337 295780 150338
+rect -2878 148838 -2578 148839
+rect 294540 148838 294840 148839
+rect -3348 148538 240 148838
+rect 291760 148538 295310 148838
+rect -2878 148537 -2578 148538
+rect 294540 148537 294840 148538
+rect -1938 147038 -1638 147039
+rect 293600 147038 293900 147039
+rect -2408 146738 240 147038
+rect 291760 146738 294370 147038
+rect -1938 146737 -1638 146738
+rect 293600 146737 293900 146738
 rect -998 145238 -698 145239
 rect 292660 145238 292960 145239
-rect -1458 144938 240 145238
-rect 291760 144938 293420 145238
+rect -1468 144938 240 145238
+rect 291760 144938 293430 145238
 rect -998 144937 -698 144938
 rect 292660 144937 292960 144938
-rect -4218 141638 -3918 141639
-rect 295880 141638 296180 141639
-rect -4218 141338 240 141638
-rect 291760 141338 296180 141638
-rect -4218 141337 -3918 141338
-rect 295880 141337 296180 141338
-rect -3298 139838 -2998 139839
-rect 294960 139838 295260 139839
-rect -3298 139538 240 139838
-rect 291760 139538 295260 139838
-rect -3298 139537 -2998 139538
-rect 294960 139537 295260 139538
-rect -2378 138038 -2078 138039
-rect 294040 138038 294340 138039
-rect -2378 137738 240 138038
-rect 291760 137738 294340 138038
-rect -2378 137737 -2078 137738
-rect 294040 137737 294340 137738
-rect -1458 136238 -1158 136239
-rect 293120 136238 293420 136239
-rect -1458 135938 240 136238
-rect 291760 135938 293420 136238
-rect -1458 135937 -1158 135938
-rect 293120 135937 293420 135938
-rect -3758 132638 -3458 132639
-rect 295420 132638 295720 132639
-rect -4218 132338 240 132638
-rect 291760 132338 296180 132638
-rect -3758 132337 -3458 132338
-rect 295420 132337 295720 132338
-rect -2838 130838 -2538 130839
-rect 294500 130838 294800 130839
-rect -3298 130538 240 130838
-rect 291760 130538 295260 130838
-rect -2838 130537 -2538 130538
-rect 294500 130537 294800 130538
-rect -1918 129038 -1618 129039
-rect 293580 129038 293880 129039
-rect -2378 128738 240 129038
-rect 291760 128738 294340 129038
-rect -1918 128737 -1618 128738
-rect 293580 128737 293880 128738
+rect -4288 141638 -3988 141639
+rect 295950 141638 296250 141639
+rect -4288 141338 240 141638
+rect 291760 141338 296250 141638
+rect -4288 141337 -3988 141338
+rect 295950 141337 296250 141338
+rect -3348 139838 -3048 139839
+rect 295010 139838 295310 139839
+rect -3348 139538 240 139838
+rect 291760 139538 295310 139838
+rect -3348 139537 -3048 139538
+rect 295010 139537 295310 139538
+rect -2408 138038 -2108 138039
+rect 294070 138038 294370 138039
+rect -2408 137738 240 138038
+rect 291760 137738 294370 138038
+rect -2408 137737 -2108 137738
+rect 294070 137737 294370 137738
+rect -1468 136238 -1168 136239
+rect 293130 136238 293430 136239
+rect -1468 135938 240 136238
+rect 291760 135938 293430 136238
+rect -1468 135937 -1168 135938
+rect 293130 135937 293430 135938
+rect -3818 132638 -3518 132639
+rect 295480 132638 295780 132639
+rect -4288 132338 240 132638
+rect 291760 132338 296250 132638
+rect -3818 132337 -3518 132338
+rect 295480 132337 295780 132338
+rect -2878 130838 -2578 130839
+rect 294540 130838 294840 130839
+rect -3348 130538 240 130838
+rect 291760 130538 295310 130838
+rect -2878 130537 -2578 130538
+rect 294540 130537 294840 130538
+rect -1938 129038 -1638 129039
+rect 293600 129038 293900 129039
+rect -2408 128738 240 129038
+rect 291760 128738 294370 129038
+rect -1938 128737 -1638 128738
+rect 293600 128737 293900 128738
 rect -998 127238 -698 127239
 rect 292660 127238 292960 127239
-rect -1458 126938 240 127238
-rect 291760 126938 293420 127238
+rect -1468 126938 240 127238
+rect 291760 126938 293430 127238
 rect -998 126937 -698 126938
 rect 292660 126937 292960 126938
-rect -4218 123638 -3918 123639
-rect 295880 123638 296180 123639
-rect -4218 123338 240 123638
-rect 291760 123338 296180 123638
-rect -4218 123337 -3918 123338
-rect 295880 123337 296180 123338
-rect -3298 121838 -2998 121839
-rect 294960 121838 295260 121839
-rect -3298 121538 240 121838
-rect 291760 121538 295260 121838
-rect -3298 121537 -2998 121538
-rect 294960 121537 295260 121538
-rect -2378 120038 -2078 120039
-rect 294040 120038 294340 120039
-rect -2378 119738 240 120038
-rect 291760 119738 294340 120038
-rect -2378 119737 -2078 119738
-rect 294040 119737 294340 119738
-rect -1458 118238 -1158 118239
-rect 293120 118238 293420 118239
-rect -1458 117938 240 118238
-rect 291760 117938 293420 118238
-rect -1458 117937 -1158 117938
-rect 293120 117937 293420 117938
-rect -3758 114638 -3458 114639
-rect 295420 114638 295720 114639
-rect -4218 114338 240 114638
-rect 291760 114338 296180 114638
-rect -3758 114337 -3458 114338
-rect 295420 114337 295720 114338
-rect -2838 112838 -2538 112839
-rect 294500 112838 294800 112839
-rect -3298 112538 240 112838
-rect 291760 112538 295260 112838
-rect -2838 112537 -2538 112538
-rect 294500 112537 294800 112538
-rect -1918 111038 -1618 111039
-rect 293580 111038 293880 111039
-rect -2378 110738 240 111038
-rect 291760 110738 294340 111038
-rect -1918 110737 -1618 110738
-rect 293580 110737 293880 110738
+rect -4288 123638 -3988 123639
+rect 295950 123638 296250 123639
+rect -4288 123338 240 123638
+rect 291760 123338 296250 123638
+rect -4288 123337 -3988 123338
+rect 295950 123337 296250 123338
+rect -3348 121838 -3048 121839
+rect 295010 121838 295310 121839
+rect -3348 121538 240 121838
+rect 291760 121538 295310 121838
+rect -3348 121537 -3048 121538
+rect 295010 121537 295310 121538
+rect -2408 120038 -2108 120039
+rect 294070 120038 294370 120039
+rect -2408 119738 240 120038
+rect 291760 119738 294370 120038
+rect -2408 119737 -2108 119738
+rect 294070 119737 294370 119738
+rect -1468 118238 -1168 118239
+rect 293130 118238 293430 118239
+rect -1468 117938 240 118238
+rect 291760 117938 293430 118238
+rect -1468 117937 -1168 117938
+rect 293130 117937 293430 117938
+rect -3818 114638 -3518 114639
+rect 295480 114638 295780 114639
+rect -4288 114338 240 114638
+rect 291760 114338 296250 114638
+rect -3818 114337 -3518 114338
+rect 295480 114337 295780 114338
+rect -2878 112838 -2578 112839
+rect 294540 112838 294840 112839
+rect -3348 112538 240 112838
+rect 291760 112538 295310 112838
+rect -2878 112537 -2578 112538
+rect 294540 112537 294840 112538
+rect -1938 111038 -1638 111039
+rect 293600 111038 293900 111039
+rect -2408 110738 240 111038
+rect 291760 110738 294370 111038
+rect -1938 110737 -1638 110738
+rect 293600 110737 293900 110738
 rect -998 109238 -698 109239
 rect 292660 109238 292960 109239
-rect -1458 108938 240 109238
-rect 291760 108938 293420 109238
+rect -1468 108938 240 109238
+rect 291760 108938 293430 109238
 rect -998 108937 -698 108938
 rect 292660 108937 292960 108938
-rect -4218 105638 -3918 105639
-rect 295880 105638 296180 105639
-rect -4218 105338 240 105638
-rect 291760 105338 296180 105638
-rect -4218 105337 -3918 105338
-rect 295880 105337 296180 105338
-rect -3298 103838 -2998 103839
-rect 294960 103838 295260 103839
-rect -3298 103538 240 103838
-rect 291760 103538 295260 103838
-rect -3298 103537 -2998 103538
-rect 294960 103537 295260 103538
-rect -2378 102038 -2078 102039
-rect 294040 102038 294340 102039
-rect -2378 101738 240 102038
-rect 291760 101738 294340 102038
-rect -2378 101737 -2078 101738
-rect 294040 101737 294340 101738
-rect -1458 100238 -1158 100239
-rect 293120 100238 293420 100239
-rect -1458 99938 240 100238
-rect 291760 99938 293420 100238
-rect -1458 99937 -1158 99938
-rect 293120 99937 293420 99938
-rect -3758 96638 -3458 96639
-rect 295420 96638 295720 96639
-rect -4218 96338 240 96638
-rect 291760 96338 296180 96638
-rect -3758 96337 -3458 96338
-rect 295420 96337 295720 96338
-rect -2838 94838 -2538 94839
-rect 294500 94838 294800 94839
-rect -3298 94538 240 94838
-rect 291760 94538 295260 94838
-rect -2838 94537 -2538 94538
-rect 294500 94537 294800 94538
-rect -1918 93038 -1618 93039
-rect 293580 93038 293880 93039
-rect -2378 92738 240 93038
-rect 291760 92738 294340 93038
-rect -1918 92737 -1618 92738
-rect 293580 92737 293880 92738
+rect -4288 105638 -3988 105639
+rect 295950 105638 296250 105639
+rect -4288 105338 240 105638
+rect 291760 105338 296250 105638
+rect -4288 105337 -3988 105338
+rect 295950 105337 296250 105338
+rect -3348 103838 -3048 103839
+rect 295010 103838 295310 103839
+rect -3348 103538 240 103838
+rect 291760 103538 295310 103838
+rect -3348 103537 -3048 103538
+rect 295010 103537 295310 103538
+rect -2408 102038 -2108 102039
+rect 294070 102038 294370 102039
+rect -2408 101738 240 102038
+rect 291760 101738 294370 102038
+rect -2408 101737 -2108 101738
+rect 294070 101737 294370 101738
+rect -1468 100238 -1168 100239
+rect 293130 100238 293430 100239
+rect -1468 99938 240 100238
+rect 291760 99938 293430 100238
+rect -1468 99937 -1168 99938
+rect 293130 99937 293430 99938
+rect -3818 96638 -3518 96639
+rect 295480 96638 295780 96639
+rect -4288 96338 240 96638
+rect 291760 96338 296250 96638
+rect -3818 96337 -3518 96338
+rect 295480 96337 295780 96338
+rect -2878 94838 -2578 94839
+rect 294540 94838 294840 94839
+rect -3348 94538 240 94838
+rect 291760 94538 295310 94838
+rect -2878 94537 -2578 94538
+rect 294540 94537 294840 94538
+rect -1938 93038 -1638 93039
+rect 293600 93038 293900 93039
+rect -2408 92738 240 93038
+rect 291760 92738 294370 93038
+rect -1938 92737 -1638 92738
+rect 293600 92737 293900 92738
 rect -998 91238 -698 91239
 rect 292660 91238 292960 91239
-rect -1458 90938 240 91238
-rect 291760 90938 293420 91238
+rect -1468 90938 240 91238
+rect 291760 90938 293430 91238
 rect -998 90937 -698 90938
 rect 292660 90937 292960 90938
-rect -4218 87638 -3918 87639
-rect 295880 87638 296180 87639
-rect -4218 87338 240 87638
-rect 291760 87338 296180 87638
-rect -4218 87337 -3918 87338
-rect 295880 87337 296180 87338
-rect -3298 85838 -2998 85839
-rect 294960 85838 295260 85839
-rect -3298 85538 240 85838
-rect 291760 85538 295260 85838
-rect -3298 85537 -2998 85538
-rect 294960 85537 295260 85538
-rect -2378 84038 -2078 84039
-rect 294040 84038 294340 84039
-rect -2378 83738 240 84038
-rect 291760 83738 294340 84038
-rect -2378 83737 -2078 83738
-rect 294040 83737 294340 83738
-rect -1458 82238 -1158 82239
-rect 293120 82238 293420 82239
-rect -1458 81938 240 82238
-rect 291760 81938 293420 82238
-rect -1458 81937 -1158 81938
-rect 293120 81937 293420 81938
-rect -3758 78638 -3458 78639
-rect 295420 78638 295720 78639
-rect -4218 78338 240 78638
-rect 291760 78338 296180 78638
-rect -3758 78337 -3458 78338
-rect 295420 78337 295720 78338
-rect -2838 76838 -2538 76839
-rect 294500 76838 294800 76839
-rect -3298 76538 240 76838
-rect 291760 76538 295260 76838
-rect -2838 76537 -2538 76538
-rect 294500 76537 294800 76538
-rect -1918 75038 -1618 75039
-rect 293580 75038 293880 75039
-rect -2378 74738 240 75038
-rect 291760 74738 294340 75038
-rect -1918 74737 -1618 74738
-rect 293580 74737 293880 74738
+rect -4288 87638 -3988 87639
+rect 295950 87638 296250 87639
+rect -4288 87338 240 87638
+rect 291760 87338 296250 87638
+rect -4288 87337 -3988 87338
+rect 295950 87337 296250 87338
+rect -3348 85838 -3048 85839
+rect 295010 85838 295310 85839
+rect -3348 85538 240 85838
+rect 291760 85538 295310 85838
+rect -3348 85537 -3048 85538
+rect 295010 85537 295310 85538
+rect -2408 84038 -2108 84039
+rect 294070 84038 294370 84039
+rect -2408 83738 240 84038
+rect 291760 83738 294370 84038
+rect -2408 83737 -2108 83738
+rect 294070 83737 294370 83738
+rect -1468 82238 -1168 82239
+rect 293130 82238 293430 82239
+rect -1468 81938 240 82238
+rect 291760 81938 293430 82238
+rect -1468 81937 -1168 81938
+rect 293130 81937 293430 81938
+rect -3818 78638 -3518 78639
+rect 295480 78638 295780 78639
+rect -4288 78338 240 78638
+rect 291760 78338 296250 78638
+rect -3818 78337 -3518 78338
+rect 295480 78337 295780 78338
+rect -2878 76838 -2578 76839
+rect 294540 76838 294840 76839
+rect -3348 76538 240 76838
+rect 291760 76538 295310 76838
+rect -2878 76537 -2578 76538
+rect 294540 76537 294840 76538
+rect -1938 75038 -1638 75039
+rect 293600 75038 293900 75039
+rect -2408 74738 240 75038
+rect 291760 74738 294370 75038
+rect -1938 74737 -1638 74738
+rect 293600 74737 293900 74738
 rect -998 73238 -698 73239
 rect 292660 73238 292960 73239
-rect -1458 72938 240 73238
-rect 291760 72938 293420 73238
+rect -1468 72938 240 73238
+rect 291760 72938 293430 73238
 rect -998 72937 -698 72938
 rect 292660 72937 292960 72938
-rect -4218 69638 -3918 69639
-rect 295880 69638 296180 69639
-rect -4218 69338 240 69638
-rect 291760 69338 296180 69638
-rect -4218 69337 -3918 69338
-rect 295880 69337 296180 69338
-rect -3298 67838 -2998 67839
-rect 294960 67838 295260 67839
-rect -3298 67538 240 67838
-rect 291760 67538 295260 67838
-rect -3298 67537 -2998 67538
-rect 294960 67537 295260 67538
-rect -2378 66038 -2078 66039
-rect 294040 66038 294340 66039
-rect -2378 65738 240 66038
-rect 291760 65738 294340 66038
-rect -2378 65737 -2078 65738
-rect 294040 65737 294340 65738
-rect -1458 64238 -1158 64239
-rect 293120 64238 293420 64239
-rect -1458 63938 240 64238
-rect 291760 63938 293420 64238
-rect -1458 63937 -1158 63938
-rect 293120 63937 293420 63938
-rect -3758 60638 -3458 60639
-rect 295420 60638 295720 60639
-rect -4218 60338 240 60638
-rect 291760 60338 296180 60638
-rect -3758 60337 -3458 60338
-rect 295420 60337 295720 60338
-rect -2838 58838 -2538 58839
-rect 294500 58838 294800 58839
-rect -3298 58538 240 58838
-rect 291760 58538 295260 58838
-rect -2838 58537 -2538 58538
-rect 294500 58537 294800 58538
-rect -1918 57038 -1618 57039
-rect 293580 57038 293880 57039
-rect -2378 56738 240 57038
-rect 291760 56738 294340 57038
-rect -1918 56737 -1618 56738
-rect 293580 56737 293880 56738
+rect -4288 69638 -3988 69639
+rect 295950 69638 296250 69639
+rect -4288 69338 240 69638
+rect 291760 69338 296250 69638
+rect -4288 69337 -3988 69338
+rect 295950 69337 296250 69338
+rect -3348 67838 -3048 67839
+rect 295010 67838 295310 67839
+rect -3348 67538 240 67838
+rect 291760 67538 295310 67838
+rect -3348 67537 -3048 67538
+rect 295010 67537 295310 67538
+rect -2408 66038 -2108 66039
+rect 294070 66038 294370 66039
+rect -2408 65738 240 66038
+rect 291760 65738 294370 66038
+rect -2408 65737 -2108 65738
+rect 294070 65737 294370 65738
+rect -1468 64238 -1168 64239
+rect 293130 64238 293430 64239
+rect -1468 63938 240 64238
+rect 291760 63938 293430 64238
+rect -1468 63937 -1168 63938
+rect 293130 63937 293430 63938
+rect -3818 60638 -3518 60639
+rect 295480 60638 295780 60639
+rect -4288 60338 240 60638
+rect 291760 60338 296250 60638
+rect -3818 60337 -3518 60338
+rect 295480 60337 295780 60338
+rect -2878 58838 -2578 58839
+rect 294540 58838 294840 58839
+rect -3348 58538 240 58838
+rect 291760 58538 295310 58838
+rect -2878 58537 -2578 58538
+rect 294540 58537 294840 58538
+rect -1938 57038 -1638 57039
+rect 293600 57038 293900 57039
+rect -2408 56738 240 57038
+rect 291760 56738 294370 57038
+rect -1938 56737 -1638 56738
+rect 293600 56737 293900 56738
 rect -998 55238 -698 55239
 rect 292660 55238 292960 55239
-rect -1458 54938 240 55238
-rect 291760 54938 293420 55238
+rect -1468 54938 240 55238
+rect 291760 54938 293430 55238
 rect -998 54937 -698 54938
 rect 292660 54937 292960 54938
-rect -4218 51638 -3918 51639
-rect 295880 51638 296180 51639
-rect -4218 51338 240 51638
-rect 291760 51338 296180 51638
-rect -4218 51337 -3918 51338
-rect 295880 51337 296180 51338
-rect -3298 49838 -2998 49839
-rect 294960 49838 295260 49839
-rect -3298 49538 240 49838
-rect 291760 49538 295260 49838
-rect -3298 49537 -2998 49538
-rect 294960 49537 295260 49538
-rect -2378 48038 -2078 48039
-rect 294040 48038 294340 48039
-rect -2378 47738 240 48038
-rect 291760 47738 294340 48038
-rect -2378 47737 -2078 47738
-rect 294040 47737 294340 47738
-rect -1458 46238 -1158 46239
-rect 293120 46238 293420 46239
-rect -1458 45938 240 46238
-rect 291760 45938 293420 46238
-rect -1458 45937 -1158 45938
-rect 293120 45937 293420 45938
-rect -3758 42638 -3458 42639
-rect 295420 42638 295720 42639
-rect -4218 42338 240 42638
-rect 291760 42338 296180 42638
-rect -3758 42337 -3458 42338
-rect 295420 42337 295720 42338
-rect -2838 40838 -2538 40839
-rect 294500 40838 294800 40839
-rect -3298 40538 240 40838
-rect 291760 40538 295260 40838
-rect -2838 40537 -2538 40538
-rect 294500 40537 294800 40538
-rect -1918 39038 -1618 39039
-rect 293580 39038 293880 39039
-rect -2378 38738 240 39038
-rect 291760 38738 294340 39038
-rect -1918 38737 -1618 38738
-rect 293580 38737 293880 38738
+rect -4288 51638 -3988 51639
+rect 295950 51638 296250 51639
+rect -4288 51338 240 51638
+rect 291760 51338 296250 51638
+rect -4288 51337 -3988 51338
+rect 295950 51337 296250 51338
+rect -3348 49838 -3048 49839
+rect 295010 49838 295310 49839
+rect -3348 49538 240 49838
+rect 291760 49538 295310 49838
+rect -3348 49537 -3048 49538
+rect 295010 49537 295310 49538
+rect -2408 48038 -2108 48039
+rect 294070 48038 294370 48039
+rect -2408 47738 240 48038
+rect 291760 47738 294370 48038
+rect -2408 47737 -2108 47738
+rect 294070 47737 294370 47738
+rect -1468 46238 -1168 46239
+rect 293130 46238 293430 46239
+rect -1468 45938 240 46238
+rect 291760 45938 293430 46238
+rect -1468 45937 -1168 45938
+rect 293130 45937 293430 45938
+rect -3818 42638 -3518 42639
+rect 295480 42638 295780 42639
+rect -4288 42338 240 42638
+rect 291760 42338 296250 42638
+rect -3818 42337 -3518 42338
+rect 295480 42337 295780 42338
+rect -2878 40838 -2578 40839
+rect 294540 40838 294840 40839
+rect -3348 40538 240 40838
+rect 291760 40538 295310 40838
+rect -2878 40537 -2578 40538
+rect 294540 40537 294840 40538
+rect -1938 39038 -1638 39039
+rect 293600 39038 293900 39039
+rect -2408 38738 240 39038
+rect 291760 38738 294370 39038
+rect -1938 38737 -1638 38738
+rect 293600 38737 293900 38738
 rect -998 37238 -698 37239
 rect 292660 37238 292960 37239
-rect -1458 36938 240 37238
-rect 291760 36938 293420 37238
+rect -1468 36938 240 37238
+rect 291760 36938 293430 37238
 rect -998 36937 -698 36938
 rect 292660 36937 292960 36938
-rect -4218 33638 -3918 33639
-rect 295880 33638 296180 33639
-rect -4218 33338 240 33638
-rect 291760 33338 296180 33638
-rect -4218 33337 -3918 33338
-rect 295880 33337 296180 33338
-rect -3298 31838 -2998 31839
-rect 294960 31838 295260 31839
-rect -3298 31538 240 31838
-rect 291760 31538 295260 31838
-rect -3298 31537 -2998 31538
-rect 294960 31537 295260 31538
-rect -2378 30038 -2078 30039
-rect 294040 30038 294340 30039
-rect -2378 29738 240 30038
-rect 291760 29738 294340 30038
-rect -2378 29737 -2078 29738
-rect 294040 29737 294340 29738
-rect -1458 28238 -1158 28239
-rect 293120 28238 293420 28239
-rect -1458 27938 240 28238
-rect 291760 27938 293420 28238
-rect -1458 27937 -1158 27938
-rect 293120 27937 293420 27938
-rect -3758 24638 -3458 24639
-rect 295420 24638 295720 24639
-rect -4218 24338 240 24638
-rect 291760 24338 296180 24638
-rect -3758 24337 -3458 24338
-rect 295420 24337 295720 24338
-rect -2838 22838 -2538 22839
-rect 294500 22838 294800 22839
-rect -3298 22538 240 22838
-rect 291760 22538 295260 22838
-rect -2838 22537 -2538 22538
-rect 294500 22537 294800 22538
-rect -1918 21038 -1618 21039
-rect 293580 21038 293880 21039
-rect -2378 20738 240 21038
-rect 291760 20738 294340 21038
-rect -1918 20737 -1618 20738
-rect 293580 20737 293880 20738
+rect -4288 33638 -3988 33639
+rect 295950 33638 296250 33639
+rect -4288 33338 240 33638
+rect 291760 33338 296250 33638
+rect -4288 33337 -3988 33338
+rect 295950 33337 296250 33338
+rect -3348 31838 -3048 31839
+rect 295010 31838 295310 31839
+rect -3348 31538 240 31838
+rect 291760 31538 295310 31838
+rect -3348 31537 -3048 31538
+rect 295010 31537 295310 31538
+rect -2408 30038 -2108 30039
+rect 294070 30038 294370 30039
+rect -2408 29738 240 30038
+rect 291760 29738 294370 30038
+rect -2408 29737 -2108 29738
+rect 294070 29737 294370 29738
+rect -1468 28238 -1168 28239
+rect 293130 28238 293430 28239
+rect -1468 27938 240 28238
+rect 291760 27938 293430 28238
+rect -1468 27937 -1168 27938
+rect 293130 27937 293430 27938
+rect -3818 24638 -3518 24639
+rect 295480 24638 295780 24639
+rect -4288 24338 240 24638
+rect 291760 24338 296250 24638
+rect -3818 24337 -3518 24338
+rect 295480 24337 295780 24338
+rect -2878 22838 -2578 22839
+rect 294540 22838 294840 22839
+rect -3348 22538 240 22838
+rect 291760 22538 295310 22838
+rect -2878 22537 -2578 22538
+rect 294540 22537 294840 22538
+rect -1938 21038 -1638 21039
+rect 293600 21038 293900 21039
+rect -2408 20738 240 21038
+rect 291760 20738 294370 21038
+rect -1938 20737 -1638 20738
+rect 293600 20737 293900 20738
 rect -998 19238 -698 19239
 rect 292660 19238 292960 19239
-rect -1458 18938 240 19238
-rect 291760 18938 293420 19238
+rect -1468 18938 240 19238
+rect 291760 18938 293430 19238
 rect -998 18937 -698 18938
 rect 292660 18937 292960 18938
-rect -4218 15638 -3918 15639
-rect 295880 15638 296180 15639
-rect -4218 15338 240 15638
-rect 291760 15338 296180 15638
-rect -4218 15337 -3918 15338
-rect 295880 15337 296180 15338
-rect -3298 13838 -2998 13839
-rect 294960 13838 295260 13839
-rect -3298 13538 240 13838
-rect 291760 13538 295260 13838
-rect -3298 13537 -2998 13538
-rect 294960 13537 295260 13538
-rect -2378 12038 -2078 12039
-rect 294040 12038 294340 12039
-rect -2378 11738 240 12038
-rect 291760 11738 294340 12038
-rect -2378 11737 -2078 11738
-rect 294040 11737 294340 11738
-rect -1458 10238 -1158 10239
-rect 293120 10238 293420 10239
-rect -1458 9938 240 10238
-rect 291760 9938 293420 10238
-rect -1458 9937 -1158 9938
-rect 293120 9937 293420 9938
-rect -3758 6638 -3458 6639
-rect 295420 6638 295720 6639
-rect -4218 6338 240 6638
-rect 291760 6338 296180 6638
-rect -3758 6337 -3458 6338
-rect 295420 6337 295720 6338
-rect -2838 4838 -2538 4839
-rect 294500 4838 294800 4839
-rect -3298 4538 240 4838
-rect 291760 4538 295260 4838
-rect -2838 4537 -2538 4538
-rect 294500 4537 294800 4538
-rect -1918 3038 -1618 3039
-rect 293580 3038 293880 3039
-rect -2378 2738 240 3038
-rect 291760 2738 294340 3038
-rect -1918 2737 -1618 2738
-rect 293580 2737 293880 2738
+rect -4288 15638 -3988 15639
+rect 295950 15638 296250 15639
+rect -4288 15338 240 15638
+rect 291760 15338 296250 15638
+rect -4288 15337 -3988 15338
+rect 295950 15337 296250 15338
+rect -3348 13838 -3048 13839
+rect 295010 13838 295310 13839
+rect -3348 13538 240 13838
+rect 291760 13538 295310 13838
+rect -3348 13537 -3048 13538
+rect 295010 13537 295310 13538
+rect -2408 12038 -2108 12039
+rect 294070 12038 294370 12039
+rect -2408 11738 240 12038
+rect 291760 11738 294370 12038
+rect -2408 11737 -2108 11738
+rect 294070 11737 294370 11738
+rect -1468 10238 -1168 10239
+rect 293130 10238 293430 10239
+rect -1468 9938 240 10238
+rect 291760 9938 293430 10238
+rect -1468 9937 -1168 9938
+rect 293130 9937 293430 9938
+rect -3818 6638 -3518 6639
+rect 295480 6638 295780 6639
+rect -4288 6338 240 6638
+rect 291760 6338 296250 6638
+rect -3818 6337 -3518 6338
+rect 295480 6337 295780 6338
+rect -2878 4838 -2578 4839
+rect 294540 4838 294840 4839
+rect -3348 4538 240 4838
+rect 291760 4538 295310 4838
+rect -2878 4537 -2578 4538
+rect 294540 4537 294840 4538
+rect -1938 3038 -1638 3039
+rect 293600 3038 293900 3039
+rect -2408 2738 240 3038
+rect 291760 2738 294370 3038
+rect -1938 2737 -1638 2738
+rect 293600 2737 293900 2738
 rect -998 1238 -698 1239
 rect 292660 1238 292960 1239
-rect -1458 938 240 1238
-rect 291760 938 293420 1238
+rect -1468 938 240 1238
+rect 291760 938 293430 1238
 rect -998 937 -698 938
 rect 292660 937 292960 938
 rect -998 -162 -698 -161
@@ -2192,267 +2192,267 @@
 rect 270402 -463 270702 -462
 rect 288402 -463 288702 -462
 rect 292660 -463 292960 -462
-rect -1458 -622 -1158 -621
-rect 9402 -622 9702 -621
-rect 27402 -622 27702 -621
-rect 45402 -622 45702 -621
-rect 63402 -622 63702 -621
-rect 81402 -622 81702 -621
-rect 99402 -622 99702 -621
-rect 117402 -622 117702 -621
-rect 135402 -622 135702 -621
-rect 153402 -622 153702 -621
-rect 171402 -622 171702 -621
-rect 189402 -622 189702 -621
-rect 207402 -622 207702 -621
-rect 225402 -622 225702 -621
-rect 243402 -622 243702 -621
-rect 261402 -622 261702 -621
-rect 279402 -622 279702 -621
-rect 293120 -622 293420 -621
-rect -1458 -922 293420 -622
-rect -1458 -923 -1158 -922
-rect 9402 -923 9702 -922
-rect 27402 -923 27702 -922
-rect 45402 -923 45702 -922
-rect 63402 -923 63702 -922
-rect 81402 -923 81702 -922
-rect 99402 -923 99702 -922
-rect 117402 -923 117702 -922
-rect 135402 -923 135702 -922
-rect 153402 -923 153702 -922
-rect 171402 -923 171702 -922
-rect 189402 -923 189702 -922
-rect 207402 -923 207702 -922
-rect 225402 -923 225702 -922
-rect 243402 -923 243702 -922
-rect 261402 -923 261702 -922
-rect 279402 -923 279702 -922
-rect 293120 -923 293420 -922
-rect -1918 -1082 -1618 -1081
-rect 2202 -1082 2502 -1081
-rect 20202 -1082 20502 -1081
-rect 38202 -1082 38502 -1081
-rect 56202 -1082 56502 -1081
-rect 74202 -1082 74502 -1081
-rect 92202 -1082 92502 -1081
-rect 110202 -1082 110502 -1081
-rect 128202 -1082 128502 -1081
-rect 146202 -1082 146502 -1081
-rect 164202 -1082 164502 -1081
-rect 182202 -1082 182502 -1081
-rect 200202 -1082 200502 -1081
-rect 218202 -1082 218502 -1081
-rect 236202 -1082 236502 -1081
-rect 254202 -1082 254502 -1081
-rect 272202 -1082 272502 -1081
-rect 290202 -1082 290502 -1081
-rect 293580 -1082 293880 -1081
-rect -1918 -1382 293880 -1082
-rect -1918 -1383 -1618 -1382
-rect 2202 -1383 2502 -1382
-rect 20202 -1383 20502 -1382
-rect 38202 -1383 38502 -1382
-rect 56202 -1383 56502 -1382
-rect 74202 -1383 74502 -1382
-rect 92202 -1383 92502 -1382
-rect 110202 -1383 110502 -1382
-rect 128202 -1383 128502 -1382
-rect 146202 -1383 146502 -1382
-rect 164202 -1383 164502 -1382
-rect 182202 -1383 182502 -1382
-rect 200202 -1383 200502 -1382
-rect 218202 -1383 218502 -1382
-rect 236202 -1383 236502 -1382
-rect 254202 -1383 254502 -1382
-rect 272202 -1383 272502 -1382
-rect 290202 -1383 290502 -1382
-rect 293580 -1383 293880 -1382
-rect -2378 -1542 -2078 -1541
-rect 11202 -1542 11502 -1541
-rect 29202 -1542 29502 -1541
-rect 47202 -1542 47502 -1541
-rect 65202 -1542 65502 -1541
-rect 83202 -1542 83502 -1541
-rect 101202 -1542 101502 -1541
-rect 119202 -1542 119502 -1541
-rect 137202 -1542 137502 -1541
-rect 155202 -1542 155502 -1541
-rect 173202 -1542 173502 -1541
-rect 191202 -1542 191502 -1541
-rect 209202 -1542 209502 -1541
-rect 227202 -1542 227502 -1541
-rect 245202 -1542 245502 -1541
-rect 263202 -1542 263502 -1541
-rect 281202 -1542 281502 -1541
-rect 294040 -1542 294340 -1541
-rect -2378 -1842 294340 -1542
-rect -2378 -1843 -2078 -1842
-rect 11202 -1843 11502 -1842
-rect 29202 -1843 29502 -1842
-rect 47202 -1843 47502 -1842
-rect 65202 -1843 65502 -1842
-rect 83202 -1843 83502 -1842
-rect 101202 -1843 101502 -1842
-rect 119202 -1843 119502 -1842
-rect 137202 -1843 137502 -1842
-rect 155202 -1843 155502 -1842
-rect 173202 -1843 173502 -1842
-rect 191202 -1843 191502 -1842
-rect 209202 -1843 209502 -1842
-rect 227202 -1843 227502 -1842
-rect 245202 -1843 245502 -1842
-rect 263202 -1843 263502 -1842
-rect 281202 -1843 281502 -1842
-rect 294040 -1843 294340 -1842
-rect -2838 -2002 -2538 -2001
-rect 4002 -2002 4302 -2001
-rect 22002 -2002 22302 -2001
-rect 40002 -2002 40302 -2001
-rect 58002 -2002 58302 -2001
-rect 76002 -2002 76302 -2001
-rect 94002 -2002 94302 -2001
-rect 112002 -2002 112302 -2001
-rect 130002 -2002 130302 -2001
-rect 148002 -2002 148302 -2001
-rect 166002 -2002 166302 -2001
-rect 184002 -2002 184302 -2001
-rect 202002 -2002 202302 -2001
-rect 220002 -2002 220302 -2001
-rect 238002 -2002 238302 -2001
-rect 256002 -2002 256302 -2001
-rect 274002 -2002 274302 -2001
-rect 294500 -2002 294800 -2001
-rect -2838 -2302 294800 -2002
-rect -2838 -2303 -2538 -2302
-rect 4002 -2303 4302 -2302
-rect 22002 -2303 22302 -2302
-rect 40002 -2303 40302 -2302
-rect 58002 -2303 58302 -2302
-rect 76002 -2303 76302 -2302
-rect 94002 -2303 94302 -2302
-rect 112002 -2303 112302 -2302
-rect 130002 -2303 130302 -2302
-rect 148002 -2303 148302 -2302
-rect 166002 -2303 166302 -2302
-rect 184002 -2303 184302 -2302
-rect 202002 -2303 202302 -2302
-rect 220002 -2303 220302 -2302
-rect 238002 -2303 238302 -2302
-rect 256002 -2303 256302 -2302
-rect 274002 -2303 274302 -2302
-rect 294500 -2303 294800 -2302
-rect -3298 -2462 -2998 -2461
-rect 13002 -2462 13302 -2461
-rect 31002 -2462 31302 -2461
-rect 49002 -2462 49302 -2461
-rect 67002 -2462 67302 -2461
-rect 85002 -2462 85302 -2461
-rect 103002 -2462 103302 -2461
-rect 121002 -2462 121302 -2461
-rect 139002 -2462 139302 -2461
-rect 157002 -2462 157302 -2461
-rect 175002 -2462 175302 -2461
-rect 193002 -2462 193302 -2461
-rect 211002 -2462 211302 -2461
-rect 229002 -2462 229302 -2461
-rect 247002 -2462 247302 -2461
-rect 265002 -2462 265302 -2461
-rect 283002 -2462 283302 -2461
-rect 294960 -2462 295260 -2461
-rect -3298 -2762 295260 -2462
-rect -3298 -2763 -2998 -2762
-rect 13002 -2763 13302 -2762
-rect 31002 -2763 31302 -2762
-rect 49002 -2763 49302 -2762
-rect 67002 -2763 67302 -2762
-rect 85002 -2763 85302 -2762
-rect 103002 -2763 103302 -2762
-rect 121002 -2763 121302 -2762
-rect 139002 -2763 139302 -2762
-rect 157002 -2763 157302 -2762
-rect 175002 -2763 175302 -2762
-rect 193002 -2763 193302 -2762
-rect 211002 -2763 211302 -2762
-rect 229002 -2763 229302 -2762
-rect 247002 -2763 247302 -2762
-rect 265002 -2763 265302 -2762
-rect 283002 -2763 283302 -2762
-rect 294960 -2763 295260 -2762
-rect -3758 -2922 -3458 -2921
-rect 5802 -2922 6102 -2921
-rect 23802 -2922 24102 -2921
-rect 41802 -2922 42102 -2921
-rect 59802 -2922 60102 -2921
-rect 77802 -2922 78102 -2921
-rect 95802 -2922 96102 -2921
-rect 113802 -2922 114102 -2921
-rect 131802 -2922 132102 -2921
-rect 149802 -2922 150102 -2921
-rect 167802 -2922 168102 -2921
-rect 185802 -2922 186102 -2921
-rect 203802 -2922 204102 -2921
-rect 221802 -2922 222102 -2921
-rect 239802 -2922 240102 -2921
-rect 257802 -2922 258102 -2921
-rect 275802 -2922 276102 -2921
-rect 295420 -2922 295720 -2921
-rect -3758 -3222 295720 -2922
-rect -3758 -3223 -3458 -3222
-rect 5802 -3223 6102 -3222
-rect 23802 -3223 24102 -3222
-rect 41802 -3223 42102 -3222
-rect 59802 -3223 60102 -3222
-rect 77802 -3223 78102 -3222
-rect 95802 -3223 96102 -3222
-rect 113802 -3223 114102 -3222
-rect 131802 -3223 132102 -3222
-rect 149802 -3223 150102 -3222
-rect 167802 -3223 168102 -3222
-rect 185802 -3223 186102 -3222
-rect 203802 -3223 204102 -3222
-rect 221802 -3223 222102 -3222
-rect 239802 -3223 240102 -3222
-rect 257802 -3223 258102 -3222
-rect 275802 -3223 276102 -3222
-rect 295420 -3223 295720 -3222
-rect -4218 -3382 -3918 -3381
-rect 14802 -3382 15102 -3381
-rect 32802 -3382 33102 -3381
-rect 50802 -3382 51102 -3381
-rect 68802 -3382 69102 -3381
-rect 86802 -3382 87102 -3381
-rect 104802 -3382 105102 -3381
-rect 122802 -3382 123102 -3381
-rect 140802 -3382 141102 -3381
-rect 158802 -3382 159102 -3381
-rect 176802 -3382 177102 -3381
-rect 194802 -3382 195102 -3381
-rect 212802 -3382 213102 -3381
-rect 230802 -3382 231102 -3381
-rect 248802 -3382 249102 -3381
-rect 266802 -3382 267102 -3381
-rect 284802 -3382 285102 -3381
-rect 295880 -3382 296180 -3381
-rect -4218 -3682 296180 -3382
-rect -4218 -3683 -3918 -3682
-rect 14802 -3683 15102 -3682
-rect 32802 -3683 33102 -3682
-rect 50802 -3683 51102 -3682
-rect 68802 -3683 69102 -3682
-rect 86802 -3683 87102 -3682
-rect 104802 -3683 105102 -3682
-rect 122802 -3683 123102 -3682
-rect 140802 -3683 141102 -3682
-rect 158802 -3683 159102 -3682
-rect 176802 -3683 177102 -3682
-rect 194802 -3683 195102 -3682
-rect 212802 -3683 213102 -3682
-rect 230802 -3683 231102 -3682
-rect 248802 -3683 249102 -3682
-rect 266802 -3683 267102 -3682
-rect 284802 -3683 285102 -3682
-rect 295880 -3683 296180 -3682
+rect -1468 -632 -1168 -631
+rect 9402 -632 9702 -631
+rect 27402 -632 27702 -631
+rect 45402 -632 45702 -631
+rect 63402 -632 63702 -631
+rect 81402 -632 81702 -631
+rect 99402 -632 99702 -631
+rect 117402 -632 117702 -631
+rect 135402 -632 135702 -631
+rect 153402 -632 153702 -631
+rect 171402 -632 171702 -631
+rect 189402 -632 189702 -631
+rect 207402 -632 207702 -631
+rect 225402 -632 225702 -631
+rect 243402 -632 243702 -631
+rect 261402 -632 261702 -631
+rect 279402 -632 279702 -631
+rect 293130 -632 293430 -631
+rect -1468 -932 293430 -632
+rect -1468 -933 -1168 -932
+rect 9402 -933 9702 -932
+rect 27402 -933 27702 -932
+rect 45402 -933 45702 -932
+rect 63402 -933 63702 -932
+rect 81402 -933 81702 -932
+rect 99402 -933 99702 -932
+rect 117402 -933 117702 -932
+rect 135402 -933 135702 -932
+rect 153402 -933 153702 -932
+rect 171402 -933 171702 -932
+rect 189402 -933 189702 -932
+rect 207402 -933 207702 -932
+rect 225402 -933 225702 -932
+rect 243402 -933 243702 -932
+rect 261402 -933 261702 -932
+rect 279402 -933 279702 -932
+rect 293130 -933 293430 -932
+rect -1938 -1102 -1638 -1101
+rect 2202 -1102 2502 -1101
+rect 20202 -1102 20502 -1101
+rect 38202 -1102 38502 -1101
+rect 56202 -1102 56502 -1101
+rect 74202 -1102 74502 -1101
+rect 92202 -1102 92502 -1101
+rect 110202 -1102 110502 -1101
+rect 128202 -1102 128502 -1101
+rect 146202 -1102 146502 -1101
+rect 164202 -1102 164502 -1101
+rect 182202 -1102 182502 -1101
+rect 200202 -1102 200502 -1101
+rect 218202 -1102 218502 -1101
+rect 236202 -1102 236502 -1101
+rect 254202 -1102 254502 -1101
+rect 272202 -1102 272502 -1101
+rect 290202 -1102 290502 -1101
+rect 293600 -1102 293900 -1101
+rect -1938 -1402 293900 -1102
+rect -1938 -1403 -1638 -1402
+rect 2202 -1403 2502 -1402
+rect 20202 -1403 20502 -1402
+rect 38202 -1403 38502 -1402
+rect 56202 -1403 56502 -1402
+rect 74202 -1403 74502 -1402
+rect 92202 -1403 92502 -1402
+rect 110202 -1403 110502 -1402
+rect 128202 -1403 128502 -1402
+rect 146202 -1403 146502 -1402
+rect 164202 -1403 164502 -1402
+rect 182202 -1403 182502 -1402
+rect 200202 -1403 200502 -1402
+rect 218202 -1403 218502 -1402
+rect 236202 -1403 236502 -1402
+rect 254202 -1403 254502 -1402
+rect 272202 -1403 272502 -1402
+rect 290202 -1403 290502 -1402
+rect 293600 -1403 293900 -1402
+rect -2408 -1572 -2108 -1571
+rect 11202 -1572 11502 -1571
+rect 29202 -1572 29502 -1571
+rect 47202 -1572 47502 -1571
+rect 65202 -1572 65502 -1571
+rect 83202 -1572 83502 -1571
+rect 101202 -1572 101502 -1571
+rect 119202 -1572 119502 -1571
+rect 137202 -1572 137502 -1571
+rect 155202 -1572 155502 -1571
+rect 173202 -1572 173502 -1571
+rect 191202 -1572 191502 -1571
+rect 209202 -1572 209502 -1571
+rect 227202 -1572 227502 -1571
+rect 245202 -1572 245502 -1571
+rect 263202 -1572 263502 -1571
+rect 281202 -1572 281502 -1571
+rect 294070 -1572 294370 -1571
+rect -2408 -1872 294370 -1572
+rect -2408 -1873 -2108 -1872
+rect 11202 -1873 11502 -1872
+rect 29202 -1873 29502 -1872
+rect 47202 -1873 47502 -1872
+rect 65202 -1873 65502 -1872
+rect 83202 -1873 83502 -1872
+rect 101202 -1873 101502 -1872
+rect 119202 -1873 119502 -1872
+rect 137202 -1873 137502 -1872
+rect 155202 -1873 155502 -1872
+rect 173202 -1873 173502 -1872
+rect 191202 -1873 191502 -1872
+rect 209202 -1873 209502 -1872
+rect 227202 -1873 227502 -1872
+rect 245202 -1873 245502 -1872
+rect 263202 -1873 263502 -1872
+rect 281202 -1873 281502 -1872
+rect 294070 -1873 294370 -1872
+rect -2878 -2042 -2578 -2041
+rect 4002 -2042 4302 -2041
+rect 22002 -2042 22302 -2041
+rect 40002 -2042 40302 -2041
+rect 58002 -2042 58302 -2041
+rect 76002 -2042 76302 -2041
+rect 94002 -2042 94302 -2041
+rect 112002 -2042 112302 -2041
+rect 130002 -2042 130302 -2041
+rect 148002 -2042 148302 -2041
+rect 166002 -2042 166302 -2041
+rect 184002 -2042 184302 -2041
+rect 202002 -2042 202302 -2041
+rect 220002 -2042 220302 -2041
+rect 238002 -2042 238302 -2041
+rect 256002 -2042 256302 -2041
+rect 274002 -2042 274302 -2041
+rect 294540 -2042 294840 -2041
+rect -2878 -2342 294840 -2042
+rect -2878 -2343 -2578 -2342
+rect 4002 -2343 4302 -2342
+rect 22002 -2343 22302 -2342
+rect 40002 -2343 40302 -2342
+rect 58002 -2343 58302 -2342
+rect 76002 -2343 76302 -2342
+rect 94002 -2343 94302 -2342
+rect 112002 -2343 112302 -2342
+rect 130002 -2343 130302 -2342
+rect 148002 -2343 148302 -2342
+rect 166002 -2343 166302 -2342
+rect 184002 -2343 184302 -2342
+rect 202002 -2343 202302 -2342
+rect 220002 -2343 220302 -2342
+rect 238002 -2343 238302 -2342
+rect 256002 -2343 256302 -2342
+rect 274002 -2343 274302 -2342
+rect 294540 -2343 294840 -2342
+rect -3348 -2512 -3048 -2511
+rect 13002 -2512 13302 -2511
+rect 31002 -2512 31302 -2511
+rect 49002 -2512 49302 -2511
+rect 67002 -2512 67302 -2511
+rect 85002 -2512 85302 -2511
+rect 103002 -2512 103302 -2511
+rect 121002 -2512 121302 -2511
+rect 139002 -2512 139302 -2511
+rect 157002 -2512 157302 -2511
+rect 175002 -2512 175302 -2511
+rect 193002 -2512 193302 -2511
+rect 211002 -2512 211302 -2511
+rect 229002 -2512 229302 -2511
+rect 247002 -2512 247302 -2511
+rect 265002 -2512 265302 -2511
+rect 283002 -2512 283302 -2511
+rect 295010 -2512 295310 -2511
+rect -3348 -2812 295310 -2512
+rect -3348 -2813 -3048 -2812
+rect 13002 -2813 13302 -2812
+rect 31002 -2813 31302 -2812
+rect 49002 -2813 49302 -2812
+rect 67002 -2813 67302 -2812
+rect 85002 -2813 85302 -2812
+rect 103002 -2813 103302 -2812
+rect 121002 -2813 121302 -2812
+rect 139002 -2813 139302 -2812
+rect 157002 -2813 157302 -2812
+rect 175002 -2813 175302 -2812
+rect 193002 -2813 193302 -2812
+rect 211002 -2813 211302 -2812
+rect 229002 -2813 229302 -2812
+rect 247002 -2813 247302 -2812
+rect 265002 -2813 265302 -2812
+rect 283002 -2813 283302 -2812
+rect 295010 -2813 295310 -2812
+rect -3818 -2982 -3518 -2981
+rect 5802 -2982 6102 -2981
+rect 23802 -2982 24102 -2981
+rect 41802 -2982 42102 -2981
+rect 59802 -2982 60102 -2981
+rect 77802 -2982 78102 -2981
+rect 95802 -2982 96102 -2981
+rect 113802 -2982 114102 -2981
+rect 131802 -2982 132102 -2981
+rect 149802 -2982 150102 -2981
+rect 167802 -2982 168102 -2981
+rect 185802 -2982 186102 -2981
+rect 203802 -2982 204102 -2981
+rect 221802 -2982 222102 -2981
+rect 239802 -2982 240102 -2981
+rect 257802 -2982 258102 -2981
+rect 275802 -2982 276102 -2981
+rect 295480 -2982 295780 -2981
+rect -3818 -3282 295780 -2982
+rect -3818 -3283 -3518 -3282
+rect 5802 -3283 6102 -3282
+rect 23802 -3283 24102 -3282
+rect 41802 -3283 42102 -3282
+rect 59802 -3283 60102 -3282
+rect 77802 -3283 78102 -3282
+rect 95802 -3283 96102 -3282
+rect 113802 -3283 114102 -3282
+rect 131802 -3283 132102 -3282
+rect 149802 -3283 150102 -3282
+rect 167802 -3283 168102 -3282
+rect 185802 -3283 186102 -3282
+rect 203802 -3283 204102 -3282
+rect 221802 -3283 222102 -3282
+rect 239802 -3283 240102 -3282
+rect 257802 -3283 258102 -3282
+rect 275802 -3283 276102 -3282
+rect 295480 -3283 295780 -3282
+rect -4288 -3452 -3988 -3451
+rect 14802 -3452 15102 -3451
+rect 32802 -3452 33102 -3451
+rect 50802 -3452 51102 -3451
+rect 68802 -3452 69102 -3451
+rect 86802 -3452 87102 -3451
+rect 104802 -3452 105102 -3451
+rect 122802 -3452 123102 -3451
+rect 140802 -3452 141102 -3451
+rect 158802 -3452 159102 -3451
+rect 176802 -3452 177102 -3451
+rect 194802 -3452 195102 -3451
+rect 212802 -3452 213102 -3451
+rect 230802 -3452 231102 -3451
+rect 248802 -3452 249102 -3451
+rect 266802 -3452 267102 -3451
+rect 284802 -3452 285102 -3451
+rect 295950 -3452 296250 -3451
+rect -4288 -3752 296250 -3452
+rect -4288 -3753 -3988 -3752
+rect 14802 -3753 15102 -3752
+rect 32802 -3753 33102 -3752
+rect 50802 -3753 51102 -3752
+rect 68802 -3753 69102 -3752
+rect 86802 -3753 87102 -3752
+rect 104802 -3753 105102 -3752
+rect 122802 -3753 123102 -3752
+rect 140802 -3753 141102 -3752
+rect 158802 -3753 159102 -3752
+rect 176802 -3753 177102 -3752
+rect 194802 -3753 195102 -3752
+rect 212802 -3753 213102 -3752
+rect 230802 -3753 231102 -3752
+rect 248802 -3753 249102 -3752
+rect 266802 -3753 267102 -3752
+rect 284802 -3753 285102 -3752
+rect 295950 -3753 296250 -3752
 << labels >>
 rlabel metal3 s 291760 2898 292480 3018 6 analog_io[0]
 port 1 nsew default bidirectional
@@ -3808,9 +3808,9 @@
 port 637 nsew default input
 rlabel metal5 s -998 937 -698 938 4 vccd1
 port 637 nsew default input
-rlabel metal5 s 291760 938 293420 1238 6 vccd1
+rlabel metal5 s 291760 938 293430 1238 6 vccd1
 port 637 nsew default input
-rlabel metal5 s -1458 938 240 1238 4 vccd1
+rlabel metal5 s -1468 938 240 1238 4 vccd1
 port 637 nsew default input
 rlabel metal5 s 292660 1238 292960 1239 6 vccd1
 port 637 nsew default input
@@ -3820,9 +3820,9 @@
 port 637 nsew default input
 rlabel metal5 s -998 18937 -698 18938 4 vccd1
 port 637 nsew default input
-rlabel metal5 s 291760 18938 293420 19238 6 vccd1
+rlabel metal5 s 291760 18938 293430 19238 6 vccd1
 port 637 nsew default input
-rlabel metal5 s -1458 18938 240 19238 4 vccd1
+rlabel metal5 s -1468 18938 240 19238 4 vccd1
 port 637 nsew default input
 rlabel metal5 s 292660 19238 292960 19239 6 vccd1
 port 637 nsew default input
@@ -3832,9 +3832,9 @@
 port 637 nsew default input
 rlabel metal5 s -998 36937 -698 36938 4 vccd1
 port 637 nsew default input
-rlabel metal5 s 291760 36938 293420 37238 6 vccd1
+rlabel metal5 s 291760 36938 293430 37238 6 vccd1
 port 637 nsew default input
-rlabel metal5 s -1458 36938 240 37238 4 vccd1
+rlabel metal5 s -1468 36938 240 37238 4 vccd1
 port 637 nsew default input
 rlabel metal5 s 292660 37238 292960 37239 6 vccd1
 port 637 nsew default input
@@ -3844,9 +3844,9 @@
 port 637 nsew default input
 rlabel metal5 s -998 54937 -698 54938 4 vccd1
 port 637 nsew default input
-rlabel metal5 s 291760 54938 293420 55238 6 vccd1
+rlabel metal5 s 291760 54938 293430 55238 6 vccd1
 port 637 nsew default input
-rlabel metal5 s -1458 54938 240 55238 4 vccd1
+rlabel metal5 s -1468 54938 240 55238 4 vccd1
 port 637 nsew default input
 rlabel metal5 s 292660 55238 292960 55239 6 vccd1
 port 637 nsew default input
@@ -3856,9 +3856,9 @@
 port 637 nsew default input
 rlabel metal5 s -998 72937 -698 72938 4 vccd1
 port 637 nsew default input
-rlabel metal5 s 291760 72938 293420 73238 6 vccd1
+rlabel metal5 s 291760 72938 293430 73238 6 vccd1
 port 637 nsew default input
-rlabel metal5 s -1458 72938 240 73238 4 vccd1
+rlabel metal5 s -1468 72938 240 73238 4 vccd1
 port 637 nsew default input
 rlabel metal5 s 292660 73238 292960 73239 6 vccd1
 port 637 nsew default input
@@ -3868,9 +3868,9 @@
 port 637 nsew default input
 rlabel metal5 s -998 90937 -698 90938 4 vccd1
 port 637 nsew default input
-rlabel metal5 s 291760 90938 293420 91238 6 vccd1
+rlabel metal5 s 291760 90938 293430 91238 6 vccd1
 port 637 nsew default input
-rlabel metal5 s -1458 90938 240 91238 4 vccd1
+rlabel metal5 s -1468 90938 240 91238 4 vccd1
 port 637 nsew default input
 rlabel metal5 s 292660 91238 292960 91239 6 vccd1
 port 637 nsew default input
@@ -3880,9 +3880,9 @@
 port 637 nsew default input
 rlabel metal5 s -998 108937 -698 108938 4 vccd1
 port 637 nsew default input
-rlabel metal5 s 291760 108938 293420 109238 6 vccd1
+rlabel metal5 s 291760 108938 293430 109238 6 vccd1
 port 637 nsew default input
-rlabel metal5 s -1458 108938 240 109238 4 vccd1
+rlabel metal5 s -1468 108938 240 109238 4 vccd1
 port 637 nsew default input
 rlabel metal5 s 292660 109238 292960 109239 6 vccd1
 port 637 nsew default input
@@ -3892,9 +3892,9 @@
 port 637 nsew default input
 rlabel metal5 s -998 126937 -698 126938 4 vccd1
 port 637 nsew default input
-rlabel metal5 s 291760 126938 293420 127238 6 vccd1
+rlabel metal5 s 291760 126938 293430 127238 6 vccd1
 port 637 nsew default input
-rlabel metal5 s -1458 126938 240 127238 4 vccd1
+rlabel metal5 s -1468 126938 240 127238 4 vccd1
 port 637 nsew default input
 rlabel metal5 s 292660 127238 292960 127239 6 vccd1
 port 637 nsew default input
@@ -3904,9 +3904,9 @@
 port 637 nsew default input
 rlabel metal5 s -998 144937 -698 144938 4 vccd1
 port 637 nsew default input
-rlabel metal5 s 291760 144938 293420 145238 6 vccd1
+rlabel metal5 s 291760 144938 293430 145238 6 vccd1
 port 637 nsew default input
-rlabel metal5 s -1458 144938 240 145238 4 vccd1
+rlabel metal5 s -1468 144938 240 145238 4 vccd1
 port 637 nsew default input
 rlabel metal5 s 292660 145238 292960 145239 6 vccd1
 port 637 nsew default input
@@ -3916,9 +3916,9 @@
 port 637 nsew default input
 rlabel metal5 s -998 162937 -698 162938 4 vccd1
 port 637 nsew default input
-rlabel metal5 s 291760 162938 293420 163238 6 vccd1
+rlabel metal5 s 291760 162938 293430 163238 6 vccd1
 port 637 nsew default input
-rlabel metal5 s -1458 162938 240 163238 4 vccd1
+rlabel metal5 s -1468 162938 240 163238 4 vccd1
 port 637 nsew default input
 rlabel metal5 s 292660 163238 292960 163239 6 vccd1
 port 637 nsew default input
@@ -3928,9 +3928,9 @@
 port 637 nsew default input
 rlabel metal5 s -998 180937 -698 180938 4 vccd1
 port 637 nsew default input
-rlabel metal5 s 291760 180938 293420 181238 6 vccd1
+rlabel metal5 s 291760 180938 293430 181238 6 vccd1
 port 637 nsew default input
-rlabel metal5 s -1458 180938 240 181238 4 vccd1
+rlabel metal5 s -1468 180938 240 181238 4 vccd1
 port 637 nsew default input
 rlabel metal5 s 292660 181238 292960 181239 6 vccd1
 port 637 nsew default input
@@ -3940,9 +3940,9 @@
 port 637 nsew default input
 rlabel metal5 s -998 198937 -698 198938 4 vccd1
 port 637 nsew default input
-rlabel metal5 s 291760 198938 293420 199238 6 vccd1
+rlabel metal5 s 291760 198938 293430 199238 6 vccd1
 port 637 nsew default input
-rlabel metal5 s -1458 198938 240 199238 4 vccd1
+rlabel metal5 s -1468 198938 240 199238 4 vccd1
 port 637 nsew default input
 rlabel metal5 s 292660 199238 292960 199239 6 vccd1
 port 637 nsew default input
@@ -3952,9 +3952,9 @@
 port 637 nsew default input
 rlabel metal5 s -998 216937 -698 216938 4 vccd1
 port 637 nsew default input
-rlabel metal5 s 291760 216938 293420 217238 6 vccd1
+rlabel metal5 s 291760 216938 293430 217238 6 vccd1
 port 637 nsew default input
-rlabel metal5 s -1458 216938 240 217238 4 vccd1
+rlabel metal5 s -1468 216938 240 217238 4 vccd1
 port 637 nsew default input
 rlabel metal5 s 292660 217238 292960 217239 6 vccd1
 port 637 nsew default input
@@ -3964,9 +3964,9 @@
 port 637 nsew default input
 rlabel metal5 s -998 234937 -698 234938 4 vccd1
 port 637 nsew default input
-rlabel metal5 s 291760 234938 293420 235238 6 vccd1
+rlabel metal5 s 291760 234938 293430 235238 6 vccd1
 port 637 nsew default input
-rlabel metal5 s -1458 234938 240 235238 4 vccd1
+rlabel metal5 s -1468 234938 240 235238 4 vccd1
 port 637 nsew default input
 rlabel metal5 s 292660 235238 292960 235239 6 vccd1
 port 637 nsew default input
@@ -3976,9 +3976,9 @@
 port 637 nsew default input
 rlabel metal5 s -998 252937 -698 252938 4 vccd1
 port 637 nsew default input
-rlabel metal5 s 291760 252938 293420 253238 6 vccd1
+rlabel metal5 s 291760 252938 293430 253238 6 vccd1
 port 637 nsew default input
-rlabel metal5 s -1458 252938 240 253238 4 vccd1
+rlabel metal5 s -1468 252938 240 253238 4 vccd1
 port 637 nsew default input
 rlabel metal5 s 292660 253238 292960 253239 6 vccd1
 port 637 nsew default input
@@ -3988,9 +3988,9 @@
 port 637 nsew default input
 rlabel metal5 s -998 270937 -698 270938 4 vccd1
 port 637 nsew default input
-rlabel metal5 s 291760 270938 293420 271238 6 vccd1
+rlabel metal5 s 291760 270938 293430 271238 6 vccd1
 port 637 nsew default input
-rlabel metal5 s -1458 270938 240 271238 4 vccd1
+rlabel metal5 s -1468 270938 240 271238 4 vccd1
 port 637 nsew default input
 rlabel metal5 s 292660 271238 292960 271239 6 vccd1
 port 637 nsew default input
@@ -4000,9 +4000,9 @@
 port 637 nsew default input
 rlabel metal5 s -998 288937 -698 288938 4 vccd1
 port 637 nsew default input
-rlabel metal5 s 291760 288938 293420 289238 6 vccd1
+rlabel metal5 s 291760 288938 293430 289238 6 vccd1
 port 637 nsew default input
-rlabel metal5 s -1458 288938 240 289238 4 vccd1
+rlabel metal5 s -1468 288938 240 289238 4 vccd1
 port 637 nsew default input
 rlabel metal5 s 292660 289238 292960 289239 6 vccd1
 port 637 nsew default input
@@ -4012,9 +4012,9 @@
 port 637 nsew default input
 rlabel metal5 s -998 306937 -698 306938 4 vccd1
 port 637 nsew default input
-rlabel metal5 s 291760 306938 293420 307238 6 vccd1
+rlabel metal5 s 291760 306938 293430 307238 6 vccd1
 port 637 nsew default input
-rlabel metal5 s -1458 306938 240 307238 4 vccd1
+rlabel metal5 s -1468 306938 240 307238 4 vccd1
 port 637 nsew default input
 rlabel metal5 s 292660 307238 292960 307239 6 vccd1
 port 637 nsew default input
@@ -4024,9 +4024,9 @@
 port 637 nsew default input
 rlabel metal5 s -998 324937 -698 324938 4 vccd1
 port 637 nsew default input
-rlabel metal5 s 291760 324938 293420 325238 6 vccd1
+rlabel metal5 s 291760 324938 293430 325238 6 vccd1
 port 637 nsew default input
-rlabel metal5 s -1458 324938 240 325238 4 vccd1
+rlabel metal5 s -1468 324938 240 325238 4 vccd1
 port 637 nsew default input
 rlabel metal5 s 292660 325238 292960 325239 6 vccd1
 port 637 nsew default input
@@ -4036,9 +4036,9 @@
 port 637 nsew default input
 rlabel metal5 s -998 342937 -698 342938 4 vccd1
 port 637 nsew default input
-rlabel metal5 s 291760 342938 293420 343238 6 vccd1
+rlabel metal5 s 291760 342938 293430 343238 6 vccd1
 port 637 nsew default input
-rlabel metal5 s -1458 342938 240 343238 4 vccd1
+rlabel metal5 s -1468 342938 240 343238 4 vccd1
 port 637 nsew default input
 rlabel metal5 s 292660 343238 292960 343239 6 vccd1
 port 637 nsew default input
@@ -4124,3238 +4124,3238 @@
 port 637 nsew default input
 rlabel metal4 s 292660 -462 292960 352430 6 vccd1
 port 637 nsew default input
-rlabel metal4 s 288402 -922 288702 240 8 vccd1
+rlabel metal4 s 288402 -932 288702 240 8 vccd1
 port 637 nsew default input
-rlabel metal4 s 270402 -922 270702 240 8 vccd1
+rlabel metal4 s 270402 -932 270702 240 8 vccd1
 port 637 nsew default input
-rlabel metal4 s 252402 -922 252702 240 8 vccd1
+rlabel metal4 s 252402 -932 252702 240 8 vccd1
 port 637 nsew default input
-rlabel metal4 s 234402 -922 234702 240 8 vccd1
+rlabel metal4 s 234402 -932 234702 240 8 vccd1
 port 637 nsew default input
-rlabel metal4 s 216402 -922 216702 240 8 vccd1
+rlabel metal4 s 216402 -932 216702 240 8 vccd1
 port 637 nsew default input
-rlabel metal4 s 198402 -922 198702 240 8 vccd1
+rlabel metal4 s 198402 -932 198702 240 8 vccd1
 port 637 nsew default input
-rlabel metal4 s 180402 -922 180702 240 8 vccd1
+rlabel metal4 s 180402 -932 180702 240 8 vccd1
 port 637 nsew default input
-rlabel metal4 s 162402 -922 162702 240 8 vccd1
+rlabel metal4 s 162402 -932 162702 240 8 vccd1
 port 637 nsew default input
-rlabel metal4 s 144402 -922 144702 240 8 vccd1
+rlabel metal4 s 144402 -932 144702 240 8 vccd1
 port 637 nsew default input
-rlabel metal4 s 126402 -922 126702 240 8 vccd1
+rlabel metal4 s 126402 -932 126702 240 8 vccd1
 port 637 nsew default input
-rlabel metal4 s 108402 -922 108702 240 8 vccd1
+rlabel metal4 s 108402 -932 108702 240 8 vccd1
 port 637 nsew default input
-rlabel metal4 s 90402 -922 90702 240 8 vccd1
+rlabel metal4 s 90402 -932 90702 240 8 vccd1
 port 637 nsew default input
-rlabel metal4 s 72402 -922 72702 240 8 vccd1
+rlabel metal4 s 72402 -932 72702 240 8 vccd1
 port 637 nsew default input
-rlabel metal4 s 54402 -922 54702 240 8 vccd1
+rlabel metal4 s 54402 -932 54702 240 8 vccd1
 port 637 nsew default input
-rlabel metal4 s 36402 -922 36702 240 8 vccd1
+rlabel metal4 s 36402 -932 36702 240 8 vccd1
 port 637 nsew default input
-rlabel metal4 s 18402 -922 18702 240 8 vccd1
+rlabel metal4 s 18402 -932 18702 240 8 vccd1
 port 637 nsew default input
-rlabel metal4 s 402 -922 702 240 8 vccd1
+rlabel metal4 s 402 -932 702 240 8 vccd1
 port 637 nsew default input
-rlabel metal4 s 288402 351760 288702 352890 6 vccd1
+rlabel metal4 s 288402 351760 288702 352900 6 vccd1
 port 637 nsew default input
-rlabel metal4 s 270402 351760 270702 352890 6 vccd1
+rlabel metal4 s 270402 351760 270702 352900 6 vccd1
 port 637 nsew default input
-rlabel metal4 s 252402 351760 252702 352890 6 vccd1
+rlabel metal4 s 252402 351760 252702 352900 6 vccd1
 port 637 nsew default input
-rlabel metal4 s 234402 351760 234702 352890 6 vccd1
+rlabel metal4 s 234402 351760 234702 352900 6 vccd1
 port 637 nsew default input
-rlabel metal4 s 216402 351760 216702 352890 6 vccd1
+rlabel metal4 s 216402 351760 216702 352900 6 vccd1
 port 637 nsew default input
-rlabel metal4 s 198402 351760 198702 352890 6 vccd1
+rlabel metal4 s 198402 351760 198702 352900 6 vccd1
 port 637 nsew default input
-rlabel metal4 s 180402 351760 180702 352890 6 vccd1
+rlabel metal4 s 180402 351760 180702 352900 6 vccd1
 port 637 nsew default input
-rlabel metal4 s 162402 351760 162702 352890 6 vccd1
+rlabel metal4 s 162402 351760 162702 352900 6 vccd1
 port 637 nsew default input
-rlabel metal4 s 144402 351760 144702 352890 6 vccd1
+rlabel metal4 s 144402 351760 144702 352900 6 vccd1
 port 637 nsew default input
-rlabel metal4 s 126402 351760 126702 352890 6 vccd1
+rlabel metal4 s 126402 351760 126702 352900 6 vccd1
 port 637 nsew default input
-rlabel metal4 s 108402 351760 108702 352890 6 vccd1
+rlabel metal4 s 108402 351760 108702 352900 6 vccd1
 port 637 nsew default input
-rlabel metal4 s 90402 351760 90702 352890 6 vccd1
+rlabel metal4 s 90402 351760 90702 352900 6 vccd1
 port 637 nsew default input
-rlabel metal4 s 72402 351760 72702 352890 6 vccd1
+rlabel metal4 s 72402 351760 72702 352900 6 vccd1
 port 637 nsew default input
-rlabel metal4 s 54402 351760 54702 352890 6 vccd1
+rlabel metal4 s 54402 351760 54702 352900 6 vccd1
 port 637 nsew default input
-rlabel metal4 s 36402 351760 36702 352890 6 vccd1
+rlabel metal4 s 36402 351760 36702 352900 6 vccd1
 port 637 nsew default input
-rlabel metal4 s 18402 351760 18702 352890 6 vccd1
+rlabel metal4 s 18402 351760 18702 352900 6 vccd1
 port 637 nsew default input
-rlabel metal4 s 402 351760 702 352890 6 vccd1
+rlabel metal4 s 402 351760 702 352900 6 vccd1
 port 637 nsew default input
 rlabel metal4 s -998 -462 -698 352430 4 vccd1
 port 637 nsew default input
-rlabel metal5 s 293120 -923 293420 -922 8 vssd1
+rlabel metal5 s 293130 -933 293430 -932 8 vssd1
 port 638 nsew default input
-rlabel metal5 s 279402 -923 279702 -922 8 vssd1
+rlabel metal5 s 279402 -933 279702 -932 8 vssd1
 port 638 nsew default input
-rlabel metal5 s 261402 -923 261702 -922 8 vssd1
+rlabel metal5 s 261402 -933 261702 -932 8 vssd1
 port 638 nsew default input
-rlabel metal5 s 243402 -923 243702 -922 8 vssd1
+rlabel metal5 s 243402 -933 243702 -932 8 vssd1
 port 638 nsew default input
-rlabel metal5 s 225402 -923 225702 -922 8 vssd1
+rlabel metal5 s 225402 -933 225702 -932 8 vssd1
 port 638 nsew default input
-rlabel metal5 s 207402 -923 207702 -922 8 vssd1
+rlabel metal5 s 207402 -933 207702 -932 8 vssd1
 port 638 nsew default input
-rlabel metal5 s 189402 -923 189702 -922 8 vssd1
+rlabel metal5 s 189402 -933 189702 -932 8 vssd1
 port 638 nsew default input
-rlabel metal5 s 171402 -923 171702 -922 8 vssd1
+rlabel metal5 s 171402 -933 171702 -932 8 vssd1
 port 638 nsew default input
-rlabel metal5 s 153402 -923 153702 -922 8 vssd1
+rlabel metal5 s 153402 -933 153702 -932 8 vssd1
 port 638 nsew default input
-rlabel metal5 s 135402 -923 135702 -922 8 vssd1
+rlabel metal5 s 135402 -933 135702 -932 8 vssd1
 port 638 nsew default input
-rlabel metal5 s 117402 -923 117702 -922 8 vssd1
+rlabel metal5 s 117402 -933 117702 -932 8 vssd1
 port 638 nsew default input
-rlabel metal5 s 99402 -923 99702 -922 8 vssd1
+rlabel metal5 s 99402 -933 99702 -932 8 vssd1
 port 638 nsew default input
-rlabel metal5 s 81402 -923 81702 -922 8 vssd1
+rlabel metal5 s 81402 -933 81702 -932 8 vssd1
 port 638 nsew default input
-rlabel metal5 s 63402 -923 63702 -922 8 vssd1
+rlabel metal5 s 63402 -933 63702 -932 8 vssd1
 port 638 nsew default input
-rlabel metal5 s 45402 -923 45702 -922 8 vssd1
+rlabel metal5 s 45402 -933 45702 -932 8 vssd1
 port 638 nsew default input
-rlabel metal5 s 27402 -923 27702 -922 8 vssd1
+rlabel metal5 s 27402 -933 27702 -932 8 vssd1
 port 638 nsew default input
-rlabel metal5 s 9402 -923 9702 -922 8 vssd1
+rlabel metal5 s 9402 -933 9702 -932 8 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 -923 -1158 -922 2 vssd1
+rlabel metal5 s -1468 -933 -1168 -932 2 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 -922 293420 -622 8 vssd1
+rlabel metal5 s -1468 -932 293430 -632 8 vssd1
 port 638 nsew default input
-rlabel metal5 s 293120 -622 293420 -621 8 vssd1
+rlabel metal5 s 293130 -632 293430 -631 8 vssd1
 port 638 nsew default input
-rlabel metal5 s 279402 -622 279702 -621 8 vssd1
+rlabel metal5 s 279402 -632 279702 -631 8 vssd1
 port 638 nsew default input
-rlabel metal5 s 261402 -622 261702 -621 8 vssd1
+rlabel metal5 s 261402 -632 261702 -631 8 vssd1
 port 638 nsew default input
-rlabel metal5 s 243402 -622 243702 -621 8 vssd1
+rlabel metal5 s 243402 -632 243702 -631 8 vssd1
 port 638 nsew default input
-rlabel metal5 s 225402 -622 225702 -621 8 vssd1
+rlabel metal5 s 225402 -632 225702 -631 8 vssd1
 port 638 nsew default input
-rlabel metal5 s 207402 -622 207702 -621 8 vssd1
+rlabel metal5 s 207402 -632 207702 -631 8 vssd1
 port 638 nsew default input
-rlabel metal5 s 189402 -622 189702 -621 8 vssd1
+rlabel metal5 s 189402 -632 189702 -631 8 vssd1
 port 638 nsew default input
-rlabel metal5 s 171402 -622 171702 -621 8 vssd1
+rlabel metal5 s 171402 -632 171702 -631 8 vssd1
 port 638 nsew default input
-rlabel metal5 s 153402 -622 153702 -621 8 vssd1
+rlabel metal5 s 153402 -632 153702 -631 8 vssd1
 port 638 nsew default input
-rlabel metal5 s 135402 -622 135702 -621 8 vssd1
+rlabel metal5 s 135402 -632 135702 -631 8 vssd1
 port 638 nsew default input
-rlabel metal5 s 117402 -622 117702 -621 8 vssd1
+rlabel metal5 s 117402 -632 117702 -631 8 vssd1
 port 638 nsew default input
-rlabel metal5 s 99402 -622 99702 -621 8 vssd1
+rlabel metal5 s 99402 -632 99702 -631 8 vssd1
 port 638 nsew default input
-rlabel metal5 s 81402 -622 81702 -621 8 vssd1
+rlabel metal5 s 81402 -632 81702 -631 8 vssd1
 port 638 nsew default input
-rlabel metal5 s 63402 -622 63702 -621 8 vssd1
+rlabel metal5 s 63402 -632 63702 -631 8 vssd1
 port 638 nsew default input
-rlabel metal5 s 45402 -622 45702 -621 8 vssd1
+rlabel metal5 s 45402 -632 45702 -631 8 vssd1
 port 638 nsew default input
-rlabel metal5 s 27402 -622 27702 -621 8 vssd1
+rlabel metal5 s 27402 -632 27702 -631 8 vssd1
 port 638 nsew default input
-rlabel metal5 s 9402 -622 9702 -621 8 vssd1
+rlabel metal5 s 9402 -632 9702 -631 8 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 -622 -1158 -621 2 vssd1
+rlabel metal5 s -1468 -632 -1168 -631 2 vssd1
 port 638 nsew default input
-rlabel metal5 s 293120 9937 293420 9938 6 vssd1
+rlabel metal5 s 293130 9937 293430 9938 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 9937 -1158 9938 4 vssd1
+rlabel metal5 s -1468 9937 -1168 9938 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 291760 9938 293420 10238 6 vssd1
+rlabel metal5 s 291760 9938 293430 10238 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 9938 240 10238 4 vssd1
+rlabel metal5 s -1468 9938 240 10238 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 293120 10238 293420 10239 6 vssd1
+rlabel metal5 s 293130 10238 293430 10239 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 10238 -1158 10239 4 vssd1
+rlabel metal5 s -1468 10238 -1168 10239 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 293120 27937 293420 27938 6 vssd1
+rlabel metal5 s 293130 27937 293430 27938 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 27937 -1158 27938 4 vssd1
+rlabel metal5 s -1468 27937 -1168 27938 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 291760 27938 293420 28238 6 vssd1
+rlabel metal5 s 291760 27938 293430 28238 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 27938 240 28238 4 vssd1
+rlabel metal5 s -1468 27938 240 28238 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 293120 28238 293420 28239 6 vssd1
+rlabel metal5 s 293130 28238 293430 28239 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 28238 -1158 28239 4 vssd1
+rlabel metal5 s -1468 28238 -1168 28239 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 293120 45937 293420 45938 6 vssd1
+rlabel metal5 s 293130 45937 293430 45938 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 45937 -1158 45938 4 vssd1
+rlabel metal5 s -1468 45937 -1168 45938 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 291760 45938 293420 46238 6 vssd1
+rlabel metal5 s 291760 45938 293430 46238 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 45938 240 46238 4 vssd1
+rlabel metal5 s -1468 45938 240 46238 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 293120 46238 293420 46239 6 vssd1
+rlabel metal5 s 293130 46238 293430 46239 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 46238 -1158 46239 4 vssd1
+rlabel metal5 s -1468 46238 -1168 46239 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 293120 63937 293420 63938 6 vssd1
+rlabel metal5 s 293130 63937 293430 63938 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 63937 -1158 63938 4 vssd1
+rlabel metal5 s -1468 63937 -1168 63938 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 291760 63938 293420 64238 6 vssd1
+rlabel metal5 s 291760 63938 293430 64238 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 63938 240 64238 4 vssd1
+rlabel metal5 s -1468 63938 240 64238 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 293120 64238 293420 64239 6 vssd1
+rlabel metal5 s 293130 64238 293430 64239 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 64238 -1158 64239 4 vssd1
+rlabel metal5 s -1468 64238 -1168 64239 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 293120 81937 293420 81938 6 vssd1
+rlabel metal5 s 293130 81937 293430 81938 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 81937 -1158 81938 4 vssd1
+rlabel metal5 s -1468 81937 -1168 81938 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 291760 81938 293420 82238 6 vssd1
+rlabel metal5 s 291760 81938 293430 82238 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 81938 240 82238 4 vssd1
+rlabel metal5 s -1468 81938 240 82238 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 293120 82238 293420 82239 6 vssd1
+rlabel metal5 s 293130 82238 293430 82239 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 82238 -1158 82239 4 vssd1
+rlabel metal5 s -1468 82238 -1168 82239 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 293120 99937 293420 99938 6 vssd1
+rlabel metal5 s 293130 99937 293430 99938 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 99937 -1158 99938 4 vssd1
+rlabel metal5 s -1468 99937 -1168 99938 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 291760 99938 293420 100238 6 vssd1
+rlabel metal5 s 291760 99938 293430 100238 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 99938 240 100238 4 vssd1
+rlabel metal5 s -1468 99938 240 100238 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 293120 100238 293420 100239 6 vssd1
+rlabel metal5 s 293130 100238 293430 100239 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 100238 -1158 100239 4 vssd1
+rlabel metal5 s -1468 100238 -1168 100239 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 293120 117937 293420 117938 6 vssd1
+rlabel metal5 s 293130 117937 293430 117938 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 117937 -1158 117938 4 vssd1
+rlabel metal5 s -1468 117937 -1168 117938 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 291760 117938 293420 118238 6 vssd1
+rlabel metal5 s 291760 117938 293430 118238 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 117938 240 118238 4 vssd1
+rlabel metal5 s -1468 117938 240 118238 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 293120 118238 293420 118239 6 vssd1
+rlabel metal5 s 293130 118238 293430 118239 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 118238 -1158 118239 4 vssd1
+rlabel metal5 s -1468 118238 -1168 118239 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 293120 135937 293420 135938 6 vssd1
+rlabel metal5 s 293130 135937 293430 135938 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 135937 -1158 135938 4 vssd1
+rlabel metal5 s -1468 135937 -1168 135938 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 291760 135938 293420 136238 6 vssd1
+rlabel metal5 s 291760 135938 293430 136238 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 135938 240 136238 4 vssd1
+rlabel metal5 s -1468 135938 240 136238 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 293120 136238 293420 136239 6 vssd1
+rlabel metal5 s 293130 136238 293430 136239 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 136238 -1158 136239 4 vssd1
+rlabel metal5 s -1468 136238 -1168 136239 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 293120 153937 293420 153938 6 vssd1
+rlabel metal5 s 293130 153937 293430 153938 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 153937 -1158 153938 4 vssd1
+rlabel metal5 s -1468 153937 -1168 153938 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 291760 153938 293420 154238 6 vssd1
+rlabel metal5 s 291760 153938 293430 154238 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 153938 240 154238 4 vssd1
+rlabel metal5 s -1468 153938 240 154238 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 293120 154238 293420 154239 6 vssd1
+rlabel metal5 s 293130 154238 293430 154239 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 154238 -1158 154239 4 vssd1
+rlabel metal5 s -1468 154238 -1168 154239 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 293120 171937 293420 171938 6 vssd1
+rlabel metal5 s 293130 171937 293430 171938 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 171937 -1158 171938 4 vssd1
+rlabel metal5 s -1468 171937 -1168 171938 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 291760 171938 293420 172238 6 vssd1
+rlabel metal5 s 291760 171938 293430 172238 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 171938 240 172238 4 vssd1
+rlabel metal5 s -1468 171938 240 172238 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 293120 172238 293420 172239 6 vssd1
+rlabel metal5 s 293130 172238 293430 172239 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 172238 -1158 172239 4 vssd1
+rlabel metal5 s -1468 172238 -1168 172239 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 293120 189937 293420 189938 6 vssd1
+rlabel metal5 s 293130 189937 293430 189938 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 189937 -1158 189938 4 vssd1
+rlabel metal5 s -1468 189937 -1168 189938 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 291760 189938 293420 190238 6 vssd1
+rlabel metal5 s 291760 189938 293430 190238 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 189938 240 190238 4 vssd1
+rlabel metal5 s -1468 189938 240 190238 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 293120 190238 293420 190239 6 vssd1
+rlabel metal5 s 293130 190238 293430 190239 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 190238 -1158 190239 4 vssd1
+rlabel metal5 s -1468 190238 -1168 190239 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 293120 207937 293420 207938 6 vssd1
+rlabel metal5 s 293130 207937 293430 207938 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 207937 -1158 207938 4 vssd1
+rlabel metal5 s -1468 207937 -1168 207938 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 291760 207938 293420 208238 6 vssd1
+rlabel metal5 s 291760 207938 293430 208238 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 207938 240 208238 4 vssd1
+rlabel metal5 s -1468 207938 240 208238 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 293120 208238 293420 208239 6 vssd1
+rlabel metal5 s 293130 208238 293430 208239 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 208238 -1158 208239 4 vssd1
+rlabel metal5 s -1468 208238 -1168 208239 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 293120 225937 293420 225938 6 vssd1
+rlabel metal5 s 293130 225937 293430 225938 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 225937 -1158 225938 4 vssd1
+rlabel metal5 s -1468 225937 -1168 225938 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 291760 225938 293420 226238 6 vssd1
+rlabel metal5 s 291760 225938 293430 226238 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 225938 240 226238 4 vssd1
+rlabel metal5 s -1468 225938 240 226238 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 293120 226238 293420 226239 6 vssd1
+rlabel metal5 s 293130 226238 293430 226239 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 226238 -1158 226239 4 vssd1
+rlabel metal5 s -1468 226238 -1168 226239 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 293120 243937 293420 243938 6 vssd1
+rlabel metal5 s 293130 243937 293430 243938 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 243937 -1158 243938 4 vssd1
+rlabel metal5 s -1468 243937 -1168 243938 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 291760 243938 293420 244238 6 vssd1
+rlabel metal5 s 291760 243938 293430 244238 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 243938 240 244238 4 vssd1
+rlabel metal5 s -1468 243938 240 244238 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 293120 244238 293420 244239 6 vssd1
+rlabel metal5 s 293130 244238 293430 244239 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 244238 -1158 244239 4 vssd1
+rlabel metal5 s -1468 244238 -1168 244239 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 293120 261937 293420 261938 6 vssd1
+rlabel metal5 s 293130 261937 293430 261938 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 261937 -1158 261938 4 vssd1
+rlabel metal5 s -1468 261937 -1168 261938 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 291760 261938 293420 262238 6 vssd1
+rlabel metal5 s 291760 261938 293430 262238 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 261938 240 262238 4 vssd1
+rlabel metal5 s -1468 261938 240 262238 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 293120 262238 293420 262239 6 vssd1
+rlabel metal5 s 293130 262238 293430 262239 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 262238 -1158 262239 4 vssd1
+rlabel metal5 s -1468 262238 -1168 262239 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 293120 279937 293420 279938 6 vssd1
+rlabel metal5 s 293130 279937 293430 279938 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 279937 -1158 279938 4 vssd1
+rlabel metal5 s -1468 279937 -1168 279938 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 291760 279938 293420 280238 6 vssd1
+rlabel metal5 s 291760 279938 293430 280238 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 279938 240 280238 4 vssd1
+rlabel metal5 s -1468 279938 240 280238 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 293120 280238 293420 280239 6 vssd1
+rlabel metal5 s 293130 280238 293430 280239 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 280238 -1158 280239 4 vssd1
+rlabel metal5 s -1468 280238 -1168 280239 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 293120 297937 293420 297938 6 vssd1
+rlabel metal5 s 293130 297937 293430 297938 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 297937 -1158 297938 4 vssd1
+rlabel metal5 s -1468 297937 -1168 297938 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 291760 297938 293420 298238 6 vssd1
+rlabel metal5 s 291760 297938 293430 298238 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 297938 240 298238 4 vssd1
+rlabel metal5 s -1468 297938 240 298238 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 293120 298238 293420 298239 6 vssd1
+rlabel metal5 s 293130 298238 293430 298239 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 298238 -1158 298239 4 vssd1
+rlabel metal5 s -1468 298238 -1168 298239 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 293120 315937 293420 315938 6 vssd1
+rlabel metal5 s 293130 315937 293430 315938 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 315937 -1158 315938 4 vssd1
+rlabel metal5 s -1468 315937 -1168 315938 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 291760 315938 293420 316238 6 vssd1
+rlabel metal5 s 291760 315938 293430 316238 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 315938 240 316238 4 vssd1
+rlabel metal5 s -1468 315938 240 316238 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 293120 316238 293420 316239 6 vssd1
+rlabel metal5 s 293130 316238 293430 316239 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 316238 -1158 316239 4 vssd1
+rlabel metal5 s -1468 316238 -1168 316239 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 293120 333937 293420 333938 6 vssd1
+rlabel metal5 s 293130 333937 293430 333938 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 333937 -1158 333938 4 vssd1
+rlabel metal5 s -1468 333937 -1168 333938 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 291760 333938 293420 334238 6 vssd1
+rlabel metal5 s 291760 333938 293430 334238 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 333938 240 334238 4 vssd1
+rlabel metal5 s -1468 333938 240 334238 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 293120 334238 293420 334239 6 vssd1
+rlabel metal5 s 293130 334238 293430 334239 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 334238 -1158 334239 4 vssd1
+rlabel metal5 s -1468 334238 -1168 334239 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 293120 352589 293420 352590 6 vssd1
+rlabel metal5 s 293130 352599 293430 352600 6 vssd1
 port 638 nsew default input
-rlabel metal5 s 279402 352589 279702 352590 6 vssd1
+rlabel metal5 s 279402 352599 279702 352600 6 vssd1
 port 638 nsew default input
-rlabel metal5 s 261402 352589 261702 352590 6 vssd1
+rlabel metal5 s 261402 352599 261702 352600 6 vssd1
 port 638 nsew default input
-rlabel metal5 s 243402 352589 243702 352590 6 vssd1
+rlabel metal5 s 243402 352599 243702 352600 6 vssd1
 port 638 nsew default input
-rlabel metal5 s 225402 352589 225702 352590 6 vssd1
+rlabel metal5 s 225402 352599 225702 352600 6 vssd1
 port 638 nsew default input
-rlabel metal5 s 207402 352589 207702 352590 6 vssd1
+rlabel metal5 s 207402 352599 207702 352600 6 vssd1
 port 638 nsew default input
-rlabel metal5 s 189402 352589 189702 352590 6 vssd1
+rlabel metal5 s 189402 352599 189702 352600 6 vssd1
 port 638 nsew default input
-rlabel metal5 s 171402 352589 171702 352590 6 vssd1
+rlabel metal5 s 171402 352599 171702 352600 6 vssd1
 port 638 nsew default input
-rlabel metal5 s 153402 352589 153702 352590 6 vssd1
+rlabel metal5 s 153402 352599 153702 352600 6 vssd1
 port 638 nsew default input
-rlabel metal5 s 135402 352589 135702 352590 6 vssd1
+rlabel metal5 s 135402 352599 135702 352600 6 vssd1
 port 638 nsew default input
-rlabel metal5 s 117402 352589 117702 352590 6 vssd1
+rlabel metal5 s 117402 352599 117702 352600 6 vssd1
 port 638 nsew default input
-rlabel metal5 s 99402 352589 99702 352590 6 vssd1
+rlabel metal5 s 99402 352599 99702 352600 6 vssd1
 port 638 nsew default input
-rlabel metal5 s 81402 352589 81702 352590 6 vssd1
+rlabel metal5 s 81402 352599 81702 352600 6 vssd1
 port 638 nsew default input
-rlabel metal5 s 63402 352589 63702 352590 6 vssd1
+rlabel metal5 s 63402 352599 63702 352600 6 vssd1
 port 638 nsew default input
-rlabel metal5 s 45402 352589 45702 352590 6 vssd1
+rlabel metal5 s 45402 352599 45702 352600 6 vssd1
 port 638 nsew default input
-rlabel metal5 s 27402 352589 27702 352590 6 vssd1
+rlabel metal5 s 27402 352599 27702 352600 6 vssd1
 port 638 nsew default input
-rlabel metal5 s 9402 352589 9702 352590 6 vssd1
+rlabel metal5 s 9402 352599 9702 352600 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 352589 -1158 352590 4 vssd1
+rlabel metal5 s -1468 352599 -1168 352600 4 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 352590 293420 352890 6 vssd1
+rlabel metal5 s -1468 352600 293430 352900 6 vssd1
 port 638 nsew default input
-rlabel metal5 s 293120 352890 293420 352891 6 vssd1
+rlabel metal5 s 293130 352900 293430 352901 6 vssd1
 port 638 nsew default input
-rlabel metal5 s 279402 352890 279702 352891 6 vssd1
+rlabel metal5 s 279402 352900 279702 352901 6 vssd1
 port 638 nsew default input
-rlabel metal5 s 261402 352890 261702 352891 6 vssd1
+rlabel metal5 s 261402 352900 261702 352901 6 vssd1
 port 638 nsew default input
-rlabel metal5 s 243402 352890 243702 352891 6 vssd1
+rlabel metal5 s 243402 352900 243702 352901 6 vssd1
 port 638 nsew default input
-rlabel metal5 s 225402 352890 225702 352891 6 vssd1
+rlabel metal5 s 225402 352900 225702 352901 6 vssd1
 port 638 nsew default input
-rlabel metal5 s 207402 352890 207702 352891 6 vssd1
+rlabel metal5 s 207402 352900 207702 352901 6 vssd1
 port 638 nsew default input
-rlabel metal5 s 189402 352890 189702 352891 6 vssd1
+rlabel metal5 s 189402 352900 189702 352901 6 vssd1
 port 638 nsew default input
-rlabel metal5 s 171402 352890 171702 352891 6 vssd1
+rlabel metal5 s 171402 352900 171702 352901 6 vssd1
 port 638 nsew default input
-rlabel metal5 s 153402 352890 153702 352891 6 vssd1
+rlabel metal5 s 153402 352900 153702 352901 6 vssd1
 port 638 nsew default input
-rlabel metal5 s 135402 352890 135702 352891 6 vssd1
+rlabel metal5 s 135402 352900 135702 352901 6 vssd1
 port 638 nsew default input
-rlabel metal5 s 117402 352890 117702 352891 6 vssd1
+rlabel metal5 s 117402 352900 117702 352901 6 vssd1
 port 638 nsew default input
-rlabel metal5 s 99402 352890 99702 352891 6 vssd1
+rlabel metal5 s 99402 352900 99702 352901 6 vssd1
 port 638 nsew default input
-rlabel metal5 s 81402 352890 81702 352891 6 vssd1
+rlabel metal5 s 81402 352900 81702 352901 6 vssd1
 port 638 nsew default input
-rlabel metal5 s 63402 352890 63702 352891 6 vssd1
+rlabel metal5 s 63402 352900 63702 352901 6 vssd1
 port 638 nsew default input
-rlabel metal5 s 45402 352890 45702 352891 6 vssd1
+rlabel metal5 s 45402 352900 45702 352901 6 vssd1
 port 638 nsew default input
-rlabel metal5 s 27402 352890 27702 352891 6 vssd1
+rlabel metal5 s 27402 352900 27702 352901 6 vssd1
 port 638 nsew default input
-rlabel metal5 s 9402 352890 9702 352891 6 vssd1
+rlabel metal5 s 9402 352900 9702 352901 6 vssd1
 port 638 nsew default input
-rlabel metal5 s -1458 352890 -1158 352891 4 vssd1
+rlabel metal5 s -1468 352900 -1168 352901 4 vssd1
 port 638 nsew default input
-rlabel metal4 s 293120 -922 293420 352890 6 vssd1
+rlabel metal4 s 293130 -932 293430 352900 6 vssd1
 port 638 nsew default input
-rlabel metal4 s 279402 -922 279702 240 8 vssd1
+rlabel metal4 s 279402 -932 279702 240 8 vssd1
 port 638 nsew default input
-rlabel metal4 s 261402 -922 261702 240 8 vssd1
+rlabel metal4 s 261402 -932 261702 240 8 vssd1
 port 638 nsew default input
-rlabel metal4 s 243402 -922 243702 240 8 vssd1
+rlabel metal4 s 243402 -932 243702 240 8 vssd1
 port 638 nsew default input
-rlabel metal4 s 225402 -922 225702 240 8 vssd1
+rlabel metal4 s 225402 -932 225702 240 8 vssd1
 port 638 nsew default input
-rlabel metal4 s 207402 -922 207702 240 8 vssd1
+rlabel metal4 s 207402 -932 207702 240 8 vssd1
 port 638 nsew default input
-rlabel metal4 s 189402 -922 189702 240 8 vssd1
+rlabel metal4 s 189402 -932 189702 240 8 vssd1
 port 638 nsew default input
-rlabel metal4 s 171402 -922 171702 240 8 vssd1
+rlabel metal4 s 171402 -932 171702 240 8 vssd1
 port 638 nsew default input
-rlabel metal4 s 153402 -922 153702 240 8 vssd1
+rlabel metal4 s 153402 -932 153702 240 8 vssd1
 port 638 nsew default input
-rlabel metal4 s 135402 -922 135702 240 8 vssd1
+rlabel metal4 s 135402 -932 135702 240 8 vssd1
 port 638 nsew default input
-rlabel metal4 s 117402 -922 117702 240 8 vssd1
+rlabel metal4 s 117402 -932 117702 240 8 vssd1
 port 638 nsew default input
-rlabel metal4 s 99402 -922 99702 240 8 vssd1
+rlabel metal4 s 99402 -932 99702 240 8 vssd1
 port 638 nsew default input
-rlabel metal4 s 81402 -922 81702 240 8 vssd1
+rlabel metal4 s 81402 -932 81702 240 8 vssd1
 port 638 nsew default input
-rlabel metal4 s 63402 -922 63702 240 8 vssd1
+rlabel metal4 s 63402 -932 63702 240 8 vssd1
 port 638 nsew default input
-rlabel metal4 s 45402 -922 45702 240 8 vssd1
+rlabel metal4 s 45402 -932 45702 240 8 vssd1
 port 638 nsew default input
-rlabel metal4 s 27402 -922 27702 240 8 vssd1
+rlabel metal4 s 27402 -932 27702 240 8 vssd1
 port 638 nsew default input
-rlabel metal4 s 9402 -922 9702 240 8 vssd1
+rlabel metal4 s 9402 -932 9702 240 8 vssd1
 port 638 nsew default input
-rlabel metal4 s 279402 351760 279702 352890 6 vssd1
+rlabel metal4 s 279402 351760 279702 352900 6 vssd1
 port 638 nsew default input
-rlabel metal4 s 261402 351760 261702 352890 6 vssd1
+rlabel metal4 s 261402 351760 261702 352900 6 vssd1
 port 638 nsew default input
-rlabel metal4 s 243402 351760 243702 352890 6 vssd1
+rlabel metal4 s 243402 351760 243702 352900 6 vssd1
 port 638 nsew default input
-rlabel metal4 s 225402 351760 225702 352890 6 vssd1
+rlabel metal4 s 225402 351760 225702 352900 6 vssd1
 port 638 nsew default input
-rlabel metal4 s 207402 351760 207702 352890 6 vssd1
+rlabel metal4 s 207402 351760 207702 352900 6 vssd1
 port 638 nsew default input
-rlabel metal4 s 189402 351760 189702 352890 6 vssd1
+rlabel metal4 s 189402 351760 189702 352900 6 vssd1
 port 638 nsew default input
-rlabel metal4 s 171402 351760 171702 352890 6 vssd1
+rlabel metal4 s 171402 351760 171702 352900 6 vssd1
 port 638 nsew default input
-rlabel metal4 s 153402 351760 153702 352890 6 vssd1
+rlabel metal4 s 153402 351760 153702 352900 6 vssd1
 port 638 nsew default input
-rlabel metal4 s 135402 351760 135702 352890 6 vssd1
+rlabel metal4 s 135402 351760 135702 352900 6 vssd1
 port 638 nsew default input
-rlabel metal4 s 117402 351760 117702 352890 6 vssd1
+rlabel metal4 s 117402 351760 117702 352900 6 vssd1
 port 638 nsew default input
-rlabel metal4 s 99402 351760 99702 352890 6 vssd1
+rlabel metal4 s 99402 351760 99702 352900 6 vssd1
 port 638 nsew default input
-rlabel metal4 s 81402 351760 81702 352890 6 vssd1
+rlabel metal4 s 81402 351760 81702 352900 6 vssd1
 port 638 nsew default input
-rlabel metal4 s 63402 351760 63702 352890 6 vssd1
+rlabel metal4 s 63402 351760 63702 352900 6 vssd1
 port 638 nsew default input
-rlabel metal4 s 45402 351760 45702 352890 6 vssd1
+rlabel metal4 s 45402 351760 45702 352900 6 vssd1
 port 638 nsew default input
-rlabel metal4 s 27402 351760 27702 352890 6 vssd1
+rlabel metal4 s 27402 351760 27702 352900 6 vssd1
 port 638 nsew default input
-rlabel metal4 s 9402 351760 9702 352890 6 vssd1
+rlabel metal4 s 9402 351760 9702 352900 6 vssd1
 port 638 nsew default input
-rlabel metal4 s -1458 -922 -1158 352890 4 vssd1
+rlabel metal4 s -1468 -932 -1168 352900 4 vssd1
 port 638 nsew default input
-rlabel metal5 s 293580 -1383 293880 -1382 8 vccd2
+rlabel metal5 s 293600 -1403 293900 -1402 8 vccd2
 port 639 nsew default input
-rlabel metal5 s 290202 -1383 290502 -1382 8 vccd2
+rlabel metal5 s 290202 -1403 290502 -1402 8 vccd2
 port 639 nsew default input
-rlabel metal5 s 272202 -1383 272502 -1382 8 vccd2
+rlabel metal5 s 272202 -1403 272502 -1402 8 vccd2
 port 639 nsew default input
-rlabel metal5 s 254202 -1383 254502 -1382 8 vccd2
+rlabel metal5 s 254202 -1403 254502 -1402 8 vccd2
 port 639 nsew default input
-rlabel metal5 s 236202 -1383 236502 -1382 8 vccd2
+rlabel metal5 s 236202 -1403 236502 -1402 8 vccd2
 port 639 nsew default input
-rlabel metal5 s 218202 -1383 218502 -1382 8 vccd2
+rlabel metal5 s 218202 -1403 218502 -1402 8 vccd2
 port 639 nsew default input
-rlabel metal5 s 200202 -1383 200502 -1382 8 vccd2
+rlabel metal5 s 200202 -1403 200502 -1402 8 vccd2
 port 639 nsew default input
-rlabel metal5 s 182202 -1383 182502 -1382 8 vccd2
+rlabel metal5 s 182202 -1403 182502 -1402 8 vccd2
 port 639 nsew default input
-rlabel metal5 s 164202 -1383 164502 -1382 8 vccd2
+rlabel metal5 s 164202 -1403 164502 -1402 8 vccd2
 port 639 nsew default input
-rlabel metal5 s 146202 -1383 146502 -1382 8 vccd2
+rlabel metal5 s 146202 -1403 146502 -1402 8 vccd2
 port 639 nsew default input
-rlabel metal5 s 128202 -1383 128502 -1382 8 vccd2
+rlabel metal5 s 128202 -1403 128502 -1402 8 vccd2
 port 639 nsew default input
-rlabel metal5 s 110202 -1383 110502 -1382 8 vccd2
+rlabel metal5 s 110202 -1403 110502 -1402 8 vccd2
 port 639 nsew default input
-rlabel metal5 s 92202 -1383 92502 -1382 8 vccd2
+rlabel metal5 s 92202 -1403 92502 -1402 8 vccd2
 port 639 nsew default input
-rlabel metal5 s 74202 -1383 74502 -1382 8 vccd2
+rlabel metal5 s 74202 -1403 74502 -1402 8 vccd2
 port 639 nsew default input
-rlabel metal5 s 56202 -1383 56502 -1382 8 vccd2
+rlabel metal5 s 56202 -1403 56502 -1402 8 vccd2
 port 639 nsew default input
-rlabel metal5 s 38202 -1383 38502 -1382 8 vccd2
+rlabel metal5 s 38202 -1403 38502 -1402 8 vccd2
 port 639 nsew default input
-rlabel metal5 s 20202 -1383 20502 -1382 8 vccd2
+rlabel metal5 s 20202 -1403 20502 -1402 8 vccd2
 port 639 nsew default input
-rlabel metal5 s 2202 -1383 2502 -1382 8 vccd2
+rlabel metal5 s 2202 -1403 2502 -1402 8 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 -1383 -1618 -1382 2 vccd2
+rlabel metal5 s -1938 -1403 -1638 -1402 2 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 -1382 293880 -1082 8 vccd2
+rlabel metal5 s -1938 -1402 293900 -1102 8 vccd2
 port 639 nsew default input
-rlabel metal5 s 293580 -1082 293880 -1081 8 vccd2
+rlabel metal5 s 293600 -1102 293900 -1101 8 vccd2
 port 639 nsew default input
-rlabel metal5 s 290202 -1082 290502 -1081 8 vccd2
+rlabel metal5 s 290202 -1102 290502 -1101 8 vccd2
 port 639 nsew default input
-rlabel metal5 s 272202 -1082 272502 -1081 8 vccd2
+rlabel metal5 s 272202 -1102 272502 -1101 8 vccd2
 port 639 nsew default input
-rlabel metal5 s 254202 -1082 254502 -1081 8 vccd2
+rlabel metal5 s 254202 -1102 254502 -1101 8 vccd2
 port 639 nsew default input
-rlabel metal5 s 236202 -1082 236502 -1081 8 vccd2
+rlabel metal5 s 236202 -1102 236502 -1101 8 vccd2
 port 639 nsew default input
-rlabel metal5 s 218202 -1082 218502 -1081 8 vccd2
+rlabel metal5 s 218202 -1102 218502 -1101 8 vccd2
 port 639 nsew default input
-rlabel metal5 s 200202 -1082 200502 -1081 8 vccd2
+rlabel metal5 s 200202 -1102 200502 -1101 8 vccd2
 port 639 nsew default input
-rlabel metal5 s 182202 -1082 182502 -1081 8 vccd2
+rlabel metal5 s 182202 -1102 182502 -1101 8 vccd2
 port 639 nsew default input
-rlabel metal5 s 164202 -1082 164502 -1081 8 vccd2
+rlabel metal5 s 164202 -1102 164502 -1101 8 vccd2
 port 639 nsew default input
-rlabel metal5 s 146202 -1082 146502 -1081 8 vccd2
+rlabel metal5 s 146202 -1102 146502 -1101 8 vccd2
 port 639 nsew default input
-rlabel metal5 s 128202 -1082 128502 -1081 8 vccd2
+rlabel metal5 s 128202 -1102 128502 -1101 8 vccd2
 port 639 nsew default input
-rlabel metal5 s 110202 -1082 110502 -1081 8 vccd2
+rlabel metal5 s 110202 -1102 110502 -1101 8 vccd2
 port 639 nsew default input
-rlabel metal5 s 92202 -1082 92502 -1081 8 vccd2
+rlabel metal5 s 92202 -1102 92502 -1101 8 vccd2
 port 639 nsew default input
-rlabel metal5 s 74202 -1082 74502 -1081 8 vccd2
+rlabel metal5 s 74202 -1102 74502 -1101 8 vccd2
 port 639 nsew default input
-rlabel metal5 s 56202 -1082 56502 -1081 8 vccd2
+rlabel metal5 s 56202 -1102 56502 -1101 8 vccd2
 port 639 nsew default input
-rlabel metal5 s 38202 -1082 38502 -1081 8 vccd2
+rlabel metal5 s 38202 -1102 38502 -1101 8 vccd2
 port 639 nsew default input
-rlabel metal5 s 20202 -1082 20502 -1081 8 vccd2
+rlabel metal5 s 20202 -1102 20502 -1101 8 vccd2
 port 639 nsew default input
-rlabel metal5 s 2202 -1082 2502 -1081 8 vccd2
+rlabel metal5 s 2202 -1102 2502 -1101 8 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 -1082 -1618 -1081 2 vccd2
+rlabel metal5 s -1938 -1102 -1638 -1101 2 vccd2
 port 639 nsew default input
-rlabel metal5 s 293580 2737 293880 2738 6 vccd2
+rlabel metal5 s 293600 2737 293900 2738 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 2737 -1618 2738 4 vccd2
+rlabel metal5 s -1938 2737 -1638 2738 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 291760 2738 294340 3038 6 vccd2
+rlabel metal5 s 291760 2738 294370 3038 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -2378 2738 240 3038 4 vccd2
+rlabel metal5 s -2408 2738 240 3038 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 293580 3038 293880 3039 6 vccd2
+rlabel metal5 s 293600 3038 293900 3039 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 3038 -1618 3039 4 vccd2
+rlabel metal5 s -1938 3038 -1638 3039 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 293580 20737 293880 20738 6 vccd2
+rlabel metal5 s 293600 20737 293900 20738 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 20737 -1618 20738 4 vccd2
+rlabel metal5 s -1938 20737 -1638 20738 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 291760 20738 294340 21038 6 vccd2
+rlabel metal5 s 291760 20738 294370 21038 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -2378 20738 240 21038 4 vccd2
+rlabel metal5 s -2408 20738 240 21038 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 293580 21038 293880 21039 6 vccd2
+rlabel metal5 s 293600 21038 293900 21039 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 21038 -1618 21039 4 vccd2
+rlabel metal5 s -1938 21038 -1638 21039 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 293580 38737 293880 38738 6 vccd2
+rlabel metal5 s 293600 38737 293900 38738 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 38737 -1618 38738 4 vccd2
+rlabel metal5 s -1938 38737 -1638 38738 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 291760 38738 294340 39038 6 vccd2
+rlabel metal5 s 291760 38738 294370 39038 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -2378 38738 240 39038 4 vccd2
+rlabel metal5 s -2408 38738 240 39038 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 293580 39038 293880 39039 6 vccd2
+rlabel metal5 s 293600 39038 293900 39039 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 39038 -1618 39039 4 vccd2
+rlabel metal5 s -1938 39038 -1638 39039 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 293580 56737 293880 56738 6 vccd2
+rlabel metal5 s 293600 56737 293900 56738 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 56737 -1618 56738 4 vccd2
+rlabel metal5 s -1938 56737 -1638 56738 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 291760 56738 294340 57038 6 vccd2
+rlabel metal5 s 291760 56738 294370 57038 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -2378 56738 240 57038 4 vccd2
+rlabel metal5 s -2408 56738 240 57038 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 293580 57038 293880 57039 6 vccd2
+rlabel metal5 s 293600 57038 293900 57039 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 57038 -1618 57039 4 vccd2
+rlabel metal5 s -1938 57038 -1638 57039 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 293580 74737 293880 74738 6 vccd2
+rlabel metal5 s 293600 74737 293900 74738 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 74737 -1618 74738 4 vccd2
+rlabel metal5 s -1938 74737 -1638 74738 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 291760 74738 294340 75038 6 vccd2
+rlabel metal5 s 291760 74738 294370 75038 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -2378 74738 240 75038 4 vccd2
+rlabel metal5 s -2408 74738 240 75038 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 293580 75038 293880 75039 6 vccd2
+rlabel metal5 s 293600 75038 293900 75039 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 75038 -1618 75039 4 vccd2
+rlabel metal5 s -1938 75038 -1638 75039 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 293580 92737 293880 92738 6 vccd2
+rlabel metal5 s 293600 92737 293900 92738 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 92737 -1618 92738 4 vccd2
+rlabel metal5 s -1938 92737 -1638 92738 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 291760 92738 294340 93038 6 vccd2
+rlabel metal5 s 291760 92738 294370 93038 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -2378 92738 240 93038 4 vccd2
+rlabel metal5 s -2408 92738 240 93038 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 293580 93038 293880 93039 6 vccd2
+rlabel metal5 s 293600 93038 293900 93039 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 93038 -1618 93039 4 vccd2
+rlabel metal5 s -1938 93038 -1638 93039 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 293580 110737 293880 110738 6 vccd2
+rlabel metal5 s 293600 110737 293900 110738 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 110737 -1618 110738 4 vccd2
+rlabel metal5 s -1938 110737 -1638 110738 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 291760 110738 294340 111038 6 vccd2
+rlabel metal5 s 291760 110738 294370 111038 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -2378 110738 240 111038 4 vccd2
+rlabel metal5 s -2408 110738 240 111038 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 293580 111038 293880 111039 6 vccd2
+rlabel metal5 s 293600 111038 293900 111039 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 111038 -1618 111039 4 vccd2
+rlabel metal5 s -1938 111038 -1638 111039 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 293580 128737 293880 128738 6 vccd2
+rlabel metal5 s 293600 128737 293900 128738 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 128737 -1618 128738 4 vccd2
+rlabel metal5 s -1938 128737 -1638 128738 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 291760 128738 294340 129038 6 vccd2
+rlabel metal5 s 291760 128738 294370 129038 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -2378 128738 240 129038 4 vccd2
+rlabel metal5 s -2408 128738 240 129038 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 293580 129038 293880 129039 6 vccd2
+rlabel metal5 s 293600 129038 293900 129039 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 129038 -1618 129039 4 vccd2
+rlabel metal5 s -1938 129038 -1638 129039 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 293580 146737 293880 146738 6 vccd2
+rlabel metal5 s 293600 146737 293900 146738 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 146737 -1618 146738 4 vccd2
+rlabel metal5 s -1938 146737 -1638 146738 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 291760 146738 294340 147038 6 vccd2
+rlabel metal5 s 291760 146738 294370 147038 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -2378 146738 240 147038 4 vccd2
+rlabel metal5 s -2408 146738 240 147038 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 293580 147038 293880 147039 6 vccd2
+rlabel metal5 s 293600 147038 293900 147039 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 147038 -1618 147039 4 vccd2
+rlabel metal5 s -1938 147038 -1638 147039 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 293580 164737 293880 164738 6 vccd2
+rlabel metal5 s 293600 164737 293900 164738 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 164737 -1618 164738 4 vccd2
+rlabel metal5 s -1938 164737 -1638 164738 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 291760 164738 294340 165038 6 vccd2
+rlabel metal5 s 291760 164738 294370 165038 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -2378 164738 240 165038 4 vccd2
+rlabel metal5 s -2408 164738 240 165038 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 293580 165038 293880 165039 6 vccd2
+rlabel metal5 s 293600 165038 293900 165039 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 165038 -1618 165039 4 vccd2
+rlabel metal5 s -1938 165038 -1638 165039 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 293580 182737 293880 182738 6 vccd2
+rlabel metal5 s 293600 182737 293900 182738 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 182737 -1618 182738 4 vccd2
+rlabel metal5 s -1938 182737 -1638 182738 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 291760 182738 294340 183038 6 vccd2
+rlabel metal5 s 291760 182738 294370 183038 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -2378 182738 240 183038 4 vccd2
+rlabel metal5 s -2408 182738 240 183038 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 293580 183038 293880 183039 6 vccd2
+rlabel metal5 s 293600 183038 293900 183039 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 183038 -1618 183039 4 vccd2
+rlabel metal5 s -1938 183038 -1638 183039 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 293580 200737 293880 200738 6 vccd2
+rlabel metal5 s 293600 200737 293900 200738 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 200737 -1618 200738 4 vccd2
+rlabel metal5 s -1938 200737 -1638 200738 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 291760 200738 294340 201038 6 vccd2
+rlabel metal5 s 291760 200738 294370 201038 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -2378 200738 240 201038 4 vccd2
+rlabel metal5 s -2408 200738 240 201038 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 293580 201038 293880 201039 6 vccd2
+rlabel metal5 s 293600 201038 293900 201039 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 201038 -1618 201039 4 vccd2
+rlabel metal5 s -1938 201038 -1638 201039 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 293580 218737 293880 218738 6 vccd2
+rlabel metal5 s 293600 218737 293900 218738 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 218737 -1618 218738 4 vccd2
+rlabel metal5 s -1938 218737 -1638 218738 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 291760 218738 294340 219038 6 vccd2
+rlabel metal5 s 291760 218738 294370 219038 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -2378 218738 240 219038 4 vccd2
+rlabel metal5 s -2408 218738 240 219038 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 293580 219038 293880 219039 6 vccd2
+rlabel metal5 s 293600 219038 293900 219039 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 219038 -1618 219039 4 vccd2
+rlabel metal5 s -1938 219038 -1638 219039 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 293580 236737 293880 236738 6 vccd2
+rlabel metal5 s 293600 236737 293900 236738 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 236737 -1618 236738 4 vccd2
+rlabel metal5 s -1938 236737 -1638 236738 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 291760 236738 294340 237038 6 vccd2
+rlabel metal5 s 291760 236738 294370 237038 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -2378 236738 240 237038 4 vccd2
+rlabel metal5 s -2408 236738 240 237038 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 293580 237038 293880 237039 6 vccd2
+rlabel metal5 s 293600 237038 293900 237039 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 237038 -1618 237039 4 vccd2
+rlabel metal5 s -1938 237038 -1638 237039 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 293580 254737 293880 254738 6 vccd2
+rlabel metal5 s 293600 254737 293900 254738 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 254737 -1618 254738 4 vccd2
+rlabel metal5 s -1938 254737 -1638 254738 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 291760 254738 294340 255038 6 vccd2
+rlabel metal5 s 291760 254738 294370 255038 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -2378 254738 240 255038 4 vccd2
+rlabel metal5 s -2408 254738 240 255038 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 293580 255038 293880 255039 6 vccd2
+rlabel metal5 s 293600 255038 293900 255039 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 255038 -1618 255039 4 vccd2
+rlabel metal5 s -1938 255038 -1638 255039 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 293580 272737 293880 272738 6 vccd2
+rlabel metal5 s 293600 272737 293900 272738 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 272737 -1618 272738 4 vccd2
+rlabel metal5 s -1938 272737 -1638 272738 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 291760 272738 294340 273038 6 vccd2
+rlabel metal5 s 291760 272738 294370 273038 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -2378 272738 240 273038 4 vccd2
+rlabel metal5 s -2408 272738 240 273038 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 293580 273038 293880 273039 6 vccd2
+rlabel metal5 s 293600 273038 293900 273039 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 273038 -1618 273039 4 vccd2
+rlabel metal5 s -1938 273038 -1638 273039 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 293580 290737 293880 290738 6 vccd2
+rlabel metal5 s 293600 290737 293900 290738 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 290737 -1618 290738 4 vccd2
+rlabel metal5 s -1938 290737 -1638 290738 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 291760 290738 294340 291038 6 vccd2
+rlabel metal5 s 291760 290738 294370 291038 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -2378 290738 240 291038 4 vccd2
+rlabel metal5 s -2408 290738 240 291038 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 293580 291038 293880 291039 6 vccd2
+rlabel metal5 s 293600 291038 293900 291039 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 291038 -1618 291039 4 vccd2
+rlabel metal5 s -1938 291038 -1638 291039 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 293580 308737 293880 308738 6 vccd2
+rlabel metal5 s 293600 308737 293900 308738 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 308737 -1618 308738 4 vccd2
+rlabel metal5 s -1938 308737 -1638 308738 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 291760 308738 294340 309038 6 vccd2
+rlabel metal5 s 291760 308738 294370 309038 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -2378 308738 240 309038 4 vccd2
+rlabel metal5 s -2408 308738 240 309038 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 293580 309038 293880 309039 6 vccd2
+rlabel metal5 s 293600 309038 293900 309039 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 309038 -1618 309039 4 vccd2
+rlabel metal5 s -1938 309038 -1638 309039 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 293580 326737 293880 326738 6 vccd2
+rlabel metal5 s 293600 326737 293900 326738 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 326737 -1618 326738 4 vccd2
+rlabel metal5 s -1938 326737 -1638 326738 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 291760 326738 294340 327038 6 vccd2
+rlabel metal5 s 291760 326738 294370 327038 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -2378 326738 240 327038 4 vccd2
+rlabel metal5 s -2408 326738 240 327038 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 293580 327038 293880 327039 6 vccd2
+rlabel metal5 s 293600 327038 293900 327039 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 327038 -1618 327039 4 vccd2
+rlabel metal5 s -1938 327038 -1638 327039 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 293580 344737 293880 344738 6 vccd2
+rlabel metal5 s 293600 344737 293900 344738 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 344737 -1618 344738 4 vccd2
+rlabel metal5 s -1938 344737 -1638 344738 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 291760 344738 294340 345038 6 vccd2
+rlabel metal5 s 291760 344738 294370 345038 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -2378 344738 240 345038 4 vccd2
+rlabel metal5 s -2408 344738 240 345038 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 293580 345038 293880 345039 6 vccd2
+rlabel metal5 s 293600 345038 293900 345039 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 345038 -1618 345039 4 vccd2
+rlabel metal5 s -1938 345038 -1638 345039 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 293580 353049 293880 353050 6 vccd2
+rlabel metal5 s 293600 353069 293900 353070 6 vccd2
 port 639 nsew default input
-rlabel metal5 s 290202 353049 290502 353050 6 vccd2
+rlabel metal5 s 290202 353069 290502 353070 6 vccd2
 port 639 nsew default input
-rlabel metal5 s 272202 353049 272502 353050 6 vccd2
+rlabel metal5 s 272202 353069 272502 353070 6 vccd2
 port 639 nsew default input
-rlabel metal5 s 254202 353049 254502 353050 6 vccd2
+rlabel metal5 s 254202 353069 254502 353070 6 vccd2
 port 639 nsew default input
-rlabel metal5 s 236202 353049 236502 353050 6 vccd2
+rlabel metal5 s 236202 353069 236502 353070 6 vccd2
 port 639 nsew default input
-rlabel metal5 s 218202 353049 218502 353050 6 vccd2
+rlabel metal5 s 218202 353069 218502 353070 6 vccd2
 port 639 nsew default input
-rlabel metal5 s 200202 353049 200502 353050 6 vccd2
+rlabel metal5 s 200202 353069 200502 353070 6 vccd2
 port 639 nsew default input
-rlabel metal5 s 182202 353049 182502 353050 6 vccd2
+rlabel metal5 s 182202 353069 182502 353070 6 vccd2
 port 639 nsew default input
-rlabel metal5 s 164202 353049 164502 353050 6 vccd2
+rlabel metal5 s 164202 353069 164502 353070 6 vccd2
 port 639 nsew default input
-rlabel metal5 s 146202 353049 146502 353050 6 vccd2
+rlabel metal5 s 146202 353069 146502 353070 6 vccd2
 port 639 nsew default input
-rlabel metal5 s 128202 353049 128502 353050 6 vccd2
+rlabel metal5 s 128202 353069 128502 353070 6 vccd2
 port 639 nsew default input
-rlabel metal5 s 110202 353049 110502 353050 6 vccd2
+rlabel metal5 s 110202 353069 110502 353070 6 vccd2
 port 639 nsew default input
-rlabel metal5 s 92202 353049 92502 353050 6 vccd2
+rlabel metal5 s 92202 353069 92502 353070 6 vccd2
 port 639 nsew default input
-rlabel metal5 s 74202 353049 74502 353050 6 vccd2
+rlabel metal5 s 74202 353069 74502 353070 6 vccd2
 port 639 nsew default input
-rlabel metal5 s 56202 353049 56502 353050 6 vccd2
+rlabel metal5 s 56202 353069 56502 353070 6 vccd2
 port 639 nsew default input
-rlabel metal5 s 38202 353049 38502 353050 6 vccd2
+rlabel metal5 s 38202 353069 38502 353070 6 vccd2
 port 639 nsew default input
-rlabel metal5 s 20202 353049 20502 353050 6 vccd2
+rlabel metal5 s 20202 353069 20502 353070 6 vccd2
 port 639 nsew default input
-rlabel metal5 s 2202 353049 2502 353050 6 vccd2
+rlabel metal5 s 2202 353069 2502 353070 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 353049 -1618 353050 4 vccd2
+rlabel metal5 s -1938 353069 -1638 353070 4 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 353050 293880 353350 6 vccd2
+rlabel metal5 s -1938 353070 293900 353370 6 vccd2
 port 639 nsew default input
-rlabel metal5 s 293580 353350 293880 353351 6 vccd2
+rlabel metal5 s 293600 353370 293900 353371 6 vccd2
 port 639 nsew default input
-rlabel metal5 s 290202 353350 290502 353351 6 vccd2
+rlabel metal5 s 290202 353370 290502 353371 6 vccd2
 port 639 nsew default input
-rlabel metal5 s 272202 353350 272502 353351 6 vccd2
+rlabel metal5 s 272202 353370 272502 353371 6 vccd2
 port 639 nsew default input
-rlabel metal5 s 254202 353350 254502 353351 6 vccd2
+rlabel metal5 s 254202 353370 254502 353371 6 vccd2
 port 639 nsew default input
-rlabel metal5 s 236202 353350 236502 353351 6 vccd2
+rlabel metal5 s 236202 353370 236502 353371 6 vccd2
 port 639 nsew default input
-rlabel metal5 s 218202 353350 218502 353351 6 vccd2
+rlabel metal5 s 218202 353370 218502 353371 6 vccd2
 port 639 nsew default input
-rlabel metal5 s 200202 353350 200502 353351 6 vccd2
+rlabel metal5 s 200202 353370 200502 353371 6 vccd2
 port 639 nsew default input
-rlabel metal5 s 182202 353350 182502 353351 6 vccd2
+rlabel metal5 s 182202 353370 182502 353371 6 vccd2
 port 639 nsew default input
-rlabel metal5 s 164202 353350 164502 353351 6 vccd2
+rlabel metal5 s 164202 353370 164502 353371 6 vccd2
 port 639 nsew default input
-rlabel metal5 s 146202 353350 146502 353351 6 vccd2
+rlabel metal5 s 146202 353370 146502 353371 6 vccd2
 port 639 nsew default input
-rlabel metal5 s 128202 353350 128502 353351 6 vccd2
+rlabel metal5 s 128202 353370 128502 353371 6 vccd2
 port 639 nsew default input
-rlabel metal5 s 110202 353350 110502 353351 6 vccd2
+rlabel metal5 s 110202 353370 110502 353371 6 vccd2
 port 639 nsew default input
-rlabel metal5 s 92202 353350 92502 353351 6 vccd2
+rlabel metal5 s 92202 353370 92502 353371 6 vccd2
 port 639 nsew default input
-rlabel metal5 s 74202 353350 74502 353351 6 vccd2
+rlabel metal5 s 74202 353370 74502 353371 6 vccd2
 port 639 nsew default input
-rlabel metal5 s 56202 353350 56502 353351 6 vccd2
+rlabel metal5 s 56202 353370 56502 353371 6 vccd2
 port 639 nsew default input
-rlabel metal5 s 38202 353350 38502 353351 6 vccd2
+rlabel metal5 s 38202 353370 38502 353371 6 vccd2
 port 639 nsew default input
-rlabel metal5 s 20202 353350 20502 353351 6 vccd2
+rlabel metal5 s 20202 353370 20502 353371 6 vccd2
 port 639 nsew default input
-rlabel metal5 s 2202 353350 2502 353351 6 vccd2
+rlabel metal5 s 2202 353370 2502 353371 6 vccd2
 port 639 nsew default input
-rlabel metal5 s -1918 353350 -1618 353351 4 vccd2
+rlabel metal5 s -1938 353370 -1638 353371 4 vccd2
 port 639 nsew default input
-rlabel metal4 s 293580 -1382 293880 353350 6 vccd2
+rlabel metal4 s 293600 -1402 293900 353370 6 vccd2
 port 639 nsew default input
-rlabel metal4 s 290202 -1842 290502 240 8 vccd2
+rlabel metal4 s 290202 -1872 290502 240 8 vccd2
 port 639 nsew default input
-rlabel metal4 s 272202 -1842 272502 240 8 vccd2
+rlabel metal4 s 272202 -1872 272502 240 8 vccd2
 port 639 nsew default input
-rlabel metal4 s 254202 -1842 254502 240 8 vccd2
+rlabel metal4 s 254202 -1872 254502 240 8 vccd2
 port 639 nsew default input
-rlabel metal4 s 236202 -1842 236502 240 8 vccd2
+rlabel metal4 s 236202 -1872 236502 240 8 vccd2
 port 639 nsew default input
-rlabel metal4 s 218202 -1842 218502 240 8 vccd2
+rlabel metal4 s 218202 -1872 218502 240 8 vccd2
 port 639 nsew default input
-rlabel metal4 s 200202 -1842 200502 240 8 vccd2
+rlabel metal4 s 200202 -1872 200502 240 8 vccd2
 port 639 nsew default input
-rlabel metal4 s 182202 -1842 182502 240 8 vccd2
+rlabel metal4 s 182202 -1872 182502 240 8 vccd2
 port 639 nsew default input
-rlabel metal4 s 164202 -1842 164502 240 8 vccd2
+rlabel metal4 s 164202 -1872 164502 240 8 vccd2
 port 639 nsew default input
-rlabel metal4 s 146202 -1842 146502 240 8 vccd2
+rlabel metal4 s 146202 -1872 146502 240 8 vccd2
 port 639 nsew default input
-rlabel metal4 s 128202 -1842 128502 240 8 vccd2
+rlabel metal4 s 128202 -1872 128502 240 8 vccd2
 port 639 nsew default input
-rlabel metal4 s 110202 -1842 110502 240 8 vccd2
+rlabel metal4 s 110202 -1872 110502 240 8 vccd2
 port 639 nsew default input
-rlabel metal4 s 92202 -1842 92502 240 8 vccd2
+rlabel metal4 s 92202 -1872 92502 240 8 vccd2
 port 639 nsew default input
-rlabel metal4 s 74202 -1842 74502 240 8 vccd2
+rlabel metal4 s 74202 -1872 74502 240 8 vccd2
 port 639 nsew default input
-rlabel metal4 s 56202 -1842 56502 240 8 vccd2
+rlabel metal4 s 56202 -1872 56502 240 8 vccd2
 port 639 nsew default input
-rlabel metal4 s 38202 -1842 38502 240 8 vccd2
+rlabel metal4 s 38202 -1872 38502 240 8 vccd2
 port 639 nsew default input
-rlabel metal4 s 20202 -1842 20502 240 8 vccd2
+rlabel metal4 s 20202 -1872 20502 240 8 vccd2
 port 639 nsew default input
-rlabel metal4 s 2202 -1842 2502 240 8 vccd2
+rlabel metal4 s 2202 -1872 2502 240 8 vccd2
 port 639 nsew default input
-rlabel metal4 s 290202 351760 290502 353810 6 vccd2
+rlabel metal4 s 290202 351760 290502 353840 6 vccd2
 port 639 nsew default input
-rlabel metal4 s 272202 351760 272502 353810 6 vccd2
+rlabel metal4 s 272202 351760 272502 353840 6 vccd2
 port 639 nsew default input
-rlabel metal4 s 254202 351760 254502 353810 6 vccd2
+rlabel metal4 s 254202 351760 254502 353840 6 vccd2
 port 639 nsew default input
-rlabel metal4 s 236202 351760 236502 353810 6 vccd2
+rlabel metal4 s 236202 351760 236502 353840 6 vccd2
 port 639 nsew default input
-rlabel metal4 s 218202 351760 218502 353810 6 vccd2
+rlabel metal4 s 218202 351760 218502 353840 6 vccd2
 port 639 nsew default input
-rlabel metal4 s 200202 351760 200502 353810 6 vccd2
+rlabel metal4 s 200202 351760 200502 353840 6 vccd2
 port 639 nsew default input
-rlabel metal4 s 182202 351760 182502 353810 6 vccd2
+rlabel metal4 s 182202 351760 182502 353840 6 vccd2
 port 639 nsew default input
-rlabel metal4 s 164202 351760 164502 353810 6 vccd2
+rlabel metal4 s 164202 351760 164502 353840 6 vccd2
 port 639 nsew default input
-rlabel metal4 s 146202 351760 146502 353810 6 vccd2
+rlabel metal4 s 146202 351760 146502 353840 6 vccd2
 port 639 nsew default input
-rlabel metal4 s 128202 351760 128502 353810 6 vccd2
+rlabel metal4 s 128202 351760 128502 353840 6 vccd2
 port 639 nsew default input
-rlabel metal4 s 110202 351760 110502 353810 6 vccd2
+rlabel metal4 s 110202 351760 110502 353840 6 vccd2
 port 639 nsew default input
-rlabel metal4 s 92202 351760 92502 353810 6 vccd2
+rlabel metal4 s 92202 351760 92502 353840 6 vccd2
 port 639 nsew default input
-rlabel metal4 s 74202 351760 74502 353810 6 vccd2
+rlabel metal4 s 74202 351760 74502 353840 6 vccd2
 port 639 nsew default input
-rlabel metal4 s 56202 351760 56502 353810 6 vccd2
+rlabel metal4 s 56202 351760 56502 353840 6 vccd2
 port 639 nsew default input
-rlabel metal4 s 38202 351760 38502 353810 6 vccd2
+rlabel metal4 s 38202 351760 38502 353840 6 vccd2
 port 639 nsew default input
-rlabel metal4 s 20202 351760 20502 353810 6 vccd2
+rlabel metal4 s 20202 351760 20502 353840 6 vccd2
 port 639 nsew default input
-rlabel metal4 s 2202 351760 2502 353810 6 vccd2
+rlabel metal4 s 2202 351760 2502 353840 6 vccd2
 port 639 nsew default input
-rlabel metal4 s -1918 -1382 -1618 353350 4 vccd2
+rlabel metal4 s -1938 -1402 -1638 353370 4 vccd2
 port 639 nsew default input
-rlabel metal5 s 294040 -1843 294340 -1842 8 vssd2
+rlabel metal5 s 294070 -1873 294370 -1872 8 vssd2
 port 640 nsew default input
-rlabel metal5 s 281202 -1843 281502 -1842 8 vssd2
+rlabel metal5 s 281202 -1873 281502 -1872 8 vssd2
 port 640 nsew default input
-rlabel metal5 s 263202 -1843 263502 -1842 8 vssd2
+rlabel metal5 s 263202 -1873 263502 -1872 8 vssd2
 port 640 nsew default input
-rlabel metal5 s 245202 -1843 245502 -1842 8 vssd2
+rlabel metal5 s 245202 -1873 245502 -1872 8 vssd2
 port 640 nsew default input
-rlabel metal5 s 227202 -1843 227502 -1842 8 vssd2
+rlabel metal5 s 227202 -1873 227502 -1872 8 vssd2
 port 640 nsew default input
-rlabel metal5 s 209202 -1843 209502 -1842 8 vssd2
+rlabel metal5 s 209202 -1873 209502 -1872 8 vssd2
 port 640 nsew default input
-rlabel metal5 s 191202 -1843 191502 -1842 8 vssd2
+rlabel metal5 s 191202 -1873 191502 -1872 8 vssd2
 port 640 nsew default input
-rlabel metal5 s 173202 -1843 173502 -1842 8 vssd2
+rlabel metal5 s 173202 -1873 173502 -1872 8 vssd2
 port 640 nsew default input
-rlabel metal5 s 155202 -1843 155502 -1842 8 vssd2
+rlabel metal5 s 155202 -1873 155502 -1872 8 vssd2
 port 640 nsew default input
-rlabel metal5 s 137202 -1843 137502 -1842 8 vssd2
+rlabel metal5 s 137202 -1873 137502 -1872 8 vssd2
 port 640 nsew default input
-rlabel metal5 s 119202 -1843 119502 -1842 8 vssd2
+rlabel metal5 s 119202 -1873 119502 -1872 8 vssd2
 port 640 nsew default input
-rlabel metal5 s 101202 -1843 101502 -1842 8 vssd2
+rlabel metal5 s 101202 -1873 101502 -1872 8 vssd2
 port 640 nsew default input
-rlabel metal5 s 83202 -1843 83502 -1842 8 vssd2
+rlabel metal5 s 83202 -1873 83502 -1872 8 vssd2
 port 640 nsew default input
-rlabel metal5 s 65202 -1843 65502 -1842 8 vssd2
+rlabel metal5 s 65202 -1873 65502 -1872 8 vssd2
 port 640 nsew default input
-rlabel metal5 s 47202 -1843 47502 -1842 8 vssd2
+rlabel metal5 s 47202 -1873 47502 -1872 8 vssd2
 port 640 nsew default input
-rlabel metal5 s 29202 -1843 29502 -1842 8 vssd2
+rlabel metal5 s 29202 -1873 29502 -1872 8 vssd2
 port 640 nsew default input
-rlabel metal5 s 11202 -1843 11502 -1842 8 vssd2
+rlabel metal5 s 11202 -1873 11502 -1872 8 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 -1843 -2078 -1842 2 vssd2
+rlabel metal5 s -2408 -1873 -2108 -1872 2 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 -1842 294340 -1542 8 vssd2
+rlabel metal5 s -2408 -1872 294370 -1572 8 vssd2
 port 640 nsew default input
-rlabel metal5 s 294040 -1542 294340 -1541 8 vssd2
+rlabel metal5 s 294070 -1572 294370 -1571 8 vssd2
 port 640 nsew default input
-rlabel metal5 s 281202 -1542 281502 -1541 8 vssd2
+rlabel metal5 s 281202 -1572 281502 -1571 8 vssd2
 port 640 nsew default input
-rlabel metal5 s 263202 -1542 263502 -1541 8 vssd2
+rlabel metal5 s 263202 -1572 263502 -1571 8 vssd2
 port 640 nsew default input
-rlabel metal5 s 245202 -1542 245502 -1541 8 vssd2
+rlabel metal5 s 245202 -1572 245502 -1571 8 vssd2
 port 640 nsew default input
-rlabel metal5 s 227202 -1542 227502 -1541 8 vssd2
+rlabel metal5 s 227202 -1572 227502 -1571 8 vssd2
 port 640 nsew default input
-rlabel metal5 s 209202 -1542 209502 -1541 8 vssd2
+rlabel metal5 s 209202 -1572 209502 -1571 8 vssd2
 port 640 nsew default input
-rlabel metal5 s 191202 -1542 191502 -1541 8 vssd2
+rlabel metal5 s 191202 -1572 191502 -1571 8 vssd2
 port 640 nsew default input
-rlabel metal5 s 173202 -1542 173502 -1541 8 vssd2
+rlabel metal5 s 173202 -1572 173502 -1571 8 vssd2
 port 640 nsew default input
-rlabel metal5 s 155202 -1542 155502 -1541 8 vssd2
+rlabel metal5 s 155202 -1572 155502 -1571 8 vssd2
 port 640 nsew default input
-rlabel metal5 s 137202 -1542 137502 -1541 8 vssd2
+rlabel metal5 s 137202 -1572 137502 -1571 8 vssd2
 port 640 nsew default input
-rlabel metal5 s 119202 -1542 119502 -1541 8 vssd2
+rlabel metal5 s 119202 -1572 119502 -1571 8 vssd2
 port 640 nsew default input
-rlabel metal5 s 101202 -1542 101502 -1541 8 vssd2
+rlabel metal5 s 101202 -1572 101502 -1571 8 vssd2
 port 640 nsew default input
-rlabel metal5 s 83202 -1542 83502 -1541 8 vssd2
+rlabel metal5 s 83202 -1572 83502 -1571 8 vssd2
 port 640 nsew default input
-rlabel metal5 s 65202 -1542 65502 -1541 8 vssd2
+rlabel metal5 s 65202 -1572 65502 -1571 8 vssd2
 port 640 nsew default input
-rlabel metal5 s 47202 -1542 47502 -1541 8 vssd2
+rlabel metal5 s 47202 -1572 47502 -1571 8 vssd2
 port 640 nsew default input
-rlabel metal5 s 29202 -1542 29502 -1541 8 vssd2
+rlabel metal5 s 29202 -1572 29502 -1571 8 vssd2
 port 640 nsew default input
-rlabel metal5 s 11202 -1542 11502 -1541 8 vssd2
+rlabel metal5 s 11202 -1572 11502 -1571 8 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 -1542 -2078 -1541 2 vssd2
+rlabel metal5 s -2408 -1572 -2108 -1571 2 vssd2
 port 640 nsew default input
-rlabel metal5 s 294040 11737 294340 11738 6 vssd2
+rlabel metal5 s 294070 11737 294370 11738 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 11737 -2078 11738 4 vssd2
+rlabel metal5 s -2408 11737 -2108 11738 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 291760 11738 294340 12038 6 vssd2
+rlabel metal5 s 291760 11738 294370 12038 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 11738 240 12038 4 vssd2
+rlabel metal5 s -2408 11738 240 12038 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 294040 12038 294340 12039 6 vssd2
+rlabel metal5 s 294070 12038 294370 12039 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 12038 -2078 12039 4 vssd2
+rlabel metal5 s -2408 12038 -2108 12039 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 294040 29737 294340 29738 6 vssd2
+rlabel metal5 s 294070 29737 294370 29738 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 29737 -2078 29738 4 vssd2
+rlabel metal5 s -2408 29737 -2108 29738 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 291760 29738 294340 30038 6 vssd2
+rlabel metal5 s 291760 29738 294370 30038 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 29738 240 30038 4 vssd2
+rlabel metal5 s -2408 29738 240 30038 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 294040 30038 294340 30039 6 vssd2
+rlabel metal5 s 294070 30038 294370 30039 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 30038 -2078 30039 4 vssd2
+rlabel metal5 s -2408 30038 -2108 30039 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 294040 47737 294340 47738 6 vssd2
+rlabel metal5 s 294070 47737 294370 47738 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 47737 -2078 47738 4 vssd2
+rlabel metal5 s -2408 47737 -2108 47738 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 291760 47738 294340 48038 6 vssd2
+rlabel metal5 s 291760 47738 294370 48038 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 47738 240 48038 4 vssd2
+rlabel metal5 s -2408 47738 240 48038 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 294040 48038 294340 48039 6 vssd2
+rlabel metal5 s 294070 48038 294370 48039 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 48038 -2078 48039 4 vssd2
+rlabel metal5 s -2408 48038 -2108 48039 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 294040 65737 294340 65738 6 vssd2
+rlabel metal5 s 294070 65737 294370 65738 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 65737 -2078 65738 4 vssd2
+rlabel metal5 s -2408 65737 -2108 65738 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 291760 65738 294340 66038 6 vssd2
+rlabel metal5 s 291760 65738 294370 66038 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 65738 240 66038 4 vssd2
+rlabel metal5 s -2408 65738 240 66038 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 294040 66038 294340 66039 6 vssd2
+rlabel metal5 s 294070 66038 294370 66039 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 66038 -2078 66039 4 vssd2
+rlabel metal5 s -2408 66038 -2108 66039 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 294040 83737 294340 83738 6 vssd2
+rlabel metal5 s 294070 83737 294370 83738 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 83737 -2078 83738 4 vssd2
+rlabel metal5 s -2408 83737 -2108 83738 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 291760 83738 294340 84038 6 vssd2
+rlabel metal5 s 291760 83738 294370 84038 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 83738 240 84038 4 vssd2
+rlabel metal5 s -2408 83738 240 84038 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 294040 84038 294340 84039 6 vssd2
+rlabel metal5 s 294070 84038 294370 84039 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 84038 -2078 84039 4 vssd2
+rlabel metal5 s -2408 84038 -2108 84039 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 294040 101737 294340 101738 6 vssd2
+rlabel metal5 s 294070 101737 294370 101738 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 101737 -2078 101738 4 vssd2
+rlabel metal5 s -2408 101737 -2108 101738 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 291760 101738 294340 102038 6 vssd2
+rlabel metal5 s 291760 101738 294370 102038 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 101738 240 102038 4 vssd2
+rlabel metal5 s -2408 101738 240 102038 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 294040 102038 294340 102039 6 vssd2
+rlabel metal5 s 294070 102038 294370 102039 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 102038 -2078 102039 4 vssd2
+rlabel metal5 s -2408 102038 -2108 102039 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 294040 119737 294340 119738 6 vssd2
+rlabel metal5 s 294070 119737 294370 119738 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 119737 -2078 119738 4 vssd2
+rlabel metal5 s -2408 119737 -2108 119738 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 291760 119738 294340 120038 6 vssd2
+rlabel metal5 s 291760 119738 294370 120038 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 119738 240 120038 4 vssd2
+rlabel metal5 s -2408 119738 240 120038 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 294040 120038 294340 120039 6 vssd2
+rlabel metal5 s 294070 120038 294370 120039 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 120038 -2078 120039 4 vssd2
+rlabel metal5 s -2408 120038 -2108 120039 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 294040 137737 294340 137738 6 vssd2
+rlabel metal5 s 294070 137737 294370 137738 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 137737 -2078 137738 4 vssd2
+rlabel metal5 s -2408 137737 -2108 137738 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 291760 137738 294340 138038 6 vssd2
+rlabel metal5 s 291760 137738 294370 138038 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 137738 240 138038 4 vssd2
+rlabel metal5 s -2408 137738 240 138038 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 294040 138038 294340 138039 6 vssd2
+rlabel metal5 s 294070 138038 294370 138039 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 138038 -2078 138039 4 vssd2
+rlabel metal5 s -2408 138038 -2108 138039 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 294040 155737 294340 155738 6 vssd2
+rlabel metal5 s 294070 155737 294370 155738 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 155737 -2078 155738 4 vssd2
+rlabel metal5 s -2408 155737 -2108 155738 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 291760 155738 294340 156038 6 vssd2
+rlabel metal5 s 291760 155738 294370 156038 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 155738 240 156038 4 vssd2
+rlabel metal5 s -2408 155738 240 156038 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 294040 156038 294340 156039 6 vssd2
+rlabel metal5 s 294070 156038 294370 156039 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 156038 -2078 156039 4 vssd2
+rlabel metal5 s -2408 156038 -2108 156039 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 294040 173737 294340 173738 6 vssd2
+rlabel metal5 s 294070 173737 294370 173738 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 173737 -2078 173738 4 vssd2
+rlabel metal5 s -2408 173737 -2108 173738 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 291760 173738 294340 174038 6 vssd2
+rlabel metal5 s 291760 173738 294370 174038 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 173738 240 174038 4 vssd2
+rlabel metal5 s -2408 173738 240 174038 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 294040 174038 294340 174039 6 vssd2
+rlabel metal5 s 294070 174038 294370 174039 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 174038 -2078 174039 4 vssd2
+rlabel metal5 s -2408 174038 -2108 174039 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 294040 191737 294340 191738 6 vssd2
+rlabel metal5 s 294070 191737 294370 191738 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 191737 -2078 191738 4 vssd2
+rlabel metal5 s -2408 191737 -2108 191738 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 291760 191738 294340 192038 6 vssd2
+rlabel metal5 s 291760 191738 294370 192038 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 191738 240 192038 4 vssd2
+rlabel metal5 s -2408 191738 240 192038 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 294040 192038 294340 192039 6 vssd2
+rlabel metal5 s 294070 192038 294370 192039 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 192038 -2078 192039 4 vssd2
+rlabel metal5 s -2408 192038 -2108 192039 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 294040 209737 294340 209738 6 vssd2
+rlabel metal5 s 294070 209737 294370 209738 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 209737 -2078 209738 4 vssd2
+rlabel metal5 s -2408 209737 -2108 209738 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 291760 209738 294340 210038 6 vssd2
+rlabel metal5 s 291760 209738 294370 210038 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 209738 240 210038 4 vssd2
+rlabel metal5 s -2408 209738 240 210038 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 294040 210038 294340 210039 6 vssd2
+rlabel metal5 s 294070 210038 294370 210039 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 210038 -2078 210039 4 vssd2
+rlabel metal5 s -2408 210038 -2108 210039 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 294040 227737 294340 227738 6 vssd2
+rlabel metal5 s 294070 227737 294370 227738 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 227737 -2078 227738 4 vssd2
+rlabel metal5 s -2408 227737 -2108 227738 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 291760 227738 294340 228038 6 vssd2
+rlabel metal5 s 291760 227738 294370 228038 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 227738 240 228038 4 vssd2
+rlabel metal5 s -2408 227738 240 228038 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 294040 228038 294340 228039 6 vssd2
+rlabel metal5 s 294070 228038 294370 228039 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 228038 -2078 228039 4 vssd2
+rlabel metal5 s -2408 228038 -2108 228039 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 294040 245737 294340 245738 6 vssd2
+rlabel metal5 s 294070 245737 294370 245738 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 245737 -2078 245738 4 vssd2
+rlabel metal5 s -2408 245737 -2108 245738 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 291760 245738 294340 246038 6 vssd2
+rlabel metal5 s 291760 245738 294370 246038 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 245738 240 246038 4 vssd2
+rlabel metal5 s -2408 245738 240 246038 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 294040 246038 294340 246039 6 vssd2
+rlabel metal5 s 294070 246038 294370 246039 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 246038 -2078 246039 4 vssd2
+rlabel metal5 s -2408 246038 -2108 246039 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 294040 263737 294340 263738 6 vssd2
+rlabel metal5 s 294070 263737 294370 263738 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 263737 -2078 263738 4 vssd2
+rlabel metal5 s -2408 263737 -2108 263738 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 291760 263738 294340 264038 6 vssd2
+rlabel metal5 s 291760 263738 294370 264038 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 263738 240 264038 4 vssd2
+rlabel metal5 s -2408 263738 240 264038 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 294040 264038 294340 264039 6 vssd2
+rlabel metal5 s 294070 264038 294370 264039 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 264038 -2078 264039 4 vssd2
+rlabel metal5 s -2408 264038 -2108 264039 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 294040 281737 294340 281738 6 vssd2
+rlabel metal5 s 294070 281737 294370 281738 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 281737 -2078 281738 4 vssd2
+rlabel metal5 s -2408 281737 -2108 281738 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 291760 281738 294340 282038 6 vssd2
+rlabel metal5 s 291760 281738 294370 282038 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 281738 240 282038 4 vssd2
+rlabel metal5 s -2408 281738 240 282038 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 294040 282038 294340 282039 6 vssd2
+rlabel metal5 s 294070 282038 294370 282039 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 282038 -2078 282039 4 vssd2
+rlabel metal5 s -2408 282038 -2108 282039 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 294040 299737 294340 299738 6 vssd2
+rlabel metal5 s 294070 299737 294370 299738 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 299737 -2078 299738 4 vssd2
+rlabel metal5 s -2408 299737 -2108 299738 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 291760 299738 294340 300038 6 vssd2
+rlabel metal5 s 291760 299738 294370 300038 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 299738 240 300038 4 vssd2
+rlabel metal5 s -2408 299738 240 300038 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 294040 300038 294340 300039 6 vssd2
+rlabel metal5 s 294070 300038 294370 300039 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 300038 -2078 300039 4 vssd2
+rlabel metal5 s -2408 300038 -2108 300039 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 294040 317737 294340 317738 6 vssd2
+rlabel metal5 s 294070 317737 294370 317738 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 317737 -2078 317738 4 vssd2
+rlabel metal5 s -2408 317737 -2108 317738 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 291760 317738 294340 318038 6 vssd2
+rlabel metal5 s 291760 317738 294370 318038 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 317738 240 318038 4 vssd2
+rlabel metal5 s -2408 317738 240 318038 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 294040 318038 294340 318039 6 vssd2
+rlabel metal5 s 294070 318038 294370 318039 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 318038 -2078 318039 4 vssd2
+rlabel metal5 s -2408 318038 -2108 318039 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 294040 335737 294340 335738 6 vssd2
+rlabel metal5 s 294070 335737 294370 335738 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 335737 -2078 335738 4 vssd2
+rlabel metal5 s -2408 335737 -2108 335738 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 291760 335738 294340 336038 6 vssd2
+rlabel metal5 s 291760 335738 294370 336038 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 335738 240 336038 4 vssd2
+rlabel metal5 s -2408 335738 240 336038 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 294040 336038 294340 336039 6 vssd2
+rlabel metal5 s 294070 336038 294370 336039 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 336038 -2078 336039 4 vssd2
+rlabel metal5 s -2408 336038 -2108 336039 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 294040 353509 294340 353510 6 vssd2
+rlabel metal5 s 294070 353539 294370 353540 6 vssd2
 port 640 nsew default input
-rlabel metal5 s 281202 353509 281502 353510 6 vssd2
+rlabel metal5 s 281202 353539 281502 353540 6 vssd2
 port 640 nsew default input
-rlabel metal5 s 263202 353509 263502 353510 6 vssd2
+rlabel metal5 s 263202 353539 263502 353540 6 vssd2
 port 640 nsew default input
-rlabel metal5 s 245202 353509 245502 353510 6 vssd2
+rlabel metal5 s 245202 353539 245502 353540 6 vssd2
 port 640 nsew default input
-rlabel metal5 s 227202 353509 227502 353510 6 vssd2
+rlabel metal5 s 227202 353539 227502 353540 6 vssd2
 port 640 nsew default input
-rlabel metal5 s 209202 353509 209502 353510 6 vssd2
+rlabel metal5 s 209202 353539 209502 353540 6 vssd2
 port 640 nsew default input
-rlabel metal5 s 191202 353509 191502 353510 6 vssd2
+rlabel metal5 s 191202 353539 191502 353540 6 vssd2
 port 640 nsew default input
-rlabel metal5 s 173202 353509 173502 353510 6 vssd2
+rlabel metal5 s 173202 353539 173502 353540 6 vssd2
 port 640 nsew default input
-rlabel metal5 s 155202 353509 155502 353510 6 vssd2
+rlabel metal5 s 155202 353539 155502 353540 6 vssd2
 port 640 nsew default input
-rlabel metal5 s 137202 353509 137502 353510 6 vssd2
+rlabel metal5 s 137202 353539 137502 353540 6 vssd2
 port 640 nsew default input
-rlabel metal5 s 119202 353509 119502 353510 6 vssd2
+rlabel metal5 s 119202 353539 119502 353540 6 vssd2
 port 640 nsew default input
-rlabel metal5 s 101202 353509 101502 353510 6 vssd2
+rlabel metal5 s 101202 353539 101502 353540 6 vssd2
 port 640 nsew default input
-rlabel metal5 s 83202 353509 83502 353510 6 vssd2
+rlabel metal5 s 83202 353539 83502 353540 6 vssd2
 port 640 nsew default input
-rlabel metal5 s 65202 353509 65502 353510 6 vssd2
+rlabel metal5 s 65202 353539 65502 353540 6 vssd2
 port 640 nsew default input
-rlabel metal5 s 47202 353509 47502 353510 6 vssd2
+rlabel metal5 s 47202 353539 47502 353540 6 vssd2
 port 640 nsew default input
-rlabel metal5 s 29202 353509 29502 353510 6 vssd2
+rlabel metal5 s 29202 353539 29502 353540 6 vssd2
 port 640 nsew default input
-rlabel metal5 s 11202 353509 11502 353510 6 vssd2
+rlabel metal5 s 11202 353539 11502 353540 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 353509 -2078 353510 4 vssd2
+rlabel metal5 s -2408 353539 -2108 353540 4 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 353510 294340 353810 6 vssd2
+rlabel metal5 s -2408 353540 294370 353840 6 vssd2
 port 640 nsew default input
-rlabel metal5 s 294040 353810 294340 353811 6 vssd2
+rlabel metal5 s 294070 353840 294370 353841 6 vssd2
 port 640 nsew default input
-rlabel metal5 s 281202 353810 281502 353811 6 vssd2
+rlabel metal5 s 281202 353840 281502 353841 6 vssd2
 port 640 nsew default input
-rlabel metal5 s 263202 353810 263502 353811 6 vssd2
+rlabel metal5 s 263202 353840 263502 353841 6 vssd2
 port 640 nsew default input
-rlabel metal5 s 245202 353810 245502 353811 6 vssd2
+rlabel metal5 s 245202 353840 245502 353841 6 vssd2
 port 640 nsew default input
-rlabel metal5 s 227202 353810 227502 353811 6 vssd2
+rlabel metal5 s 227202 353840 227502 353841 6 vssd2
 port 640 nsew default input
-rlabel metal5 s 209202 353810 209502 353811 6 vssd2
+rlabel metal5 s 209202 353840 209502 353841 6 vssd2
 port 640 nsew default input
-rlabel metal5 s 191202 353810 191502 353811 6 vssd2
+rlabel metal5 s 191202 353840 191502 353841 6 vssd2
 port 640 nsew default input
-rlabel metal5 s 173202 353810 173502 353811 6 vssd2
+rlabel metal5 s 173202 353840 173502 353841 6 vssd2
 port 640 nsew default input
-rlabel metal5 s 155202 353810 155502 353811 6 vssd2
+rlabel metal5 s 155202 353840 155502 353841 6 vssd2
 port 640 nsew default input
-rlabel metal5 s 137202 353810 137502 353811 6 vssd2
+rlabel metal5 s 137202 353840 137502 353841 6 vssd2
 port 640 nsew default input
-rlabel metal5 s 119202 353810 119502 353811 6 vssd2
+rlabel metal5 s 119202 353840 119502 353841 6 vssd2
 port 640 nsew default input
-rlabel metal5 s 101202 353810 101502 353811 6 vssd2
+rlabel metal5 s 101202 353840 101502 353841 6 vssd2
 port 640 nsew default input
-rlabel metal5 s 83202 353810 83502 353811 6 vssd2
+rlabel metal5 s 83202 353840 83502 353841 6 vssd2
 port 640 nsew default input
-rlabel metal5 s 65202 353810 65502 353811 6 vssd2
+rlabel metal5 s 65202 353840 65502 353841 6 vssd2
 port 640 nsew default input
-rlabel metal5 s 47202 353810 47502 353811 6 vssd2
+rlabel metal5 s 47202 353840 47502 353841 6 vssd2
 port 640 nsew default input
-rlabel metal5 s 29202 353810 29502 353811 6 vssd2
+rlabel metal5 s 29202 353840 29502 353841 6 vssd2
 port 640 nsew default input
-rlabel metal5 s 11202 353810 11502 353811 6 vssd2
+rlabel metal5 s 11202 353840 11502 353841 6 vssd2
 port 640 nsew default input
-rlabel metal5 s -2378 353810 -2078 353811 4 vssd2
+rlabel metal5 s -2408 353840 -2108 353841 4 vssd2
 port 640 nsew default input
-rlabel metal4 s 294040 -1842 294340 353810 6 vssd2
+rlabel metal4 s 294070 -1872 294370 353840 6 vssd2
 port 640 nsew default input
-rlabel metal4 s 281202 -1842 281502 240 8 vssd2
+rlabel metal4 s 281202 -1872 281502 240 8 vssd2
 port 640 nsew default input
-rlabel metal4 s 263202 -1842 263502 240 8 vssd2
+rlabel metal4 s 263202 -1872 263502 240 8 vssd2
 port 640 nsew default input
-rlabel metal4 s 245202 -1842 245502 240 8 vssd2
+rlabel metal4 s 245202 -1872 245502 240 8 vssd2
 port 640 nsew default input
-rlabel metal4 s 227202 -1842 227502 240 8 vssd2
+rlabel metal4 s 227202 -1872 227502 240 8 vssd2
 port 640 nsew default input
-rlabel metal4 s 209202 -1842 209502 240 8 vssd2
+rlabel metal4 s 209202 -1872 209502 240 8 vssd2
 port 640 nsew default input
-rlabel metal4 s 191202 -1842 191502 240 8 vssd2
+rlabel metal4 s 191202 -1872 191502 240 8 vssd2
 port 640 nsew default input
-rlabel metal4 s 173202 -1842 173502 240 8 vssd2
+rlabel metal4 s 173202 -1872 173502 240 8 vssd2
 port 640 nsew default input
-rlabel metal4 s 155202 -1842 155502 240 8 vssd2
+rlabel metal4 s 155202 -1872 155502 240 8 vssd2
 port 640 nsew default input
-rlabel metal4 s 137202 -1842 137502 240 8 vssd2
+rlabel metal4 s 137202 -1872 137502 240 8 vssd2
 port 640 nsew default input
-rlabel metal4 s 119202 -1842 119502 240 8 vssd2
+rlabel metal4 s 119202 -1872 119502 240 8 vssd2
 port 640 nsew default input
-rlabel metal4 s 101202 -1842 101502 240 8 vssd2
+rlabel metal4 s 101202 -1872 101502 240 8 vssd2
 port 640 nsew default input
-rlabel metal4 s 83202 -1842 83502 240 8 vssd2
+rlabel metal4 s 83202 -1872 83502 240 8 vssd2
 port 640 nsew default input
-rlabel metal4 s 65202 -1842 65502 240 8 vssd2
+rlabel metal4 s 65202 -1872 65502 240 8 vssd2
 port 640 nsew default input
-rlabel metal4 s 47202 -1842 47502 240 8 vssd2
+rlabel metal4 s 47202 -1872 47502 240 8 vssd2
 port 640 nsew default input
-rlabel metal4 s 29202 -1842 29502 240 8 vssd2
+rlabel metal4 s 29202 -1872 29502 240 8 vssd2
 port 640 nsew default input
-rlabel metal4 s 11202 -1842 11502 240 8 vssd2
+rlabel metal4 s 11202 -1872 11502 240 8 vssd2
 port 640 nsew default input
-rlabel metal4 s 281202 351760 281502 353810 6 vssd2
+rlabel metal4 s 281202 351760 281502 353840 6 vssd2
 port 640 nsew default input
-rlabel metal4 s 263202 351760 263502 353810 6 vssd2
+rlabel metal4 s 263202 351760 263502 353840 6 vssd2
 port 640 nsew default input
-rlabel metal4 s 245202 351760 245502 353810 6 vssd2
+rlabel metal4 s 245202 351760 245502 353840 6 vssd2
 port 640 nsew default input
-rlabel metal4 s 227202 351760 227502 353810 6 vssd2
+rlabel metal4 s 227202 351760 227502 353840 6 vssd2
 port 640 nsew default input
-rlabel metal4 s 209202 351760 209502 353810 6 vssd2
+rlabel metal4 s 209202 351760 209502 353840 6 vssd2
 port 640 nsew default input
-rlabel metal4 s 191202 351760 191502 353810 6 vssd2
+rlabel metal4 s 191202 351760 191502 353840 6 vssd2
 port 640 nsew default input
-rlabel metal4 s 173202 351760 173502 353810 6 vssd2
+rlabel metal4 s 173202 351760 173502 353840 6 vssd2
 port 640 nsew default input
-rlabel metal4 s 155202 351760 155502 353810 6 vssd2
+rlabel metal4 s 155202 351760 155502 353840 6 vssd2
 port 640 nsew default input
-rlabel metal4 s 137202 351760 137502 353810 6 vssd2
+rlabel metal4 s 137202 351760 137502 353840 6 vssd2
 port 640 nsew default input
-rlabel metal4 s 119202 351760 119502 353810 6 vssd2
+rlabel metal4 s 119202 351760 119502 353840 6 vssd2
 port 640 nsew default input
-rlabel metal4 s 101202 351760 101502 353810 6 vssd2
+rlabel metal4 s 101202 351760 101502 353840 6 vssd2
 port 640 nsew default input
-rlabel metal4 s 83202 351760 83502 353810 6 vssd2
+rlabel metal4 s 83202 351760 83502 353840 6 vssd2
 port 640 nsew default input
-rlabel metal4 s 65202 351760 65502 353810 6 vssd2
+rlabel metal4 s 65202 351760 65502 353840 6 vssd2
 port 640 nsew default input
-rlabel metal4 s 47202 351760 47502 353810 6 vssd2
+rlabel metal4 s 47202 351760 47502 353840 6 vssd2
 port 640 nsew default input
-rlabel metal4 s 29202 351760 29502 353810 6 vssd2
+rlabel metal4 s 29202 351760 29502 353840 6 vssd2
 port 640 nsew default input
-rlabel metal4 s 11202 351760 11502 353810 6 vssd2
+rlabel metal4 s 11202 351760 11502 353840 6 vssd2
 port 640 nsew default input
-rlabel metal4 s -2378 -1842 -2078 353810 4 vssd2
+rlabel metal4 s -2408 -1872 -2108 353840 4 vssd2
 port 640 nsew default input
-rlabel metal5 s 294500 -2303 294800 -2302 8 vdda1
+rlabel metal5 s 294540 -2343 294840 -2342 8 vdda1
 port 641 nsew default input
-rlabel metal5 s 274002 -2303 274302 -2302 8 vdda1
+rlabel metal5 s 274002 -2343 274302 -2342 8 vdda1
 port 641 nsew default input
-rlabel metal5 s 256002 -2303 256302 -2302 8 vdda1
+rlabel metal5 s 256002 -2343 256302 -2342 8 vdda1
 port 641 nsew default input
-rlabel metal5 s 238002 -2303 238302 -2302 8 vdda1
+rlabel metal5 s 238002 -2343 238302 -2342 8 vdda1
 port 641 nsew default input
-rlabel metal5 s 220002 -2303 220302 -2302 8 vdda1
+rlabel metal5 s 220002 -2343 220302 -2342 8 vdda1
 port 641 nsew default input
-rlabel metal5 s 202002 -2303 202302 -2302 8 vdda1
+rlabel metal5 s 202002 -2343 202302 -2342 8 vdda1
 port 641 nsew default input
-rlabel metal5 s 184002 -2303 184302 -2302 8 vdda1
+rlabel metal5 s 184002 -2343 184302 -2342 8 vdda1
 port 641 nsew default input
-rlabel metal5 s 166002 -2303 166302 -2302 8 vdda1
+rlabel metal5 s 166002 -2343 166302 -2342 8 vdda1
 port 641 nsew default input
-rlabel metal5 s 148002 -2303 148302 -2302 8 vdda1
+rlabel metal5 s 148002 -2343 148302 -2342 8 vdda1
 port 641 nsew default input
-rlabel metal5 s 130002 -2303 130302 -2302 8 vdda1
+rlabel metal5 s 130002 -2343 130302 -2342 8 vdda1
 port 641 nsew default input
-rlabel metal5 s 112002 -2303 112302 -2302 8 vdda1
+rlabel metal5 s 112002 -2343 112302 -2342 8 vdda1
 port 641 nsew default input
-rlabel metal5 s 94002 -2303 94302 -2302 8 vdda1
+rlabel metal5 s 94002 -2343 94302 -2342 8 vdda1
 port 641 nsew default input
-rlabel metal5 s 76002 -2303 76302 -2302 8 vdda1
+rlabel metal5 s 76002 -2343 76302 -2342 8 vdda1
 port 641 nsew default input
-rlabel metal5 s 58002 -2303 58302 -2302 8 vdda1
+rlabel metal5 s 58002 -2343 58302 -2342 8 vdda1
 port 641 nsew default input
-rlabel metal5 s 40002 -2303 40302 -2302 8 vdda1
+rlabel metal5 s 40002 -2343 40302 -2342 8 vdda1
 port 641 nsew default input
-rlabel metal5 s 22002 -2303 22302 -2302 8 vdda1
+rlabel metal5 s 22002 -2343 22302 -2342 8 vdda1
 port 641 nsew default input
-rlabel metal5 s 4002 -2303 4302 -2302 8 vdda1
+rlabel metal5 s 4002 -2343 4302 -2342 8 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 -2303 -2538 -2302 2 vdda1
+rlabel metal5 s -2878 -2343 -2578 -2342 2 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 -2302 294800 -2002 8 vdda1
+rlabel metal5 s -2878 -2342 294840 -2042 8 vdda1
 port 641 nsew default input
-rlabel metal5 s 294500 -2002 294800 -2001 8 vdda1
+rlabel metal5 s 294540 -2042 294840 -2041 8 vdda1
 port 641 nsew default input
-rlabel metal5 s 274002 -2002 274302 -2001 8 vdda1
+rlabel metal5 s 274002 -2042 274302 -2041 8 vdda1
 port 641 nsew default input
-rlabel metal5 s 256002 -2002 256302 -2001 8 vdda1
+rlabel metal5 s 256002 -2042 256302 -2041 8 vdda1
 port 641 nsew default input
-rlabel metal5 s 238002 -2002 238302 -2001 8 vdda1
+rlabel metal5 s 238002 -2042 238302 -2041 8 vdda1
 port 641 nsew default input
-rlabel metal5 s 220002 -2002 220302 -2001 8 vdda1
+rlabel metal5 s 220002 -2042 220302 -2041 8 vdda1
 port 641 nsew default input
-rlabel metal5 s 202002 -2002 202302 -2001 8 vdda1
+rlabel metal5 s 202002 -2042 202302 -2041 8 vdda1
 port 641 nsew default input
-rlabel metal5 s 184002 -2002 184302 -2001 8 vdda1
+rlabel metal5 s 184002 -2042 184302 -2041 8 vdda1
 port 641 nsew default input
-rlabel metal5 s 166002 -2002 166302 -2001 8 vdda1
+rlabel metal5 s 166002 -2042 166302 -2041 8 vdda1
 port 641 nsew default input
-rlabel metal5 s 148002 -2002 148302 -2001 8 vdda1
+rlabel metal5 s 148002 -2042 148302 -2041 8 vdda1
 port 641 nsew default input
-rlabel metal5 s 130002 -2002 130302 -2001 8 vdda1
+rlabel metal5 s 130002 -2042 130302 -2041 8 vdda1
 port 641 nsew default input
-rlabel metal5 s 112002 -2002 112302 -2001 8 vdda1
+rlabel metal5 s 112002 -2042 112302 -2041 8 vdda1
 port 641 nsew default input
-rlabel metal5 s 94002 -2002 94302 -2001 8 vdda1
+rlabel metal5 s 94002 -2042 94302 -2041 8 vdda1
 port 641 nsew default input
-rlabel metal5 s 76002 -2002 76302 -2001 8 vdda1
+rlabel metal5 s 76002 -2042 76302 -2041 8 vdda1
 port 641 nsew default input
-rlabel metal5 s 58002 -2002 58302 -2001 8 vdda1
+rlabel metal5 s 58002 -2042 58302 -2041 8 vdda1
 port 641 nsew default input
-rlabel metal5 s 40002 -2002 40302 -2001 8 vdda1
+rlabel metal5 s 40002 -2042 40302 -2041 8 vdda1
 port 641 nsew default input
-rlabel metal5 s 22002 -2002 22302 -2001 8 vdda1
+rlabel metal5 s 22002 -2042 22302 -2041 8 vdda1
 port 641 nsew default input
-rlabel metal5 s 4002 -2002 4302 -2001 8 vdda1
+rlabel metal5 s 4002 -2042 4302 -2041 8 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 -2002 -2538 -2001 2 vdda1
+rlabel metal5 s -2878 -2042 -2578 -2041 2 vdda1
 port 641 nsew default input
-rlabel metal5 s 294500 4537 294800 4538 6 vdda1
+rlabel metal5 s 294540 4537 294840 4538 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 4537 -2538 4538 4 vdda1
+rlabel metal5 s -2878 4537 -2578 4538 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 291760 4538 295260 4838 6 vdda1
+rlabel metal5 s 291760 4538 295310 4838 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -3298 4538 240 4838 4 vdda1
+rlabel metal5 s -3348 4538 240 4838 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 294500 4838 294800 4839 6 vdda1
+rlabel metal5 s 294540 4838 294840 4839 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 4838 -2538 4839 4 vdda1
+rlabel metal5 s -2878 4838 -2578 4839 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 294500 22537 294800 22538 6 vdda1
+rlabel metal5 s 294540 22537 294840 22538 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 22537 -2538 22538 4 vdda1
+rlabel metal5 s -2878 22537 -2578 22538 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 291760 22538 295260 22838 6 vdda1
+rlabel metal5 s 291760 22538 295310 22838 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -3298 22538 240 22838 4 vdda1
+rlabel metal5 s -3348 22538 240 22838 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 294500 22838 294800 22839 6 vdda1
+rlabel metal5 s 294540 22838 294840 22839 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 22838 -2538 22839 4 vdda1
+rlabel metal5 s -2878 22838 -2578 22839 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 294500 40537 294800 40538 6 vdda1
+rlabel metal5 s 294540 40537 294840 40538 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 40537 -2538 40538 4 vdda1
+rlabel metal5 s -2878 40537 -2578 40538 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 291760 40538 295260 40838 6 vdda1
+rlabel metal5 s 291760 40538 295310 40838 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -3298 40538 240 40838 4 vdda1
+rlabel metal5 s -3348 40538 240 40838 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 294500 40838 294800 40839 6 vdda1
+rlabel metal5 s 294540 40838 294840 40839 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 40838 -2538 40839 4 vdda1
+rlabel metal5 s -2878 40838 -2578 40839 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 294500 58537 294800 58538 6 vdda1
+rlabel metal5 s 294540 58537 294840 58538 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 58537 -2538 58538 4 vdda1
+rlabel metal5 s -2878 58537 -2578 58538 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 291760 58538 295260 58838 6 vdda1
+rlabel metal5 s 291760 58538 295310 58838 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -3298 58538 240 58838 4 vdda1
+rlabel metal5 s -3348 58538 240 58838 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 294500 58838 294800 58839 6 vdda1
+rlabel metal5 s 294540 58838 294840 58839 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 58838 -2538 58839 4 vdda1
+rlabel metal5 s -2878 58838 -2578 58839 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 294500 76537 294800 76538 6 vdda1
+rlabel metal5 s 294540 76537 294840 76538 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 76537 -2538 76538 4 vdda1
+rlabel metal5 s -2878 76537 -2578 76538 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 291760 76538 295260 76838 6 vdda1
+rlabel metal5 s 291760 76538 295310 76838 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -3298 76538 240 76838 4 vdda1
+rlabel metal5 s -3348 76538 240 76838 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 294500 76838 294800 76839 6 vdda1
+rlabel metal5 s 294540 76838 294840 76839 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 76838 -2538 76839 4 vdda1
+rlabel metal5 s -2878 76838 -2578 76839 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 294500 94537 294800 94538 6 vdda1
+rlabel metal5 s 294540 94537 294840 94538 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 94537 -2538 94538 4 vdda1
+rlabel metal5 s -2878 94537 -2578 94538 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 291760 94538 295260 94838 6 vdda1
+rlabel metal5 s 291760 94538 295310 94838 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -3298 94538 240 94838 4 vdda1
+rlabel metal5 s -3348 94538 240 94838 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 294500 94838 294800 94839 6 vdda1
+rlabel metal5 s 294540 94838 294840 94839 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 94838 -2538 94839 4 vdda1
+rlabel metal5 s -2878 94838 -2578 94839 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 294500 112537 294800 112538 6 vdda1
+rlabel metal5 s 294540 112537 294840 112538 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 112537 -2538 112538 4 vdda1
+rlabel metal5 s -2878 112537 -2578 112538 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 291760 112538 295260 112838 6 vdda1
+rlabel metal5 s 291760 112538 295310 112838 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -3298 112538 240 112838 4 vdda1
+rlabel metal5 s -3348 112538 240 112838 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 294500 112838 294800 112839 6 vdda1
+rlabel metal5 s 294540 112838 294840 112839 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 112838 -2538 112839 4 vdda1
+rlabel metal5 s -2878 112838 -2578 112839 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 294500 130537 294800 130538 6 vdda1
+rlabel metal5 s 294540 130537 294840 130538 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 130537 -2538 130538 4 vdda1
+rlabel metal5 s -2878 130537 -2578 130538 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 291760 130538 295260 130838 6 vdda1
+rlabel metal5 s 291760 130538 295310 130838 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -3298 130538 240 130838 4 vdda1
+rlabel metal5 s -3348 130538 240 130838 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 294500 130838 294800 130839 6 vdda1
+rlabel metal5 s 294540 130838 294840 130839 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 130838 -2538 130839 4 vdda1
+rlabel metal5 s -2878 130838 -2578 130839 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 294500 148537 294800 148538 6 vdda1
+rlabel metal5 s 294540 148537 294840 148538 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 148537 -2538 148538 4 vdda1
+rlabel metal5 s -2878 148537 -2578 148538 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 291760 148538 295260 148838 6 vdda1
+rlabel metal5 s 291760 148538 295310 148838 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -3298 148538 240 148838 4 vdda1
+rlabel metal5 s -3348 148538 240 148838 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 294500 148838 294800 148839 6 vdda1
+rlabel metal5 s 294540 148838 294840 148839 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 148838 -2538 148839 4 vdda1
+rlabel metal5 s -2878 148838 -2578 148839 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 294500 166537 294800 166538 6 vdda1
+rlabel metal5 s 294540 166537 294840 166538 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 166537 -2538 166538 4 vdda1
+rlabel metal5 s -2878 166537 -2578 166538 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 291760 166538 295260 166838 6 vdda1
+rlabel metal5 s 291760 166538 295310 166838 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -3298 166538 240 166838 4 vdda1
+rlabel metal5 s -3348 166538 240 166838 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 294500 166838 294800 166839 6 vdda1
+rlabel metal5 s 294540 166838 294840 166839 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 166838 -2538 166839 4 vdda1
+rlabel metal5 s -2878 166838 -2578 166839 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 294500 184537 294800 184538 6 vdda1
+rlabel metal5 s 294540 184537 294840 184538 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 184537 -2538 184538 4 vdda1
+rlabel metal5 s -2878 184537 -2578 184538 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 291760 184538 295260 184838 6 vdda1
+rlabel metal5 s 291760 184538 295310 184838 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -3298 184538 240 184838 4 vdda1
+rlabel metal5 s -3348 184538 240 184838 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 294500 184838 294800 184839 6 vdda1
+rlabel metal5 s 294540 184838 294840 184839 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 184838 -2538 184839 4 vdda1
+rlabel metal5 s -2878 184838 -2578 184839 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 294500 202537 294800 202538 6 vdda1
+rlabel metal5 s 294540 202537 294840 202538 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 202537 -2538 202538 4 vdda1
+rlabel metal5 s -2878 202537 -2578 202538 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 291760 202538 295260 202838 6 vdda1
+rlabel metal5 s 291760 202538 295310 202838 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -3298 202538 240 202838 4 vdda1
+rlabel metal5 s -3348 202538 240 202838 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 294500 202838 294800 202839 6 vdda1
+rlabel metal5 s 294540 202838 294840 202839 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 202838 -2538 202839 4 vdda1
+rlabel metal5 s -2878 202838 -2578 202839 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 294500 220537 294800 220538 6 vdda1
+rlabel metal5 s 294540 220537 294840 220538 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 220537 -2538 220538 4 vdda1
+rlabel metal5 s -2878 220537 -2578 220538 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 291760 220538 295260 220838 6 vdda1
+rlabel metal5 s 291760 220538 295310 220838 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -3298 220538 240 220838 4 vdda1
+rlabel metal5 s -3348 220538 240 220838 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 294500 220838 294800 220839 6 vdda1
+rlabel metal5 s 294540 220838 294840 220839 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 220838 -2538 220839 4 vdda1
+rlabel metal5 s -2878 220838 -2578 220839 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 294500 238537 294800 238538 6 vdda1
+rlabel metal5 s 294540 238537 294840 238538 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 238537 -2538 238538 4 vdda1
+rlabel metal5 s -2878 238537 -2578 238538 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 291760 238538 295260 238838 6 vdda1
+rlabel metal5 s 291760 238538 295310 238838 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -3298 238538 240 238838 4 vdda1
+rlabel metal5 s -3348 238538 240 238838 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 294500 238838 294800 238839 6 vdda1
+rlabel metal5 s 294540 238838 294840 238839 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 238838 -2538 238839 4 vdda1
+rlabel metal5 s -2878 238838 -2578 238839 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 294500 256537 294800 256538 6 vdda1
+rlabel metal5 s 294540 256537 294840 256538 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 256537 -2538 256538 4 vdda1
+rlabel metal5 s -2878 256537 -2578 256538 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 291760 256538 295260 256838 6 vdda1
+rlabel metal5 s 291760 256538 295310 256838 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -3298 256538 240 256838 4 vdda1
+rlabel metal5 s -3348 256538 240 256838 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 294500 256838 294800 256839 6 vdda1
+rlabel metal5 s 294540 256838 294840 256839 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 256838 -2538 256839 4 vdda1
+rlabel metal5 s -2878 256838 -2578 256839 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 294500 274537 294800 274538 6 vdda1
+rlabel metal5 s 294540 274537 294840 274538 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 274537 -2538 274538 4 vdda1
+rlabel metal5 s -2878 274537 -2578 274538 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 291760 274538 295260 274838 6 vdda1
+rlabel metal5 s 291760 274538 295310 274838 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -3298 274538 240 274838 4 vdda1
+rlabel metal5 s -3348 274538 240 274838 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 294500 274838 294800 274839 6 vdda1
+rlabel metal5 s 294540 274838 294840 274839 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 274838 -2538 274839 4 vdda1
+rlabel metal5 s -2878 274838 -2578 274839 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 294500 292537 294800 292538 6 vdda1
+rlabel metal5 s 294540 292537 294840 292538 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 292537 -2538 292538 4 vdda1
+rlabel metal5 s -2878 292537 -2578 292538 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 291760 292538 295260 292838 6 vdda1
+rlabel metal5 s 291760 292538 295310 292838 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -3298 292538 240 292838 4 vdda1
+rlabel metal5 s -3348 292538 240 292838 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 294500 292838 294800 292839 6 vdda1
+rlabel metal5 s 294540 292838 294840 292839 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 292838 -2538 292839 4 vdda1
+rlabel metal5 s -2878 292838 -2578 292839 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 294500 310537 294800 310538 6 vdda1
+rlabel metal5 s 294540 310537 294840 310538 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 310537 -2538 310538 4 vdda1
+rlabel metal5 s -2878 310537 -2578 310538 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 291760 310538 295260 310838 6 vdda1
+rlabel metal5 s 291760 310538 295310 310838 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -3298 310538 240 310838 4 vdda1
+rlabel metal5 s -3348 310538 240 310838 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 294500 310838 294800 310839 6 vdda1
+rlabel metal5 s 294540 310838 294840 310839 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 310838 -2538 310839 4 vdda1
+rlabel metal5 s -2878 310838 -2578 310839 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 294500 328537 294800 328538 6 vdda1
+rlabel metal5 s 294540 328537 294840 328538 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 328537 -2538 328538 4 vdda1
+rlabel metal5 s -2878 328537 -2578 328538 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 291760 328538 295260 328838 6 vdda1
+rlabel metal5 s 291760 328538 295310 328838 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -3298 328538 240 328838 4 vdda1
+rlabel metal5 s -3348 328538 240 328838 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 294500 328838 294800 328839 6 vdda1
+rlabel metal5 s 294540 328838 294840 328839 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 328838 -2538 328839 4 vdda1
+rlabel metal5 s -2878 328838 -2578 328839 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 294500 346537 294800 346538 6 vdda1
+rlabel metal5 s 294540 346537 294840 346538 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 346537 -2538 346538 4 vdda1
+rlabel metal5 s -2878 346537 -2578 346538 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 291760 346538 295260 346838 6 vdda1
+rlabel metal5 s 291760 346538 295310 346838 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -3298 346538 240 346838 4 vdda1
+rlabel metal5 s -3348 346538 240 346838 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 294500 346838 294800 346839 6 vdda1
+rlabel metal5 s 294540 346838 294840 346839 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 346838 -2538 346839 4 vdda1
+rlabel metal5 s -2878 346838 -2578 346839 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 294500 353969 294800 353970 6 vdda1
+rlabel metal5 s 294540 354009 294840 354010 6 vdda1
 port 641 nsew default input
-rlabel metal5 s 274002 353969 274302 353970 6 vdda1
+rlabel metal5 s 274002 354009 274302 354010 6 vdda1
 port 641 nsew default input
-rlabel metal5 s 256002 353969 256302 353970 6 vdda1
+rlabel metal5 s 256002 354009 256302 354010 6 vdda1
 port 641 nsew default input
-rlabel metal5 s 238002 353969 238302 353970 6 vdda1
+rlabel metal5 s 238002 354009 238302 354010 6 vdda1
 port 641 nsew default input
-rlabel metal5 s 220002 353969 220302 353970 6 vdda1
+rlabel metal5 s 220002 354009 220302 354010 6 vdda1
 port 641 nsew default input
-rlabel metal5 s 202002 353969 202302 353970 6 vdda1
+rlabel metal5 s 202002 354009 202302 354010 6 vdda1
 port 641 nsew default input
-rlabel metal5 s 184002 353969 184302 353970 6 vdda1
+rlabel metal5 s 184002 354009 184302 354010 6 vdda1
 port 641 nsew default input
-rlabel metal5 s 166002 353969 166302 353970 6 vdda1
+rlabel metal5 s 166002 354009 166302 354010 6 vdda1
 port 641 nsew default input
-rlabel metal5 s 148002 353969 148302 353970 6 vdda1
+rlabel metal5 s 148002 354009 148302 354010 6 vdda1
 port 641 nsew default input
-rlabel metal5 s 130002 353969 130302 353970 6 vdda1
+rlabel metal5 s 130002 354009 130302 354010 6 vdda1
 port 641 nsew default input
-rlabel metal5 s 112002 353969 112302 353970 6 vdda1
+rlabel metal5 s 112002 354009 112302 354010 6 vdda1
 port 641 nsew default input
-rlabel metal5 s 94002 353969 94302 353970 6 vdda1
+rlabel metal5 s 94002 354009 94302 354010 6 vdda1
 port 641 nsew default input
-rlabel metal5 s 76002 353969 76302 353970 6 vdda1
+rlabel metal5 s 76002 354009 76302 354010 6 vdda1
 port 641 nsew default input
-rlabel metal5 s 58002 353969 58302 353970 6 vdda1
+rlabel metal5 s 58002 354009 58302 354010 6 vdda1
 port 641 nsew default input
-rlabel metal5 s 40002 353969 40302 353970 6 vdda1
+rlabel metal5 s 40002 354009 40302 354010 6 vdda1
 port 641 nsew default input
-rlabel metal5 s 22002 353969 22302 353970 6 vdda1
+rlabel metal5 s 22002 354009 22302 354010 6 vdda1
 port 641 nsew default input
-rlabel metal5 s 4002 353969 4302 353970 6 vdda1
+rlabel metal5 s 4002 354009 4302 354010 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 353969 -2538 353970 4 vdda1
+rlabel metal5 s -2878 354009 -2578 354010 4 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 353970 294800 354270 6 vdda1
+rlabel metal5 s -2878 354010 294840 354310 6 vdda1
 port 641 nsew default input
-rlabel metal5 s 294500 354270 294800 354271 6 vdda1
+rlabel metal5 s 294540 354310 294840 354311 6 vdda1
 port 641 nsew default input
-rlabel metal5 s 274002 354270 274302 354271 6 vdda1
+rlabel metal5 s 274002 354310 274302 354311 6 vdda1
 port 641 nsew default input
-rlabel metal5 s 256002 354270 256302 354271 6 vdda1
+rlabel metal5 s 256002 354310 256302 354311 6 vdda1
 port 641 nsew default input
-rlabel metal5 s 238002 354270 238302 354271 6 vdda1
+rlabel metal5 s 238002 354310 238302 354311 6 vdda1
 port 641 nsew default input
-rlabel metal5 s 220002 354270 220302 354271 6 vdda1
+rlabel metal5 s 220002 354310 220302 354311 6 vdda1
 port 641 nsew default input
-rlabel metal5 s 202002 354270 202302 354271 6 vdda1
+rlabel metal5 s 202002 354310 202302 354311 6 vdda1
 port 641 nsew default input
-rlabel metal5 s 184002 354270 184302 354271 6 vdda1
+rlabel metal5 s 184002 354310 184302 354311 6 vdda1
 port 641 nsew default input
-rlabel metal5 s 166002 354270 166302 354271 6 vdda1
+rlabel metal5 s 166002 354310 166302 354311 6 vdda1
 port 641 nsew default input
-rlabel metal5 s 148002 354270 148302 354271 6 vdda1
+rlabel metal5 s 148002 354310 148302 354311 6 vdda1
 port 641 nsew default input
-rlabel metal5 s 130002 354270 130302 354271 6 vdda1
+rlabel metal5 s 130002 354310 130302 354311 6 vdda1
 port 641 nsew default input
-rlabel metal5 s 112002 354270 112302 354271 6 vdda1
+rlabel metal5 s 112002 354310 112302 354311 6 vdda1
 port 641 nsew default input
-rlabel metal5 s 94002 354270 94302 354271 6 vdda1
+rlabel metal5 s 94002 354310 94302 354311 6 vdda1
 port 641 nsew default input
-rlabel metal5 s 76002 354270 76302 354271 6 vdda1
+rlabel metal5 s 76002 354310 76302 354311 6 vdda1
 port 641 nsew default input
-rlabel metal5 s 58002 354270 58302 354271 6 vdda1
+rlabel metal5 s 58002 354310 58302 354311 6 vdda1
 port 641 nsew default input
-rlabel metal5 s 40002 354270 40302 354271 6 vdda1
+rlabel metal5 s 40002 354310 40302 354311 6 vdda1
 port 641 nsew default input
-rlabel metal5 s 22002 354270 22302 354271 6 vdda1
+rlabel metal5 s 22002 354310 22302 354311 6 vdda1
 port 641 nsew default input
-rlabel metal5 s 4002 354270 4302 354271 6 vdda1
+rlabel metal5 s 4002 354310 4302 354311 6 vdda1
 port 641 nsew default input
-rlabel metal5 s -2838 354270 -2538 354271 4 vdda1
+rlabel metal5 s -2878 354310 -2578 354311 4 vdda1
 port 641 nsew default input
-rlabel metal4 s 294500 -2302 294800 354270 6 vdda1
+rlabel metal4 s 294540 -2342 294840 354310 6 vdda1
 port 641 nsew default input
-rlabel metal4 s 274002 -2762 274302 240 8 vdda1
+rlabel metal4 s 274002 -2812 274302 240 8 vdda1
 port 641 nsew default input
-rlabel metal4 s 256002 -2762 256302 240 8 vdda1
+rlabel metal4 s 256002 -2812 256302 240 8 vdda1
 port 641 nsew default input
-rlabel metal4 s 238002 -2762 238302 240 8 vdda1
+rlabel metal4 s 238002 -2812 238302 240 8 vdda1
 port 641 nsew default input
-rlabel metal4 s 220002 -2762 220302 240 8 vdda1
+rlabel metal4 s 220002 -2812 220302 240 8 vdda1
 port 641 nsew default input
-rlabel metal4 s 202002 -2762 202302 240 8 vdda1
+rlabel metal4 s 202002 -2812 202302 240 8 vdda1
 port 641 nsew default input
-rlabel metal4 s 184002 -2762 184302 240 8 vdda1
+rlabel metal4 s 184002 -2812 184302 240 8 vdda1
 port 641 nsew default input
-rlabel metal4 s 166002 -2762 166302 240 8 vdda1
+rlabel metal4 s 166002 -2812 166302 240 8 vdda1
 port 641 nsew default input
-rlabel metal4 s 148002 -2762 148302 240 8 vdda1
+rlabel metal4 s 148002 -2812 148302 240 8 vdda1
 port 641 nsew default input
-rlabel metal4 s 130002 -2762 130302 240 8 vdda1
+rlabel metal4 s 130002 -2812 130302 240 8 vdda1
 port 641 nsew default input
-rlabel metal4 s 112002 -2762 112302 240 8 vdda1
+rlabel metal4 s 112002 -2812 112302 240 8 vdda1
 port 641 nsew default input
-rlabel metal4 s 94002 -2762 94302 240 8 vdda1
+rlabel metal4 s 94002 -2812 94302 240 8 vdda1
 port 641 nsew default input
-rlabel metal4 s 76002 -2762 76302 240 8 vdda1
+rlabel metal4 s 76002 -2812 76302 240 8 vdda1
 port 641 nsew default input
-rlabel metal4 s 58002 -2762 58302 240 8 vdda1
+rlabel metal4 s 58002 -2812 58302 240 8 vdda1
 port 641 nsew default input
-rlabel metal4 s 40002 -2762 40302 240 8 vdda1
+rlabel metal4 s 40002 -2812 40302 240 8 vdda1
 port 641 nsew default input
-rlabel metal4 s 22002 -2762 22302 240 8 vdda1
+rlabel metal4 s 22002 -2812 22302 240 8 vdda1
 port 641 nsew default input
-rlabel metal4 s 4002 -2762 4302 240 8 vdda1
+rlabel metal4 s 4002 -2812 4302 240 8 vdda1
 port 641 nsew default input
-rlabel metal4 s 274002 351760 274302 354730 6 vdda1
+rlabel metal4 s 274002 351760 274302 354780 6 vdda1
 port 641 nsew default input
-rlabel metal4 s 256002 351760 256302 354730 6 vdda1
+rlabel metal4 s 256002 351760 256302 354780 6 vdda1
 port 641 nsew default input
-rlabel metal4 s 238002 351760 238302 354730 6 vdda1
+rlabel metal4 s 238002 351760 238302 354780 6 vdda1
 port 641 nsew default input
-rlabel metal4 s 220002 351760 220302 354730 6 vdda1
+rlabel metal4 s 220002 351760 220302 354780 6 vdda1
 port 641 nsew default input
-rlabel metal4 s 202002 351760 202302 354730 6 vdda1
+rlabel metal4 s 202002 351760 202302 354780 6 vdda1
 port 641 nsew default input
-rlabel metal4 s 184002 351760 184302 354730 6 vdda1
+rlabel metal4 s 184002 351760 184302 354780 6 vdda1
 port 641 nsew default input
-rlabel metal4 s 166002 351760 166302 354730 6 vdda1
+rlabel metal4 s 166002 351760 166302 354780 6 vdda1
 port 641 nsew default input
-rlabel metal4 s 148002 351760 148302 354730 6 vdda1
+rlabel metal4 s 148002 351760 148302 354780 6 vdda1
 port 641 nsew default input
-rlabel metal4 s 130002 351760 130302 354730 6 vdda1
+rlabel metal4 s 130002 351760 130302 354780 6 vdda1
 port 641 nsew default input
-rlabel metal4 s 112002 351760 112302 354730 6 vdda1
+rlabel metal4 s 112002 351760 112302 354780 6 vdda1
 port 641 nsew default input
-rlabel metal4 s 94002 351760 94302 354730 6 vdda1
+rlabel metal4 s 94002 351760 94302 354780 6 vdda1
 port 641 nsew default input
-rlabel metal4 s 76002 351760 76302 354730 6 vdda1
+rlabel metal4 s 76002 351760 76302 354780 6 vdda1
 port 641 nsew default input
-rlabel metal4 s 58002 351760 58302 354730 6 vdda1
+rlabel metal4 s 58002 351760 58302 354780 6 vdda1
 port 641 nsew default input
-rlabel metal4 s 40002 351760 40302 354730 6 vdda1
+rlabel metal4 s 40002 351760 40302 354780 6 vdda1
 port 641 nsew default input
-rlabel metal4 s 22002 351760 22302 354730 6 vdda1
+rlabel metal4 s 22002 351760 22302 354780 6 vdda1
 port 641 nsew default input
-rlabel metal4 s 4002 351760 4302 354730 6 vdda1
+rlabel metal4 s 4002 351760 4302 354780 6 vdda1
 port 641 nsew default input
-rlabel metal4 s -2838 -2302 -2538 354270 4 vdda1
+rlabel metal4 s -2878 -2342 -2578 354310 4 vdda1
 port 641 nsew default input
-rlabel metal5 s 294960 -2763 295260 -2762 8 vssa1
+rlabel metal5 s 295010 -2813 295310 -2812 8 vssa1
 port 642 nsew default input
-rlabel metal5 s 283002 -2763 283302 -2762 8 vssa1
+rlabel metal5 s 283002 -2813 283302 -2812 8 vssa1
 port 642 nsew default input
-rlabel metal5 s 265002 -2763 265302 -2762 8 vssa1
+rlabel metal5 s 265002 -2813 265302 -2812 8 vssa1
 port 642 nsew default input
-rlabel metal5 s 247002 -2763 247302 -2762 8 vssa1
+rlabel metal5 s 247002 -2813 247302 -2812 8 vssa1
 port 642 nsew default input
-rlabel metal5 s 229002 -2763 229302 -2762 8 vssa1
+rlabel metal5 s 229002 -2813 229302 -2812 8 vssa1
 port 642 nsew default input
-rlabel metal5 s 211002 -2763 211302 -2762 8 vssa1
+rlabel metal5 s 211002 -2813 211302 -2812 8 vssa1
 port 642 nsew default input
-rlabel metal5 s 193002 -2763 193302 -2762 8 vssa1
+rlabel metal5 s 193002 -2813 193302 -2812 8 vssa1
 port 642 nsew default input
-rlabel metal5 s 175002 -2763 175302 -2762 8 vssa1
+rlabel metal5 s 175002 -2813 175302 -2812 8 vssa1
 port 642 nsew default input
-rlabel metal5 s 157002 -2763 157302 -2762 8 vssa1
+rlabel metal5 s 157002 -2813 157302 -2812 8 vssa1
 port 642 nsew default input
-rlabel metal5 s 139002 -2763 139302 -2762 8 vssa1
+rlabel metal5 s 139002 -2813 139302 -2812 8 vssa1
 port 642 nsew default input
-rlabel metal5 s 121002 -2763 121302 -2762 8 vssa1
+rlabel metal5 s 121002 -2813 121302 -2812 8 vssa1
 port 642 nsew default input
-rlabel metal5 s 103002 -2763 103302 -2762 8 vssa1
+rlabel metal5 s 103002 -2813 103302 -2812 8 vssa1
 port 642 nsew default input
-rlabel metal5 s 85002 -2763 85302 -2762 8 vssa1
+rlabel metal5 s 85002 -2813 85302 -2812 8 vssa1
 port 642 nsew default input
-rlabel metal5 s 67002 -2763 67302 -2762 8 vssa1
+rlabel metal5 s 67002 -2813 67302 -2812 8 vssa1
 port 642 nsew default input
-rlabel metal5 s 49002 -2763 49302 -2762 8 vssa1
+rlabel metal5 s 49002 -2813 49302 -2812 8 vssa1
 port 642 nsew default input
-rlabel metal5 s 31002 -2763 31302 -2762 8 vssa1
+rlabel metal5 s 31002 -2813 31302 -2812 8 vssa1
 port 642 nsew default input
-rlabel metal5 s 13002 -2763 13302 -2762 8 vssa1
+rlabel metal5 s 13002 -2813 13302 -2812 8 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 -2763 -2998 -2762 2 vssa1
+rlabel metal5 s -3348 -2813 -3048 -2812 2 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 -2762 295260 -2462 8 vssa1
+rlabel metal5 s -3348 -2812 295310 -2512 8 vssa1
 port 642 nsew default input
-rlabel metal5 s 294960 -2462 295260 -2461 8 vssa1
+rlabel metal5 s 295010 -2512 295310 -2511 8 vssa1
 port 642 nsew default input
-rlabel metal5 s 283002 -2462 283302 -2461 8 vssa1
+rlabel metal5 s 283002 -2512 283302 -2511 8 vssa1
 port 642 nsew default input
-rlabel metal5 s 265002 -2462 265302 -2461 8 vssa1
+rlabel metal5 s 265002 -2512 265302 -2511 8 vssa1
 port 642 nsew default input
-rlabel metal5 s 247002 -2462 247302 -2461 8 vssa1
+rlabel metal5 s 247002 -2512 247302 -2511 8 vssa1
 port 642 nsew default input
-rlabel metal5 s 229002 -2462 229302 -2461 8 vssa1
+rlabel metal5 s 229002 -2512 229302 -2511 8 vssa1
 port 642 nsew default input
-rlabel metal5 s 211002 -2462 211302 -2461 8 vssa1
+rlabel metal5 s 211002 -2512 211302 -2511 8 vssa1
 port 642 nsew default input
-rlabel metal5 s 193002 -2462 193302 -2461 8 vssa1
+rlabel metal5 s 193002 -2512 193302 -2511 8 vssa1
 port 642 nsew default input
-rlabel metal5 s 175002 -2462 175302 -2461 8 vssa1
+rlabel metal5 s 175002 -2512 175302 -2511 8 vssa1
 port 642 nsew default input
-rlabel metal5 s 157002 -2462 157302 -2461 8 vssa1
+rlabel metal5 s 157002 -2512 157302 -2511 8 vssa1
 port 642 nsew default input
-rlabel metal5 s 139002 -2462 139302 -2461 8 vssa1
+rlabel metal5 s 139002 -2512 139302 -2511 8 vssa1
 port 642 nsew default input
-rlabel metal5 s 121002 -2462 121302 -2461 8 vssa1
+rlabel metal5 s 121002 -2512 121302 -2511 8 vssa1
 port 642 nsew default input
-rlabel metal5 s 103002 -2462 103302 -2461 8 vssa1
+rlabel metal5 s 103002 -2512 103302 -2511 8 vssa1
 port 642 nsew default input
-rlabel metal5 s 85002 -2462 85302 -2461 8 vssa1
+rlabel metal5 s 85002 -2512 85302 -2511 8 vssa1
 port 642 nsew default input
-rlabel metal5 s 67002 -2462 67302 -2461 8 vssa1
+rlabel metal5 s 67002 -2512 67302 -2511 8 vssa1
 port 642 nsew default input
-rlabel metal5 s 49002 -2462 49302 -2461 8 vssa1
+rlabel metal5 s 49002 -2512 49302 -2511 8 vssa1
 port 642 nsew default input
-rlabel metal5 s 31002 -2462 31302 -2461 8 vssa1
+rlabel metal5 s 31002 -2512 31302 -2511 8 vssa1
 port 642 nsew default input
-rlabel metal5 s 13002 -2462 13302 -2461 8 vssa1
+rlabel metal5 s 13002 -2512 13302 -2511 8 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 -2462 -2998 -2461 2 vssa1
+rlabel metal5 s -3348 -2512 -3048 -2511 2 vssa1
 port 642 nsew default input
-rlabel metal5 s 294960 13537 295260 13538 6 vssa1
+rlabel metal5 s 295010 13537 295310 13538 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 13537 -2998 13538 4 vssa1
+rlabel metal5 s -3348 13537 -3048 13538 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 291760 13538 295260 13838 6 vssa1
+rlabel metal5 s 291760 13538 295310 13838 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 13538 240 13838 4 vssa1
+rlabel metal5 s -3348 13538 240 13838 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 294960 13838 295260 13839 6 vssa1
+rlabel metal5 s 295010 13838 295310 13839 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 13838 -2998 13839 4 vssa1
+rlabel metal5 s -3348 13838 -3048 13839 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 294960 31537 295260 31538 6 vssa1
+rlabel metal5 s 295010 31537 295310 31538 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 31537 -2998 31538 4 vssa1
+rlabel metal5 s -3348 31537 -3048 31538 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 291760 31538 295260 31838 6 vssa1
+rlabel metal5 s 291760 31538 295310 31838 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 31538 240 31838 4 vssa1
+rlabel metal5 s -3348 31538 240 31838 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 294960 31838 295260 31839 6 vssa1
+rlabel metal5 s 295010 31838 295310 31839 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 31838 -2998 31839 4 vssa1
+rlabel metal5 s -3348 31838 -3048 31839 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 294960 49537 295260 49538 6 vssa1
+rlabel metal5 s 295010 49537 295310 49538 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 49537 -2998 49538 4 vssa1
+rlabel metal5 s -3348 49537 -3048 49538 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 291760 49538 295260 49838 6 vssa1
+rlabel metal5 s 291760 49538 295310 49838 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 49538 240 49838 4 vssa1
+rlabel metal5 s -3348 49538 240 49838 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 294960 49838 295260 49839 6 vssa1
+rlabel metal5 s 295010 49838 295310 49839 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 49838 -2998 49839 4 vssa1
+rlabel metal5 s -3348 49838 -3048 49839 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 294960 67537 295260 67538 6 vssa1
+rlabel metal5 s 295010 67537 295310 67538 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 67537 -2998 67538 4 vssa1
+rlabel metal5 s -3348 67537 -3048 67538 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 291760 67538 295260 67838 6 vssa1
+rlabel metal5 s 291760 67538 295310 67838 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 67538 240 67838 4 vssa1
+rlabel metal5 s -3348 67538 240 67838 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 294960 67838 295260 67839 6 vssa1
+rlabel metal5 s 295010 67838 295310 67839 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 67838 -2998 67839 4 vssa1
+rlabel metal5 s -3348 67838 -3048 67839 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 294960 85537 295260 85538 6 vssa1
+rlabel metal5 s 295010 85537 295310 85538 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 85537 -2998 85538 4 vssa1
+rlabel metal5 s -3348 85537 -3048 85538 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 291760 85538 295260 85838 6 vssa1
+rlabel metal5 s 291760 85538 295310 85838 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 85538 240 85838 4 vssa1
+rlabel metal5 s -3348 85538 240 85838 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 294960 85838 295260 85839 6 vssa1
+rlabel metal5 s 295010 85838 295310 85839 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 85838 -2998 85839 4 vssa1
+rlabel metal5 s -3348 85838 -3048 85839 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 294960 103537 295260 103538 6 vssa1
+rlabel metal5 s 295010 103537 295310 103538 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 103537 -2998 103538 4 vssa1
+rlabel metal5 s -3348 103537 -3048 103538 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 291760 103538 295260 103838 6 vssa1
+rlabel metal5 s 291760 103538 295310 103838 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 103538 240 103838 4 vssa1
+rlabel metal5 s -3348 103538 240 103838 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 294960 103838 295260 103839 6 vssa1
+rlabel metal5 s 295010 103838 295310 103839 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 103838 -2998 103839 4 vssa1
+rlabel metal5 s -3348 103838 -3048 103839 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 294960 121537 295260 121538 6 vssa1
+rlabel metal5 s 295010 121537 295310 121538 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 121537 -2998 121538 4 vssa1
+rlabel metal5 s -3348 121537 -3048 121538 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 291760 121538 295260 121838 6 vssa1
+rlabel metal5 s 291760 121538 295310 121838 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 121538 240 121838 4 vssa1
+rlabel metal5 s -3348 121538 240 121838 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 294960 121838 295260 121839 6 vssa1
+rlabel metal5 s 295010 121838 295310 121839 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 121838 -2998 121839 4 vssa1
+rlabel metal5 s -3348 121838 -3048 121839 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 294960 139537 295260 139538 6 vssa1
+rlabel metal5 s 295010 139537 295310 139538 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 139537 -2998 139538 4 vssa1
+rlabel metal5 s -3348 139537 -3048 139538 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 291760 139538 295260 139838 6 vssa1
+rlabel metal5 s 291760 139538 295310 139838 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 139538 240 139838 4 vssa1
+rlabel metal5 s -3348 139538 240 139838 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 294960 139838 295260 139839 6 vssa1
+rlabel metal5 s 295010 139838 295310 139839 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 139838 -2998 139839 4 vssa1
+rlabel metal5 s -3348 139838 -3048 139839 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 294960 157537 295260 157538 6 vssa1
+rlabel metal5 s 295010 157537 295310 157538 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 157537 -2998 157538 4 vssa1
+rlabel metal5 s -3348 157537 -3048 157538 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 291760 157538 295260 157838 6 vssa1
+rlabel metal5 s 291760 157538 295310 157838 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 157538 240 157838 4 vssa1
+rlabel metal5 s -3348 157538 240 157838 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 294960 157838 295260 157839 6 vssa1
+rlabel metal5 s 295010 157838 295310 157839 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 157838 -2998 157839 4 vssa1
+rlabel metal5 s -3348 157838 -3048 157839 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 294960 175537 295260 175538 6 vssa1
+rlabel metal5 s 295010 175537 295310 175538 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 175537 -2998 175538 4 vssa1
+rlabel metal5 s -3348 175537 -3048 175538 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 291760 175538 295260 175838 6 vssa1
+rlabel metal5 s 291760 175538 295310 175838 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 175538 240 175838 4 vssa1
+rlabel metal5 s -3348 175538 240 175838 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 294960 175838 295260 175839 6 vssa1
+rlabel metal5 s 295010 175838 295310 175839 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 175838 -2998 175839 4 vssa1
+rlabel metal5 s -3348 175838 -3048 175839 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 294960 193537 295260 193538 6 vssa1
+rlabel metal5 s 295010 193537 295310 193538 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 193537 -2998 193538 4 vssa1
+rlabel metal5 s -3348 193537 -3048 193538 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 291760 193538 295260 193838 6 vssa1
+rlabel metal5 s 291760 193538 295310 193838 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 193538 240 193838 4 vssa1
+rlabel metal5 s -3348 193538 240 193838 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 294960 193838 295260 193839 6 vssa1
+rlabel metal5 s 295010 193838 295310 193839 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 193838 -2998 193839 4 vssa1
+rlabel metal5 s -3348 193838 -3048 193839 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 294960 211537 295260 211538 6 vssa1
+rlabel metal5 s 295010 211537 295310 211538 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 211537 -2998 211538 4 vssa1
+rlabel metal5 s -3348 211537 -3048 211538 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 291760 211538 295260 211838 6 vssa1
+rlabel metal5 s 291760 211538 295310 211838 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 211538 240 211838 4 vssa1
+rlabel metal5 s -3348 211538 240 211838 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 294960 211838 295260 211839 6 vssa1
+rlabel metal5 s 295010 211838 295310 211839 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 211838 -2998 211839 4 vssa1
+rlabel metal5 s -3348 211838 -3048 211839 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 294960 229537 295260 229538 6 vssa1
+rlabel metal5 s 295010 229537 295310 229538 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 229537 -2998 229538 4 vssa1
+rlabel metal5 s -3348 229537 -3048 229538 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 291760 229538 295260 229838 6 vssa1
+rlabel metal5 s 291760 229538 295310 229838 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 229538 240 229838 4 vssa1
+rlabel metal5 s -3348 229538 240 229838 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 294960 229838 295260 229839 6 vssa1
+rlabel metal5 s 295010 229838 295310 229839 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 229838 -2998 229839 4 vssa1
+rlabel metal5 s -3348 229838 -3048 229839 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 294960 247537 295260 247538 6 vssa1
+rlabel metal5 s 295010 247537 295310 247538 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 247537 -2998 247538 4 vssa1
+rlabel metal5 s -3348 247537 -3048 247538 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 291760 247538 295260 247838 6 vssa1
+rlabel metal5 s 291760 247538 295310 247838 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 247538 240 247838 4 vssa1
+rlabel metal5 s -3348 247538 240 247838 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 294960 247838 295260 247839 6 vssa1
+rlabel metal5 s 295010 247838 295310 247839 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 247838 -2998 247839 4 vssa1
+rlabel metal5 s -3348 247838 -3048 247839 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 294960 265537 295260 265538 6 vssa1
+rlabel metal5 s 295010 265537 295310 265538 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 265537 -2998 265538 4 vssa1
+rlabel metal5 s -3348 265537 -3048 265538 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 291760 265538 295260 265838 6 vssa1
+rlabel metal5 s 291760 265538 295310 265838 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 265538 240 265838 4 vssa1
+rlabel metal5 s -3348 265538 240 265838 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 294960 265838 295260 265839 6 vssa1
+rlabel metal5 s 295010 265838 295310 265839 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 265838 -2998 265839 4 vssa1
+rlabel metal5 s -3348 265838 -3048 265839 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 294960 283537 295260 283538 6 vssa1
+rlabel metal5 s 295010 283537 295310 283538 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 283537 -2998 283538 4 vssa1
+rlabel metal5 s -3348 283537 -3048 283538 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 291760 283538 295260 283838 6 vssa1
+rlabel metal5 s 291760 283538 295310 283838 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 283538 240 283838 4 vssa1
+rlabel metal5 s -3348 283538 240 283838 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 294960 283838 295260 283839 6 vssa1
+rlabel metal5 s 295010 283838 295310 283839 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 283838 -2998 283839 4 vssa1
+rlabel metal5 s -3348 283838 -3048 283839 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 294960 301537 295260 301538 6 vssa1
+rlabel metal5 s 295010 301537 295310 301538 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 301537 -2998 301538 4 vssa1
+rlabel metal5 s -3348 301537 -3048 301538 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 291760 301538 295260 301838 6 vssa1
+rlabel metal5 s 291760 301538 295310 301838 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 301538 240 301838 4 vssa1
+rlabel metal5 s -3348 301538 240 301838 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 294960 301838 295260 301839 6 vssa1
+rlabel metal5 s 295010 301838 295310 301839 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 301838 -2998 301839 4 vssa1
+rlabel metal5 s -3348 301838 -3048 301839 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 294960 319537 295260 319538 6 vssa1
+rlabel metal5 s 295010 319537 295310 319538 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 319537 -2998 319538 4 vssa1
+rlabel metal5 s -3348 319537 -3048 319538 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 291760 319538 295260 319838 6 vssa1
+rlabel metal5 s 291760 319538 295310 319838 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 319538 240 319838 4 vssa1
+rlabel metal5 s -3348 319538 240 319838 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 294960 319838 295260 319839 6 vssa1
+rlabel metal5 s 295010 319838 295310 319839 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 319838 -2998 319839 4 vssa1
+rlabel metal5 s -3348 319838 -3048 319839 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 294960 337537 295260 337538 6 vssa1
+rlabel metal5 s 295010 337537 295310 337538 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 337537 -2998 337538 4 vssa1
+rlabel metal5 s -3348 337537 -3048 337538 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 291760 337538 295260 337838 6 vssa1
+rlabel metal5 s 291760 337538 295310 337838 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 337538 240 337838 4 vssa1
+rlabel metal5 s -3348 337538 240 337838 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 294960 337838 295260 337839 6 vssa1
+rlabel metal5 s 295010 337838 295310 337839 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 337838 -2998 337839 4 vssa1
+rlabel metal5 s -3348 337838 -3048 337839 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 294960 354429 295260 354430 6 vssa1
+rlabel metal5 s 295010 354479 295310 354480 6 vssa1
 port 642 nsew default input
-rlabel metal5 s 283002 354429 283302 354430 6 vssa1
+rlabel metal5 s 283002 354479 283302 354480 6 vssa1
 port 642 nsew default input
-rlabel metal5 s 265002 354429 265302 354430 6 vssa1
+rlabel metal5 s 265002 354479 265302 354480 6 vssa1
 port 642 nsew default input
-rlabel metal5 s 247002 354429 247302 354430 6 vssa1
+rlabel metal5 s 247002 354479 247302 354480 6 vssa1
 port 642 nsew default input
-rlabel metal5 s 229002 354429 229302 354430 6 vssa1
+rlabel metal5 s 229002 354479 229302 354480 6 vssa1
 port 642 nsew default input
-rlabel metal5 s 211002 354429 211302 354430 6 vssa1
+rlabel metal5 s 211002 354479 211302 354480 6 vssa1
 port 642 nsew default input
-rlabel metal5 s 193002 354429 193302 354430 6 vssa1
+rlabel metal5 s 193002 354479 193302 354480 6 vssa1
 port 642 nsew default input
-rlabel metal5 s 175002 354429 175302 354430 6 vssa1
+rlabel metal5 s 175002 354479 175302 354480 6 vssa1
 port 642 nsew default input
-rlabel metal5 s 157002 354429 157302 354430 6 vssa1
+rlabel metal5 s 157002 354479 157302 354480 6 vssa1
 port 642 nsew default input
-rlabel metal5 s 139002 354429 139302 354430 6 vssa1
+rlabel metal5 s 139002 354479 139302 354480 6 vssa1
 port 642 nsew default input
-rlabel metal5 s 121002 354429 121302 354430 6 vssa1
+rlabel metal5 s 121002 354479 121302 354480 6 vssa1
 port 642 nsew default input
-rlabel metal5 s 103002 354429 103302 354430 6 vssa1
+rlabel metal5 s 103002 354479 103302 354480 6 vssa1
 port 642 nsew default input
-rlabel metal5 s 85002 354429 85302 354430 6 vssa1
+rlabel metal5 s 85002 354479 85302 354480 6 vssa1
 port 642 nsew default input
-rlabel metal5 s 67002 354429 67302 354430 6 vssa1
+rlabel metal5 s 67002 354479 67302 354480 6 vssa1
 port 642 nsew default input
-rlabel metal5 s 49002 354429 49302 354430 6 vssa1
+rlabel metal5 s 49002 354479 49302 354480 6 vssa1
 port 642 nsew default input
-rlabel metal5 s 31002 354429 31302 354430 6 vssa1
+rlabel metal5 s 31002 354479 31302 354480 6 vssa1
 port 642 nsew default input
-rlabel metal5 s 13002 354429 13302 354430 6 vssa1
+rlabel metal5 s 13002 354479 13302 354480 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 354429 -2998 354430 4 vssa1
+rlabel metal5 s -3348 354479 -3048 354480 4 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 354430 295260 354730 6 vssa1
+rlabel metal5 s -3348 354480 295310 354780 6 vssa1
 port 642 nsew default input
-rlabel metal5 s 294960 354730 295260 354731 6 vssa1
+rlabel metal5 s 295010 354780 295310 354781 6 vssa1
 port 642 nsew default input
-rlabel metal5 s 283002 354730 283302 354731 6 vssa1
+rlabel metal5 s 283002 354780 283302 354781 6 vssa1
 port 642 nsew default input
-rlabel metal5 s 265002 354730 265302 354731 6 vssa1
+rlabel metal5 s 265002 354780 265302 354781 6 vssa1
 port 642 nsew default input
-rlabel metal5 s 247002 354730 247302 354731 6 vssa1
+rlabel metal5 s 247002 354780 247302 354781 6 vssa1
 port 642 nsew default input
-rlabel metal5 s 229002 354730 229302 354731 6 vssa1
+rlabel metal5 s 229002 354780 229302 354781 6 vssa1
 port 642 nsew default input
-rlabel metal5 s 211002 354730 211302 354731 6 vssa1
+rlabel metal5 s 211002 354780 211302 354781 6 vssa1
 port 642 nsew default input
-rlabel metal5 s 193002 354730 193302 354731 6 vssa1
+rlabel metal5 s 193002 354780 193302 354781 6 vssa1
 port 642 nsew default input
-rlabel metal5 s 175002 354730 175302 354731 6 vssa1
+rlabel metal5 s 175002 354780 175302 354781 6 vssa1
 port 642 nsew default input
-rlabel metal5 s 157002 354730 157302 354731 6 vssa1
+rlabel metal5 s 157002 354780 157302 354781 6 vssa1
 port 642 nsew default input
-rlabel metal5 s 139002 354730 139302 354731 6 vssa1
+rlabel metal5 s 139002 354780 139302 354781 6 vssa1
 port 642 nsew default input
-rlabel metal5 s 121002 354730 121302 354731 6 vssa1
+rlabel metal5 s 121002 354780 121302 354781 6 vssa1
 port 642 nsew default input
-rlabel metal5 s 103002 354730 103302 354731 6 vssa1
+rlabel metal5 s 103002 354780 103302 354781 6 vssa1
 port 642 nsew default input
-rlabel metal5 s 85002 354730 85302 354731 6 vssa1
+rlabel metal5 s 85002 354780 85302 354781 6 vssa1
 port 642 nsew default input
-rlabel metal5 s 67002 354730 67302 354731 6 vssa1
+rlabel metal5 s 67002 354780 67302 354781 6 vssa1
 port 642 nsew default input
-rlabel metal5 s 49002 354730 49302 354731 6 vssa1
+rlabel metal5 s 49002 354780 49302 354781 6 vssa1
 port 642 nsew default input
-rlabel metal5 s 31002 354730 31302 354731 6 vssa1
+rlabel metal5 s 31002 354780 31302 354781 6 vssa1
 port 642 nsew default input
-rlabel metal5 s 13002 354730 13302 354731 6 vssa1
+rlabel metal5 s 13002 354780 13302 354781 6 vssa1
 port 642 nsew default input
-rlabel metal5 s -3298 354730 -2998 354731 4 vssa1
+rlabel metal5 s -3348 354780 -3048 354781 4 vssa1
 port 642 nsew default input
-rlabel metal4 s 294960 -2762 295260 354730 6 vssa1
+rlabel metal4 s 295010 -2812 295310 354780 6 vssa1
 port 642 nsew default input
-rlabel metal4 s 283002 -2762 283302 240 8 vssa1
+rlabel metal4 s 283002 -2812 283302 240 8 vssa1
 port 642 nsew default input
-rlabel metal4 s 265002 -2762 265302 240 8 vssa1
+rlabel metal4 s 265002 -2812 265302 240 8 vssa1
 port 642 nsew default input
-rlabel metal4 s 247002 -2762 247302 240 8 vssa1
+rlabel metal4 s 247002 -2812 247302 240 8 vssa1
 port 642 nsew default input
-rlabel metal4 s 229002 -2762 229302 240 8 vssa1
+rlabel metal4 s 229002 -2812 229302 240 8 vssa1
 port 642 nsew default input
-rlabel metal4 s 211002 -2762 211302 240 8 vssa1
+rlabel metal4 s 211002 -2812 211302 240 8 vssa1
 port 642 nsew default input
-rlabel metal4 s 193002 -2762 193302 240 8 vssa1
+rlabel metal4 s 193002 -2812 193302 240 8 vssa1
 port 642 nsew default input
-rlabel metal4 s 175002 -2762 175302 240 8 vssa1
+rlabel metal4 s 175002 -2812 175302 240 8 vssa1
 port 642 nsew default input
-rlabel metal4 s 157002 -2762 157302 240 8 vssa1
+rlabel metal4 s 157002 -2812 157302 240 8 vssa1
 port 642 nsew default input
-rlabel metal4 s 139002 -2762 139302 240 8 vssa1
+rlabel metal4 s 139002 -2812 139302 240 8 vssa1
 port 642 nsew default input
-rlabel metal4 s 121002 -2762 121302 240 8 vssa1
+rlabel metal4 s 121002 -2812 121302 240 8 vssa1
 port 642 nsew default input
-rlabel metal4 s 103002 -2762 103302 240 8 vssa1
+rlabel metal4 s 103002 -2812 103302 240 8 vssa1
 port 642 nsew default input
-rlabel metal4 s 85002 -2762 85302 240 8 vssa1
+rlabel metal4 s 85002 -2812 85302 240 8 vssa1
 port 642 nsew default input
-rlabel metal4 s 67002 -2762 67302 240 8 vssa1
+rlabel metal4 s 67002 -2812 67302 240 8 vssa1
 port 642 nsew default input
-rlabel metal4 s 49002 -2762 49302 240 8 vssa1
+rlabel metal4 s 49002 -2812 49302 240 8 vssa1
 port 642 nsew default input
-rlabel metal4 s 31002 -2762 31302 240 8 vssa1
+rlabel metal4 s 31002 -2812 31302 240 8 vssa1
 port 642 nsew default input
-rlabel metal4 s 13002 -2762 13302 240 8 vssa1
+rlabel metal4 s 13002 -2812 13302 240 8 vssa1
 port 642 nsew default input
-rlabel metal4 s 283002 351760 283302 354730 6 vssa1
+rlabel metal4 s 283002 351760 283302 354780 6 vssa1
 port 642 nsew default input
-rlabel metal4 s 265002 351760 265302 354730 6 vssa1
+rlabel metal4 s 265002 351760 265302 354780 6 vssa1
 port 642 nsew default input
-rlabel metal4 s 247002 351760 247302 354730 6 vssa1
+rlabel metal4 s 247002 351760 247302 354780 6 vssa1
 port 642 nsew default input
-rlabel metal4 s 229002 351760 229302 354730 6 vssa1
+rlabel metal4 s 229002 351760 229302 354780 6 vssa1
 port 642 nsew default input
-rlabel metal4 s 211002 351760 211302 354730 6 vssa1
+rlabel metal4 s 211002 351760 211302 354780 6 vssa1
 port 642 nsew default input
-rlabel metal4 s 193002 351760 193302 354730 6 vssa1
+rlabel metal4 s 193002 351760 193302 354780 6 vssa1
 port 642 nsew default input
-rlabel metal4 s 175002 351760 175302 354730 6 vssa1
+rlabel metal4 s 175002 351760 175302 354780 6 vssa1
 port 642 nsew default input
-rlabel metal4 s 157002 351760 157302 354730 6 vssa1
+rlabel metal4 s 157002 351760 157302 354780 6 vssa1
 port 642 nsew default input
-rlabel metal4 s 139002 351760 139302 354730 6 vssa1
+rlabel metal4 s 139002 351760 139302 354780 6 vssa1
 port 642 nsew default input
-rlabel metal4 s 121002 351760 121302 354730 6 vssa1
+rlabel metal4 s 121002 351760 121302 354780 6 vssa1
 port 642 nsew default input
-rlabel metal4 s 103002 351760 103302 354730 6 vssa1
+rlabel metal4 s 103002 351760 103302 354780 6 vssa1
 port 642 nsew default input
-rlabel metal4 s 85002 351760 85302 354730 6 vssa1
+rlabel metal4 s 85002 351760 85302 354780 6 vssa1
 port 642 nsew default input
-rlabel metal4 s 67002 351760 67302 354730 6 vssa1
+rlabel metal4 s 67002 351760 67302 354780 6 vssa1
 port 642 nsew default input
-rlabel metal4 s 49002 351760 49302 354730 6 vssa1
+rlabel metal4 s 49002 351760 49302 354780 6 vssa1
 port 642 nsew default input
-rlabel metal4 s 31002 351760 31302 354730 6 vssa1
+rlabel metal4 s 31002 351760 31302 354780 6 vssa1
 port 642 nsew default input
-rlabel metal4 s 13002 351760 13302 354730 6 vssa1
+rlabel metal4 s 13002 351760 13302 354780 6 vssa1
 port 642 nsew default input
-rlabel metal4 s -3298 -2762 -2998 354730 4 vssa1
+rlabel metal4 s -3348 -2812 -3048 354780 4 vssa1
 port 642 nsew default input
-rlabel metal5 s 295420 -3223 295720 -3222 8 vdda2
+rlabel metal5 s 295480 -3283 295780 -3282 8 vdda2
 port 643 nsew default input
-rlabel metal5 s 275802 -3223 276102 -3222 8 vdda2
+rlabel metal5 s 275802 -3283 276102 -3282 8 vdda2
 port 643 nsew default input
-rlabel metal5 s 257802 -3223 258102 -3222 8 vdda2
+rlabel metal5 s 257802 -3283 258102 -3282 8 vdda2
 port 643 nsew default input
-rlabel metal5 s 239802 -3223 240102 -3222 8 vdda2
+rlabel metal5 s 239802 -3283 240102 -3282 8 vdda2
 port 643 nsew default input
-rlabel metal5 s 221802 -3223 222102 -3222 8 vdda2
+rlabel metal5 s 221802 -3283 222102 -3282 8 vdda2
 port 643 nsew default input
-rlabel metal5 s 203802 -3223 204102 -3222 8 vdda2
+rlabel metal5 s 203802 -3283 204102 -3282 8 vdda2
 port 643 nsew default input
-rlabel metal5 s 185802 -3223 186102 -3222 8 vdda2
+rlabel metal5 s 185802 -3283 186102 -3282 8 vdda2
 port 643 nsew default input
-rlabel metal5 s 167802 -3223 168102 -3222 8 vdda2
+rlabel metal5 s 167802 -3283 168102 -3282 8 vdda2
 port 643 nsew default input
-rlabel metal5 s 149802 -3223 150102 -3222 8 vdda2
+rlabel metal5 s 149802 -3283 150102 -3282 8 vdda2
 port 643 nsew default input
-rlabel metal5 s 131802 -3223 132102 -3222 8 vdda2
+rlabel metal5 s 131802 -3283 132102 -3282 8 vdda2
 port 643 nsew default input
-rlabel metal5 s 113802 -3223 114102 -3222 8 vdda2
+rlabel metal5 s 113802 -3283 114102 -3282 8 vdda2
 port 643 nsew default input
-rlabel metal5 s 95802 -3223 96102 -3222 8 vdda2
+rlabel metal5 s 95802 -3283 96102 -3282 8 vdda2
 port 643 nsew default input
-rlabel metal5 s 77802 -3223 78102 -3222 8 vdda2
+rlabel metal5 s 77802 -3283 78102 -3282 8 vdda2
 port 643 nsew default input
-rlabel metal5 s 59802 -3223 60102 -3222 8 vdda2
+rlabel metal5 s 59802 -3283 60102 -3282 8 vdda2
 port 643 nsew default input
-rlabel metal5 s 41802 -3223 42102 -3222 8 vdda2
+rlabel metal5 s 41802 -3283 42102 -3282 8 vdda2
 port 643 nsew default input
-rlabel metal5 s 23802 -3223 24102 -3222 8 vdda2
+rlabel metal5 s 23802 -3283 24102 -3282 8 vdda2
 port 643 nsew default input
-rlabel metal5 s 5802 -3223 6102 -3222 8 vdda2
+rlabel metal5 s 5802 -3283 6102 -3282 8 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 -3223 -3458 -3222 2 vdda2
+rlabel metal5 s -3818 -3283 -3518 -3282 2 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 -3222 295720 -2922 8 vdda2
+rlabel metal5 s -3818 -3282 295780 -2982 8 vdda2
 port 643 nsew default input
-rlabel metal5 s 295420 -2922 295720 -2921 8 vdda2
+rlabel metal5 s 295480 -2982 295780 -2981 8 vdda2
 port 643 nsew default input
-rlabel metal5 s 275802 -2922 276102 -2921 8 vdda2
+rlabel metal5 s 275802 -2982 276102 -2981 8 vdda2
 port 643 nsew default input
-rlabel metal5 s 257802 -2922 258102 -2921 8 vdda2
+rlabel metal5 s 257802 -2982 258102 -2981 8 vdda2
 port 643 nsew default input
-rlabel metal5 s 239802 -2922 240102 -2921 8 vdda2
+rlabel metal5 s 239802 -2982 240102 -2981 8 vdda2
 port 643 nsew default input
-rlabel metal5 s 221802 -2922 222102 -2921 8 vdda2
+rlabel metal5 s 221802 -2982 222102 -2981 8 vdda2
 port 643 nsew default input
-rlabel metal5 s 203802 -2922 204102 -2921 8 vdda2
+rlabel metal5 s 203802 -2982 204102 -2981 8 vdda2
 port 643 nsew default input
-rlabel metal5 s 185802 -2922 186102 -2921 8 vdda2
+rlabel metal5 s 185802 -2982 186102 -2981 8 vdda2
 port 643 nsew default input
-rlabel metal5 s 167802 -2922 168102 -2921 8 vdda2
+rlabel metal5 s 167802 -2982 168102 -2981 8 vdda2
 port 643 nsew default input
-rlabel metal5 s 149802 -2922 150102 -2921 8 vdda2
+rlabel metal5 s 149802 -2982 150102 -2981 8 vdda2
 port 643 nsew default input
-rlabel metal5 s 131802 -2922 132102 -2921 8 vdda2
+rlabel metal5 s 131802 -2982 132102 -2981 8 vdda2
 port 643 nsew default input
-rlabel metal5 s 113802 -2922 114102 -2921 8 vdda2
+rlabel metal5 s 113802 -2982 114102 -2981 8 vdda2
 port 643 nsew default input
-rlabel metal5 s 95802 -2922 96102 -2921 8 vdda2
+rlabel metal5 s 95802 -2982 96102 -2981 8 vdda2
 port 643 nsew default input
-rlabel metal5 s 77802 -2922 78102 -2921 8 vdda2
+rlabel metal5 s 77802 -2982 78102 -2981 8 vdda2
 port 643 nsew default input
-rlabel metal5 s 59802 -2922 60102 -2921 8 vdda2
+rlabel metal5 s 59802 -2982 60102 -2981 8 vdda2
 port 643 nsew default input
-rlabel metal5 s 41802 -2922 42102 -2921 8 vdda2
+rlabel metal5 s 41802 -2982 42102 -2981 8 vdda2
 port 643 nsew default input
-rlabel metal5 s 23802 -2922 24102 -2921 8 vdda2
+rlabel metal5 s 23802 -2982 24102 -2981 8 vdda2
 port 643 nsew default input
-rlabel metal5 s 5802 -2922 6102 -2921 8 vdda2
+rlabel metal5 s 5802 -2982 6102 -2981 8 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 -2922 -3458 -2921 2 vdda2
+rlabel metal5 s -3818 -2982 -3518 -2981 2 vdda2
 port 643 nsew default input
-rlabel metal5 s 295420 6337 295720 6338 6 vdda2
+rlabel metal5 s 295480 6337 295780 6338 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 6337 -3458 6338 4 vdda2
+rlabel metal5 s -3818 6337 -3518 6338 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 291760 6338 296180 6638 6 vdda2
+rlabel metal5 s 291760 6338 296250 6638 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -4218 6338 240 6638 4 vdda2
+rlabel metal5 s -4288 6338 240 6638 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 295420 6638 295720 6639 6 vdda2
+rlabel metal5 s 295480 6638 295780 6639 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 6638 -3458 6639 4 vdda2
+rlabel metal5 s -3818 6638 -3518 6639 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 295420 24337 295720 24338 6 vdda2
+rlabel metal5 s 295480 24337 295780 24338 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 24337 -3458 24338 4 vdda2
+rlabel metal5 s -3818 24337 -3518 24338 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 291760 24338 296180 24638 6 vdda2
+rlabel metal5 s 291760 24338 296250 24638 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -4218 24338 240 24638 4 vdda2
+rlabel metal5 s -4288 24338 240 24638 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 295420 24638 295720 24639 6 vdda2
+rlabel metal5 s 295480 24638 295780 24639 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 24638 -3458 24639 4 vdda2
+rlabel metal5 s -3818 24638 -3518 24639 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 295420 42337 295720 42338 6 vdda2
+rlabel metal5 s 295480 42337 295780 42338 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 42337 -3458 42338 4 vdda2
+rlabel metal5 s -3818 42337 -3518 42338 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 291760 42338 296180 42638 6 vdda2
+rlabel metal5 s 291760 42338 296250 42638 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -4218 42338 240 42638 4 vdda2
+rlabel metal5 s -4288 42338 240 42638 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 295420 42638 295720 42639 6 vdda2
+rlabel metal5 s 295480 42638 295780 42639 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 42638 -3458 42639 4 vdda2
+rlabel metal5 s -3818 42638 -3518 42639 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 295420 60337 295720 60338 6 vdda2
+rlabel metal5 s 295480 60337 295780 60338 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 60337 -3458 60338 4 vdda2
+rlabel metal5 s -3818 60337 -3518 60338 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 291760 60338 296180 60638 6 vdda2
+rlabel metal5 s 291760 60338 296250 60638 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -4218 60338 240 60638 4 vdda2
+rlabel metal5 s -4288 60338 240 60638 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 295420 60638 295720 60639 6 vdda2
+rlabel metal5 s 295480 60638 295780 60639 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 60638 -3458 60639 4 vdda2
+rlabel metal5 s -3818 60638 -3518 60639 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 295420 78337 295720 78338 6 vdda2
+rlabel metal5 s 295480 78337 295780 78338 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 78337 -3458 78338 4 vdda2
+rlabel metal5 s -3818 78337 -3518 78338 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 291760 78338 296180 78638 6 vdda2
+rlabel metal5 s 291760 78338 296250 78638 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -4218 78338 240 78638 4 vdda2
+rlabel metal5 s -4288 78338 240 78638 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 295420 78638 295720 78639 6 vdda2
+rlabel metal5 s 295480 78638 295780 78639 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 78638 -3458 78639 4 vdda2
+rlabel metal5 s -3818 78638 -3518 78639 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 295420 96337 295720 96338 6 vdda2
+rlabel metal5 s 295480 96337 295780 96338 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 96337 -3458 96338 4 vdda2
+rlabel metal5 s -3818 96337 -3518 96338 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 291760 96338 296180 96638 6 vdda2
+rlabel metal5 s 291760 96338 296250 96638 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -4218 96338 240 96638 4 vdda2
+rlabel metal5 s -4288 96338 240 96638 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 295420 96638 295720 96639 6 vdda2
+rlabel metal5 s 295480 96638 295780 96639 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 96638 -3458 96639 4 vdda2
+rlabel metal5 s -3818 96638 -3518 96639 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 295420 114337 295720 114338 6 vdda2
+rlabel metal5 s 295480 114337 295780 114338 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 114337 -3458 114338 4 vdda2
+rlabel metal5 s -3818 114337 -3518 114338 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 291760 114338 296180 114638 6 vdda2
+rlabel metal5 s 291760 114338 296250 114638 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -4218 114338 240 114638 4 vdda2
+rlabel metal5 s -4288 114338 240 114638 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 295420 114638 295720 114639 6 vdda2
+rlabel metal5 s 295480 114638 295780 114639 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 114638 -3458 114639 4 vdda2
+rlabel metal5 s -3818 114638 -3518 114639 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 295420 132337 295720 132338 6 vdda2
+rlabel metal5 s 295480 132337 295780 132338 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 132337 -3458 132338 4 vdda2
+rlabel metal5 s -3818 132337 -3518 132338 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 291760 132338 296180 132638 6 vdda2
+rlabel metal5 s 291760 132338 296250 132638 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -4218 132338 240 132638 4 vdda2
+rlabel metal5 s -4288 132338 240 132638 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 295420 132638 295720 132639 6 vdda2
+rlabel metal5 s 295480 132638 295780 132639 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 132638 -3458 132639 4 vdda2
+rlabel metal5 s -3818 132638 -3518 132639 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 295420 150337 295720 150338 6 vdda2
+rlabel metal5 s 295480 150337 295780 150338 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 150337 -3458 150338 4 vdda2
+rlabel metal5 s -3818 150337 -3518 150338 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 291760 150338 296180 150638 6 vdda2
+rlabel metal5 s 291760 150338 296250 150638 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -4218 150338 240 150638 4 vdda2
+rlabel metal5 s -4288 150338 240 150638 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 295420 150638 295720 150639 6 vdda2
+rlabel metal5 s 295480 150638 295780 150639 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 150638 -3458 150639 4 vdda2
+rlabel metal5 s -3818 150638 -3518 150639 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 295420 168337 295720 168338 6 vdda2
+rlabel metal5 s 295480 168337 295780 168338 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 168337 -3458 168338 4 vdda2
+rlabel metal5 s -3818 168337 -3518 168338 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 291760 168338 296180 168638 6 vdda2
+rlabel metal5 s 291760 168338 296250 168638 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -4218 168338 240 168638 4 vdda2
+rlabel metal5 s -4288 168338 240 168638 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 295420 168638 295720 168639 6 vdda2
+rlabel metal5 s 295480 168638 295780 168639 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 168638 -3458 168639 4 vdda2
+rlabel metal5 s -3818 168638 -3518 168639 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 295420 186337 295720 186338 6 vdda2
+rlabel metal5 s 295480 186337 295780 186338 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 186337 -3458 186338 4 vdda2
+rlabel metal5 s -3818 186337 -3518 186338 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 291760 186338 296180 186638 6 vdda2
+rlabel metal5 s 291760 186338 296250 186638 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -4218 186338 240 186638 4 vdda2
+rlabel metal5 s -4288 186338 240 186638 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 295420 186638 295720 186639 6 vdda2
+rlabel metal5 s 295480 186638 295780 186639 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 186638 -3458 186639 4 vdda2
+rlabel metal5 s -3818 186638 -3518 186639 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 295420 204337 295720 204338 6 vdda2
+rlabel metal5 s 295480 204337 295780 204338 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 204337 -3458 204338 4 vdda2
+rlabel metal5 s -3818 204337 -3518 204338 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 291760 204338 296180 204638 6 vdda2
+rlabel metal5 s 291760 204338 296250 204638 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -4218 204338 240 204638 4 vdda2
+rlabel metal5 s -4288 204338 240 204638 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 295420 204638 295720 204639 6 vdda2
+rlabel metal5 s 295480 204638 295780 204639 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 204638 -3458 204639 4 vdda2
+rlabel metal5 s -3818 204638 -3518 204639 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 295420 222337 295720 222338 6 vdda2
+rlabel metal5 s 295480 222337 295780 222338 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 222337 -3458 222338 4 vdda2
+rlabel metal5 s -3818 222337 -3518 222338 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 291760 222338 296180 222638 6 vdda2
+rlabel metal5 s 291760 222338 296250 222638 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -4218 222338 240 222638 4 vdda2
+rlabel metal5 s -4288 222338 240 222638 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 295420 222638 295720 222639 6 vdda2
+rlabel metal5 s 295480 222638 295780 222639 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 222638 -3458 222639 4 vdda2
+rlabel metal5 s -3818 222638 -3518 222639 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 295420 240337 295720 240338 6 vdda2
+rlabel metal5 s 295480 240337 295780 240338 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 240337 -3458 240338 4 vdda2
+rlabel metal5 s -3818 240337 -3518 240338 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 291760 240338 296180 240638 6 vdda2
+rlabel metal5 s 291760 240338 296250 240638 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -4218 240338 240 240638 4 vdda2
+rlabel metal5 s -4288 240338 240 240638 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 295420 240638 295720 240639 6 vdda2
+rlabel metal5 s 295480 240638 295780 240639 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 240638 -3458 240639 4 vdda2
+rlabel metal5 s -3818 240638 -3518 240639 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 295420 258337 295720 258338 6 vdda2
+rlabel metal5 s 295480 258337 295780 258338 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 258337 -3458 258338 4 vdda2
+rlabel metal5 s -3818 258337 -3518 258338 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 291760 258338 296180 258638 6 vdda2
+rlabel metal5 s 291760 258338 296250 258638 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -4218 258338 240 258638 4 vdda2
+rlabel metal5 s -4288 258338 240 258638 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 295420 258638 295720 258639 6 vdda2
+rlabel metal5 s 295480 258638 295780 258639 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 258638 -3458 258639 4 vdda2
+rlabel metal5 s -3818 258638 -3518 258639 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 295420 276337 295720 276338 6 vdda2
+rlabel metal5 s 295480 276337 295780 276338 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 276337 -3458 276338 4 vdda2
+rlabel metal5 s -3818 276337 -3518 276338 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 291760 276338 296180 276638 6 vdda2
+rlabel metal5 s 291760 276338 296250 276638 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -4218 276338 240 276638 4 vdda2
+rlabel metal5 s -4288 276338 240 276638 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 295420 276638 295720 276639 6 vdda2
+rlabel metal5 s 295480 276638 295780 276639 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 276638 -3458 276639 4 vdda2
+rlabel metal5 s -3818 276638 -3518 276639 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 295420 294337 295720 294338 6 vdda2
+rlabel metal5 s 295480 294337 295780 294338 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 294337 -3458 294338 4 vdda2
+rlabel metal5 s -3818 294337 -3518 294338 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 291760 294338 296180 294638 6 vdda2
+rlabel metal5 s 291760 294338 296250 294638 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -4218 294338 240 294638 4 vdda2
+rlabel metal5 s -4288 294338 240 294638 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 295420 294638 295720 294639 6 vdda2
+rlabel metal5 s 295480 294638 295780 294639 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 294638 -3458 294639 4 vdda2
+rlabel metal5 s -3818 294638 -3518 294639 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 295420 312337 295720 312338 6 vdda2
+rlabel metal5 s 295480 312337 295780 312338 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 312337 -3458 312338 4 vdda2
+rlabel metal5 s -3818 312337 -3518 312338 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 291760 312338 296180 312638 6 vdda2
+rlabel metal5 s 291760 312338 296250 312638 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -4218 312338 240 312638 4 vdda2
+rlabel metal5 s -4288 312338 240 312638 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 295420 312638 295720 312639 6 vdda2
+rlabel metal5 s 295480 312638 295780 312639 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 312638 -3458 312639 4 vdda2
+rlabel metal5 s -3818 312638 -3518 312639 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 295420 330337 295720 330338 6 vdda2
+rlabel metal5 s 295480 330337 295780 330338 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 330337 -3458 330338 4 vdda2
+rlabel metal5 s -3818 330337 -3518 330338 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 291760 330338 296180 330638 6 vdda2
+rlabel metal5 s 291760 330338 296250 330638 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -4218 330338 240 330638 4 vdda2
+rlabel metal5 s -4288 330338 240 330638 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 295420 330638 295720 330639 6 vdda2
+rlabel metal5 s 295480 330638 295780 330639 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 330638 -3458 330639 4 vdda2
+rlabel metal5 s -3818 330638 -3518 330639 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 295420 348337 295720 348338 6 vdda2
+rlabel metal5 s 295480 348337 295780 348338 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 348337 -3458 348338 4 vdda2
+rlabel metal5 s -3818 348337 -3518 348338 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 291760 348338 296180 348638 6 vdda2
+rlabel metal5 s 291760 348338 296250 348638 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -4218 348338 240 348638 4 vdda2
+rlabel metal5 s -4288 348338 240 348638 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 295420 348638 295720 348639 6 vdda2
+rlabel metal5 s 295480 348638 295780 348639 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 348638 -3458 348639 4 vdda2
+rlabel metal5 s -3818 348638 -3518 348639 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 295420 354889 295720 354890 6 vdda2
+rlabel metal5 s 295480 354949 295780 354950 6 vdda2
 port 643 nsew default input
-rlabel metal5 s 275802 354889 276102 354890 6 vdda2
+rlabel metal5 s 275802 354949 276102 354950 6 vdda2
 port 643 nsew default input
-rlabel metal5 s 257802 354889 258102 354890 6 vdda2
+rlabel metal5 s 257802 354949 258102 354950 6 vdda2
 port 643 nsew default input
-rlabel metal5 s 239802 354889 240102 354890 6 vdda2
+rlabel metal5 s 239802 354949 240102 354950 6 vdda2
 port 643 nsew default input
-rlabel metal5 s 221802 354889 222102 354890 6 vdda2
+rlabel metal5 s 221802 354949 222102 354950 6 vdda2
 port 643 nsew default input
-rlabel metal5 s 203802 354889 204102 354890 6 vdda2
+rlabel metal5 s 203802 354949 204102 354950 6 vdda2
 port 643 nsew default input
-rlabel metal5 s 185802 354889 186102 354890 6 vdda2
+rlabel metal5 s 185802 354949 186102 354950 6 vdda2
 port 643 nsew default input
-rlabel metal5 s 167802 354889 168102 354890 6 vdda2
+rlabel metal5 s 167802 354949 168102 354950 6 vdda2
 port 643 nsew default input
-rlabel metal5 s 149802 354889 150102 354890 6 vdda2
+rlabel metal5 s 149802 354949 150102 354950 6 vdda2
 port 643 nsew default input
-rlabel metal5 s 131802 354889 132102 354890 6 vdda2
+rlabel metal5 s 131802 354949 132102 354950 6 vdda2
 port 643 nsew default input
-rlabel metal5 s 113802 354889 114102 354890 6 vdda2
+rlabel metal5 s 113802 354949 114102 354950 6 vdda2
 port 643 nsew default input
-rlabel metal5 s 95802 354889 96102 354890 6 vdda2
+rlabel metal5 s 95802 354949 96102 354950 6 vdda2
 port 643 nsew default input
-rlabel metal5 s 77802 354889 78102 354890 6 vdda2
+rlabel metal5 s 77802 354949 78102 354950 6 vdda2
 port 643 nsew default input
-rlabel metal5 s 59802 354889 60102 354890 6 vdda2
+rlabel metal5 s 59802 354949 60102 354950 6 vdda2
 port 643 nsew default input
-rlabel metal5 s 41802 354889 42102 354890 6 vdda2
+rlabel metal5 s 41802 354949 42102 354950 6 vdda2
 port 643 nsew default input
-rlabel metal5 s 23802 354889 24102 354890 6 vdda2
+rlabel metal5 s 23802 354949 24102 354950 6 vdda2
 port 643 nsew default input
-rlabel metal5 s 5802 354889 6102 354890 6 vdda2
+rlabel metal5 s 5802 354949 6102 354950 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 354889 -3458 354890 4 vdda2
+rlabel metal5 s -3818 354949 -3518 354950 4 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 354890 295720 355190 6 vdda2
+rlabel metal5 s -3818 354950 295780 355250 6 vdda2
 port 643 nsew default input
-rlabel metal5 s 295420 355190 295720 355191 6 vdda2
+rlabel metal5 s 295480 355250 295780 355251 6 vdda2
 port 643 nsew default input
-rlabel metal5 s 275802 355190 276102 355191 6 vdda2
+rlabel metal5 s 275802 355250 276102 355251 6 vdda2
 port 643 nsew default input
-rlabel metal5 s 257802 355190 258102 355191 6 vdda2
+rlabel metal5 s 257802 355250 258102 355251 6 vdda2
 port 643 nsew default input
-rlabel metal5 s 239802 355190 240102 355191 6 vdda2
+rlabel metal5 s 239802 355250 240102 355251 6 vdda2
 port 643 nsew default input
-rlabel metal5 s 221802 355190 222102 355191 6 vdda2
+rlabel metal5 s 221802 355250 222102 355251 6 vdda2
 port 643 nsew default input
-rlabel metal5 s 203802 355190 204102 355191 6 vdda2
+rlabel metal5 s 203802 355250 204102 355251 6 vdda2
 port 643 nsew default input
-rlabel metal5 s 185802 355190 186102 355191 6 vdda2
+rlabel metal5 s 185802 355250 186102 355251 6 vdda2
 port 643 nsew default input
-rlabel metal5 s 167802 355190 168102 355191 6 vdda2
+rlabel metal5 s 167802 355250 168102 355251 6 vdda2
 port 643 nsew default input
-rlabel metal5 s 149802 355190 150102 355191 6 vdda2
+rlabel metal5 s 149802 355250 150102 355251 6 vdda2
 port 643 nsew default input
-rlabel metal5 s 131802 355190 132102 355191 6 vdda2
+rlabel metal5 s 131802 355250 132102 355251 6 vdda2
 port 643 nsew default input
-rlabel metal5 s 113802 355190 114102 355191 6 vdda2
+rlabel metal5 s 113802 355250 114102 355251 6 vdda2
 port 643 nsew default input
-rlabel metal5 s 95802 355190 96102 355191 6 vdda2
+rlabel metal5 s 95802 355250 96102 355251 6 vdda2
 port 643 nsew default input
-rlabel metal5 s 77802 355190 78102 355191 6 vdda2
+rlabel metal5 s 77802 355250 78102 355251 6 vdda2
 port 643 nsew default input
-rlabel metal5 s 59802 355190 60102 355191 6 vdda2
+rlabel metal5 s 59802 355250 60102 355251 6 vdda2
 port 643 nsew default input
-rlabel metal5 s 41802 355190 42102 355191 6 vdda2
+rlabel metal5 s 41802 355250 42102 355251 6 vdda2
 port 643 nsew default input
-rlabel metal5 s 23802 355190 24102 355191 6 vdda2
+rlabel metal5 s 23802 355250 24102 355251 6 vdda2
 port 643 nsew default input
-rlabel metal5 s 5802 355190 6102 355191 6 vdda2
+rlabel metal5 s 5802 355250 6102 355251 6 vdda2
 port 643 nsew default input
-rlabel metal5 s -3758 355190 -3458 355191 4 vdda2
+rlabel metal5 s -3818 355250 -3518 355251 4 vdda2
 port 643 nsew default input
-rlabel metal4 s 295420 -3222 295720 355190 6 vdda2
+rlabel metal4 s 295480 -3282 295780 355250 6 vdda2
 port 643 nsew default input
-rlabel metal4 s 275802 -3682 276102 240 8 vdda2
+rlabel metal4 s 275802 -3752 276102 240 8 vdda2
 port 643 nsew default input
-rlabel metal4 s 257802 -3682 258102 240 8 vdda2
+rlabel metal4 s 257802 -3752 258102 240 8 vdda2
 port 643 nsew default input
-rlabel metal4 s 239802 -3682 240102 240 8 vdda2
+rlabel metal4 s 239802 -3752 240102 240 8 vdda2
 port 643 nsew default input
-rlabel metal4 s 221802 -3682 222102 240 8 vdda2
+rlabel metal4 s 221802 -3752 222102 240 8 vdda2
 port 643 nsew default input
-rlabel metal4 s 203802 -3682 204102 240 8 vdda2
+rlabel metal4 s 203802 -3752 204102 240 8 vdda2
 port 643 nsew default input
-rlabel metal4 s 185802 -3682 186102 240 8 vdda2
+rlabel metal4 s 185802 -3752 186102 240 8 vdda2
 port 643 nsew default input
-rlabel metal4 s 167802 -3682 168102 240 8 vdda2
+rlabel metal4 s 167802 -3752 168102 240 8 vdda2
 port 643 nsew default input
-rlabel metal4 s 149802 -3682 150102 240 8 vdda2
+rlabel metal4 s 149802 -3752 150102 240 8 vdda2
 port 643 nsew default input
-rlabel metal4 s 131802 -3682 132102 240 8 vdda2
+rlabel metal4 s 131802 -3752 132102 240 8 vdda2
 port 643 nsew default input
-rlabel metal4 s 113802 -3682 114102 240 8 vdda2
+rlabel metal4 s 113802 -3752 114102 240 8 vdda2
 port 643 nsew default input
-rlabel metal4 s 95802 -3682 96102 240 8 vdda2
+rlabel metal4 s 95802 -3752 96102 240 8 vdda2
 port 643 nsew default input
-rlabel metal4 s 77802 -3682 78102 240 8 vdda2
+rlabel metal4 s 77802 -3752 78102 240 8 vdda2
 port 643 nsew default input
-rlabel metal4 s 59802 -3682 60102 240 8 vdda2
+rlabel metal4 s 59802 -3752 60102 240 8 vdda2
 port 643 nsew default input
-rlabel metal4 s 41802 -3682 42102 240 8 vdda2
+rlabel metal4 s 41802 -3752 42102 240 8 vdda2
 port 643 nsew default input
-rlabel metal4 s 23802 -3682 24102 240 8 vdda2
+rlabel metal4 s 23802 -3752 24102 240 8 vdda2
 port 643 nsew default input
-rlabel metal4 s 5802 -3682 6102 240 8 vdda2
+rlabel metal4 s 5802 -3752 6102 240 8 vdda2
 port 643 nsew default input
-rlabel metal4 s 275802 351760 276102 355650 6 vdda2
+rlabel metal4 s 275802 351760 276102 355720 6 vdda2
 port 643 nsew default input
-rlabel metal4 s 257802 351760 258102 355650 6 vdda2
+rlabel metal4 s 257802 351760 258102 355720 6 vdda2
 port 643 nsew default input
-rlabel metal4 s 239802 351760 240102 355650 6 vdda2
+rlabel metal4 s 239802 351760 240102 355720 6 vdda2
 port 643 nsew default input
-rlabel metal4 s 221802 351760 222102 355650 6 vdda2
+rlabel metal4 s 221802 351760 222102 355720 6 vdda2
 port 643 nsew default input
-rlabel metal4 s 203802 351760 204102 355650 6 vdda2
+rlabel metal4 s 203802 351760 204102 355720 6 vdda2
 port 643 nsew default input
-rlabel metal4 s 185802 351760 186102 355650 6 vdda2
+rlabel metal4 s 185802 351760 186102 355720 6 vdda2
 port 643 nsew default input
-rlabel metal4 s 167802 351760 168102 355650 6 vdda2
+rlabel metal4 s 167802 351760 168102 355720 6 vdda2
 port 643 nsew default input
-rlabel metal4 s 149802 351760 150102 355650 6 vdda2
+rlabel metal4 s 149802 351760 150102 355720 6 vdda2
 port 643 nsew default input
-rlabel metal4 s 131802 351760 132102 355650 6 vdda2
+rlabel metal4 s 131802 351760 132102 355720 6 vdda2
 port 643 nsew default input
-rlabel metal4 s 113802 351760 114102 355650 6 vdda2
+rlabel metal4 s 113802 351760 114102 355720 6 vdda2
 port 643 nsew default input
-rlabel metal4 s 95802 351760 96102 355650 6 vdda2
+rlabel metal4 s 95802 351760 96102 355720 6 vdda2
 port 643 nsew default input
-rlabel metal4 s 77802 351760 78102 355650 6 vdda2
+rlabel metal4 s 77802 351760 78102 355720 6 vdda2
 port 643 nsew default input
-rlabel metal4 s 59802 351760 60102 355650 6 vdda2
+rlabel metal4 s 59802 351760 60102 355720 6 vdda2
 port 643 nsew default input
-rlabel metal4 s 41802 351760 42102 355650 6 vdda2
+rlabel metal4 s 41802 351760 42102 355720 6 vdda2
 port 643 nsew default input
-rlabel metal4 s 23802 351760 24102 355650 6 vdda2
+rlabel metal4 s 23802 351760 24102 355720 6 vdda2
 port 643 nsew default input
-rlabel metal4 s 5802 351760 6102 355650 6 vdda2
+rlabel metal4 s 5802 351760 6102 355720 6 vdda2
 port 643 nsew default input
-rlabel metal4 s -3758 -3222 -3458 355190 4 vdda2
+rlabel metal4 s -3818 -3282 -3518 355250 4 vdda2
 port 643 nsew default input
-rlabel metal5 s 295880 -3683 296180 -3682 8 vssa2
+rlabel metal5 s 295950 -3753 296250 -3752 8 vssa2
 port 644 nsew default input
-rlabel metal5 s 284802 -3683 285102 -3682 8 vssa2
+rlabel metal5 s 284802 -3753 285102 -3752 8 vssa2
 port 644 nsew default input
-rlabel metal5 s 266802 -3683 267102 -3682 8 vssa2
+rlabel metal5 s 266802 -3753 267102 -3752 8 vssa2
 port 644 nsew default input
-rlabel metal5 s 248802 -3683 249102 -3682 8 vssa2
+rlabel metal5 s 248802 -3753 249102 -3752 8 vssa2
 port 644 nsew default input
-rlabel metal5 s 230802 -3683 231102 -3682 8 vssa2
+rlabel metal5 s 230802 -3753 231102 -3752 8 vssa2
 port 644 nsew default input
-rlabel metal5 s 212802 -3683 213102 -3682 8 vssa2
+rlabel metal5 s 212802 -3753 213102 -3752 8 vssa2
 port 644 nsew default input
-rlabel metal5 s 194802 -3683 195102 -3682 8 vssa2
+rlabel metal5 s 194802 -3753 195102 -3752 8 vssa2
 port 644 nsew default input
-rlabel metal5 s 176802 -3683 177102 -3682 8 vssa2
+rlabel metal5 s 176802 -3753 177102 -3752 8 vssa2
 port 644 nsew default input
-rlabel metal5 s 158802 -3683 159102 -3682 8 vssa2
+rlabel metal5 s 158802 -3753 159102 -3752 8 vssa2
 port 644 nsew default input
-rlabel metal5 s 140802 -3683 141102 -3682 8 vssa2
+rlabel metal5 s 140802 -3753 141102 -3752 8 vssa2
 port 644 nsew default input
-rlabel metal5 s 122802 -3683 123102 -3682 8 vssa2
+rlabel metal5 s 122802 -3753 123102 -3752 8 vssa2
 port 644 nsew default input
-rlabel metal5 s 104802 -3683 105102 -3682 8 vssa2
+rlabel metal5 s 104802 -3753 105102 -3752 8 vssa2
 port 644 nsew default input
-rlabel metal5 s 86802 -3683 87102 -3682 8 vssa2
+rlabel metal5 s 86802 -3753 87102 -3752 8 vssa2
 port 644 nsew default input
-rlabel metal5 s 68802 -3683 69102 -3682 8 vssa2
+rlabel metal5 s 68802 -3753 69102 -3752 8 vssa2
 port 644 nsew default input
-rlabel metal5 s 50802 -3683 51102 -3682 8 vssa2
+rlabel metal5 s 50802 -3753 51102 -3752 8 vssa2
 port 644 nsew default input
-rlabel metal5 s 32802 -3683 33102 -3682 8 vssa2
+rlabel metal5 s 32802 -3753 33102 -3752 8 vssa2
 port 644 nsew default input
-rlabel metal5 s 14802 -3683 15102 -3682 8 vssa2
+rlabel metal5 s 14802 -3753 15102 -3752 8 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 -3683 -3918 -3682 2 vssa2
+rlabel metal5 s -4288 -3753 -3988 -3752 2 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 -3682 296180 -3382 8 vssa2
+rlabel metal5 s -4288 -3752 296250 -3452 8 vssa2
 port 644 nsew default input
-rlabel metal5 s 295880 -3382 296180 -3381 8 vssa2
+rlabel metal5 s 295950 -3452 296250 -3451 8 vssa2
 port 644 nsew default input
-rlabel metal5 s 284802 -3382 285102 -3381 8 vssa2
+rlabel metal5 s 284802 -3452 285102 -3451 8 vssa2
 port 644 nsew default input
-rlabel metal5 s 266802 -3382 267102 -3381 8 vssa2
+rlabel metal5 s 266802 -3452 267102 -3451 8 vssa2
 port 644 nsew default input
-rlabel metal5 s 248802 -3382 249102 -3381 8 vssa2
+rlabel metal5 s 248802 -3452 249102 -3451 8 vssa2
 port 644 nsew default input
-rlabel metal5 s 230802 -3382 231102 -3381 8 vssa2
+rlabel metal5 s 230802 -3452 231102 -3451 8 vssa2
 port 644 nsew default input
-rlabel metal5 s 212802 -3382 213102 -3381 8 vssa2
+rlabel metal5 s 212802 -3452 213102 -3451 8 vssa2
 port 644 nsew default input
-rlabel metal5 s 194802 -3382 195102 -3381 8 vssa2
+rlabel metal5 s 194802 -3452 195102 -3451 8 vssa2
 port 644 nsew default input
-rlabel metal5 s 176802 -3382 177102 -3381 8 vssa2
+rlabel metal5 s 176802 -3452 177102 -3451 8 vssa2
 port 644 nsew default input
-rlabel metal5 s 158802 -3382 159102 -3381 8 vssa2
+rlabel metal5 s 158802 -3452 159102 -3451 8 vssa2
 port 644 nsew default input
-rlabel metal5 s 140802 -3382 141102 -3381 8 vssa2
+rlabel metal5 s 140802 -3452 141102 -3451 8 vssa2
 port 644 nsew default input
-rlabel metal5 s 122802 -3382 123102 -3381 8 vssa2
+rlabel metal5 s 122802 -3452 123102 -3451 8 vssa2
 port 644 nsew default input
-rlabel metal5 s 104802 -3382 105102 -3381 8 vssa2
+rlabel metal5 s 104802 -3452 105102 -3451 8 vssa2
 port 644 nsew default input
-rlabel metal5 s 86802 -3382 87102 -3381 8 vssa2
+rlabel metal5 s 86802 -3452 87102 -3451 8 vssa2
 port 644 nsew default input
-rlabel metal5 s 68802 -3382 69102 -3381 8 vssa2
+rlabel metal5 s 68802 -3452 69102 -3451 8 vssa2
 port 644 nsew default input
-rlabel metal5 s 50802 -3382 51102 -3381 8 vssa2
+rlabel metal5 s 50802 -3452 51102 -3451 8 vssa2
 port 644 nsew default input
-rlabel metal5 s 32802 -3382 33102 -3381 8 vssa2
+rlabel metal5 s 32802 -3452 33102 -3451 8 vssa2
 port 644 nsew default input
-rlabel metal5 s 14802 -3382 15102 -3381 8 vssa2
+rlabel metal5 s 14802 -3452 15102 -3451 8 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 -3382 -3918 -3381 2 vssa2
+rlabel metal5 s -4288 -3452 -3988 -3451 2 vssa2
 port 644 nsew default input
-rlabel metal5 s 295880 15337 296180 15338 6 vssa2
+rlabel metal5 s 295950 15337 296250 15338 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 15337 -3918 15338 4 vssa2
+rlabel metal5 s -4288 15337 -3988 15338 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 291760 15338 296180 15638 6 vssa2
+rlabel metal5 s 291760 15338 296250 15638 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 15338 240 15638 4 vssa2
+rlabel metal5 s -4288 15338 240 15638 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 295880 15638 296180 15639 6 vssa2
+rlabel metal5 s 295950 15638 296250 15639 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 15638 -3918 15639 4 vssa2
+rlabel metal5 s -4288 15638 -3988 15639 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 295880 33337 296180 33338 6 vssa2
+rlabel metal5 s 295950 33337 296250 33338 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 33337 -3918 33338 4 vssa2
+rlabel metal5 s -4288 33337 -3988 33338 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 291760 33338 296180 33638 6 vssa2
+rlabel metal5 s 291760 33338 296250 33638 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 33338 240 33638 4 vssa2
+rlabel metal5 s -4288 33338 240 33638 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 295880 33638 296180 33639 6 vssa2
+rlabel metal5 s 295950 33638 296250 33639 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 33638 -3918 33639 4 vssa2
+rlabel metal5 s -4288 33638 -3988 33639 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 295880 51337 296180 51338 6 vssa2
+rlabel metal5 s 295950 51337 296250 51338 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 51337 -3918 51338 4 vssa2
+rlabel metal5 s -4288 51337 -3988 51338 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 291760 51338 296180 51638 6 vssa2
+rlabel metal5 s 291760 51338 296250 51638 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 51338 240 51638 4 vssa2
+rlabel metal5 s -4288 51338 240 51638 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 295880 51638 296180 51639 6 vssa2
+rlabel metal5 s 295950 51638 296250 51639 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 51638 -3918 51639 4 vssa2
+rlabel metal5 s -4288 51638 -3988 51639 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 295880 69337 296180 69338 6 vssa2
+rlabel metal5 s 295950 69337 296250 69338 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 69337 -3918 69338 4 vssa2
+rlabel metal5 s -4288 69337 -3988 69338 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 291760 69338 296180 69638 6 vssa2
+rlabel metal5 s 291760 69338 296250 69638 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 69338 240 69638 4 vssa2
+rlabel metal5 s -4288 69338 240 69638 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 295880 69638 296180 69639 6 vssa2
+rlabel metal5 s 295950 69638 296250 69639 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 69638 -3918 69639 4 vssa2
+rlabel metal5 s -4288 69638 -3988 69639 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 295880 87337 296180 87338 6 vssa2
+rlabel metal5 s 295950 87337 296250 87338 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 87337 -3918 87338 4 vssa2
+rlabel metal5 s -4288 87337 -3988 87338 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 291760 87338 296180 87638 6 vssa2
+rlabel metal5 s 291760 87338 296250 87638 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 87338 240 87638 4 vssa2
+rlabel metal5 s -4288 87338 240 87638 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 295880 87638 296180 87639 6 vssa2
+rlabel metal5 s 295950 87638 296250 87639 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 87638 -3918 87639 4 vssa2
+rlabel metal5 s -4288 87638 -3988 87639 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 295880 105337 296180 105338 6 vssa2
+rlabel metal5 s 295950 105337 296250 105338 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 105337 -3918 105338 4 vssa2
+rlabel metal5 s -4288 105337 -3988 105338 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 291760 105338 296180 105638 6 vssa2
+rlabel metal5 s 291760 105338 296250 105638 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 105338 240 105638 4 vssa2
+rlabel metal5 s -4288 105338 240 105638 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 295880 105638 296180 105639 6 vssa2
+rlabel metal5 s 295950 105638 296250 105639 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 105638 -3918 105639 4 vssa2
+rlabel metal5 s -4288 105638 -3988 105639 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 295880 123337 296180 123338 6 vssa2
+rlabel metal5 s 295950 123337 296250 123338 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 123337 -3918 123338 4 vssa2
+rlabel metal5 s -4288 123337 -3988 123338 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 291760 123338 296180 123638 6 vssa2
+rlabel metal5 s 291760 123338 296250 123638 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 123338 240 123638 4 vssa2
+rlabel metal5 s -4288 123338 240 123638 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 295880 123638 296180 123639 6 vssa2
+rlabel metal5 s 295950 123638 296250 123639 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 123638 -3918 123639 4 vssa2
+rlabel metal5 s -4288 123638 -3988 123639 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 295880 141337 296180 141338 6 vssa2
+rlabel metal5 s 295950 141337 296250 141338 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 141337 -3918 141338 4 vssa2
+rlabel metal5 s -4288 141337 -3988 141338 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 291760 141338 296180 141638 6 vssa2
+rlabel metal5 s 291760 141338 296250 141638 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 141338 240 141638 4 vssa2
+rlabel metal5 s -4288 141338 240 141638 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 295880 141638 296180 141639 6 vssa2
+rlabel metal5 s 295950 141638 296250 141639 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 141638 -3918 141639 4 vssa2
+rlabel metal5 s -4288 141638 -3988 141639 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 295880 159337 296180 159338 6 vssa2
+rlabel metal5 s 295950 159337 296250 159338 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 159337 -3918 159338 4 vssa2
+rlabel metal5 s -4288 159337 -3988 159338 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 291760 159338 296180 159638 6 vssa2
+rlabel metal5 s 291760 159338 296250 159638 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 159338 240 159638 4 vssa2
+rlabel metal5 s -4288 159338 240 159638 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 295880 159638 296180 159639 6 vssa2
+rlabel metal5 s 295950 159638 296250 159639 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 159638 -3918 159639 4 vssa2
+rlabel metal5 s -4288 159638 -3988 159639 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 295880 177337 296180 177338 6 vssa2
+rlabel metal5 s 295950 177337 296250 177338 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 177337 -3918 177338 4 vssa2
+rlabel metal5 s -4288 177337 -3988 177338 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 291760 177338 296180 177638 6 vssa2
+rlabel metal5 s 291760 177338 296250 177638 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 177338 240 177638 4 vssa2
+rlabel metal5 s -4288 177338 240 177638 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 295880 177638 296180 177639 6 vssa2
+rlabel metal5 s 295950 177638 296250 177639 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 177638 -3918 177639 4 vssa2
+rlabel metal5 s -4288 177638 -3988 177639 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 295880 195337 296180 195338 6 vssa2
+rlabel metal5 s 295950 195337 296250 195338 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 195337 -3918 195338 4 vssa2
+rlabel metal5 s -4288 195337 -3988 195338 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 291760 195338 296180 195638 6 vssa2
+rlabel metal5 s 291760 195338 296250 195638 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 195338 240 195638 4 vssa2
+rlabel metal5 s -4288 195338 240 195638 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 295880 195638 296180 195639 6 vssa2
+rlabel metal5 s 295950 195638 296250 195639 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 195638 -3918 195639 4 vssa2
+rlabel metal5 s -4288 195638 -3988 195639 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 295880 213337 296180 213338 6 vssa2
+rlabel metal5 s 295950 213337 296250 213338 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 213337 -3918 213338 4 vssa2
+rlabel metal5 s -4288 213337 -3988 213338 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 291760 213338 296180 213638 6 vssa2
+rlabel metal5 s 291760 213338 296250 213638 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 213338 240 213638 4 vssa2
+rlabel metal5 s -4288 213338 240 213638 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 295880 213638 296180 213639 6 vssa2
+rlabel metal5 s 295950 213638 296250 213639 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 213638 -3918 213639 4 vssa2
+rlabel metal5 s -4288 213638 -3988 213639 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 295880 231337 296180 231338 6 vssa2
+rlabel metal5 s 295950 231337 296250 231338 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 231337 -3918 231338 4 vssa2
+rlabel metal5 s -4288 231337 -3988 231338 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 291760 231338 296180 231638 6 vssa2
+rlabel metal5 s 291760 231338 296250 231638 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 231338 240 231638 4 vssa2
+rlabel metal5 s -4288 231338 240 231638 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 295880 231638 296180 231639 6 vssa2
+rlabel metal5 s 295950 231638 296250 231639 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 231638 -3918 231639 4 vssa2
+rlabel metal5 s -4288 231638 -3988 231639 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 295880 249337 296180 249338 6 vssa2
+rlabel metal5 s 295950 249337 296250 249338 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 249337 -3918 249338 4 vssa2
+rlabel metal5 s -4288 249337 -3988 249338 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 291760 249338 296180 249638 6 vssa2
+rlabel metal5 s 291760 249338 296250 249638 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 249338 240 249638 4 vssa2
+rlabel metal5 s -4288 249338 240 249638 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 295880 249638 296180 249639 6 vssa2
+rlabel metal5 s 295950 249638 296250 249639 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 249638 -3918 249639 4 vssa2
+rlabel metal5 s -4288 249638 -3988 249639 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 295880 267337 296180 267338 6 vssa2
+rlabel metal5 s 295950 267337 296250 267338 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 267337 -3918 267338 4 vssa2
+rlabel metal5 s -4288 267337 -3988 267338 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 291760 267338 296180 267638 6 vssa2
+rlabel metal5 s 291760 267338 296250 267638 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 267338 240 267638 4 vssa2
+rlabel metal5 s -4288 267338 240 267638 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 295880 267638 296180 267639 6 vssa2
+rlabel metal5 s 295950 267638 296250 267639 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 267638 -3918 267639 4 vssa2
+rlabel metal5 s -4288 267638 -3988 267639 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 295880 285337 296180 285338 6 vssa2
+rlabel metal5 s 295950 285337 296250 285338 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 285337 -3918 285338 4 vssa2
+rlabel metal5 s -4288 285337 -3988 285338 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 291760 285338 296180 285638 6 vssa2
+rlabel metal5 s 291760 285338 296250 285638 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 285338 240 285638 4 vssa2
+rlabel metal5 s -4288 285338 240 285638 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 295880 285638 296180 285639 6 vssa2
+rlabel metal5 s 295950 285638 296250 285639 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 285638 -3918 285639 4 vssa2
+rlabel metal5 s -4288 285638 -3988 285639 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 295880 303337 296180 303338 6 vssa2
+rlabel metal5 s 295950 303337 296250 303338 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 303337 -3918 303338 4 vssa2
+rlabel metal5 s -4288 303337 -3988 303338 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 291760 303338 296180 303638 6 vssa2
+rlabel metal5 s 291760 303338 296250 303638 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 303338 240 303638 4 vssa2
+rlabel metal5 s -4288 303338 240 303638 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 295880 303638 296180 303639 6 vssa2
+rlabel metal5 s 295950 303638 296250 303639 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 303638 -3918 303639 4 vssa2
+rlabel metal5 s -4288 303638 -3988 303639 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 295880 321337 296180 321338 6 vssa2
+rlabel metal5 s 295950 321337 296250 321338 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 321337 -3918 321338 4 vssa2
+rlabel metal5 s -4288 321337 -3988 321338 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 291760 321338 296180 321638 6 vssa2
+rlabel metal5 s 291760 321338 296250 321638 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 321338 240 321638 4 vssa2
+rlabel metal5 s -4288 321338 240 321638 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 295880 321638 296180 321639 6 vssa2
+rlabel metal5 s 295950 321638 296250 321639 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 321638 -3918 321639 4 vssa2
+rlabel metal5 s -4288 321638 -3988 321639 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 295880 339337 296180 339338 6 vssa2
+rlabel metal5 s 295950 339337 296250 339338 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 339337 -3918 339338 4 vssa2
+rlabel metal5 s -4288 339337 -3988 339338 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 291760 339338 296180 339638 6 vssa2
+rlabel metal5 s 291760 339338 296250 339638 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 339338 240 339638 4 vssa2
+rlabel metal5 s -4288 339338 240 339638 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 295880 339638 296180 339639 6 vssa2
+rlabel metal5 s 295950 339638 296250 339639 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 339638 -3918 339639 4 vssa2
+rlabel metal5 s -4288 339638 -3988 339639 4 vssa2
 port 644 nsew default input
-rlabel metal5 s 295880 355349 296180 355350 6 vssa2
+rlabel metal5 s 295950 355419 296250 355420 6 vssa2
 port 644 nsew default input
-rlabel metal5 s 284802 355349 285102 355350 6 vssa2
+rlabel metal5 s 284802 355419 285102 355420 6 vssa2
 port 644 nsew default input
-rlabel metal5 s 266802 355349 267102 355350 6 vssa2
+rlabel metal5 s 266802 355419 267102 355420 6 vssa2
 port 644 nsew default input
-rlabel metal5 s 248802 355349 249102 355350 6 vssa2
+rlabel metal5 s 248802 355419 249102 355420 6 vssa2
 port 644 nsew default input
-rlabel metal5 s 230802 355349 231102 355350 6 vssa2
+rlabel metal5 s 230802 355419 231102 355420 6 vssa2
 port 644 nsew default input
-rlabel metal5 s 212802 355349 213102 355350 6 vssa2
+rlabel metal5 s 212802 355419 213102 355420 6 vssa2
 port 644 nsew default input
-rlabel metal5 s 194802 355349 195102 355350 6 vssa2
+rlabel metal5 s 194802 355419 195102 355420 6 vssa2
 port 644 nsew default input
-rlabel metal5 s 176802 355349 177102 355350 6 vssa2
+rlabel metal5 s 176802 355419 177102 355420 6 vssa2
 port 644 nsew default input
-rlabel metal5 s 158802 355349 159102 355350 6 vssa2
+rlabel metal5 s 158802 355419 159102 355420 6 vssa2
 port 644 nsew default input
-rlabel metal5 s 140802 355349 141102 355350 6 vssa2
+rlabel metal5 s 140802 355419 141102 355420 6 vssa2
 port 644 nsew default input
-rlabel metal5 s 122802 355349 123102 355350 6 vssa2
+rlabel metal5 s 122802 355419 123102 355420 6 vssa2
 port 644 nsew default input
-rlabel metal5 s 104802 355349 105102 355350 6 vssa2
+rlabel metal5 s 104802 355419 105102 355420 6 vssa2
 port 644 nsew default input
-rlabel metal5 s 86802 355349 87102 355350 6 vssa2
+rlabel metal5 s 86802 355419 87102 355420 6 vssa2
 port 644 nsew default input
-rlabel metal5 s 68802 355349 69102 355350 6 vssa2
+rlabel metal5 s 68802 355419 69102 355420 6 vssa2
 port 644 nsew default input
-rlabel metal5 s 50802 355349 51102 355350 6 vssa2
+rlabel metal5 s 50802 355419 51102 355420 6 vssa2
 port 644 nsew default input
-rlabel metal5 s 32802 355349 33102 355350 6 vssa2
+rlabel metal5 s 32802 355419 33102 355420 6 vssa2
 port 644 nsew default input
-rlabel metal5 s 14802 355349 15102 355350 6 vssa2
+rlabel metal5 s 14802 355419 15102 355420 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 355349 -3918 355350 4 vssa2
+rlabel metal5 s -4288 355419 -3988 355420 4 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 355350 296180 355650 6 vssa2
+rlabel metal5 s -4288 355420 296250 355720 6 vssa2
 port 644 nsew default input
-rlabel metal5 s 295880 355650 296180 355651 6 vssa2
+rlabel metal5 s 295950 355720 296250 355721 6 vssa2
 port 644 nsew default input
-rlabel metal5 s 284802 355650 285102 355651 6 vssa2
+rlabel metal5 s 284802 355720 285102 355721 6 vssa2
 port 644 nsew default input
-rlabel metal5 s 266802 355650 267102 355651 6 vssa2
+rlabel metal5 s 266802 355720 267102 355721 6 vssa2
 port 644 nsew default input
-rlabel metal5 s 248802 355650 249102 355651 6 vssa2
+rlabel metal5 s 248802 355720 249102 355721 6 vssa2
 port 644 nsew default input
-rlabel metal5 s 230802 355650 231102 355651 6 vssa2
+rlabel metal5 s 230802 355720 231102 355721 6 vssa2
 port 644 nsew default input
-rlabel metal5 s 212802 355650 213102 355651 6 vssa2
+rlabel metal5 s 212802 355720 213102 355721 6 vssa2
 port 644 nsew default input
-rlabel metal5 s 194802 355650 195102 355651 6 vssa2
+rlabel metal5 s 194802 355720 195102 355721 6 vssa2
 port 644 nsew default input
-rlabel metal5 s 176802 355650 177102 355651 6 vssa2
+rlabel metal5 s 176802 355720 177102 355721 6 vssa2
 port 644 nsew default input
-rlabel metal5 s 158802 355650 159102 355651 6 vssa2
+rlabel metal5 s 158802 355720 159102 355721 6 vssa2
 port 644 nsew default input
-rlabel metal5 s 140802 355650 141102 355651 6 vssa2
+rlabel metal5 s 140802 355720 141102 355721 6 vssa2
 port 644 nsew default input
-rlabel metal5 s 122802 355650 123102 355651 6 vssa2
+rlabel metal5 s 122802 355720 123102 355721 6 vssa2
 port 644 nsew default input
-rlabel metal5 s 104802 355650 105102 355651 6 vssa2
+rlabel metal5 s 104802 355720 105102 355721 6 vssa2
 port 644 nsew default input
-rlabel metal5 s 86802 355650 87102 355651 6 vssa2
+rlabel metal5 s 86802 355720 87102 355721 6 vssa2
 port 644 nsew default input
-rlabel metal5 s 68802 355650 69102 355651 6 vssa2
+rlabel metal5 s 68802 355720 69102 355721 6 vssa2
 port 644 nsew default input
-rlabel metal5 s 50802 355650 51102 355651 6 vssa2
+rlabel metal5 s 50802 355720 51102 355721 6 vssa2
 port 644 nsew default input
-rlabel metal5 s 32802 355650 33102 355651 6 vssa2
+rlabel metal5 s 32802 355720 33102 355721 6 vssa2
 port 644 nsew default input
-rlabel metal5 s 14802 355650 15102 355651 6 vssa2
+rlabel metal5 s 14802 355720 15102 355721 6 vssa2
 port 644 nsew default input
-rlabel metal5 s -4218 355650 -3918 355651 4 vssa2
+rlabel metal5 s -4288 355720 -3988 355721 4 vssa2
 port 644 nsew default input
-rlabel metal4 s 295880 -3682 296180 355650 6 vssa2
+rlabel metal4 s 295950 -3752 296250 355720 6 vssa2
 port 644 nsew default input
-rlabel metal4 s 284802 -3682 285102 240 8 vssa2
+rlabel metal4 s 284802 -3752 285102 240 8 vssa2
 port 644 nsew default input
-rlabel metal4 s 266802 -3682 267102 240 8 vssa2
+rlabel metal4 s 266802 -3752 267102 240 8 vssa2
 port 644 nsew default input
-rlabel metal4 s 248802 -3682 249102 240 8 vssa2
+rlabel metal4 s 248802 -3752 249102 240 8 vssa2
 port 644 nsew default input
-rlabel metal4 s 230802 -3682 231102 240 8 vssa2
+rlabel metal4 s 230802 -3752 231102 240 8 vssa2
 port 644 nsew default input
-rlabel metal4 s 212802 -3682 213102 240 8 vssa2
+rlabel metal4 s 212802 -3752 213102 240 8 vssa2
 port 644 nsew default input
-rlabel metal4 s 194802 -3682 195102 240 8 vssa2
+rlabel metal4 s 194802 -3752 195102 240 8 vssa2
 port 644 nsew default input
-rlabel metal4 s 176802 -3682 177102 240 8 vssa2
+rlabel metal4 s 176802 -3752 177102 240 8 vssa2
 port 644 nsew default input
-rlabel metal4 s 158802 -3682 159102 240 8 vssa2
+rlabel metal4 s 158802 -3752 159102 240 8 vssa2
 port 644 nsew default input
-rlabel metal4 s 140802 -3682 141102 240 8 vssa2
+rlabel metal4 s 140802 -3752 141102 240 8 vssa2
 port 644 nsew default input
-rlabel metal4 s 122802 -3682 123102 240 8 vssa2
+rlabel metal4 s 122802 -3752 123102 240 8 vssa2
 port 644 nsew default input
-rlabel metal4 s 104802 -3682 105102 240 8 vssa2
+rlabel metal4 s 104802 -3752 105102 240 8 vssa2
 port 644 nsew default input
-rlabel metal4 s 86802 -3682 87102 240 8 vssa2
+rlabel metal4 s 86802 -3752 87102 240 8 vssa2
 port 644 nsew default input
-rlabel metal4 s 68802 -3682 69102 240 8 vssa2
+rlabel metal4 s 68802 -3752 69102 240 8 vssa2
 port 644 nsew default input
-rlabel metal4 s 50802 -3682 51102 240 8 vssa2
+rlabel metal4 s 50802 -3752 51102 240 8 vssa2
 port 644 nsew default input
-rlabel metal4 s 32802 -3682 33102 240 8 vssa2
+rlabel metal4 s 32802 -3752 33102 240 8 vssa2
 port 644 nsew default input
-rlabel metal4 s 14802 -3682 15102 240 8 vssa2
+rlabel metal4 s 14802 -3752 15102 240 8 vssa2
 port 644 nsew default input
-rlabel metal4 s 284802 351760 285102 355650 6 vssa2
+rlabel metal4 s 284802 351760 285102 355720 6 vssa2
 port 644 nsew default input
-rlabel metal4 s 266802 351760 267102 355650 6 vssa2
+rlabel metal4 s 266802 351760 267102 355720 6 vssa2
 port 644 nsew default input
-rlabel metal4 s 248802 351760 249102 355650 6 vssa2
+rlabel metal4 s 248802 351760 249102 355720 6 vssa2
 port 644 nsew default input
-rlabel metal4 s 230802 351760 231102 355650 6 vssa2
+rlabel metal4 s 230802 351760 231102 355720 6 vssa2
 port 644 nsew default input
-rlabel metal4 s 212802 351760 213102 355650 6 vssa2
+rlabel metal4 s 212802 351760 213102 355720 6 vssa2
 port 644 nsew default input
-rlabel metal4 s 194802 351760 195102 355650 6 vssa2
+rlabel metal4 s 194802 351760 195102 355720 6 vssa2
 port 644 nsew default input
-rlabel metal4 s 176802 351760 177102 355650 6 vssa2
+rlabel metal4 s 176802 351760 177102 355720 6 vssa2
 port 644 nsew default input
-rlabel metal4 s 158802 351760 159102 355650 6 vssa2
+rlabel metal4 s 158802 351760 159102 355720 6 vssa2
 port 644 nsew default input
-rlabel metal4 s 140802 351760 141102 355650 6 vssa2
+rlabel metal4 s 140802 351760 141102 355720 6 vssa2
 port 644 nsew default input
-rlabel metal4 s 122802 351760 123102 355650 6 vssa2
+rlabel metal4 s 122802 351760 123102 355720 6 vssa2
 port 644 nsew default input
-rlabel metal4 s 104802 351760 105102 355650 6 vssa2
+rlabel metal4 s 104802 351760 105102 355720 6 vssa2
 port 644 nsew default input
-rlabel metal4 s 86802 351760 87102 355650 6 vssa2
+rlabel metal4 s 86802 351760 87102 355720 6 vssa2
 port 644 nsew default input
-rlabel metal4 s 68802 351760 69102 355650 6 vssa2
+rlabel metal4 s 68802 351760 69102 355720 6 vssa2
 port 644 nsew default input
-rlabel metal4 s 50802 351760 51102 355650 6 vssa2
+rlabel metal4 s 50802 351760 51102 355720 6 vssa2
 port 644 nsew default input
-rlabel metal4 s 32802 351760 33102 355650 6 vssa2
+rlabel metal4 s 32802 351760 33102 355720 6 vssa2
 port 644 nsew default input
-rlabel metal4 s 14802 351760 15102 355650 6 vssa2
+rlabel metal4 s 14802 351760 15102 355720 6 vssa2
 port 644 nsew default input
-rlabel metal4 s -4218 -3682 -3918 355650 4 vssa2
+rlabel metal4 s -4288 -3752 -3988 355720 4 vssa2
 port 644 nsew default input
 << properties >>
 string LEFclass BLOCK
 string FIXED_BBOX 0 0 292000 352000
 string LEFview TRUE
 string GDS_FILE /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/results/magic/user_project_wrapper.gds
-string GDS_END 306838
+string GDS_END 370014
 string GDS_START 130
 << end >>
 
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/results/magic/user_project_wrapper.mag b/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/results/magic/user_project_wrapper.mag
index ef8ed9d..1b2ef09 100644
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/results/magic/user_project_wrapper.mag
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/results/magic/user_project_wrapper.mag
@@ -1,8 +1,8 @@
 magic
 tech sky130A
-timestamp 1606416959
+timestamp 1606678958
 << checkpaint >>
-rect -4848 -4313 296810 356281
+rect -4918 -4383 296880 356351
 << metal2 >>
 rect 4043 351760 4099 352480
 rect 12139 351760 12195 352480
@@ -642,889 +642,889 @@
 rect -480 3510 240 3630
 rect 291760 2898 292480 3018
 << metal4 >>
-rect -4218 355639 -3918 355650
-rect -4218 355521 -4127 355639
-rect -4009 355521 -3918 355639
-rect -4218 355479 -3918 355521
-rect -4218 355361 -4127 355479
-rect -4009 355361 -3918 355479
-rect -4218 339627 -3918 355361
-rect -4218 339509 -4127 339627
-rect -4009 339509 -3918 339627
-rect -4218 339467 -3918 339509
-rect -4218 339349 -4127 339467
-rect -4009 339349 -3918 339467
-rect -4218 321627 -3918 339349
-rect -4218 321509 -4127 321627
-rect -4009 321509 -3918 321627
-rect -4218 321467 -3918 321509
-rect -4218 321349 -4127 321467
-rect -4009 321349 -3918 321467
-rect -4218 303627 -3918 321349
-rect -4218 303509 -4127 303627
-rect -4009 303509 -3918 303627
-rect -4218 303467 -3918 303509
-rect -4218 303349 -4127 303467
-rect -4009 303349 -3918 303467
-rect -4218 285627 -3918 303349
-rect -4218 285509 -4127 285627
-rect -4009 285509 -3918 285627
-rect -4218 285467 -3918 285509
-rect -4218 285349 -4127 285467
-rect -4009 285349 -3918 285467
-rect -4218 267627 -3918 285349
-rect -4218 267509 -4127 267627
-rect -4009 267509 -3918 267627
-rect -4218 267467 -3918 267509
-rect -4218 267349 -4127 267467
-rect -4009 267349 -3918 267467
-rect -4218 249627 -3918 267349
-rect -4218 249509 -4127 249627
-rect -4009 249509 -3918 249627
-rect -4218 249467 -3918 249509
-rect -4218 249349 -4127 249467
-rect -4009 249349 -3918 249467
-rect -4218 231627 -3918 249349
-rect -4218 231509 -4127 231627
-rect -4009 231509 -3918 231627
-rect -4218 231467 -3918 231509
-rect -4218 231349 -4127 231467
-rect -4009 231349 -3918 231467
-rect -4218 213627 -3918 231349
-rect -4218 213509 -4127 213627
-rect -4009 213509 -3918 213627
-rect -4218 213467 -3918 213509
-rect -4218 213349 -4127 213467
-rect -4009 213349 -3918 213467
-rect -4218 195627 -3918 213349
-rect -4218 195509 -4127 195627
-rect -4009 195509 -3918 195627
-rect -4218 195467 -3918 195509
-rect -4218 195349 -4127 195467
-rect -4009 195349 -3918 195467
-rect -4218 177627 -3918 195349
-rect -4218 177509 -4127 177627
-rect -4009 177509 -3918 177627
-rect -4218 177467 -3918 177509
-rect -4218 177349 -4127 177467
-rect -4009 177349 -3918 177467
-rect -4218 159627 -3918 177349
-rect -4218 159509 -4127 159627
-rect -4009 159509 -3918 159627
-rect -4218 159467 -3918 159509
-rect -4218 159349 -4127 159467
-rect -4009 159349 -3918 159467
-rect -4218 141627 -3918 159349
-rect -4218 141509 -4127 141627
-rect -4009 141509 -3918 141627
-rect -4218 141467 -3918 141509
-rect -4218 141349 -4127 141467
-rect -4009 141349 -3918 141467
-rect -4218 123627 -3918 141349
-rect -4218 123509 -4127 123627
-rect -4009 123509 -3918 123627
-rect -4218 123467 -3918 123509
-rect -4218 123349 -4127 123467
-rect -4009 123349 -3918 123467
-rect -4218 105627 -3918 123349
-rect -4218 105509 -4127 105627
-rect -4009 105509 -3918 105627
-rect -4218 105467 -3918 105509
-rect -4218 105349 -4127 105467
-rect -4009 105349 -3918 105467
-rect -4218 87627 -3918 105349
-rect -4218 87509 -4127 87627
-rect -4009 87509 -3918 87627
-rect -4218 87467 -3918 87509
-rect -4218 87349 -4127 87467
-rect -4009 87349 -3918 87467
-rect -4218 69627 -3918 87349
-rect -4218 69509 -4127 69627
-rect -4009 69509 -3918 69627
-rect -4218 69467 -3918 69509
-rect -4218 69349 -4127 69467
-rect -4009 69349 -3918 69467
-rect -4218 51627 -3918 69349
-rect -4218 51509 -4127 51627
-rect -4009 51509 -3918 51627
-rect -4218 51467 -3918 51509
-rect -4218 51349 -4127 51467
-rect -4009 51349 -3918 51467
-rect -4218 33627 -3918 51349
-rect -4218 33509 -4127 33627
-rect -4009 33509 -3918 33627
-rect -4218 33467 -3918 33509
-rect -4218 33349 -4127 33467
-rect -4009 33349 -3918 33467
-rect -4218 15627 -3918 33349
-rect -4218 15509 -4127 15627
-rect -4009 15509 -3918 15627
-rect -4218 15467 -3918 15509
-rect -4218 15349 -4127 15467
-rect -4009 15349 -3918 15467
-rect -4218 -3393 -3918 15349
-rect -3758 355179 -3458 355190
-rect -3758 355061 -3667 355179
-rect -3549 355061 -3458 355179
-rect -3758 355019 -3458 355061
-rect -3758 354901 -3667 355019
-rect -3549 354901 -3458 355019
-rect -3758 348627 -3458 354901
-rect 5802 355179 6102 355650
-rect 5802 355061 5893 355179
-rect 6011 355061 6102 355179
-rect 5802 355019 6102 355061
-rect 5802 354901 5893 355019
-rect 6011 354901 6102 355019
-rect -3758 348509 -3667 348627
-rect -3549 348509 -3458 348627
-rect -3758 348467 -3458 348509
-rect -3758 348349 -3667 348467
-rect -3549 348349 -3458 348467
-rect -3758 330627 -3458 348349
-rect -3758 330509 -3667 330627
-rect -3549 330509 -3458 330627
-rect -3758 330467 -3458 330509
-rect -3758 330349 -3667 330467
-rect -3549 330349 -3458 330467
-rect -3758 312627 -3458 330349
-rect -3758 312509 -3667 312627
-rect -3549 312509 -3458 312627
-rect -3758 312467 -3458 312509
-rect -3758 312349 -3667 312467
-rect -3549 312349 -3458 312467
-rect -3758 294627 -3458 312349
-rect -3758 294509 -3667 294627
-rect -3549 294509 -3458 294627
-rect -3758 294467 -3458 294509
-rect -3758 294349 -3667 294467
-rect -3549 294349 -3458 294467
-rect -3758 276627 -3458 294349
-rect -3758 276509 -3667 276627
-rect -3549 276509 -3458 276627
-rect -3758 276467 -3458 276509
-rect -3758 276349 -3667 276467
-rect -3549 276349 -3458 276467
-rect -3758 258627 -3458 276349
-rect -3758 258509 -3667 258627
-rect -3549 258509 -3458 258627
-rect -3758 258467 -3458 258509
-rect -3758 258349 -3667 258467
-rect -3549 258349 -3458 258467
-rect -3758 240627 -3458 258349
-rect -3758 240509 -3667 240627
-rect -3549 240509 -3458 240627
-rect -3758 240467 -3458 240509
-rect -3758 240349 -3667 240467
-rect -3549 240349 -3458 240467
-rect -3758 222627 -3458 240349
-rect -3758 222509 -3667 222627
-rect -3549 222509 -3458 222627
-rect -3758 222467 -3458 222509
-rect -3758 222349 -3667 222467
-rect -3549 222349 -3458 222467
-rect -3758 204627 -3458 222349
-rect -3758 204509 -3667 204627
-rect -3549 204509 -3458 204627
-rect -3758 204467 -3458 204509
-rect -3758 204349 -3667 204467
-rect -3549 204349 -3458 204467
-rect -3758 186627 -3458 204349
-rect -3758 186509 -3667 186627
-rect -3549 186509 -3458 186627
-rect -3758 186467 -3458 186509
-rect -3758 186349 -3667 186467
-rect -3549 186349 -3458 186467
-rect -3758 168627 -3458 186349
-rect -3758 168509 -3667 168627
-rect -3549 168509 -3458 168627
-rect -3758 168467 -3458 168509
-rect -3758 168349 -3667 168467
-rect -3549 168349 -3458 168467
-rect -3758 150627 -3458 168349
-rect -3758 150509 -3667 150627
-rect -3549 150509 -3458 150627
-rect -3758 150467 -3458 150509
-rect -3758 150349 -3667 150467
-rect -3549 150349 -3458 150467
-rect -3758 132627 -3458 150349
-rect -3758 132509 -3667 132627
-rect -3549 132509 -3458 132627
-rect -3758 132467 -3458 132509
-rect -3758 132349 -3667 132467
-rect -3549 132349 -3458 132467
-rect -3758 114627 -3458 132349
-rect -3758 114509 -3667 114627
-rect -3549 114509 -3458 114627
-rect -3758 114467 -3458 114509
-rect -3758 114349 -3667 114467
-rect -3549 114349 -3458 114467
-rect -3758 96627 -3458 114349
-rect -3758 96509 -3667 96627
-rect -3549 96509 -3458 96627
-rect -3758 96467 -3458 96509
-rect -3758 96349 -3667 96467
-rect -3549 96349 -3458 96467
-rect -3758 78627 -3458 96349
-rect -3758 78509 -3667 78627
-rect -3549 78509 -3458 78627
-rect -3758 78467 -3458 78509
-rect -3758 78349 -3667 78467
-rect -3549 78349 -3458 78467
-rect -3758 60627 -3458 78349
-rect -3758 60509 -3667 60627
-rect -3549 60509 -3458 60627
-rect -3758 60467 -3458 60509
-rect -3758 60349 -3667 60467
-rect -3549 60349 -3458 60467
-rect -3758 42627 -3458 60349
-rect -3758 42509 -3667 42627
-rect -3549 42509 -3458 42627
-rect -3758 42467 -3458 42509
-rect -3758 42349 -3667 42467
-rect -3549 42349 -3458 42467
-rect -3758 24627 -3458 42349
-rect -3758 24509 -3667 24627
-rect -3549 24509 -3458 24627
-rect -3758 24467 -3458 24509
-rect -3758 24349 -3667 24467
-rect -3549 24349 -3458 24467
-rect -3758 6627 -3458 24349
-rect -3758 6509 -3667 6627
-rect -3549 6509 -3458 6627
-rect -3758 6467 -3458 6509
-rect -3758 6349 -3667 6467
-rect -3549 6349 -3458 6467
-rect -3758 -2933 -3458 6349
-rect -3298 354719 -2998 354730
-rect -3298 354601 -3207 354719
-rect -3089 354601 -2998 354719
-rect -3298 354559 -2998 354601
-rect -3298 354441 -3207 354559
-rect -3089 354441 -2998 354559
-rect -3298 337827 -2998 354441
-rect -3298 337709 -3207 337827
-rect -3089 337709 -2998 337827
-rect -3298 337667 -2998 337709
-rect -3298 337549 -3207 337667
-rect -3089 337549 -2998 337667
-rect -3298 319827 -2998 337549
-rect -3298 319709 -3207 319827
-rect -3089 319709 -2998 319827
-rect -3298 319667 -2998 319709
-rect -3298 319549 -3207 319667
-rect -3089 319549 -2998 319667
-rect -3298 301827 -2998 319549
-rect -3298 301709 -3207 301827
-rect -3089 301709 -2998 301827
-rect -3298 301667 -2998 301709
-rect -3298 301549 -3207 301667
-rect -3089 301549 -2998 301667
-rect -3298 283827 -2998 301549
-rect -3298 283709 -3207 283827
-rect -3089 283709 -2998 283827
-rect -3298 283667 -2998 283709
-rect -3298 283549 -3207 283667
-rect -3089 283549 -2998 283667
-rect -3298 265827 -2998 283549
-rect -3298 265709 -3207 265827
-rect -3089 265709 -2998 265827
-rect -3298 265667 -2998 265709
-rect -3298 265549 -3207 265667
-rect -3089 265549 -2998 265667
-rect -3298 247827 -2998 265549
-rect -3298 247709 -3207 247827
-rect -3089 247709 -2998 247827
-rect -3298 247667 -2998 247709
-rect -3298 247549 -3207 247667
-rect -3089 247549 -2998 247667
-rect -3298 229827 -2998 247549
-rect -3298 229709 -3207 229827
-rect -3089 229709 -2998 229827
-rect -3298 229667 -2998 229709
-rect -3298 229549 -3207 229667
-rect -3089 229549 -2998 229667
-rect -3298 211827 -2998 229549
-rect -3298 211709 -3207 211827
-rect -3089 211709 -2998 211827
-rect -3298 211667 -2998 211709
-rect -3298 211549 -3207 211667
-rect -3089 211549 -2998 211667
-rect -3298 193827 -2998 211549
-rect -3298 193709 -3207 193827
-rect -3089 193709 -2998 193827
-rect -3298 193667 -2998 193709
-rect -3298 193549 -3207 193667
-rect -3089 193549 -2998 193667
-rect -3298 175827 -2998 193549
-rect -3298 175709 -3207 175827
-rect -3089 175709 -2998 175827
-rect -3298 175667 -2998 175709
-rect -3298 175549 -3207 175667
-rect -3089 175549 -2998 175667
-rect -3298 157827 -2998 175549
-rect -3298 157709 -3207 157827
-rect -3089 157709 -2998 157827
-rect -3298 157667 -2998 157709
-rect -3298 157549 -3207 157667
-rect -3089 157549 -2998 157667
-rect -3298 139827 -2998 157549
-rect -3298 139709 -3207 139827
-rect -3089 139709 -2998 139827
-rect -3298 139667 -2998 139709
-rect -3298 139549 -3207 139667
-rect -3089 139549 -2998 139667
-rect -3298 121827 -2998 139549
-rect -3298 121709 -3207 121827
-rect -3089 121709 -2998 121827
-rect -3298 121667 -2998 121709
-rect -3298 121549 -3207 121667
-rect -3089 121549 -2998 121667
-rect -3298 103827 -2998 121549
-rect -3298 103709 -3207 103827
-rect -3089 103709 -2998 103827
-rect -3298 103667 -2998 103709
-rect -3298 103549 -3207 103667
-rect -3089 103549 -2998 103667
-rect -3298 85827 -2998 103549
-rect -3298 85709 -3207 85827
-rect -3089 85709 -2998 85827
-rect -3298 85667 -2998 85709
-rect -3298 85549 -3207 85667
-rect -3089 85549 -2998 85667
-rect -3298 67827 -2998 85549
-rect -3298 67709 -3207 67827
-rect -3089 67709 -2998 67827
-rect -3298 67667 -2998 67709
-rect -3298 67549 -3207 67667
-rect -3089 67549 -2998 67667
-rect -3298 49827 -2998 67549
-rect -3298 49709 -3207 49827
-rect -3089 49709 -2998 49827
-rect -3298 49667 -2998 49709
-rect -3298 49549 -3207 49667
-rect -3089 49549 -2998 49667
-rect -3298 31827 -2998 49549
-rect -3298 31709 -3207 31827
-rect -3089 31709 -2998 31827
-rect -3298 31667 -2998 31709
-rect -3298 31549 -3207 31667
-rect -3089 31549 -2998 31667
-rect -3298 13827 -2998 31549
-rect -3298 13709 -3207 13827
-rect -3089 13709 -2998 13827
-rect -3298 13667 -2998 13709
-rect -3298 13549 -3207 13667
-rect -3089 13549 -2998 13667
-rect -3298 -2473 -2998 13549
-rect -2838 354259 -2538 354270
-rect -2838 354141 -2747 354259
-rect -2629 354141 -2538 354259
-rect -2838 354099 -2538 354141
-rect -2838 353981 -2747 354099
-rect -2629 353981 -2538 354099
-rect -2838 346827 -2538 353981
-rect 4002 354259 4302 354730
-rect 4002 354141 4093 354259
-rect 4211 354141 4302 354259
-rect 4002 354099 4302 354141
-rect 4002 353981 4093 354099
-rect 4211 353981 4302 354099
-rect -2838 346709 -2747 346827
-rect -2629 346709 -2538 346827
-rect -2838 346667 -2538 346709
-rect -2838 346549 -2747 346667
-rect -2629 346549 -2538 346667
-rect -2838 328827 -2538 346549
-rect -2838 328709 -2747 328827
-rect -2629 328709 -2538 328827
-rect -2838 328667 -2538 328709
-rect -2838 328549 -2747 328667
-rect -2629 328549 -2538 328667
-rect -2838 310827 -2538 328549
-rect -2838 310709 -2747 310827
-rect -2629 310709 -2538 310827
-rect -2838 310667 -2538 310709
-rect -2838 310549 -2747 310667
-rect -2629 310549 -2538 310667
-rect -2838 292827 -2538 310549
-rect -2838 292709 -2747 292827
-rect -2629 292709 -2538 292827
-rect -2838 292667 -2538 292709
-rect -2838 292549 -2747 292667
-rect -2629 292549 -2538 292667
-rect -2838 274827 -2538 292549
-rect -2838 274709 -2747 274827
-rect -2629 274709 -2538 274827
-rect -2838 274667 -2538 274709
-rect -2838 274549 -2747 274667
-rect -2629 274549 -2538 274667
-rect -2838 256827 -2538 274549
-rect -2838 256709 -2747 256827
-rect -2629 256709 -2538 256827
-rect -2838 256667 -2538 256709
-rect -2838 256549 -2747 256667
-rect -2629 256549 -2538 256667
-rect -2838 238827 -2538 256549
-rect -2838 238709 -2747 238827
-rect -2629 238709 -2538 238827
-rect -2838 238667 -2538 238709
-rect -2838 238549 -2747 238667
-rect -2629 238549 -2538 238667
-rect -2838 220827 -2538 238549
-rect -2838 220709 -2747 220827
-rect -2629 220709 -2538 220827
-rect -2838 220667 -2538 220709
-rect -2838 220549 -2747 220667
-rect -2629 220549 -2538 220667
-rect -2838 202827 -2538 220549
-rect -2838 202709 -2747 202827
-rect -2629 202709 -2538 202827
-rect -2838 202667 -2538 202709
-rect -2838 202549 -2747 202667
-rect -2629 202549 -2538 202667
-rect -2838 184827 -2538 202549
-rect -2838 184709 -2747 184827
-rect -2629 184709 -2538 184827
-rect -2838 184667 -2538 184709
-rect -2838 184549 -2747 184667
-rect -2629 184549 -2538 184667
-rect -2838 166827 -2538 184549
-rect -2838 166709 -2747 166827
-rect -2629 166709 -2538 166827
-rect -2838 166667 -2538 166709
-rect -2838 166549 -2747 166667
-rect -2629 166549 -2538 166667
-rect -2838 148827 -2538 166549
-rect -2838 148709 -2747 148827
-rect -2629 148709 -2538 148827
-rect -2838 148667 -2538 148709
-rect -2838 148549 -2747 148667
-rect -2629 148549 -2538 148667
-rect -2838 130827 -2538 148549
-rect -2838 130709 -2747 130827
-rect -2629 130709 -2538 130827
-rect -2838 130667 -2538 130709
-rect -2838 130549 -2747 130667
-rect -2629 130549 -2538 130667
-rect -2838 112827 -2538 130549
-rect -2838 112709 -2747 112827
-rect -2629 112709 -2538 112827
-rect -2838 112667 -2538 112709
-rect -2838 112549 -2747 112667
-rect -2629 112549 -2538 112667
-rect -2838 94827 -2538 112549
-rect -2838 94709 -2747 94827
-rect -2629 94709 -2538 94827
-rect -2838 94667 -2538 94709
-rect -2838 94549 -2747 94667
-rect -2629 94549 -2538 94667
-rect -2838 76827 -2538 94549
-rect -2838 76709 -2747 76827
-rect -2629 76709 -2538 76827
-rect -2838 76667 -2538 76709
-rect -2838 76549 -2747 76667
-rect -2629 76549 -2538 76667
-rect -2838 58827 -2538 76549
-rect -2838 58709 -2747 58827
-rect -2629 58709 -2538 58827
-rect -2838 58667 -2538 58709
-rect -2838 58549 -2747 58667
-rect -2629 58549 -2538 58667
-rect -2838 40827 -2538 58549
-rect -2838 40709 -2747 40827
-rect -2629 40709 -2538 40827
-rect -2838 40667 -2538 40709
-rect -2838 40549 -2747 40667
-rect -2629 40549 -2538 40667
-rect -2838 22827 -2538 40549
-rect -2838 22709 -2747 22827
-rect -2629 22709 -2538 22827
-rect -2838 22667 -2538 22709
-rect -2838 22549 -2747 22667
-rect -2629 22549 -2538 22667
-rect -2838 4827 -2538 22549
-rect -2838 4709 -2747 4827
-rect -2629 4709 -2538 4827
-rect -2838 4667 -2538 4709
-rect -2838 4549 -2747 4667
-rect -2629 4549 -2538 4667
-rect -2838 -2013 -2538 4549
-rect -2378 353799 -2078 353810
-rect -2378 353681 -2287 353799
-rect -2169 353681 -2078 353799
-rect -2378 353639 -2078 353681
-rect -2378 353521 -2287 353639
-rect -2169 353521 -2078 353639
-rect -2378 336027 -2078 353521
-rect -2378 335909 -2287 336027
-rect -2169 335909 -2078 336027
-rect -2378 335867 -2078 335909
-rect -2378 335749 -2287 335867
-rect -2169 335749 -2078 335867
-rect -2378 318027 -2078 335749
-rect -2378 317909 -2287 318027
-rect -2169 317909 -2078 318027
-rect -2378 317867 -2078 317909
-rect -2378 317749 -2287 317867
-rect -2169 317749 -2078 317867
-rect -2378 300027 -2078 317749
-rect -2378 299909 -2287 300027
-rect -2169 299909 -2078 300027
-rect -2378 299867 -2078 299909
-rect -2378 299749 -2287 299867
-rect -2169 299749 -2078 299867
-rect -2378 282027 -2078 299749
-rect -2378 281909 -2287 282027
-rect -2169 281909 -2078 282027
-rect -2378 281867 -2078 281909
-rect -2378 281749 -2287 281867
-rect -2169 281749 -2078 281867
-rect -2378 264027 -2078 281749
-rect -2378 263909 -2287 264027
-rect -2169 263909 -2078 264027
-rect -2378 263867 -2078 263909
-rect -2378 263749 -2287 263867
-rect -2169 263749 -2078 263867
-rect -2378 246027 -2078 263749
-rect -2378 245909 -2287 246027
-rect -2169 245909 -2078 246027
-rect -2378 245867 -2078 245909
-rect -2378 245749 -2287 245867
-rect -2169 245749 -2078 245867
-rect -2378 228027 -2078 245749
-rect -2378 227909 -2287 228027
-rect -2169 227909 -2078 228027
-rect -2378 227867 -2078 227909
-rect -2378 227749 -2287 227867
-rect -2169 227749 -2078 227867
-rect -2378 210027 -2078 227749
-rect -2378 209909 -2287 210027
-rect -2169 209909 -2078 210027
-rect -2378 209867 -2078 209909
-rect -2378 209749 -2287 209867
-rect -2169 209749 -2078 209867
-rect -2378 192027 -2078 209749
-rect -2378 191909 -2287 192027
-rect -2169 191909 -2078 192027
-rect -2378 191867 -2078 191909
-rect -2378 191749 -2287 191867
-rect -2169 191749 -2078 191867
-rect -2378 174027 -2078 191749
-rect -2378 173909 -2287 174027
-rect -2169 173909 -2078 174027
-rect -2378 173867 -2078 173909
-rect -2378 173749 -2287 173867
-rect -2169 173749 -2078 173867
-rect -2378 156027 -2078 173749
-rect -2378 155909 -2287 156027
-rect -2169 155909 -2078 156027
-rect -2378 155867 -2078 155909
-rect -2378 155749 -2287 155867
-rect -2169 155749 -2078 155867
-rect -2378 138027 -2078 155749
-rect -2378 137909 -2287 138027
-rect -2169 137909 -2078 138027
-rect -2378 137867 -2078 137909
-rect -2378 137749 -2287 137867
-rect -2169 137749 -2078 137867
-rect -2378 120027 -2078 137749
-rect -2378 119909 -2287 120027
-rect -2169 119909 -2078 120027
-rect -2378 119867 -2078 119909
-rect -2378 119749 -2287 119867
-rect -2169 119749 -2078 119867
-rect -2378 102027 -2078 119749
-rect -2378 101909 -2287 102027
-rect -2169 101909 -2078 102027
-rect -2378 101867 -2078 101909
-rect -2378 101749 -2287 101867
-rect -2169 101749 -2078 101867
-rect -2378 84027 -2078 101749
-rect -2378 83909 -2287 84027
-rect -2169 83909 -2078 84027
-rect -2378 83867 -2078 83909
-rect -2378 83749 -2287 83867
-rect -2169 83749 -2078 83867
-rect -2378 66027 -2078 83749
-rect -2378 65909 -2287 66027
-rect -2169 65909 -2078 66027
-rect -2378 65867 -2078 65909
-rect -2378 65749 -2287 65867
-rect -2169 65749 -2078 65867
-rect -2378 48027 -2078 65749
-rect -2378 47909 -2287 48027
-rect -2169 47909 -2078 48027
-rect -2378 47867 -2078 47909
-rect -2378 47749 -2287 47867
-rect -2169 47749 -2078 47867
-rect -2378 30027 -2078 47749
-rect -2378 29909 -2287 30027
-rect -2169 29909 -2078 30027
-rect -2378 29867 -2078 29909
-rect -2378 29749 -2287 29867
-rect -2169 29749 -2078 29867
-rect -2378 12027 -2078 29749
-rect -2378 11909 -2287 12027
-rect -2169 11909 -2078 12027
-rect -2378 11867 -2078 11909
-rect -2378 11749 -2287 11867
-rect -2169 11749 -2078 11867
-rect -2378 -1553 -2078 11749
-rect -1918 353339 -1618 353350
-rect -1918 353221 -1827 353339
-rect -1709 353221 -1618 353339
-rect -1918 353179 -1618 353221
-rect -1918 353061 -1827 353179
-rect -1709 353061 -1618 353179
-rect -1918 345027 -1618 353061
-rect 2202 353339 2502 353810
-rect 2202 353221 2293 353339
-rect 2411 353221 2502 353339
-rect 2202 353179 2502 353221
-rect 2202 353061 2293 353179
-rect 2411 353061 2502 353179
-rect -1918 344909 -1827 345027
-rect -1709 344909 -1618 345027
-rect -1918 344867 -1618 344909
-rect -1918 344749 -1827 344867
-rect -1709 344749 -1618 344867
-rect -1918 327027 -1618 344749
-rect -1918 326909 -1827 327027
-rect -1709 326909 -1618 327027
-rect -1918 326867 -1618 326909
-rect -1918 326749 -1827 326867
-rect -1709 326749 -1618 326867
-rect -1918 309027 -1618 326749
-rect -1918 308909 -1827 309027
-rect -1709 308909 -1618 309027
-rect -1918 308867 -1618 308909
-rect -1918 308749 -1827 308867
-rect -1709 308749 -1618 308867
-rect -1918 291027 -1618 308749
-rect -1918 290909 -1827 291027
-rect -1709 290909 -1618 291027
-rect -1918 290867 -1618 290909
-rect -1918 290749 -1827 290867
-rect -1709 290749 -1618 290867
-rect -1918 273027 -1618 290749
-rect -1918 272909 -1827 273027
-rect -1709 272909 -1618 273027
-rect -1918 272867 -1618 272909
-rect -1918 272749 -1827 272867
-rect -1709 272749 -1618 272867
-rect -1918 255027 -1618 272749
-rect -1918 254909 -1827 255027
-rect -1709 254909 -1618 255027
-rect -1918 254867 -1618 254909
-rect -1918 254749 -1827 254867
-rect -1709 254749 -1618 254867
-rect -1918 237027 -1618 254749
-rect -1918 236909 -1827 237027
-rect -1709 236909 -1618 237027
-rect -1918 236867 -1618 236909
-rect -1918 236749 -1827 236867
-rect -1709 236749 -1618 236867
-rect -1918 219027 -1618 236749
-rect -1918 218909 -1827 219027
-rect -1709 218909 -1618 219027
-rect -1918 218867 -1618 218909
-rect -1918 218749 -1827 218867
-rect -1709 218749 -1618 218867
-rect -1918 201027 -1618 218749
-rect -1918 200909 -1827 201027
-rect -1709 200909 -1618 201027
-rect -1918 200867 -1618 200909
-rect -1918 200749 -1827 200867
-rect -1709 200749 -1618 200867
-rect -1918 183027 -1618 200749
-rect -1918 182909 -1827 183027
-rect -1709 182909 -1618 183027
-rect -1918 182867 -1618 182909
-rect -1918 182749 -1827 182867
-rect -1709 182749 -1618 182867
-rect -1918 165027 -1618 182749
-rect -1918 164909 -1827 165027
-rect -1709 164909 -1618 165027
-rect -1918 164867 -1618 164909
-rect -1918 164749 -1827 164867
-rect -1709 164749 -1618 164867
-rect -1918 147027 -1618 164749
-rect -1918 146909 -1827 147027
-rect -1709 146909 -1618 147027
-rect -1918 146867 -1618 146909
-rect -1918 146749 -1827 146867
-rect -1709 146749 -1618 146867
-rect -1918 129027 -1618 146749
-rect -1918 128909 -1827 129027
-rect -1709 128909 -1618 129027
-rect -1918 128867 -1618 128909
-rect -1918 128749 -1827 128867
-rect -1709 128749 -1618 128867
-rect -1918 111027 -1618 128749
-rect -1918 110909 -1827 111027
-rect -1709 110909 -1618 111027
-rect -1918 110867 -1618 110909
-rect -1918 110749 -1827 110867
-rect -1709 110749 -1618 110867
-rect -1918 93027 -1618 110749
-rect -1918 92909 -1827 93027
-rect -1709 92909 -1618 93027
-rect -1918 92867 -1618 92909
-rect -1918 92749 -1827 92867
-rect -1709 92749 -1618 92867
-rect -1918 75027 -1618 92749
-rect -1918 74909 -1827 75027
-rect -1709 74909 -1618 75027
-rect -1918 74867 -1618 74909
-rect -1918 74749 -1827 74867
-rect -1709 74749 -1618 74867
-rect -1918 57027 -1618 74749
-rect -1918 56909 -1827 57027
-rect -1709 56909 -1618 57027
-rect -1918 56867 -1618 56909
-rect -1918 56749 -1827 56867
-rect -1709 56749 -1618 56867
-rect -1918 39027 -1618 56749
-rect -1918 38909 -1827 39027
-rect -1709 38909 -1618 39027
-rect -1918 38867 -1618 38909
-rect -1918 38749 -1827 38867
-rect -1709 38749 -1618 38867
-rect -1918 21027 -1618 38749
-rect -1918 20909 -1827 21027
-rect -1709 20909 -1618 21027
-rect -1918 20867 -1618 20909
-rect -1918 20749 -1827 20867
-rect -1709 20749 -1618 20867
-rect -1918 3027 -1618 20749
-rect -1918 2909 -1827 3027
-rect -1709 2909 -1618 3027
-rect -1918 2867 -1618 2909
-rect -1918 2749 -1827 2867
-rect -1709 2749 -1618 2867
-rect -1918 -1093 -1618 2749
-rect -1458 352879 -1158 352890
-rect -1458 352761 -1367 352879
-rect -1249 352761 -1158 352879
-rect -1458 352719 -1158 352761
-rect -1458 352601 -1367 352719
-rect -1249 352601 -1158 352719
-rect -1458 334227 -1158 352601
-rect -1458 334109 -1367 334227
-rect -1249 334109 -1158 334227
-rect -1458 334067 -1158 334109
-rect -1458 333949 -1367 334067
-rect -1249 333949 -1158 334067
-rect -1458 316227 -1158 333949
-rect -1458 316109 -1367 316227
-rect -1249 316109 -1158 316227
-rect -1458 316067 -1158 316109
-rect -1458 315949 -1367 316067
-rect -1249 315949 -1158 316067
-rect -1458 298227 -1158 315949
-rect -1458 298109 -1367 298227
-rect -1249 298109 -1158 298227
-rect -1458 298067 -1158 298109
-rect -1458 297949 -1367 298067
-rect -1249 297949 -1158 298067
-rect -1458 280227 -1158 297949
-rect -1458 280109 -1367 280227
-rect -1249 280109 -1158 280227
-rect -1458 280067 -1158 280109
-rect -1458 279949 -1367 280067
-rect -1249 279949 -1158 280067
-rect -1458 262227 -1158 279949
-rect -1458 262109 -1367 262227
-rect -1249 262109 -1158 262227
-rect -1458 262067 -1158 262109
-rect -1458 261949 -1367 262067
-rect -1249 261949 -1158 262067
-rect -1458 244227 -1158 261949
-rect -1458 244109 -1367 244227
-rect -1249 244109 -1158 244227
-rect -1458 244067 -1158 244109
-rect -1458 243949 -1367 244067
-rect -1249 243949 -1158 244067
-rect -1458 226227 -1158 243949
-rect -1458 226109 -1367 226227
-rect -1249 226109 -1158 226227
-rect -1458 226067 -1158 226109
-rect -1458 225949 -1367 226067
-rect -1249 225949 -1158 226067
-rect -1458 208227 -1158 225949
-rect -1458 208109 -1367 208227
-rect -1249 208109 -1158 208227
-rect -1458 208067 -1158 208109
-rect -1458 207949 -1367 208067
-rect -1249 207949 -1158 208067
-rect -1458 190227 -1158 207949
-rect -1458 190109 -1367 190227
-rect -1249 190109 -1158 190227
-rect -1458 190067 -1158 190109
-rect -1458 189949 -1367 190067
-rect -1249 189949 -1158 190067
-rect -1458 172227 -1158 189949
-rect -1458 172109 -1367 172227
-rect -1249 172109 -1158 172227
-rect -1458 172067 -1158 172109
-rect -1458 171949 -1367 172067
-rect -1249 171949 -1158 172067
-rect -1458 154227 -1158 171949
-rect -1458 154109 -1367 154227
-rect -1249 154109 -1158 154227
-rect -1458 154067 -1158 154109
-rect -1458 153949 -1367 154067
-rect -1249 153949 -1158 154067
-rect -1458 136227 -1158 153949
-rect -1458 136109 -1367 136227
-rect -1249 136109 -1158 136227
-rect -1458 136067 -1158 136109
-rect -1458 135949 -1367 136067
-rect -1249 135949 -1158 136067
-rect -1458 118227 -1158 135949
-rect -1458 118109 -1367 118227
-rect -1249 118109 -1158 118227
-rect -1458 118067 -1158 118109
-rect -1458 117949 -1367 118067
-rect -1249 117949 -1158 118067
-rect -1458 100227 -1158 117949
-rect -1458 100109 -1367 100227
-rect -1249 100109 -1158 100227
-rect -1458 100067 -1158 100109
-rect -1458 99949 -1367 100067
-rect -1249 99949 -1158 100067
-rect -1458 82227 -1158 99949
-rect -1458 82109 -1367 82227
-rect -1249 82109 -1158 82227
-rect -1458 82067 -1158 82109
-rect -1458 81949 -1367 82067
-rect -1249 81949 -1158 82067
-rect -1458 64227 -1158 81949
-rect -1458 64109 -1367 64227
-rect -1249 64109 -1158 64227
-rect -1458 64067 -1158 64109
-rect -1458 63949 -1367 64067
-rect -1249 63949 -1158 64067
-rect -1458 46227 -1158 63949
-rect -1458 46109 -1367 46227
-rect -1249 46109 -1158 46227
-rect -1458 46067 -1158 46109
-rect -1458 45949 -1367 46067
-rect -1249 45949 -1158 46067
-rect -1458 28227 -1158 45949
-rect -1458 28109 -1367 28227
-rect -1249 28109 -1158 28227
-rect -1458 28067 -1158 28109
-rect -1458 27949 -1367 28067
-rect -1249 27949 -1158 28067
-rect -1458 10227 -1158 27949
-rect -1458 10109 -1367 10227
-rect -1249 10109 -1158 10227
-rect -1458 10067 -1158 10109
-rect -1458 9949 -1367 10067
-rect -1249 9949 -1158 10067
-rect -1458 -633 -1158 9949
+rect -4288 355709 -3988 355720
+rect -4288 355591 -4197 355709
+rect -4079 355591 -3988 355709
+rect -4288 355549 -3988 355591
+rect -4288 355431 -4197 355549
+rect -4079 355431 -3988 355549
+rect -4288 339627 -3988 355431
+rect -4288 339509 -4197 339627
+rect -4079 339509 -3988 339627
+rect -4288 339467 -3988 339509
+rect -4288 339349 -4197 339467
+rect -4079 339349 -3988 339467
+rect -4288 321627 -3988 339349
+rect -4288 321509 -4197 321627
+rect -4079 321509 -3988 321627
+rect -4288 321467 -3988 321509
+rect -4288 321349 -4197 321467
+rect -4079 321349 -3988 321467
+rect -4288 303627 -3988 321349
+rect -4288 303509 -4197 303627
+rect -4079 303509 -3988 303627
+rect -4288 303467 -3988 303509
+rect -4288 303349 -4197 303467
+rect -4079 303349 -3988 303467
+rect -4288 285627 -3988 303349
+rect -4288 285509 -4197 285627
+rect -4079 285509 -3988 285627
+rect -4288 285467 -3988 285509
+rect -4288 285349 -4197 285467
+rect -4079 285349 -3988 285467
+rect -4288 267627 -3988 285349
+rect -4288 267509 -4197 267627
+rect -4079 267509 -3988 267627
+rect -4288 267467 -3988 267509
+rect -4288 267349 -4197 267467
+rect -4079 267349 -3988 267467
+rect -4288 249627 -3988 267349
+rect -4288 249509 -4197 249627
+rect -4079 249509 -3988 249627
+rect -4288 249467 -3988 249509
+rect -4288 249349 -4197 249467
+rect -4079 249349 -3988 249467
+rect -4288 231627 -3988 249349
+rect -4288 231509 -4197 231627
+rect -4079 231509 -3988 231627
+rect -4288 231467 -3988 231509
+rect -4288 231349 -4197 231467
+rect -4079 231349 -3988 231467
+rect -4288 213627 -3988 231349
+rect -4288 213509 -4197 213627
+rect -4079 213509 -3988 213627
+rect -4288 213467 -3988 213509
+rect -4288 213349 -4197 213467
+rect -4079 213349 -3988 213467
+rect -4288 195627 -3988 213349
+rect -4288 195509 -4197 195627
+rect -4079 195509 -3988 195627
+rect -4288 195467 -3988 195509
+rect -4288 195349 -4197 195467
+rect -4079 195349 -3988 195467
+rect -4288 177627 -3988 195349
+rect -4288 177509 -4197 177627
+rect -4079 177509 -3988 177627
+rect -4288 177467 -3988 177509
+rect -4288 177349 -4197 177467
+rect -4079 177349 -3988 177467
+rect -4288 159627 -3988 177349
+rect -4288 159509 -4197 159627
+rect -4079 159509 -3988 159627
+rect -4288 159467 -3988 159509
+rect -4288 159349 -4197 159467
+rect -4079 159349 -3988 159467
+rect -4288 141627 -3988 159349
+rect -4288 141509 -4197 141627
+rect -4079 141509 -3988 141627
+rect -4288 141467 -3988 141509
+rect -4288 141349 -4197 141467
+rect -4079 141349 -3988 141467
+rect -4288 123627 -3988 141349
+rect -4288 123509 -4197 123627
+rect -4079 123509 -3988 123627
+rect -4288 123467 -3988 123509
+rect -4288 123349 -4197 123467
+rect -4079 123349 -3988 123467
+rect -4288 105627 -3988 123349
+rect -4288 105509 -4197 105627
+rect -4079 105509 -3988 105627
+rect -4288 105467 -3988 105509
+rect -4288 105349 -4197 105467
+rect -4079 105349 -3988 105467
+rect -4288 87627 -3988 105349
+rect -4288 87509 -4197 87627
+rect -4079 87509 -3988 87627
+rect -4288 87467 -3988 87509
+rect -4288 87349 -4197 87467
+rect -4079 87349 -3988 87467
+rect -4288 69627 -3988 87349
+rect -4288 69509 -4197 69627
+rect -4079 69509 -3988 69627
+rect -4288 69467 -3988 69509
+rect -4288 69349 -4197 69467
+rect -4079 69349 -3988 69467
+rect -4288 51627 -3988 69349
+rect -4288 51509 -4197 51627
+rect -4079 51509 -3988 51627
+rect -4288 51467 -3988 51509
+rect -4288 51349 -4197 51467
+rect -4079 51349 -3988 51467
+rect -4288 33627 -3988 51349
+rect -4288 33509 -4197 33627
+rect -4079 33509 -3988 33627
+rect -4288 33467 -3988 33509
+rect -4288 33349 -4197 33467
+rect -4079 33349 -3988 33467
+rect -4288 15627 -3988 33349
+rect -4288 15509 -4197 15627
+rect -4079 15509 -3988 15627
+rect -4288 15467 -3988 15509
+rect -4288 15349 -4197 15467
+rect -4079 15349 -3988 15467
+rect -4288 -3463 -3988 15349
+rect -3818 355239 -3518 355250
+rect -3818 355121 -3727 355239
+rect -3609 355121 -3518 355239
+rect -3818 355079 -3518 355121
+rect -3818 354961 -3727 355079
+rect -3609 354961 -3518 355079
+rect -3818 348627 -3518 354961
+rect 5802 355239 6102 355720
+rect 5802 355121 5893 355239
+rect 6011 355121 6102 355239
+rect 5802 355079 6102 355121
+rect 5802 354961 5893 355079
+rect 6011 354961 6102 355079
+rect -3818 348509 -3727 348627
+rect -3609 348509 -3518 348627
+rect -3818 348467 -3518 348509
+rect -3818 348349 -3727 348467
+rect -3609 348349 -3518 348467
+rect -3818 330627 -3518 348349
+rect -3818 330509 -3727 330627
+rect -3609 330509 -3518 330627
+rect -3818 330467 -3518 330509
+rect -3818 330349 -3727 330467
+rect -3609 330349 -3518 330467
+rect -3818 312627 -3518 330349
+rect -3818 312509 -3727 312627
+rect -3609 312509 -3518 312627
+rect -3818 312467 -3518 312509
+rect -3818 312349 -3727 312467
+rect -3609 312349 -3518 312467
+rect -3818 294627 -3518 312349
+rect -3818 294509 -3727 294627
+rect -3609 294509 -3518 294627
+rect -3818 294467 -3518 294509
+rect -3818 294349 -3727 294467
+rect -3609 294349 -3518 294467
+rect -3818 276627 -3518 294349
+rect -3818 276509 -3727 276627
+rect -3609 276509 -3518 276627
+rect -3818 276467 -3518 276509
+rect -3818 276349 -3727 276467
+rect -3609 276349 -3518 276467
+rect -3818 258627 -3518 276349
+rect -3818 258509 -3727 258627
+rect -3609 258509 -3518 258627
+rect -3818 258467 -3518 258509
+rect -3818 258349 -3727 258467
+rect -3609 258349 -3518 258467
+rect -3818 240627 -3518 258349
+rect -3818 240509 -3727 240627
+rect -3609 240509 -3518 240627
+rect -3818 240467 -3518 240509
+rect -3818 240349 -3727 240467
+rect -3609 240349 -3518 240467
+rect -3818 222627 -3518 240349
+rect -3818 222509 -3727 222627
+rect -3609 222509 -3518 222627
+rect -3818 222467 -3518 222509
+rect -3818 222349 -3727 222467
+rect -3609 222349 -3518 222467
+rect -3818 204627 -3518 222349
+rect -3818 204509 -3727 204627
+rect -3609 204509 -3518 204627
+rect -3818 204467 -3518 204509
+rect -3818 204349 -3727 204467
+rect -3609 204349 -3518 204467
+rect -3818 186627 -3518 204349
+rect -3818 186509 -3727 186627
+rect -3609 186509 -3518 186627
+rect -3818 186467 -3518 186509
+rect -3818 186349 -3727 186467
+rect -3609 186349 -3518 186467
+rect -3818 168627 -3518 186349
+rect -3818 168509 -3727 168627
+rect -3609 168509 -3518 168627
+rect -3818 168467 -3518 168509
+rect -3818 168349 -3727 168467
+rect -3609 168349 -3518 168467
+rect -3818 150627 -3518 168349
+rect -3818 150509 -3727 150627
+rect -3609 150509 -3518 150627
+rect -3818 150467 -3518 150509
+rect -3818 150349 -3727 150467
+rect -3609 150349 -3518 150467
+rect -3818 132627 -3518 150349
+rect -3818 132509 -3727 132627
+rect -3609 132509 -3518 132627
+rect -3818 132467 -3518 132509
+rect -3818 132349 -3727 132467
+rect -3609 132349 -3518 132467
+rect -3818 114627 -3518 132349
+rect -3818 114509 -3727 114627
+rect -3609 114509 -3518 114627
+rect -3818 114467 -3518 114509
+rect -3818 114349 -3727 114467
+rect -3609 114349 -3518 114467
+rect -3818 96627 -3518 114349
+rect -3818 96509 -3727 96627
+rect -3609 96509 -3518 96627
+rect -3818 96467 -3518 96509
+rect -3818 96349 -3727 96467
+rect -3609 96349 -3518 96467
+rect -3818 78627 -3518 96349
+rect -3818 78509 -3727 78627
+rect -3609 78509 -3518 78627
+rect -3818 78467 -3518 78509
+rect -3818 78349 -3727 78467
+rect -3609 78349 -3518 78467
+rect -3818 60627 -3518 78349
+rect -3818 60509 -3727 60627
+rect -3609 60509 -3518 60627
+rect -3818 60467 -3518 60509
+rect -3818 60349 -3727 60467
+rect -3609 60349 -3518 60467
+rect -3818 42627 -3518 60349
+rect -3818 42509 -3727 42627
+rect -3609 42509 -3518 42627
+rect -3818 42467 -3518 42509
+rect -3818 42349 -3727 42467
+rect -3609 42349 -3518 42467
+rect -3818 24627 -3518 42349
+rect -3818 24509 -3727 24627
+rect -3609 24509 -3518 24627
+rect -3818 24467 -3518 24509
+rect -3818 24349 -3727 24467
+rect -3609 24349 -3518 24467
+rect -3818 6627 -3518 24349
+rect -3818 6509 -3727 6627
+rect -3609 6509 -3518 6627
+rect -3818 6467 -3518 6509
+rect -3818 6349 -3727 6467
+rect -3609 6349 -3518 6467
+rect -3818 -2993 -3518 6349
+rect -3348 354769 -3048 354780
+rect -3348 354651 -3257 354769
+rect -3139 354651 -3048 354769
+rect -3348 354609 -3048 354651
+rect -3348 354491 -3257 354609
+rect -3139 354491 -3048 354609
+rect -3348 337827 -3048 354491
+rect -3348 337709 -3257 337827
+rect -3139 337709 -3048 337827
+rect -3348 337667 -3048 337709
+rect -3348 337549 -3257 337667
+rect -3139 337549 -3048 337667
+rect -3348 319827 -3048 337549
+rect -3348 319709 -3257 319827
+rect -3139 319709 -3048 319827
+rect -3348 319667 -3048 319709
+rect -3348 319549 -3257 319667
+rect -3139 319549 -3048 319667
+rect -3348 301827 -3048 319549
+rect -3348 301709 -3257 301827
+rect -3139 301709 -3048 301827
+rect -3348 301667 -3048 301709
+rect -3348 301549 -3257 301667
+rect -3139 301549 -3048 301667
+rect -3348 283827 -3048 301549
+rect -3348 283709 -3257 283827
+rect -3139 283709 -3048 283827
+rect -3348 283667 -3048 283709
+rect -3348 283549 -3257 283667
+rect -3139 283549 -3048 283667
+rect -3348 265827 -3048 283549
+rect -3348 265709 -3257 265827
+rect -3139 265709 -3048 265827
+rect -3348 265667 -3048 265709
+rect -3348 265549 -3257 265667
+rect -3139 265549 -3048 265667
+rect -3348 247827 -3048 265549
+rect -3348 247709 -3257 247827
+rect -3139 247709 -3048 247827
+rect -3348 247667 -3048 247709
+rect -3348 247549 -3257 247667
+rect -3139 247549 -3048 247667
+rect -3348 229827 -3048 247549
+rect -3348 229709 -3257 229827
+rect -3139 229709 -3048 229827
+rect -3348 229667 -3048 229709
+rect -3348 229549 -3257 229667
+rect -3139 229549 -3048 229667
+rect -3348 211827 -3048 229549
+rect -3348 211709 -3257 211827
+rect -3139 211709 -3048 211827
+rect -3348 211667 -3048 211709
+rect -3348 211549 -3257 211667
+rect -3139 211549 -3048 211667
+rect -3348 193827 -3048 211549
+rect -3348 193709 -3257 193827
+rect -3139 193709 -3048 193827
+rect -3348 193667 -3048 193709
+rect -3348 193549 -3257 193667
+rect -3139 193549 -3048 193667
+rect -3348 175827 -3048 193549
+rect -3348 175709 -3257 175827
+rect -3139 175709 -3048 175827
+rect -3348 175667 -3048 175709
+rect -3348 175549 -3257 175667
+rect -3139 175549 -3048 175667
+rect -3348 157827 -3048 175549
+rect -3348 157709 -3257 157827
+rect -3139 157709 -3048 157827
+rect -3348 157667 -3048 157709
+rect -3348 157549 -3257 157667
+rect -3139 157549 -3048 157667
+rect -3348 139827 -3048 157549
+rect -3348 139709 -3257 139827
+rect -3139 139709 -3048 139827
+rect -3348 139667 -3048 139709
+rect -3348 139549 -3257 139667
+rect -3139 139549 -3048 139667
+rect -3348 121827 -3048 139549
+rect -3348 121709 -3257 121827
+rect -3139 121709 -3048 121827
+rect -3348 121667 -3048 121709
+rect -3348 121549 -3257 121667
+rect -3139 121549 -3048 121667
+rect -3348 103827 -3048 121549
+rect -3348 103709 -3257 103827
+rect -3139 103709 -3048 103827
+rect -3348 103667 -3048 103709
+rect -3348 103549 -3257 103667
+rect -3139 103549 -3048 103667
+rect -3348 85827 -3048 103549
+rect -3348 85709 -3257 85827
+rect -3139 85709 -3048 85827
+rect -3348 85667 -3048 85709
+rect -3348 85549 -3257 85667
+rect -3139 85549 -3048 85667
+rect -3348 67827 -3048 85549
+rect -3348 67709 -3257 67827
+rect -3139 67709 -3048 67827
+rect -3348 67667 -3048 67709
+rect -3348 67549 -3257 67667
+rect -3139 67549 -3048 67667
+rect -3348 49827 -3048 67549
+rect -3348 49709 -3257 49827
+rect -3139 49709 -3048 49827
+rect -3348 49667 -3048 49709
+rect -3348 49549 -3257 49667
+rect -3139 49549 -3048 49667
+rect -3348 31827 -3048 49549
+rect -3348 31709 -3257 31827
+rect -3139 31709 -3048 31827
+rect -3348 31667 -3048 31709
+rect -3348 31549 -3257 31667
+rect -3139 31549 -3048 31667
+rect -3348 13827 -3048 31549
+rect -3348 13709 -3257 13827
+rect -3139 13709 -3048 13827
+rect -3348 13667 -3048 13709
+rect -3348 13549 -3257 13667
+rect -3139 13549 -3048 13667
+rect -3348 -2523 -3048 13549
+rect -2878 354299 -2578 354310
+rect -2878 354181 -2787 354299
+rect -2669 354181 -2578 354299
+rect -2878 354139 -2578 354181
+rect -2878 354021 -2787 354139
+rect -2669 354021 -2578 354139
+rect -2878 346827 -2578 354021
+rect 4002 354299 4302 354780
+rect 4002 354181 4093 354299
+rect 4211 354181 4302 354299
+rect 4002 354139 4302 354181
+rect 4002 354021 4093 354139
+rect 4211 354021 4302 354139
+rect -2878 346709 -2787 346827
+rect -2669 346709 -2578 346827
+rect -2878 346667 -2578 346709
+rect -2878 346549 -2787 346667
+rect -2669 346549 -2578 346667
+rect -2878 328827 -2578 346549
+rect -2878 328709 -2787 328827
+rect -2669 328709 -2578 328827
+rect -2878 328667 -2578 328709
+rect -2878 328549 -2787 328667
+rect -2669 328549 -2578 328667
+rect -2878 310827 -2578 328549
+rect -2878 310709 -2787 310827
+rect -2669 310709 -2578 310827
+rect -2878 310667 -2578 310709
+rect -2878 310549 -2787 310667
+rect -2669 310549 -2578 310667
+rect -2878 292827 -2578 310549
+rect -2878 292709 -2787 292827
+rect -2669 292709 -2578 292827
+rect -2878 292667 -2578 292709
+rect -2878 292549 -2787 292667
+rect -2669 292549 -2578 292667
+rect -2878 274827 -2578 292549
+rect -2878 274709 -2787 274827
+rect -2669 274709 -2578 274827
+rect -2878 274667 -2578 274709
+rect -2878 274549 -2787 274667
+rect -2669 274549 -2578 274667
+rect -2878 256827 -2578 274549
+rect -2878 256709 -2787 256827
+rect -2669 256709 -2578 256827
+rect -2878 256667 -2578 256709
+rect -2878 256549 -2787 256667
+rect -2669 256549 -2578 256667
+rect -2878 238827 -2578 256549
+rect -2878 238709 -2787 238827
+rect -2669 238709 -2578 238827
+rect -2878 238667 -2578 238709
+rect -2878 238549 -2787 238667
+rect -2669 238549 -2578 238667
+rect -2878 220827 -2578 238549
+rect -2878 220709 -2787 220827
+rect -2669 220709 -2578 220827
+rect -2878 220667 -2578 220709
+rect -2878 220549 -2787 220667
+rect -2669 220549 -2578 220667
+rect -2878 202827 -2578 220549
+rect -2878 202709 -2787 202827
+rect -2669 202709 -2578 202827
+rect -2878 202667 -2578 202709
+rect -2878 202549 -2787 202667
+rect -2669 202549 -2578 202667
+rect -2878 184827 -2578 202549
+rect -2878 184709 -2787 184827
+rect -2669 184709 -2578 184827
+rect -2878 184667 -2578 184709
+rect -2878 184549 -2787 184667
+rect -2669 184549 -2578 184667
+rect -2878 166827 -2578 184549
+rect -2878 166709 -2787 166827
+rect -2669 166709 -2578 166827
+rect -2878 166667 -2578 166709
+rect -2878 166549 -2787 166667
+rect -2669 166549 -2578 166667
+rect -2878 148827 -2578 166549
+rect -2878 148709 -2787 148827
+rect -2669 148709 -2578 148827
+rect -2878 148667 -2578 148709
+rect -2878 148549 -2787 148667
+rect -2669 148549 -2578 148667
+rect -2878 130827 -2578 148549
+rect -2878 130709 -2787 130827
+rect -2669 130709 -2578 130827
+rect -2878 130667 -2578 130709
+rect -2878 130549 -2787 130667
+rect -2669 130549 -2578 130667
+rect -2878 112827 -2578 130549
+rect -2878 112709 -2787 112827
+rect -2669 112709 -2578 112827
+rect -2878 112667 -2578 112709
+rect -2878 112549 -2787 112667
+rect -2669 112549 -2578 112667
+rect -2878 94827 -2578 112549
+rect -2878 94709 -2787 94827
+rect -2669 94709 -2578 94827
+rect -2878 94667 -2578 94709
+rect -2878 94549 -2787 94667
+rect -2669 94549 -2578 94667
+rect -2878 76827 -2578 94549
+rect -2878 76709 -2787 76827
+rect -2669 76709 -2578 76827
+rect -2878 76667 -2578 76709
+rect -2878 76549 -2787 76667
+rect -2669 76549 -2578 76667
+rect -2878 58827 -2578 76549
+rect -2878 58709 -2787 58827
+rect -2669 58709 -2578 58827
+rect -2878 58667 -2578 58709
+rect -2878 58549 -2787 58667
+rect -2669 58549 -2578 58667
+rect -2878 40827 -2578 58549
+rect -2878 40709 -2787 40827
+rect -2669 40709 -2578 40827
+rect -2878 40667 -2578 40709
+rect -2878 40549 -2787 40667
+rect -2669 40549 -2578 40667
+rect -2878 22827 -2578 40549
+rect -2878 22709 -2787 22827
+rect -2669 22709 -2578 22827
+rect -2878 22667 -2578 22709
+rect -2878 22549 -2787 22667
+rect -2669 22549 -2578 22667
+rect -2878 4827 -2578 22549
+rect -2878 4709 -2787 4827
+rect -2669 4709 -2578 4827
+rect -2878 4667 -2578 4709
+rect -2878 4549 -2787 4667
+rect -2669 4549 -2578 4667
+rect -2878 -2053 -2578 4549
+rect -2408 353829 -2108 353840
+rect -2408 353711 -2317 353829
+rect -2199 353711 -2108 353829
+rect -2408 353669 -2108 353711
+rect -2408 353551 -2317 353669
+rect -2199 353551 -2108 353669
+rect -2408 336027 -2108 353551
+rect -2408 335909 -2317 336027
+rect -2199 335909 -2108 336027
+rect -2408 335867 -2108 335909
+rect -2408 335749 -2317 335867
+rect -2199 335749 -2108 335867
+rect -2408 318027 -2108 335749
+rect -2408 317909 -2317 318027
+rect -2199 317909 -2108 318027
+rect -2408 317867 -2108 317909
+rect -2408 317749 -2317 317867
+rect -2199 317749 -2108 317867
+rect -2408 300027 -2108 317749
+rect -2408 299909 -2317 300027
+rect -2199 299909 -2108 300027
+rect -2408 299867 -2108 299909
+rect -2408 299749 -2317 299867
+rect -2199 299749 -2108 299867
+rect -2408 282027 -2108 299749
+rect -2408 281909 -2317 282027
+rect -2199 281909 -2108 282027
+rect -2408 281867 -2108 281909
+rect -2408 281749 -2317 281867
+rect -2199 281749 -2108 281867
+rect -2408 264027 -2108 281749
+rect -2408 263909 -2317 264027
+rect -2199 263909 -2108 264027
+rect -2408 263867 -2108 263909
+rect -2408 263749 -2317 263867
+rect -2199 263749 -2108 263867
+rect -2408 246027 -2108 263749
+rect -2408 245909 -2317 246027
+rect -2199 245909 -2108 246027
+rect -2408 245867 -2108 245909
+rect -2408 245749 -2317 245867
+rect -2199 245749 -2108 245867
+rect -2408 228027 -2108 245749
+rect -2408 227909 -2317 228027
+rect -2199 227909 -2108 228027
+rect -2408 227867 -2108 227909
+rect -2408 227749 -2317 227867
+rect -2199 227749 -2108 227867
+rect -2408 210027 -2108 227749
+rect -2408 209909 -2317 210027
+rect -2199 209909 -2108 210027
+rect -2408 209867 -2108 209909
+rect -2408 209749 -2317 209867
+rect -2199 209749 -2108 209867
+rect -2408 192027 -2108 209749
+rect -2408 191909 -2317 192027
+rect -2199 191909 -2108 192027
+rect -2408 191867 -2108 191909
+rect -2408 191749 -2317 191867
+rect -2199 191749 -2108 191867
+rect -2408 174027 -2108 191749
+rect -2408 173909 -2317 174027
+rect -2199 173909 -2108 174027
+rect -2408 173867 -2108 173909
+rect -2408 173749 -2317 173867
+rect -2199 173749 -2108 173867
+rect -2408 156027 -2108 173749
+rect -2408 155909 -2317 156027
+rect -2199 155909 -2108 156027
+rect -2408 155867 -2108 155909
+rect -2408 155749 -2317 155867
+rect -2199 155749 -2108 155867
+rect -2408 138027 -2108 155749
+rect -2408 137909 -2317 138027
+rect -2199 137909 -2108 138027
+rect -2408 137867 -2108 137909
+rect -2408 137749 -2317 137867
+rect -2199 137749 -2108 137867
+rect -2408 120027 -2108 137749
+rect -2408 119909 -2317 120027
+rect -2199 119909 -2108 120027
+rect -2408 119867 -2108 119909
+rect -2408 119749 -2317 119867
+rect -2199 119749 -2108 119867
+rect -2408 102027 -2108 119749
+rect -2408 101909 -2317 102027
+rect -2199 101909 -2108 102027
+rect -2408 101867 -2108 101909
+rect -2408 101749 -2317 101867
+rect -2199 101749 -2108 101867
+rect -2408 84027 -2108 101749
+rect -2408 83909 -2317 84027
+rect -2199 83909 -2108 84027
+rect -2408 83867 -2108 83909
+rect -2408 83749 -2317 83867
+rect -2199 83749 -2108 83867
+rect -2408 66027 -2108 83749
+rect -2408 65909 -2317 66027
+rect -2199 65909 -2108 66027
+rect -2408 65867 -2108 65909
+rect -2408 65749 -2317 65867
+rect -2199 65749 -2108 65867
+rect -2408 48027 -2108 65749
+rect -2408 47909 -2317 48027
+rect -2199 47909 -2108 48027
+rect -2408 47867 -2108 47909
+rect -2408 47749 -2317 47867
+rect -2199 47749 -2108 47867
+rect -2408 30027 -2108 47749
+rect -2408 29909 -2317 30027
+rect -2199 29909 -2108 30027
+rect -2408 29867 -2108 29909
+rect -2408 29749 -2317 29867
+rect -2199 29749 -2108 29867
+rect -2408 12027 -2108 29749
+rect -2408 11909 -2317 12027
+rect -2199 11909 -2108 12027
+rect -2408 11867 -2108 11909
+rect -2408 11749 -2317 11867
+rect -2199 11749 -2108 11867
+rect -2408 -1583 -2108 11749
+rect -1938 353359 -1638 353370
+rect -1938 353241 -1847 353359
+rect -1729 353241 -1638 353359
+rect -1938 353199 -1638 353241
+rect -1938 353081 -1847 353199
+rect -1729 353081 -1638 353199
+rect -1938 345027 -1638 353081
+rect 2202 353359 2502 353840
+rect 2202 353241 2293 353359
+rect 2411 353241 2502 353359
+rect 2202 353199 2502 353241
+rect 2202 353081 2293 353199
+rect 2411 353081 2502 353199
+rect -1938 344909 -1847 345027
+rect -1729 344909 -1638 345027
+rect -1938 344867 -1638 344909
+rect -1938 344749 -1847 344867
+rect -1729 344749 -1638 344867
+rect -1938 327027 -1638 344749
+rect -1938 326909 -1847 327027
+rect -1729 326909 -1638 327027
+rect -1938 326867 -1638 326909
+rect -1938 326749 -1847 326867
+rect -1729 326749 -1638 326867
+rect -1938 309027 -1638 326749
+rect -1938 308909 -1847 309027
+rect -1729 308909 -1638 309027
+rect -1938 308867 -1638 308909
+rect -1938 308749 -1847 308867
+rect -1729 308749 -1638 308867
+rect -1938 291027 -1638 308749
+rect -1938 290909 -1847 291027
+rect -1729 290909 -1638 291027
+rect -1938 290867 -1638 290909
+rect -1938 290749 -1847 290867
+rect -1729 290749 -1638 290867
+rect -1938 273027 -1638 290749
+rect -1938 272909 -1847 273027
+rect -1729 272909 -1638 273027
+rect -1938 272867 -1638 272909
+rect -1938 272749 -1847 272867
+rect -1729 272749 -1638 272867
+rect -1938 255027 -1638 272749
+rect -1938 254909 -1847 255027
+rect -1729 254909 -1638 255027
+rect -1938 254867 -1638 254909
+rect -1938 254749 -1847 254867
+rect -1729 254749 -1638 254867
+rect -1938 237027 -1638 254749
+rect -1938 236909 -1847 237027
+rect -1729 236909 -1638 237027
+rect -1938 236867 -1638 236909
+rect -1938 236749 -1847 236867
+rect -1729 236749 -1638 236867
+rect -1938 219027 -1638 236749
+rect -1938 218909 -1847 219027
+rect -1729 218909 -1638 219027
+rect -1938 218867 -1638 218909
+rect -1938 218749 -1847 218867
+rect -1729 218749 -1638 218867
+rect -1938 201027 -1638 218749
+rect -1938 200909 -1847 201027
+rect -1729 200909 -1638 201027
+rect -1938 200867 -1638 200909
+rect -1938 200749 -1847 200867
+rect -1729 200749 -1638 200867
+rect -1938 183027 -1638 200749
+rect -1938 182909 -1847 183027
+rect -1729 182909 -1638 183027
+rect -1938 182867 -1638 182909
+rect -1938 182749 -1847 182867
+rect -1729 182749 -1638 182867
+rect -1938 165027 -1638 182749
+rect -1938 164909 -1847 165027
+rect -1729 164909 -1638 165027
+rect -1938 164867 -1638 164909
+rect -1938 164749 -1847 164867
+rect -1729 164749 -1638 164867
+rect -1938 147027 -1638 164749
+rect -1938 146909 -1847 147027
+rect -1729 146909 -1638 147027
+rect -1938 146867 -1638 146909
+rect -1938 146749 -1847 146867
+rect -1729 146749 -1638 146867
+rect -1938 129027 -1638 146749
+rect -1938 128909 -1847 129027
+rect -1729 128909 -1638 129027
+rect -1938 128867 -1638 128909
+rect -1938 128749 -1847 128867
+rect -1729 128749 -1638 128867
+rect -1938 111027 -1638 128749
+rect -1938 110909 -1847 111027
+rect -1729 110909 -1638 111027
+rect -1938 110867 -1638 110909
+rect -1938 110749 -1847 110867
+rect -1729 110749 -1638 110867
+rect -1938 93027 -1638 110749
+rect -1938 92909 -1847 93027
+rect -1729 92909 -1638 93027
+rect -1938 92867 -1638 92909
+rect -1938 92749 -1847 92867
+rect -1729 92749 -1638 92867
+rect -1938 75027 -1638 92749
+rect -1938 74909 -1847 75027
+rect -1729 74909 -1638 75027
+rect -1938 74867 -1638 74909
+rect -1938 74749 -1847 74867
+rect -1729 74749 -1638 74867
+rect -1938 57027 -1638 74749
+rect -1938 56909 -1847 57027
+rect -1729 56909 -1638 57027
+rect -1938 56867 -1638 56909
+rect -1938 56749 -1847 56867
+rect -1729 56749 -1638 56867
+rect -1938 39027 -1638 56749
+rect -1938 38909 -1847 39027
+rect -1729 38909 -1638 39027
+rect -1938 38867 -1638 38909
+rect -1938 38749 -1847 38867
+rect -1729 38749 -1638 38867
+rect -1938 21027 -1638 38749
+rect -1938 20909 -1847 21027
+rect -1729 20909 -1638 21027
+rect -1938 20867 -1638 20909
+rect -1938 20749 -1847 20867
+rect -1729 20749 -1638 20867
+rect -1938 3027 -1638 20749
+rect -1938 2909 -1847 3027
+rect -1729 2909 -1638 3027
+rect -1938 2867 -1638 2909
+rect -1938 2749 -1847 2867
+rect -1729 2749 -1638 2867
+rect -1938 -1113 -1638 2749
+rect -1468 352889 -1168 352900
+rect -1468 352771 -1377 352889
+rect -1259 352771 -1168 352889
+rect -1468 352729 -1168 352771
+rect -1468 352611 -1377 352729
+rect -1259 352611 -1168 352729
+rect -1468 334227 -1168 352611
+rect -1468 334109 -1377 334227
+rect -1259 334109 -1168 334227
+rect -1468 334067 -1168 334109
+rect -1468 333949 -1377 334067
+rect -1259 333949 -1168 334067
+rect -1468 316227 -1168 333949
+rect -1468 316109 -1377 316227
+rect -1259 316109 -1168 316227
+rect -1468 316067 -1168 316109
+rect -1468 315949 -1377 316067
+rect -1259 315949 -1168 316067
+rect -1468 298227 -1168 315949
+rect -1468 298109 -1377 298227
+rect -1259 298109 -1168 298227
+rect -1468 298067 -1168 298109
+rect -1468 297949 -1377 298067
+rect -1259 297949 -1168 298067
+rect -1468 280227 -1168 297949
+rect -1468 280109 -1377 280227
+rect -1259 280109 -1168 280227
+rect -1468 280067 -1168 280109
+rect -1468 279949 -1377 280067
+rect -1259 279949 -1168 280067
+rect -1468 262227 -1168 279949
+rect -1468 262109 -1377 262227
+rect -1259 262109 -1168 262227
+rect -1468 262067 -1168 262109
+rect -1468 261949 -1377 262067
+rect -1259 261949 -1168 262067
+rect -1468 244227 -1168 261949
+rect -1468 244109 -1377 244227
+rect -1259 244109 -1168 244227
+rect -1468 244067 -1168 244109
+rect -1468 243949 -1377 244067
+rect -1259 243949 -1168 244067
+rect -1468 226227 -1168 243949
+rect -1468 226109 -1377 226227
+rect -1259 226109 -1168 226227
+rect -1468 226067 -1168 226109
+rect -1468 225949 -1377 226067
+rect -1259 225949 -1168 226067
+rect -1468 208227 -1168 225949
+rect -1468 208109 -1377 208227
+rect -1259 208109 -1168 208227
+rect -1468 208067 -1168 208109
+rect -1468 207949 -1377 208067
+rect -1259 207949 -1168 208067
+rect -1468 190227 -1168 207949
+rect -1468 190109 -1377 190227
+rect -1259 190109 -1168 190227
+rect -1468 190067 -1168 190109
+rect -1468 189949 -1377 190067
+rect -1259 189949 -1168 190067
+rect -1468 172227 -1168 189949
+rect -1468 172109 -1377 172227
+rect -1259 172109 -1168 172227
+rect -1468 172067 -1168 172109
+rect -1468 171949 -1377 172067
+rect -1259 171949 -1168 172067
+rect -1468 154227 -1168 171949
+rect -1468 154109 -1377 154227
+rect -1259 154109 -1168 154227
+rect -1468 154067 -1168 154109
+rect -1468 153949 -1377 154067
+rect -1259 153949 -1168 154067
+rect -1468 136227 -1168 153949
+rect -1468 136109 -1377 136227
+rect -1259 136109 -1168 136227
+rect -1468 136067 -1168 136109
+rect -1468 135949 -1377 136067
+rect -1259 135949 -1168 136067
+rect -1468 118227 -1168 135949
+rect -1468 118109 -1377 118227
+rect -1259 118109 -1168 118227
+rect -1468 118067 -1168 118109
+rect -1468 117949 -1377 118067
+rect -1259 117949 -1168 118067
+rect -1468 100227 -1168 117949
+rect -1468 100109 -1377 100227
+rect -1259 100109 -1168 100227
+rect -1468 100067 -1168 100109
+rect -1468 99949 -1377 100067
+rect -1259 99949 -1168 100067
+rect -1468 82227 -1168 99949
+rect -1468 82109 -1377 82227
+rect -1259 82109 -1168 82227
+rect -1468 82067 -1168 82109
+rect -1468 81949 -1377 82067
+rect -1259 81949 -1168 82067
+rect -1468 64227 -1168 81949
+rect -1468 64109 -1377 64227
+rect -1259 64109 -1168 64227
+rect -1468 64067 -1168 64109
+rect -1468 63949 -1377 64067
+rect -1259 63949 -1168 64067
+rect -1468 46227 -1168 63949
+rect -1468 46109 -1377 46227
+rect -1259 46109 -1168 46227
+rect -1468 46067 -1168 46109
+rect -1468 45949 -1377 46067
+rect -1259 45949 -1168 46067
+rect -1468 28227 -1168 45949
+rect -1468 28109 -1377 28227
+rect -1259 28109 -1168 28227
+rect -1468 28067 -1168 28109
+rect -1468 27949 -1377 28067
+rect -1259 27949 -1168 28067
+rect -1468 10227 -1168 27949
+rect -1468 10109 -1377 10227
+rect -1259 10109 -1168 10227
+rect -1468 10067 -1168 10109
+rect -1468 9949 -1377 10067
+rect -1259 9949 -1168 10067
+rect -1468 -643 -1168 9949
 rect -998 352419 -698 352430
 rect -998 352301 -907 352419
 rect -789 352301 -698 352419
@@ -1532,940 +1532,940 @@
 rect -998 352141 -907 352259
 rect -789 352141 -698 352259
 rect -998 343227 -698 352141
-rect 402 352419 702 352890
+rect 402 352419 702 352900
 rect 402 352301 493 352419
 rect 611 352301 702 352419
 rect 402 352259 702 352301
 rect 402 352141 493 352259
 rect 611 352141 702 352259
 rect 402 351760 702 352141
-rect 2202 351760 2502 353061
-rect 4002 351760 4302 353981
-rect 5802 351760 6102 354901
-rect 14802 355639 15102 355650
-rect 14802 355521 14893 355639
-rect 15011 355521 15102 355639
-rect 14802 355479 15102 355521
-rect 14802 355361 14893 355479
-rect 15011 355361 15102 355479
-rect 13002 354719 13302 354730
-rect 13002 354601 13093 354719
-rect 13211 354601 13302 354719
-rect 13002 354559 13302 354601
-rect 13002 354441 13093 354559
-rect 13211 354441 13302 354559
-rect 11202 353799 11502 353810
-rect 11202 353681 11293 353799
-rect 11411 353681 11502 353799
-rect 11202 353639 11502 353681
-rect 11202 353521 11293 353639
-rect 11411 353521 11502 353639
-rect 9402 352879 9702 352890
-rect 9402 352761 9493 352879
-rect 9611 352761 9702 352879
-rect 9402 352719 9702 352761
-rect 9402 352601 9493 352719
-rect 9611 352601 9702 352719
-rect 9402 351760 9702 352601
-rect 11202 351760 11502 353521
-rect 13002 351760 13302 354441
-rect 14802 351760 15102 355361
-rect 23802 355179 24102 355650
-rect 23802 355061 23893 355179
-rect 24011 355061 24102 355179
-rect 23802 355019 24102 355061
-rect 23802 354901 23893 355019
-rect 24011 354901 24102 355019
-rect 22002 354259 22302 354730
-rect 22002 354141 22093 354259
-rect 22211 354141 22302 354259
-rect 22002 354099 22302 354141
-rect 22002 353981 22093 354099
-rect 22211 353981 22302 354099
-rect 20202 353339 20502 353810
-rect 20202 353221 20293 353339
-rect 20411 353221 20502 353339
-rect 20202 353179 20502 353221
-rect 20202 353061 20293 353179
-rect 20411 353061 20502 353179
-rect 18402 352419 18702 352890
+rect 2202 351760 2502 353081
+rect 4002 351760 4302 354021
+rect 5802 351760 6102 354961
+rect 14802 355709 15102 355720
+rect 14802 355591 14893 355709
+rect 15011 355591 15102 355709
+rect 14802 355549 15102 355591
+rect 14802 355431 14893 355549
+rect 15011 355431 15102 355549
+rect 13002 354769 13302 354780
+rect 13002 354651 13093 354769
+rect 13211 354651 13302 354769
+rect 13002 354609 13302 354651
+rect 13002 354491 13093 354609
+rect 13211 354491 13302 354609
+rect 11202 353829 11502 353840
+rect 11202 353711 11293 353829
+rect 11411 353711 11502 353829
+rect 11202 353669 11502 353711
+rect 11202 353551 11293 353669
+rect 11411 353551 11502 353669
+rect 9402 352889 9702 352900
+rect 9402 352771 9493 352889
+rect 9611 352771 9702 352889
+rect 9402 352729 9702 352771
+rect 9402 352611 9493 352729
+rect 9611 352611 9702 352729
+rect 9402 351760 9702 352611
+rect 11202 351760 11502 353551
+rect 13002 351760 13302 354491
+rect 14802 351760 15102 355431
+rect 23802 355239 24102 355720
+rect 23802 355121 23893 355239
+rect 24011 355121 24102 355239
+rect 23802 355079 24102 355121
+rect 23802 354961 23893 355079
+rect 24011 354961 24102 355079
+rect 22002 354299 22302 354780
+rect 22002 354181 22093 354299
+rect 22211 354181 22302 354299
+rect 22002 354139 22302 354181
+rect 22002 354021 22093 354139
+rect 22211 354021 22302 354139
+rect 20202 353359 20502 353840
+rect 20202 353241 20293 353359
+rect 20411 353241 20502 353359
+rect 20202 353199 20502 353241
+rect 20202 353081 20293 353199
+rect 20411 353081 20502 353199
+rect 18402 352419 18702 352900
 rect 18402 352301 18493 352419
 rect 18611 352301 18702 352419
 rect 18402 352259 18702 352301
 rect 18402 352141 18493 352259
 rect 18611 352141 18702 352259
 rect 18402 351760 18702 352141
-rect 20202 351760 20502 353061
-rect 22002 351760 22302 353981
-rect 23802 351760 24102 354901
-rect 32802 355639 33102 355650
-rect 32802 355521 32893 355639
-rect 33011 355521 33102 355639
-rect 32802 355479 33102 355521
-rect 32802 355361 32893 355479
-rect 33011 355361 33102 355479
-rect 31002 354719 31302 354730
-rect 31002 354601 31093 354719
-rect 31211 354601 31302 354719
-rect 31002 354559 31302 354601
-rect 31002 354441 31093 354559
-rect 31211 354441 31302 354559
-rect 29202 353799 29502 353810
-rect 29202 353681 29293 353799
-rect 29411 353681 29502 353799
-rect 29202 353639 29502 353681
-rect 29202 353521 29293 353639
-rect 29411 353521 29502 353639
-rect 27402 352879 27702 352890
-rect 27402 352761 27493 352879
-rect 27611 352761 27702 352879
-rect 27402 352719 27702 352761
-rect 27402 352601 27493 352719
-rect 27611 352601 27702 352719
-rect 27402 351760 27702 352601
-rect 29202 351760 29502 353521
-rect 31002 351760 31302 354441
-rect 32802 351760 33102 355361
-rect 41802 355179 42102 355650
-rect 41802 355061 41893 355179
-rect 42011 355061 42102 355179
-rect 41802 355019 42102 355061
-rect 41802 354901 41893 355019
-rect 42011 354901 42102 355019
-rect 40002 354259 40302 354730
-rect 40002 354141 40093 354259
-rect 40211 354141 40302 354259
-rect 40002 354099 40302 354141
-rect 40002 353981 40093 354099
-rect 40211 353981 40302 354099
-rect 38202 353339 38502 353810
-rect 38202 353221 38293 353339
-rect 38411 353221 38502 353339
-rect 38202 353179 38502 353221
-rect 38202 353061 38293 353179
-rect 38411 353061 38502 353179
-rect 36402 352419 36702 352890
+rect 20202 351760 20502 353081
+rect 22002 351760 22302 354021
+rect 23802 351760 24102 354961
+rect 32802 355709 33102 355720
+rect 32802 355591 32893 355709
+rect 33011 355591 33102 355709
+rect 32802 355549 33102 355591
+rect 32802 355431 32893 355549
+rect 33011 355431 33102 355549
+rect 31002 354769 31302 354780
+rect 31002 354651 31093 354769
+rect 31211 354651 31302 354769
+rect 31002 354609 31302 354651
+rect 31002 354491 31093 354609
+rect 31211 354491 31302 354609
+rect 29202 353829 29502 353840
+rect 29202 353711 29293 353829
+rect 29411 353711 29502 353829
+rect 29202 353669 29502 353711
+rect 29202 353551 29293 353669
+rect 29411 353551 29502 353669
+rect 27402 352889 27702 352900
+rect 27402 352771 27493 352889
+rect 27611 352771 27702 352889
+rect 27402 352729 27702 352771
+rect 27402 352611 27493 352729
+rect 27611 352611 27702 352729
+rect 27402 351760 27702 352611
+rect 29202 351760 29502 353551
+rect 31002 351760 31302 354491
+rect 32802 351760 33102 355431
+rect 41802 355239 42102 355720
+rect 41802 355121 41893 355239
+rect 42011 355121 42102 355239
+rect 41802 355079 42102 355121
+rect 41802 354961 41893 355079
+rect 42011 354961 42102 355079
+rect 40002 354299 40302 354780
+rect 40002 354181 40093 354299
+rect 40211 354181 40302 354299
+rect 40002 354139 40302 354181
+rect 40002 354021 40093 354139
+rect 40211 354021 40302 354139
+rect 38202 353359 38502 353840
+rect 38202 353241 38293 353359
+rect 38411 353241 38502 353359
+rect 38202 353199 38502 353241
+rect 38202 353081 38293 353199
+rect 38411 353081 38502 353199
+rect 36402 352419 36702 352900
 rect 36402 352301 36493 352419
 rect 36611 352301 36702 352419
 rect 36402 352259 36702 352301
 rect 36402 352141 36493 352259
 rect 36611 352141 36702 352259
 rect 36402 351760 36702 352141
-rect 38202 351760 38502 353061
-rect 40002 351760 40302 353981
-rect 41802 351760 42102 354901
-rect 50802 355639 51102 355650
-rect 50802 355521 50893 355639
-rect 51011 355521 51102 355639
-rect 50802 355479 51102 355521
-rect 50802 355361 50893 355479
-rect 51011 355361 51102 355479
-rect 49002 354719 49302 354730
-rect 49002 354601 49093 354719
-rect 49211 354601 49302 354719
-rect 49002 354559 49302 354601
-rect 49002 354441 49093 354559
-rect 49211 354441 49302 354559
-rect 47202 353799 47502 353810
-rect 47202 353681 47293 353799
-rect 47411 353681 47502 353799
-rect 47202 353639 47502 353681
-rect 47202 353521 47293 353639
-rect 47411 353521 47502 353639
-rect 45402 352879 45702 352890
-rect 45402 352761 45493 352879
-rect 45611 352761 45702 352879
-rect 45402 352719 45702 352761
-rect 45402 352601 45493 352719
-rect 45611 352601 45702 352719
-rect 45402 351760 45702 352601
-rect 47202 351760 47502 353521
-rect 49002 351760 49302 354441
-rect 50802 351760 51102 355361
-rect 59802 355179 60102 355650
-rect 59802 355061 59893 355179
-rect 60011 355061 60102 355179
-rect 59802 355019 60102 355061
-rect 59802 354901 59893 355019
-rect 60011 354901 60102 355019
-rect 58002 354259 58302 354730
-rect 58002 354141 58093 354259
-rect 58211 354141 58302 354259
-rect 58002 354099 58302 354141
-rect 58002 353981 58093 354099
-rect 58211 353981 58302 354099
-rect 56202 353339 56502 353810
-rect 56202 353221 56293 353339
-rect 56411 353221 56502 353339
-rect 56202 353179 56502 353221
-rect 56202 353061 56293 353179
-rect 56411 353061 56502 353179
-rect 54402 352419 54702 352890
+rect 38202 351760 38502 353081
+rect 40002 351760 40302 354021
+rect 41802 351760 42102 354961
+rect 50802 355709 51102 355720
+rect 50802 355591 50893 355709
+rect 51011 355591 51102 355709
+rect 50802 355549 51102 355591
+rect 50802 355431 50893 355549
+rect 51011 355431 51102 355549
+rect 49002 354769 49302 354780
+rect 49002 354651 49093 354769
+rect 49211 354651 49302 354769
+rect 49002 354609 49302 354651
+rect 49002 354491 49093 354609
+rect 49211 354491 49302 354609
+rect 47202 353829 47502 353840
+rect 47202 353711 47293 353829
+rect 47411 353711 47502 353829
+rect 47202 353669 47502 353711
+rect 47202 353551 47293 353669
+rect 47411 353551 47502 353669
+rect 45402 352889 45702 352900
+rect 45402 352771 45493 352889
+rect 45611 352771 45702 352889
+rect 45402 352729 45702 352771
+rect 45402 352611 45493 352729
+rect 45611 352611 45702 352729
+rect 45402 351760 45702 352611
+rect 47202 351760 47502 353551
+rect 49002 351760 49302 354491
+rect 50802 351760 51102 355431
+rect 59802 355239 60102 355720
+rect 59802 355121 59893 355239
+rect 60011 355121 60102 355239
+rect 59802 355079 60102 355121
+rect 59802 354961 59893 355079
+rect 60011 354961 60102 355079
+rect 58002 354299 58302 354780
+rect 58002 354181 58093 354299
+rect 58211 354181 58302 354299
+rect 58002 354139 58302 354181
+rect 58002 354021 58093 354139
+rect 58211 354021 58302 354139
+rect 56202 353359 56502 353840
+rect 56202 353241 56293 353359
+rect 56411 353241 56502 353359
+rect 56202 353199 56502 353241
+rect 56202 353081 56293 353199
+rect 56411 353081 56502 353199
+rect 54402 352419 54702 352900
 rect 54402 352301 54493 352419
 rect 54611 352301 54702 352419
 rect 54402 352259 54702 352301
 rect 54402 352141 54493 352259
 rect 54611 352141 54702 352259
 rect 54402 351760 54702 352141
-rect 56202 351760 56502 353061
-rect 58002 351760 58302 353981
-rect 59802 351760 60102 354901
-rect 68802 355639 69102 355650
-rect 68802 355521 68893 355639
-rect 69011 355521 69102 355639
-rect 68802 355479 69102 355521
-rect 68802 355361 68893 355479
-rect 69011 355361 69102 355479
-rect 67002 354719 67302 354730
-rect 67002 354601 67093 354719
-rect 67211 354601 67302 354719
-rect 67002 354559 67302 354601
-rect 67002 354441 67093 354559
-rect 67211 354441 67302 354559
-rect 65202 353799 65502 353810
-rect 65202 353681 65293 353799
-rect 65411 353681 65502 353799
-rect 65202 353639 65502 353681
-rect 65202 353521 65293 353639
-rect 65411 353521 65502 353639
-rect 63402 352879 63702 352890
-rect 63402 352761 63493 352879
-rect 63611 352761 63702 352879
-rect 63402 352719 63702 352761
-rect 63402 352601 63493 352719
-rect 63611 352601 63702 352719
-rect 63402 351760 63702 352601
-rect 65202 351760 65502 353521
-rect 67002 351760 67302 354441
-rect 68802 351760 69102 355361
-rect 77802 355179 78102 355650
-rect 77802 355061 77893 355179
-rect 78011 355061 78102 355179
-rect 77802 355019 78102 355061
-rect 77802 354901 77893 355019
-rect 78011 354901 78102 355019
-rect 76002 354259 76302 354730
-rect 76002 354141 76093 354259
-rect 76211 354141 76302 354259
-rect 76002 354099 76302 354141
-rect 76002 353981 76093 354099
-rect 76211 353981 76302 354099
-rect 74202 353339 74502 353810
-rect 74202 353221 74293 353339
-rect 74411 353221 74502 353339
-rect 74202 353179 74502 353221
-rect 74202 353061 74293 353179
-rect 74411 353061 74502 353179
-rect 72402 352419 72702 352890
+rect 56202 351760 56502 353081
+rect 58002 351760 58302 354021
+rect 59802 351760 60102 354961
+rect 68802 355709 69102 355720
+rect 68802 355591 68893 355709
+rect 69011 355591 69102 355709
+rect 68802 355549 69102 355591
+rect 68802 355431 68893 355549
+rect 69011 355431 69102 355549
+rect 67002 354769 67302 354780
+rect 67002 354651 67093 354769
+rect 67211 354651 67302 354769
+rect 67002 354609 67302 354651
+rect 67002 354491 67093 354609
+rect 67211 354491 67302 354609
+rect 65202 353829 65502 353840
+rect 65202 353711 65293 353829
+rect 65411 353711 65502 353829
+rect 65202 353669 65502 353711
+rect 65202 353551 65293 353669
+rect 65411 353551 65502 353669
+rect 63402 352889 63702 352900
+rect 63402 352771 63493 352889
+rect 63611 352771 63702 352889
+rect 63402 352729 63702 352771
+rect 63402 352611 63493 352729
+rect 63611 352611 63702 352729
+rect 63402 351760 63702 352611
+rect 65202 351760 65502 353551
+rect 67002 351760 67302 354491
+rect 68802 351760 69102 355431
+rect 77802 355239 78102 355720
+rect 77802 355121 77893 355239
+rect 78011 355121 78102 355239
+rect 77802 355079 78102 355121
+rect 77802 354961 77893 355079
+rect 78011 354961 78102 355079
+rect 76002 354299 76302 354780
+rect 76002 354181 76093 354299
+rect 76211 354181 76302 354299
+rect 76002 354139 76302 354181
+rect 76002 354021 76093 354139
+rect 76211 354021 76302 354139
+rect 74202 353359 74502 353840
+rect 74202 353241 74293 353359
+rect 74411 353241 74502 353359
+rect 74202 353199 74502 353241
+rect 74202 353081 74293 353199
+rect 74411 353081 74502 353199
+rect 72402 352419 72702 352900
 rect 72402 352301 72493 352419
 rect 72611 352301 72702 352419
 rect 72402 352259 72702 352301
 rect 72402 352141 72493 352259
 rect 72611 352141 72702 352259
 rect 72402 351760 72702 352141
-rect 74202 351760 74502 353061
-rect 76002 351760 76302 353981
-rect 77802 351760 78102 354901
-rect 86802 355639 87102 355650
-rect 86802 355521 86893 355639
-rect 87011 355521 87102 355639
-rect 86802 355479 87102 355521
-rect 86802 355361 86893 355479
-rect 87011 355361 87102 355479
-rect 85002 354719 85302 354730
-rect 85002 354601 85093 354719
-rect 85211 354601 85302 354719
-rect 85002 354559 85302 354601
-rect 85002 354441 85093 354559
-rect 85211 354441 85302 354559
-rect 83202 353799 83502 353810
-rect 83202 353681 83293 353799
-rect 83411 353681 83502 353799
-rect 83202 353639 83502 353681
-rect 83202 353521 83293 353639
-rect 83411 353521 83502 353639
-rect 81402 352879 81702 352890
-rect 81402 352761 81493 352879
-rect 81611 352761 81702 352879
-rect 81402 352719 81702 352761
-rect 81402 352601 81493 352719
-rect 81611 352601 81702 352719
-rect 81402 351760 81702 352601
-rect 83202 351760 83502 353521
-rect 85002 351760 85302 354441
-rect 86802 351760 87102 355361
-rect 95802 355179 96102 355650
-rect 95802 355061 95893 355179
-rect 96011 355061 96102 355179
-rect 95802 355019 96102 355061
-rect 95802 354901 95893 355019
-rect 96011 354901 96102 355019
-rect 94002 354259 94302 354730
-rect 94002 354141 94093 354259
-rect 94211 354141 94302 354259
-rect 94002 354099 94302 354141
-rect 94002 353981 94093 354099
-rect 94211 353981 94302 354099
-rect 92202 353339 92502 353810
-rect 92202 353221 92293 353339
-rect 92411 353221 92502 353339
-rect 92202 353179 92502 353221
-rect 92202 353061 92293 353179
-rect 92411 353061 92502 353179
-rect 90402 352419 90702 352890
+rect 74202 351760 74502 353081
+rect 76002 351760 76302 354021
+rect 77802 351760 78102 354961
+rect 86802 355709 87102 355720
+rect 86802 355591 86893 355709
+rect 87011 355591 87102 355709
+rect 86802 355549 87102 355591
+rect 86802 355431 86893 355549
+rect 87011 355431 87102 355549
+rect 85002 354769 85302 354780
+rect 85002 354651 85093 354769
+rect 85211 354651 85302 354769
+rect 85002 354609 85302 354651
+rect 85002 354491 85093 354609
+rect 85211 354491 85302 354609
+rect 83202 353829 83502 353840
+rect 83202 353711 83293 353829
+rect 83411 353711 83502 353829
+rect 83202 353669 83502 353711
+rect 83202 353551 83293 353669
+rect 83411 353551 83502 353669
+rect 81402 352889 81702 352900
+rect 81402 352771 81493 352889
+rect 81611 352771 81702 352889
+rect 81402 352729 81702 352771
+rect 81402 352611 81493 352729
+rect 81611 352611 81702 352729
+rect 81402 351760 81702 352611
+rect 83202 351760 83502 353551
+rect 85002 351760 85302 354491
+rect 86802 351760 87102 355431
+rect 95802 355239 96102 355720
+rect 95802 355121 95893 355239
+rect 96011 355121 96102 355239
+rect 95802 355079 96102 355121
+rect 95802 354961 95893 355079
+rect 96011 354961 96102 355079
+rect 94002 354299 94302 354780
+rect 94002 354181 94093 354299
+rect 94211 354181 94302 354299
+rect 94002 354139 94302 354181
+rect 94002 354021 94093 354139
+rect 94211 354021 94302 354139
+rect 92202 353359 92502 353840
+rect 92202 353241 92293 353359
+rect 92411 353241 92502 353359
+rect 92202 353199 92502 353241
+rect 92202 353081 92293 353199
+rect 92411 353081 92502 353199
+rect 90402 352419 90702 352900
 rect 90402 352301 90493 352419
 rect 90611 352301 90702 352419
 rect 90402 352259 90702 352301
 rect 90402 352141 90493 352259
 rect 90611 352141 90702 352259
 rect 90402 351760 90702 352141
-rect 92202 351760 92502 353061
-rect 94002 351760 94302 353981
-rect 95802 351760 96102 354901
-rect 104802 355639 105102 355650
-rect 104802 355521 104893 355639
-rect 105011 355521 105102 355639
-rect 104802 355479 105102 355521
-rect 104802 355361 104893 355479
-rect 105011 355361 105102 355479
-rect 103002 354719 103302 354730
-rect 103002 354601 103093 354719
-rect 103211 354601 103302 354719
-rect 103002 354559 103302 354601
-rect 103002 354441 103093 354559
-rect 103211 354441 103302 354559
-rect 101202 353799 101502 353810
-rect 101202 353681 101293 353799
-rect 101411 353681 101502 353799
-rect 101202 353639 101502 353681
-rect 101202 353521 101293 353639
-rect 101411 353521 101502 353639
-rect 99402 352879 99702 352890
-rect 99402 352761 99493 352879
-rect 99611 352761 99702 352879
-rect 99402 352719 99702 352761
-rect 99402 352601 99493 352719
-rect 99611 352601 99702 352719
-rect 99402 351760 99702 352601
-rect 101202 351760 101502 353521
-rect 103002 351760 103302 354441
-rect 104802 351760 105102 355361
-rect 113802 355179 114102 355650
-rect 113802 355061 113893 355179
-rect 114011 355061 114102 355179
-rect 113802 355019 114102 355061
-rect 113802 354901 113893 355019
-rect 114011 354901 114102 355019
-rect 112002 354259 112302 354730
-rect 112002 354141 112093 354259
-rect 112211 354141 112302 354259
-rect 112002 354099 112302 354141
-rect 112002 353981 112093 354099
-rect 112211 353981 112302 354099
-rect 110202 353339 110502 353810
-rect 110202 353221 110293 353339
-rect 110411 353221 110502 353339
-rect 110202 353179 110502 353221
-rect 110202 353061 110293 353179
-rect 110411 353061 110502 353179
-rect 108402 352419 108702 352890
+rect 92202 351760 92502 353081
+rect 94002 351760 94302 354021
+rect 95802 351760 96102 354961
+rect 104802 355709 105102 355720
+rect 104802 355591 104893 355709
+rect 105011 355591 105102 355709
+rect 104802 355549 105102 355591
+rect 104802 355431 104893 355549
+rect 105011 355431 105102 355549
+rect 103002 354769 103302 354780
+rect 103002 354651 103093 354769
+rect 103211 354651 103302 354769
+rect 103002 354609 103302 354651
+rect 103002 354491 103093 354609
+rect 103211 354491 103302 354609
+rect 101202 353829 101502 353840
+rect 101202 353711 101293 353829
+rect 101411 353711 101502 353829
+rect 101202 353669 101502 353711
+rect 101202 353551 101293 353669
+rect 101411 353551 101502 353669
+rect 99402 352889 99702 352900
+rect 99402 352771 99493 352889
+rect 99611 352771 99702 352889
+rect 99402 352729 99702 352771
+rect 99402 352611 99493 352729
+rect 99611 352611 99702 352729
+rect 99402 351760 99702 352611
+rect 101202 351760 101502 353551
+rect 103002 351760 103302 354491
+rect 104802 351760 105102 355431
+rect 113802 355239 114102 355720
+rect 113802 355121 113893 355239
+rect 114011 355121 114102 355239
+rect 113802 355079 114102 355121
+rect 113802 354961 113893 355079
+rect 114011 354961 114102 355079
+rect 112002 354299 112302 354780
+rect 112002 354181 112093 354299
+rect 112211 354181 112302 354299
+rect 112002 354139 112302 354181
+rect 112002 354021 112093 354139
+rect 112211 354021 112302 354139
+rect 110202 353359 110502 353840
+rect 110202 353241 110293 353359
+rect 110411 353241 110502 353359
+rect 110202 353199 110502 353241
+rect 110202 353081 110293 353199
+rect 110411 353081 110502 353199
+rect 108402 352419 108702 352900
 rect 108402 352301 108493 352419
 rect 108611 352301 108702 352419
 rect 108402 352259 108702 352301
 rect 108402 352141 108493 352259
 rect 108611 352141 108702 352259
 rect 108402 351760 108702 352141
-rect 110202 351760 110502 353061
-rect 112002 351760 112302 353981
-rect 113802 351760 114102 354901
-rect 122802 355639 123102 355650
-rect 122802 355521 122893 355639
-rect 123011 355521 123102 355639
-rect 122802 355479 123102 355521
-rect 122802 355361 122893 355479
-rect 123011 355361 123102 355479
-rect 121002 354719 121302 354730
-rect 121002 354601 121093 354719
-rect 121211 354601 121302 354719
-rect 121002 354559 121302 354601
-rect 121002 354441 121093 354559
-rect 121211 354441 121302 354559
-rect 119202 353799 119502 353810
-rect 119202 353681 119293 353799
-rect 119411 353681 119502 353799
-rect 119202 353639 119502 353681
-rect 119202 353521 119293 353639
-rect 119411 353521 119502 353639
-rect 117402 352879 117702 352890
-rect 117402 352761 117493 352879
-rect 117611 352761 117702 352879
-rect 117402 352719 117702 352761
-rect 117402 352601 117493 352719
-rect 117611 352601 117702 352719
-rect 117402 351760 117702 352601
-rect 119202 351760 119502 353521
-rect 121002 351760 121302 354441
-rect 122802 351760 123102 355361
-rect 131802 355179 132102 355650
-rect 131802 355061 131893 355179
-rect 132011 355061 132102 355179
-rect 131802 355019 132102 355061
-rect 131802 354901 131893 355019
-rect 132011 354901 132102 355019
-rect 130002 354259 130302 354730
-rect 130002 354141 130093 354259
-rect 130211 354141 130302 354259
-rect 130002 354099 130302 354141
-rect 130002 353981 130093 354099
-rect 130211 353981 130302 354099
-rect 128202 353339 128502 353810
-rect 128202 353221 128293 353339
-rect 128411 353221 128502 353339
-rect 128202 353179 128502 353221
-rect 128202 353061 128293 353179
-rect 128411 353061 128502 353179
-rect 126402 352419 126702 352890
+rect 110202 351760 110502 353081
+rect 112002 351760 112302 354021
+rect 113802 351760 114102 354961
+rect 122802 355709 123102 355720
+rect 122802 355591 122893 355709
+rect 123011 355591 123102 355709
+rect 122802 355549 123102 355591
+rect 122802 355431 122893 355549
+rect 123011 355431 123102 355549
+rect 121002 354769 121302 354780
+rect 121002 354651 121093 354769
+rect 121211 354651 121302 354769
+rect 121002 354609 121302 354651
+rect 121002 354491 121093 354609
+rect 121211 354491 121302 354609
+rect 119202 353829 119502 353840
+rect 119202 353711 119293 353829
+rect 119411 353711 119502 353829
+rect 119202 353669 119502 353711
+rect 119202 353551 119293 353669
+rect 119411 353551 119502 353669
+rect 117402 352889 117702 352900
+rect 117402 352771 117493 352889
+rect 117611 352771 117702 352889
+rect 117402 352729 117702 352771
+rect 117402 352611 117493 352729
+rect 117611 352611 117702 352729
+rect 117402 351760 117702 352611
+rect 119202 351760 119502 353551
+rect 121002 351760 121302 354491
+rect 122802 351760 123102 355431
+rect 131802 355239 132102 355720
+rect 131802 355121 131893 355239
+rect 132011 355121 132102 355239
+rect 131802 355079 132102 355121
+rect 131802 354961 131893 355079
+rect 132011 354961 132102 355079
+rect 130002 354299 130302 354780
+rect 130002 354181 130093 354299
+rect 130211 354181 130302 354299
+rect 130002 354139 130302 354181
+rect 130002 354021 130093 354139
+rect 130211 354021 130302 354139
+rect 128202 353359 128502 353840
+rect 128202 353241 128293 353359
+rect 128411 353241 128502 353359
+rect 128202 353199 128502 353241
+rect 128202 353081 128293 353199
+rect 128411 353081 128502 353199
+rect 126402 352419 126702 352900
 rect 126402 352301 126493 352419
 rect 126611 352301 126702 352419
 rect 126402 352259 126702 352301
 rect 126402 352141 126493 352259
 rect 126611 352141 126702 352259
 rect 126402 351760 126702 352141
-rect 128202 351760 128502 353061
-rect 130002 351760 130302 353981
-rect 131802 351760 132102 354901
-rect 140802 355639 141102 355650
-rect 140802 355521 140893 355639
-rect 141011 355521 141102 355639
-rect 140802 355479 141102 355521
-rect 140802 355361 140893 355479
-rect 141011 355361 141102 355479
-rect 139002 354719 139302 354730
-rect 139002 354601 139093 354719
-rect 139211 354601 139302 354719
-rect 139002 354559 139302 354601
-rect 139002 354441 139093 354559
-rect 139211 354441 139302 354559
-rect 137202 353799 137502 353810
-rect 137202 353681 137293 353799
-rect 137411 353681 137502 353799
-rect 137202 353639 137502 353681
-rect 137202 353521 137293 353639
-rect 137411 353521 137502 353639
-rect 135402 352879 135702 352890
-rect 135402 352761 135493 352879
-rect 135611 352761 135702 352879
-rect 135402 352719 135702 352761
-rect 135402 352601 135493 352719
-rect 135611 352601 135702 352719
-rect 135402 351760 135702 352601
-rect 137202 351760 137502 353521
-rect 139002 351760 139302 354441
-rect 140802 351760 141102 355361
-rect 149802 355179 150102 355650
-rect 149802 355061 149893 355179
-rect 150011 355061 150102 355179
-rect 149802 355019 150102 355061
-rect 149802 354901 149893 355019
-rect 150011 354901 150102 355019
-rect 148002 354259 148302 354730
-rect 148002 354141 148093 354259
-rect 148211 354141 148302 354259
-rect 148002 354099 148302 354141
-rect 148002 353981 148093 354099
-rect 148211 353981 148302 354099
-rect 146202 353339 146502 353810
-rect 146202 353221 146293 353339
-rect 146411 353221 146502 353339
-rect 146202 353179 146502 353221
-rect 146202 353061 146293 353179
-rect 146411 353061 146502 353179
-rect 144402 352419 144702 352890
+rect 128202 351760 128502 353081
+rect 130002 351760 130302 354021
+rect 131802 351760 132102 354961
+rect 140802 355709 141102 355720
+rect 140802 355591 140893 355709
+rect 141011 355591 141102 355709
+rect 140802 355549 141102 355591
+rect 140802 355431 140893 355549
+rect 141011 355431 141102 355549
+rect 139002 354769 139302 354780
+rect 139002 354651 139093 354769
+rect 139211 354651 139302 354769
+rect 139002 354609 139302 354651
+rect 139002 354491 139093 354609
+rect 139211 354491 139302 354609
+rect 137202 353829 137502 353840
+rect 137202 353711 137293 353829
+rect 137411 353711 137502 353829
+rect 137202 353669 137502 353711
+rect 137202 353551 137293 353669
+rect 137411 353551 137502 353669
+rect 135402 352889 135702 352900
+rect 135402 352771 135493 352889
+rect 135611 352771 135702 352889
+rect 135402 352729 135702 352771
+rect 135402 352611 135493 352729
+rect 135611 352611 135702 352729
+rect 135402 351760 135702 352611
+rect 137202 351760 137502 353551
+rect 139002 351760 139302 354491
+rect 140802 351760 141102 355431
+rect 149802 355239 150102 355720
+rect 149802 355121 149893 355239
+rect 150011 355121 150102 355239
+rect 149802 355079 150102 355121
+rect 149802 354961 149893 355079
+rect 150011 354961 150102 355079
+rect 148002 354299 148302 354780
+rect 148002 354181 148093 354299
+rect 148211 354181 148302 354299
+rect 148002 354139 148302 354181
+rect 148002 354021 148093 354139
+rect 148211 354021 148302 354139
+rect 146202 353359 146502 353840
+rect 146202 353241 146293 353359
+rect 146411 353241 146502 353359
+rect 146202 353199 146502 353241
+rect 146202 353081 146293 353199
+rect 146411 353081 146502 353199
+rect 144402 352419 144702 352900
 rect 144402 352301 144493 352419
 rect 144611 352301 144702 352419
 rect 144402 352259 144702 352301
 rect 144402 352141 144493 352259
 rect 144611 352141 144702 352259
 rect 144402 351760 144702 352141
-rect 146202 351760 146502 353061
-rect 148002 351760 148302 353981
-rect 149802 351760 150102 354901
-rect 158802 355639 159102 355650
-rect 158802 355521 158893 355639
-rect 159011 355521 159102 355639
-rect 158802 355479 159102 355521
-rect 158802 355361 158893 355479
-rect 159011 355361 159102 355479
-rect 157002 354719 157302 354730
-rect 157002 354601 157093 354719
-rect 157211 354601 157302 354719
-rect 157002 354559 157302 354601
-rect 157002 354441 157093 354559
-rect 157211 354441 157302 354559
-rect 155202 353799 155502 353810
-rect 155202 353681 155293 353799
-rect 155411 353681 155502 353799
-rect 155202 353639 155502 353681
-rect 155202 353521 155293 353639
-rect 155411 353521 155502 353639
-rect 153402 352879 153702 352890
-rect 153402 352761 153493 352879
-rect 153611 352761 153702 352879
-rect 153402 352719 153702 352761
-rect 153402 352601 153493 352719
-rect 153611 352601 153702 352719
-rect 153402 351760 153702 352601
-rect 155202 351760 155502 353521
-rect 157002 351760 157302 354441
-rect 158802 351760 159102 355361
-rect 167802 355179 168102 355650
-rect 167802 355061 167893 355179
-rect 168011 355061 168102 355179
-rect 167802 355019 168102 355061
-rect 167802 354901 167893 355019
-rect 168011 354901 168102 355019
-rect 166002 354259 166302 354730
-rect 166002 354141 166093 354259
-rect 166211 354141 166302 354259
-rect 166002 354099 166302 354141
-rect 166002 353981 166093 354099
-rect 166211 353981 166302 354099
-rect 164202 353339 164502 353810
-rect 164202 353221 164293 353339
-rect 164411 353221 164502 353339
-rect 164202 353179 164502 353221
-rect 164202 353061 164293 353179
-rect 164411 353061 164502 353179
-rect 162402 352419 162702 352890
+rect 146202 351760 146502 353081
+rect 148002 351760 148302 354021
+rect 149802 351760 150102 354961
+rect 158802 355709 159102 355720
+rect 158802 355591 158893 355709
+rect 159011 355591 159102 355709
+rect 158802 355549 159102 355591
+rect 158802 355431 158893 355549
+rect 159011 355431 159102 355549
+rect 157002 354769 157302 354780
+rect 157002 354651 157093 354769
+rect 157211 354651 157302 354769
+rect 157002 354609 157302 354651
+rect 157002 354491 157093 354609
+rect 157211 354491 157302 354609
+rect 155202 353829 155502 353840
+rect 155202 353711 155293 353829
+rect 155411 353711 155502 353829
+rect 155202 353669 155502 353711
+rect 155202 353551 155293 353669
+rect 155411 353551 155502 353669
+rect 153402 352889 153702 352900
+rect 153402 352771 153493 352889
+rect 153611 352771 153702 352889
+rect 153402 352729 153702 352771
+rect 153402 352611 153493 352729
+rect 153611 352611 153702 352729
+rect 153402 351760 153702 352611
+rect 155202 351760 155502 353551
+rect 157002 351760 157302 354491
+rect 158802 351760 159102 355431
+rect 167802 355239 168102 355720
+rect 167802 355121 167893 355239
+rect 168011 355121 168102 355239
+rect 167802 355079 168102 355121
+rect 167802 354961 167893 355079
+rect 168011 354961 168102 355079
+rect 166002 354299 166302 354780
+rect 166002 354181 166093 354299
+rect 166211 354181 166302 354299
+rect 166002 354139 166302 354181
+rect 166002 354021 166093 354139
+rect 166211 354021 166302 354139
+rect 164202 353359 164502 353840
+rect 164202 353241 164293 353359
+rect 164411 353241 164502 353359
+rect 164202 353199 164502 353241
+rect 164202 353081 164293 353199
+rect 164411 353081 164502 353199
+rect 162402 352419 162702 352900
 rect 162402 352301 162493 352419
 rect 162611 352301 162702 352419
 rect 162402 352259 162702 352301
 rect 162402 352141 162493 352259
 rect 162611 352141 162702 352259
 rect 162402 351760 162702 352141
-rect 164202 351760 164502 353061
-rect 166002 351760 166302 353981
-rect 167802 351760 168102 354901
-rect 176802 355639 177102 355650
-rect 176802 355521 176893 355639
-rect 177011 355521 177102 355639
-rect 176802 355479 177102 355521
-rect 176802 355361 176893 355479
-rect 177011 355361 177102 355479
-rect 175002 354719 175302 354730
-rect 175002 354601 175093 354719
-rect 175211 354601 175302 354719
-rect 175002 354559 175302 354601
-rect 175002 354441 175093 354559
-rect 175211 354441 175302 354559
-rect 173202 353799 173502 353810
-rect 173202 353681 173293 353799
-rect 173411 353681 173502 353799
-rect 173202 353639 173502 353681
-rect 173202 353521 173293 353639
-rect 173411 353521 173502 353639
-rect 171402 352879 171702 352890
-rect 171402 352761 171493 352879
-rect 171611 352761 171702 352879
-rect 171402 352719 171702 352761
-rect 171402 352601 171493 352719
-rect 171611 352601 171702 352719
-rect 171402 351760 171702 352601
-rect 173202 351760 173502 353521
-rect 175002 351760 175302 354441
-rect 176802 351760 177102 355361
-rect 185802 355179 186102 355650
-rect 185802 355061 185893 355179
-rect 186011 355061 186102 355179
-rect 185802 355019 186102 355061
-rect 185802 354901 185893 355019
-rect 186011 354901 186102 355019
-rect 184002 354259 184302 354730
-rect 184002 354141 184093 354259
-rect 184211 354141 184302 354259
-rect 184002 354099 184302 354141
-rect 184002 353981 184093 354099
-rect 184211 353981 184302 354099
-rect 182202 353339 182502 353810
-rect 182202 353221 182293 353339
-rect 182411 353221 182502 353339
-rect 182202 353179 182502 353221
-rect 182202 353061 182293 353179
-rect 182411 353061 182502 353179
-rect 180402 352419 180702 352890
+rect 164202 351760 164502 353081
+rect 166002 351760 166302 354021
+rect 167802 351760 168102 354961
+rect 176802 355709 177102 355720
+rect 176802 355591 176893 355709
+rect 177011 355591 177102 355709
+rect 176802 355549 177102 355591
+rect 176802 355431 176893 355549
+rect 177011 355431 177102 355549
+rect 175002 354769 175302 354780
+rect 175002 354651 175093 354769
+rect 175211 354651 175302 354769
+rect 175002 354609 175302 354651
+rect 175002 354491 175093 354609
+rect 175211 354491 175302 354609
+rect 173202 353829 173502 353840
+rect 173202 353711 173293 353829
+rect 173411 353711 173502 353829
+rect 173202 353669 173502 353711
+rect 173202 353551 173293 353669
+rect 173411 353551 173502 353669
+rect 171402 352889 171702 352900
+rect 171402 352771 171493 352889
+rect 171611 352771 171702 352889
+rect 171402 352729 171702 352771
+rect 171402 352611 171493 352729
+rect 171611 352611 171702 352729
+rect 171402 351760 171702 352611
+rect 173202 351760 173502 353551
+rect 175002 351760 175302 354491
+rect 176802 351760 177102 355431
+rect 185802 355239 186102 355720
+rect 185802 355121 185893 355239
+rect 186011 355121 186102 355239
+rect 185802 355079 186102 355121
+rect 185802 354961 185893 355079
+rect 186011 354961 186102 355079
+rect 184002 354299 184302 354780
+rect 184002 354181 184093 354299
+rect 184211 354181 184302 354299
+rect 184002 354139 184302 354181
+rect 184002 354021 184093 354139
+rect 184211 354021 184302 354139
+rect 182202 353359 182502 353840
+rect 182202 353241 182293 353359
+rect 182411 353241 182502 353359
+rect 182202 353199 182502 353241
+rect 182202 353081 182293 353199
+rect 182411 353081 182502 353199
+rect 180402 352419 180702 352900
 rect 180402 352301 180493 352419
 rect 180611 352301 180702 352419
 rect 180402 352259 180702 352301
 rect 180402 352141 180493 352259
 rect 180611 352141 180702 352259
 rect 180402 351760 180702 352141
-rect 182202 351760 182502 353061
-rect 184002 351760 184302 353981
-rect 185802 351760 186102 354901
-rect 194802 355639 195102 355650
-rect 194802 355521 194893 355639
-rect 195011 355521 195102 355639
-rect 194802 355479 195102 355521
-rect 194802 355361 194893 355479
-rect 195011 355361 195102 355479
-rect 193002 354719 193302 354730
-rect 193002 354601 193093 354719
-rect 193211 354601 193302 354719
-rect 193002 354559 193302 354601
-rect 193002 354441 193093 354559
-rect 193211 354441 193302 354559
-rect 191202 353799 191502 353810
-rect 191202 353681 191293 353799
-rect 191411 353681 191502 353799
-rect 191202 353639 191502 353681
-rect 191202 353521 191293 353639
-rect 191411 353521 191502 353639
-rect 189402 352879 189702 352890
-rect 189402 352761 189493 352879
-rect 189611 352761 189702 352879
-rect 189402 352719 189702 352761
-rect 189402 352601 189493 352719
-rect 189611 352601 189702 352719
-rect 189402 351760 189702 352601
-rect 191202 351760 191502 353521
-rect 193002 351760 193302 354441
-rect 194802 351760 195102 355361
-rect 203802 355179 204102 355650
-rect 203802 355061 203893 355179
-rect 204011 355061 204102 355179
-rect 203802 355019 204102 355061
-rect 203802 354901 203893 355019
-rect 204011 354901 204102 355019
-rect 202002 354259 202302 354730
-rect 202002 354141 202093 354259
-rect 202211 354141 202302 354259
-rect 202002 354099 202302 354141
-rect 202002 353981 202093 354099
-rect 202211 353981 202302 354099
-rect 200202 353339 200502 353810
-rect 200202 353221 200293 353339
-rect 200411 353221 200502 353339
-rect 200202 353179 200502 353221
-rect 200202 353061 200293 353179
-rect 200411 353061 200502 353179
-rect 198402 352419 198702 352890
+rect 182202 351760 182502 353081
+rect 184002 351760 184302 354021
+rect 185802 351760 186102 354961
+rect 194802 355709 195102 355720
+rect 194802 355591 194893 355709
+rect 195011 355591 195102 355709
+rect 194802 355549 195102 355591
+rect 194802 355431 194893 355549
+rect 195011 355431 195102 355549
+rect 193002 354769 193302 354780
+rect 193002 354651 193093 354769
+rect 193211 354651 193302 354769
+rect 193002 354609 193302 354651
+rect 193002 354491 193093 354609
+rect 193211 354491 193302 354609
+rect 191202 353829 191502 353840
+rect 191202 353711 191293 353829
+rect 191411 353711 191502 353829
+rect 191202 353669 191502 353711
+rect 191202 353551 191293 353669
+rect 191411 353551 191502 353669
+rect 189402 352889 189702 352900
+rect 189402 352771 189493 352889
+rect 189611 352771 189702 352889
+rect 189402 352729 189702 352771
+rect 189402 352611 189493 352729
+rect 189611 352611 189702 352729
+rect 189402 351760 189702 352611
+rect 191202 351760 191502 353551
+rect 193002 351760 193302 354491
+rect 194802 351760 195102 355431
+rect 203802 355239 204102 355720
+rect 203802 355121 203893 355239
+rect 204011 355121 204102 355239
+rect 203802 355079 204102 355121
+rect 203802 354961 203893 355079
+rect 204011 354961 204102 355079
+rect 202002 354299 202302 354780
+rect 202002 354181 202093 354299
+rect 202211 354181 202302 354299
+rect 202002 354139 202302 354181
+rect 202002 354021 202093 354139
+rect 202211 354021 202302 354139
+rect 200202 353359 200502 353840
+rect 200202 353241 200293 353359
+rect 200411 353241 200502 353359
+rect 200202 353199 200502 353241
+rect 200202 353081 200293 353199
+rect 200411 353081 200502 353199
+rect 198402 352419 198702 352900
 rect 198402 352301 198493 352419
 rect 198611 352301 198702 352419
 rect 198402 352259 198702 352301
 rect 198402 352141 198493 352259
 rect 198611 352141 198702 352259
 rect 198402 351760 198702 352141
-rect 200202 351760 200502 353061
-rect 202002 351760 202302 353981
-rect 203802 351760 204102 354901
-rect 212802 355639 213102 355650
-rect 212802 355521 212893 355639
-rect 213011 355521 213102 355639
-rect 212802 355479 213102 355521
-rect 212802 355361 212893 355479
-rect 213011 355361 213102 355479
-rect 211002 354719 211302 354730
-rect 211002 354601 211093 354719
-rect 211211 354601 211302 354719
-rect 211002 354559 211302 354601
-rect 211002 354441 211093 354559
-rect 211211 354441 211302 354559
-rect 209202 353799 209502 353810
-rect 209202 353681 209293 353799
-rect 209411 353681 209502 353799
-rect 209202 353639 209502 353681
-rect 209202 353521 209293 353639
-rect 209411 353521 209502 353639
-rect 207402 352879 207702 352890
-rect 207402 352761 207493 352879
-rect 207611 352761 207702 352879
-rect 207402 352719 207702 352761
-rect 207402 352601 207493 352719
-rect 207611 352601 207702 352719
-rect 207402 351760 207702 352601
-rect 209202 351760 209502 353521
-rect 211002 351760 211302 354441
-rect 212802 351760 213102 355361
-rect 221802 355179 222102 355650
-rect 221802 355061 221893 355179
-rect 222011 355061 222102 355179
-rect 221802 355019 222102 355061
-rect 221802 354901 221893 355019
-rect 222011 354901 222102 355019
-rect 220002 354259 220302 354730
-rect 220002 354141 220093 354259
-rect 220211 354141 220302 354259
-rect 220002 354099 220302 354141
-rect 220002 353981 220093 354099
-rect 220211 353981 220302 354099
-rect 218202 353339 218502 353810
-rect 218202 353221 218293 353339
-rect 218411 353221 218502 353339
-rect 218202 353179 218502 353221
-rect 218202 353061 218293 353179
-rect 218411 353061 218502 353179
-rect 216402 352419 216702 352890
+rect 200202 351760 200502 353081
+rect 202002 351760 202302 354021
+rect 203802 351760 204102 354961
+rect 212802 355709 213102 355720
+rect 212802 355591 212893 355709
+rect 213011 355591 213102 355709
+rect 212802 355549 213102 355591
+rect 212802 355431 212893 355549
+rect 213011 355431 213102 355549
+rect 211002 354769 211302 354780
+rect 211002 354651 211093 354769
+rect 211211 354651 211302 354769
+rect 211002 354609 211302 354651
+rect 211002 354491 211093 354609
+rect 211211 354491 211302 354609
+rect 209202 353829 209502 353840
+rect 209202 353711 209293 353829
+rect 209411 353711 209502 353829
+rect 209202 353669 209502 353711
+rect 209202 353551 209293 353669
+rect 209411 353551 209502 353669
+rect 207402 352889 207702 352900
+rect 207402 352771 207493 352889
+rect 207611 352771 207702 352889
+rect 207402 352729 207702 352771
+rect 207402 352611 207493 352729
+rect 207611 352611 207702 352729
+rect 207402 351760 207702 352611
+rect 209202 351760 209502 353551
+rect 211002 351760 211302 354491
+rect 212802 351760 213102 355431
+rect 221802 355239 222102 355720
+rect 221802 355121 221893 355239
+rect 222011 355121 222102 355239
+rect 221802 355079 222102 355121
+rect 221802 354961 221893 355079
+rect 222011 354961 222102 355079
+rect 220002 354299 220302 354780
+rect 220002 354181 220093 354299
+rect 220211 354181 220302 354299
+rect 220002 354139 220302 354181
+rect 220002 354021 220093 354139
+rect 220211 354021 220302 354139
+rect 218202 353359 218502 353840
+rect 218202 353241 218293 353359
+rect 218411 353241 218502 353359
+rect 218202 353199 218502 353241
+rect 218202 353081 218293 353199
+rect 218411 353081 218502 353199
+rect 216402 352419 216702 352900
 rect 216402 352301 216493 352419
 rect 216611 352301 216702 352419
 rect 216402 352259 216702 352301
 rect 216402 352141 216493 352259
 rect 216611 352141 216702 352259
 rect 216402 351760 216702 352141
-rect 218202 351760 218502 353061
-rect 220002 351760 220302 353981
-rect 221802 351760 222102 354901
-rect 230802 355639 231102 355650
-rect 230802 355521 230893 355639
-rect 231011 355521 231102 355639
-rect 230802 355479 231102 355521
-rect 230802 355361 230893 355479
-rect 231011 355361 231102 355479
-rect 229002 354719 229302 354730
-rect 229002 354601 229093 354719
-rect 229211 354601 229302 354719
-rect 229002 354559 229302 354601
-rect 229002 354441 229093 354559
-rect 229211 354441 229302 354559
-rect 227202 353799 227502 353810
-rect 227202 353681 227293 353799
-rect 227411 353681 227502 353799
-rect 227202 353639 227502 353681
-rect 227202 353521 227293 353639
-rect 227411 353521 227502 353639
-rect 225402 352879 225702 352890
-rect 225402 352761 225493 352879
-rect 225611 352761 225702 352879
-rect 225402 352719 225702 352761
-rect 225402 352601 225493 352719
-rect 225611 352601 225702 352719
-rect 225402 351760 225702 352601
-rect 227202 351760 227502 353521
-rect 229002 351760 229302 354441
-rect 230802 351760 231102 355361
-rect 239802 355179 240102 355650
-rect 239802 355061 239893 355179
-rect 240011 355061 240102 355179
-rect 239802 355019 240102 355061
-rect 239802 354901 239893 355019
-rect 240011 354901 240102 355019
-rect 238002 354259 238302 354730
-rect 238002 354141 238093 354259
-rect 238211 354141 238302 354259
-rect 238002 354099 238302 354141
-rect 238002 353981 238093 354099
-rect 238211 353981 238302 354099
-rect 236202 353339 236502 353810
-rect 236202 353221 236293 353339
-rect 236411 353221 236502 353339
-rect 236202 353179 236502 353221
-rect 236202 353061 236293 353179
-rect 236411 353061 236502 353179
-rect 234402 352419 234702 352890
+rect 218202 351760 218502 353081
+rect 220002 351760 220302 354021
+rect 221802 351760 222102 354961
+rect 230802 355709 231102 355720
+rect 230802 355591 230893 355709
+rect 231011 355591 231102 355709
+rect 230802 355549 231102 355591
+rect 230802 355431 230893 355549
+rect 231011 355431 231102 355549
+rect 229002 354769 229302 354780
+rect 229002 354651 229093 354769
+rect 229211 354651 229302 354769
+rect 229002 354609 229302 354651
+rect 229002 354491 229093 354609
+rect 229211 354491 229302 354609
+rect 227202 353829 227502 353840
+rect 227202 353711 227293 353829
+rect 227411 353711 227502 353829
+rect 227202 353669 227502 353711
+rect 227202 353551 227293 353669
+rect 227411 353551 227502 353669
+rect 225402 352889 225702 352900
+rect 225402 352771 225493 352889
+rect 225611 352771 225702 352889
+rect 225402 352729 225702 352771
+rect 225402 352611 225493 352729
+rect 225611 352611 225702 352729
+rect 225402 351760 225702 352611
+rect 227202 351760 227502 353551
+rect 229002 351760 229302 354491
+rect 230802 351760 231102 355431
+rect 239802 355239 240102 355720
+rect 239802 355121 239893 355239
+rect 240011 355121 240102 355239
+rect 239802 355079 240102 355121
+rect 239802 354961 239893 355079
+rect 240011 354961 240102 355079
+rect 238002 354299 238302 354780
+rect 238002 354181 238093 354299
+rect 238211 354181 238302 354299
+rect 238002 354139 238302 354181
+rect 238002 354021 238093 354139
+rect 238211 354021 238302 354139
+rect 236202 353359 236502 353840
+rect 236202 353241 236293 353359
+rect 236411 353241 236502 353359
+rect 236202 353199 236502 353241
+rect 236202 353081 236293 353199
+rect 236411 353081 236502 353199
+rect 234402 352419 234702 352900
 rect 234402 352301 234493 352419
 rect 234611 352301 234702 352419
 rect 234402 352259 234702 352301
 rect 234402 352141 234493 352259
 rect 234611 352141 234702 352259
 rect 234402 351760 234702 352141
-rect 236202 351760 236502 353061
-rect 238002 351760 238302 353981
-rect 239802 351760 240102 354901
-rect 248802 355639 249102 355650
-rect 248802 355521 248893 355639
-rect 249011 355521 249102 355639
-rect 248802 355479 249102 355521
-rect 248802 355361 248893 355479
-rect 249011 355361 249102 355479
-rect 247002 354719 247302 354730
-rect 247002 354601 247093 354719
-rect 247211 354601 247302 354719
-rect 247002 354559 247302 354601
-rect 247002 354441 247093 354559
-rect 247211 354441 247302 354559
-rect 245202 353799 245502 353810
-rect 245202 353681 245293 353799
-rect 245411 353681 245502 353799
-rect 245202 353639 245502 353681
-rect 245202 353521 245293 353639
-rect 245411 353521 245502 353639
-rect 243402 352879 243702 352890
-rect 243402 352761 243493 352879
-rect 243611 352761 243702 352879
-rect 243402 352719 243702 352761
-rect 243402 352601 243493 352719
-rect 243611 352601 243702 352719
-rect 243402 351760 243702 352601
-rect 245202 351760 245502 353521
-rect 247002 351760 247302 354441
-rect 248802 351760 249102 355361
-rect 257802 355179 258102 355650
-rect 257802 355061 257893 355179
-rect 258011 355061 258102 355179
-rect 257802 355019 258102 355061
-rect 257802 354901 257893 355019
-rect 258011 354901 258102 355019
-rect 256002 354259 256302 354730
-rect 256002 354141 256093 354259
-rect 256211 354141 256302 354259
-rect 256002 354099 256302 354141
-rect 256002 353981 256093 354099
-rect 256211 353981 256302 354099
-rect 254202 353339 254502 353810
-rect 254202 353221 254293 353339
-rect 254411 353221 254502 353339
-rect 254202 353179 254502 353221
-rect 254202 353061 254293 353179
-rect 254411 353061 254502 353179
-rect 252402 352419 252702 352890
+rect 236202 351760 236502 353081
+rect 238002 351760 238302 354021
+rect 239802 351760 240102 354961
+rect 248802 355709 249102 355720
+rect 248802 355591 248893 355709
+rect 249011 355591 249102 355709
+rect 248802 355549 249102 355591
+rect 248802 355431 248893 355549
+rect 249011 355431 249102 355549
+rect 247002 354769 247302 354780
+rect 247002 354651 247093 354769
+rect 247211 354651 247302 354769
+rect 247002 354609 247302 354651
+rect 247002 354491 247093 354609
+rect 247211 354491 247302 354609
+rect 245202 353829 245502 353840
+rect 245202 353711 245293 353829
+rect 245411 353711 245502 353829
+rect 245202 353669 245502 353711
+rect 245202 353551 245293 353669
+rect 245411 353551 245502 353669
+rect 243402 352889 243702 352900
+rect 243402 352771 243493 352889
+rect 243611 352771 243702 352889
+rect 243402 352729 243702 352771
+rect 243402 352611 243493 352729
+rect 243611 352611 243702 352729
+rect 243402 351760 243702 352611
+rect 245202 351760 245502 353551
+rect 247002 351760 247302 354491
+rect 248802 351760 249102 355431
+rect 257802 355239 258102 355720
+rect 257802 355121 257893 355239
+rect 258011 355121 258102 355239
+rect 257802 355079 258102 355121
+rect 257802 354961 257893 355079
+rect 258011 354961 258102 355079
+rect 256002 354299 256302 354780
+rect 256002 354181 256093 354299
+rect 256211 354181 256302 354299
+rect 256002 354139 256302 354181
+rect 256002 354021 256093 354139
+rect 256211 354021 256302 354139
+rect 254202 353359 254502 353840
+rect 254202 353241 254293 353359
+rect 254411 353241 254502 353359
+rect 254202 353199 254502 353241
+rect 254202 353081 254293 353199
+rect 254411 353081 254502 353199
+rect 252402 352419 252702 352900
 rect 252402 352301 252493 352419
 rect 252611 352301 252702 352419
 rect 252402 352259 252702 352301
 rect 252402 352141 252493 352259
 rect 252611 352141 252702 352259
 rect 252402 351760 252702 352141
-rect 254202 351760 254502 353061
-rect 256002 351760 256302 353981
-rect 257802 351760 258102 354901
-rect 266802 355639 267102 355650
-rect 266802 355521 266893 355639
-rect 267011 355521 267102 355639
-rect 266802 355479 267102 355521
-rect 266802 355361 266893 355479
-rect 267011 355361 267102 355479
-rect 265002 354719 265302 354730
-rect 265002 354601 265093 354719
-rect 265211 354601 265302 354719
-rect 265002 354559 265302 354601
-rect 265002 354441 265093 354559
-rect 265211 354441 265302 354559
-rect 263202 353799 263502 353810
-rect 263202 353681 263293 353799
-rect 263411 353681 263502 353799
-rect 263202 353639 263502 353681
-rect 263202 353521 263293 353639
-rect 263411 353521 263502 353639
-rect 261402 352879 261702 352890
-rect 261402 352761 261493 352879
-rect 261611 352761 261702 352879
-rect 261402 352719 261702 352761
-rect 261402 352601 261493 352719
-rect 261611 352601 261702 352719
-rect 261402 351760 261702 352601
-rect 263202 351760 263502 353521
-rect 265002 351760 265302 354441
-rect 266802 351760 267102 355361
-rect 275802 355179 276102 355650
-rect 275802 355061 275893 355179
-rect 276011 355061 276102 355179
-rect 275802 355019 276102 355061
-rect 275802 354901 275893 355019
-rect 276011 354901 276102 355019
-rect 274002 354259 274302 354730
-rect 274002 354141 274093 354259
-rect 274211 354141 274302 354259
-rect 274002 354099 274302 354141
-rect 274002 353981 274093 354099
-rect 274211 353981 274302 354099
-rect 272202 353339 272502 353810
-rect 272202 353221 272293 353339
-rect 272411 353221 272502 353339
-rect 272202 353179 272502 353221
-rect 272202 353061 272293 353179
-rect 272411 353061 272502 353179
-rect 270402 352419 270702 352890
+rect 254202 351760 254502 353081
+rect 256002 351760 256302 354021
+rect 257802 351760 258102 354961
+rect 266802 355709 267102 355720
+rect 266802 355591 266893 355709
+rect 267011 355591 267102 355709
+rect 266802 355549 267102 355591
+rect 266802 355431 266893 355549
+rect 267011 355431 267102 355549
+rect 265002 354769 265302 354780
+rect 265002 354651 265093 354769
+rect 265211 354651 265302 354769
+rect 265002 354609 265302 354651
+rect 265002 354491 265093 354609
+rect 265211 354491 265302 354609
+rect 263202 353829 263502 353840
+rect 263202 353711 263293 353829
+rect 263411 353711 263502 353829
+rect 263202 353669 263502 353711
+rect 263202 353551 263293 353669
+rect 263411 353551 263502 353669
+rect 261402 352889 261702 352900
+rect 261402 352771 261493 352889
+rect 261611 352771 261702 352889
+rect 261402 352729 261702 352771
+rect 261402 352611 261493 352729
+rect 261611 352611 261702 352729
+rect 261402 351760 261702 352611
+rect 263202 351760 263502 353551
+rect 265002 351760 265302 354491
+rect 266802 351760 267102 355431
+rect 275802 355239 276102 355720
+rect 275802 355121 275893 355239
+rect 276011 355121 276102 355239
+rect 275802 355079 276102 355121
+rect 275802 354961 275893 355079
+rect 276011 354961 276102 355079
+rect 274002 354299 274302 354780
+rect 274002 354181 274093 354299
+rect 274211 354181 274302 354299
+rect 274002 354139 274302 354181
+rect 274002 354021 274093 354139
+rect 274211 354021 274302 354139
+rect 272202 353359 272502 353840
+rect 272202 353241 272293 353359
+rect 272411 353241 272502 353359
+rect 272202 353199 272502 353241
+rect 272202 353081 272293 353199
+rect 272411 353081 272502 353199
+rect 270402 352419 270702 352900
 rect 270402 352301 270493 352419
 rect 270611 352301 270702 352419
 rect 270402 352259 270702 352301
 rect 270402 352141 270493 352259
 rect 270611 352141 270702 352259
 rect 270402 351760 270702 352141
-rect 272202 351760 272502 353061
-rect 274002 351760 274302 353981
-rect 275802 351760 276102 354901
-rect 284802 355639 285102 355650
-rect 284802 355521 284893 355639
-rect 285011 355521 285102 355639
-rect 284802 355479 285102 355521
-rect 284802 355361 284893 355479
-rect 285011 355361 285102 355479
-rect 283002 354719 283302 354730
-rect 283002 354601 283093 354719
-rect 283211 354601 283302 354719
-rect 283002 354559 283302 354601
-rect 283002 354441 283093 354559
-rect 283211 354441 283302 354559
-rect 281202 353799 281502 353810
-rect 281202 353681 281293 353799
-rect 281411 353681 281502 353799
-rect 281202 353639 281502 353681
-rect 281202 353521 281293 353639
-rect 281411 353521 281502 353639
-rect 279402 352879 279702 352890
-rect 279402 352761 279493 352879
-rect 279611 352761 279702 352879
-rect 279402 352719 279702 352761
-rect 279402 352601 279493 352719
-rect 279611 352601 279702 352719
-rect 279402 351760 279702 352601
-rect 281202 351760 281502 353521
-rect 283002 351760 283302 354441
-rect 284802 351760 285102 355361
-rect 295880 355639 296180 355650
-rect 295880 355521 295971 355639
-rect 296089 355521 296180 355639
-rect 295880 355479 296180 355521
-rect 295880 355361 295971 355479
-rect 296089 355361 296180 355479
-rect 295420 355179 295720 355190
-rect 295420 355061 295511 355179
-rect 295629 355061 295720 355179
-rect 295420 355019 295720 355061
-rect 295420 354901 295511 355019
-rect 295629 354901 295720 355019
-rect 294960 354719 295260 354730
-rect 294960 354601 295051 354719
-rect 295169 354601 295260 354719
-rect 294960 354559 295260 354601
-rect 294960 354441 295051 354559
-rect 295169 354441 295260 354559
-rect 294500 354259 294800 354270
-rect 294500 354141 294591 354259
-rect 294709 354141 294800 354259
-rect 294500 354099 294800 354141
-rect 294500 353981 294591 354099
-rect 294709 353981 294800 354099
-rect 290202 353339 290502 353810
-rect 294040 353799 294340 353810
-rect 294040 353681 294131 353799
-rect 294249 353681 294340 353799
-rect 294040 353639 294340 353681
-rect 294040 353521 294131 353639
-rect 294249 353521 294340 353639
-rect 290202 353221 290293 353339
-rect 290411 353221 290502 353339
-rect 290202 353179 290502 353221
-rect 290202 353061 290293 353179
-rect 290411 353061 290502 353179
-rect 288402 352419 288702 352890
+rect 272202 351760 272502 353081
+rect 274002 351760 274302 354021
+rect 275802 351760 276102 354961
+rect 284802 355709 285102 355720
+rect 284802 355591 284893 355709
+rect 285011 355591 285102 355709
+rect 284802 355549 285102 355591
+rect 284802 355431 284893 355549
+rect 285011 355431 285102 355549
+rect 283002 354769 283302 354780
+rect 283002 354651 283093 354769
+rect 283211 354651 283302 354769
+rect 283002 354609 283302 354651
+rect 283002 354491 283093 354609
+rect 283211 354491 283302 354609
+rect 281202 353829 281502 353840
+rect 281202 353711 281293 353829
+rect 281411 353711 281502 353829
+rect 281202 353669 281502 353711
+rect 281202 353551 281293 353669
+rect 281411 353551 281502 353669
+rect 279402 352889 279702 352900
+rect 279402 352771 279493 352889
+rect 279611 352771 279702 352889
+rect 279402 352729 279702 352771
+rect 279402 352611 279493 352729
+rect 279611 352611 279702 352729
+rect 279402 351760 279702 352611
+rect 281202 351760 281502 353551
+rect 283002 351760 283302 354491
+rect 284802 351760 285102 355431
+rect 295950 355709 296250 355720
+rect 295950 355591 296041 355709
+rect 296159 355591 296250 355709
+rect 295950 355549 296250 355591
+rect 295950 355431 296041 355549
+rect 296159 355431 296250 355549
+rect 295480 355239 295780 355250
+rect 295480 355121 295571 355239
+rect 295689 355121 295780 355239
+rect 295480 355079 295780 355121
+rect 295480 354961 295571 355079
+rect 295689 354961 295780 355079
+rect 295010 354769 295310 354780
+rect 295010 354651 295101 354769
+rect 295219 354651 295310 354769
+rect 295010 354609 295310 354651
+rect 295010 354491 295101 354609
+rect 295219 354491 295310 354609
+rect 294540 354299 294840 354310
+rect 294540 354181 294631 354299
+rect 294749 354181 294840 354299
+rect 294540 354139 294840 354181
+rect 294540 354021 294631 354139
+rect 294749 354021 294840 354139
+rect 290202 353359 290502 353840
+rect 294070 353829 294370 353840
+rect 294070 353711 294161 353829
+rect 294279 353711 294370 353829
+rect 294070 353669 294370 353711
+rect 294070 353551 294161 353669
+rect 294279 353551 294370 353669
+rect 290202 353241 290293 353359
+rect 290411 353241 290502 353359
+rect 290202 353199 290502 353241
+rect 290202 353081 290293 353199
+rect 290411 353081 290502 353199
+rect 288402 352419 288702 352900
 rect 288402 352301 288493 352419
 rect 288611 352301 288702 352419
 rect 288402 352259 288702 352301
 rect 288402 352141 288493 352259
 rect 288611 352141 288702 352259
 rect 288402 351760 288702 352141
-rect 290202 351760 290502 353061
-rect 293580 353339 293880 353350
-rect 293580 353221 293671 353339
-rect 293789 353221 293880 353339
-rect 293580 353179 293880 353221
-rect 293580 353061 293671 353179
-rect 293789 353061 293880 353179
-rect 293120 352879 293420 352890
-rect 293120 352761 293211 352879
-rect 293329 352761 293420 352879
-rect 293120 352719 293420 352761
-rect 293120 352601 293211 352719
-rect 293329 352601 293420 352719
+rect 290202 351760 290502 353081
+rect 293600 353359 293900 353370
+rect 293600 353241 293691 353359
+rect 293809 353241 293900 353359
+rect 293600 353199 293900 353241
+rect 293600 353081 293691 353199
+rect 293809 353081 293900 353199
+rect 293130 352889 293430 352900
+rect 293130 352771 293221 352889
+rect 293339 352771 293430 352889
+rect 293130 352729 293430 352771
+rect 293130 352611 293221 352729
+rect 293339 352611 293430 352729
 rect 292660 352419 292960 352430
 rect 292660 352301 292751 352419
 rect 292869 352301 292960 352419
@@ -2724,940 +2724,940 @@
 rect 402 -333 702 -291
 rect 402 -451 493 -333
 rect 611 -451 702 -333
-rect -1458 -751 -1367 -633
-rect -1249 -751 -1158 -633
-rect -1458 -793 -1158 -751
-rect -1458 -911 -1367 -793
-rect -1249 -911 -1158 -793
-rect -1458 -922 -1158 -911
-rect 402 -922 702 -451
-rect -1918 -1211 -1827 -1093
-rect -1709 -1211 -1618 -1093
-rect -1918 -1253 -1618 -1211
-rect -1918 -1371 -1827 -1253
-rect -1709 -1371 -1618 -1253
-rect -1918 -1382 -1618 -1371
-rect 2202 -1093 2502 240
-rect 2202 -1211 2293 -1093
-rect 2411 -1211 2502 -1093
-rect 2202 -1253 2502 -1211
-rect 2202 -1371 2293 -1253
-rect 2411 -1371 2502 -1253
-rect -2378 -1671 -2287 -1553
-rect -2169 -1671 -2078 -1553
-rect -2378 -1713 -2078 -1671
-rect -2378 -1831 -2287 -1713
-rect -2169 -1831 -2078 -1713
-rect -2378 -1842 -2078 -1831
-rect 2202 -1842 2502 -1371
-rect -2838 -2131 -2747 -2013
-rect -2629 -2131 -2538 -2013
-rect -2838 -2173 -2538 -2131
-rect -2838 -2291 -2747 -2173
-rect -2629 -2291 -2538 -2173
-rect -2838 -2302 -2538 -2291
-rect 4002 -2013 4302 240
-rect 4002 -2131 4093 -2013
-rect 4211 -2131 4302 -2013
-rect 4002 -2173 4302 -2131
-rect 4002 -2291 4093 -2173
-rect 4211 -2291 4302 -2173
-rect -3298 -2591 -3207 -2473
-rect -3089 -2591 -2998 -2473
-rect -3298 -2633 -2998 -2591
-rect -3298 -2751 -3207 -2633
-rect -3089 -2751 -2998 -2633
-rect -3298 -2762 -2998 -2751
-rect 4002 -2762 4302 -2291
-rect -3758 -3051 -3667 -2933
-rect -3549 -3051 -3458 -2933
-rect -3758 -3093 -3458 -3051
-rect -3758 -3211 -3667 -3093
-rect -3549 -3211 -3458 -3093
-rect -3758 -3222 -3458 -3211
-rect 5802 -2933 6102 240
-rect 9402 -633 9702 240
-rect 9402 -751 9493 -633
-rect 9611 -751 9702 -633
-rect 9402 -793 9702 -751
-rect 9402 -911 9493 -793
-rect 9611 -911 9702 -793
-rect 9402 -922 9702 -911
-rect 11202 -1553 11502 240
-rect 11202 -1671 11293 -1553
-rect 11411 -1671 11502 -1553
-rect 11202 -1713 11502 -1671
-rect 11202 -1831 11293 -1713
-rect 11411 -1831 11502 -1713
-rect 11202 -1842 11502 -1831
-rect 13002 -2473 13302 240
-rect 13002 -2591 13093 -2473
-rect 13211 -2591 13302 -2473
-rect 13002 -2633 13302 -2591
-rect 13002 -2751 13093 -2633
-rect 13211 -2751 13302 -2633
-rect 13002 -2762 13302 -2751
-rect 5802 -3051 5893 -2933
-rect 6011 -3051 6102 -2933
-rect 5802 -3093 6102 -3051
-rect 5802 -3211 5893 -3093
-rect 6011 -3211 6102 -3093
-rect -4218 -3511 -4127 -3393
-rect -4009 -3511 -3918 -3393
-rect -4218 -3553 -3918 -3511
-rect -4218 -3671 -4127 -3553
-rect -4009 -3671 -3918 -3553
-rect -4218 -3682 -3918 -3671
-rect 5802 -3682 6102 -3211
-rect 14802 -3393 15102 240
+rect -1468 -761 -1377 -643
+rect -1259 -761 -1168 -643
+rect -1468 -803 -1168 -761
+rect -1468 -921 -1377 -803
+rect -1259 -921 -1168 -803
+rect -1468 -932 -1168 -921
+rect 402 -932 702 -451
+rect -1938 -1231 -1847 -1113
+rect -1729 -1231 -1638 -1113
+rect -1938 -1273 -1638 -1231
+rect -1938 -1391 -1847 -1273
+rect -1729 -1391 -1638 -1273
+rect -1938 -1402 -1638 -1391
+rect 2202 -1113 2502 240
+rect 2202 -1231 2293 -1113
+rect 2411 -1231 2502 -1113
+rect 2202 -1273 2502 -1231
+rect 2202 -1391 2293 -1273
+rect 2411 -1391 2502 -1273
+rect -2408 -1701 -2317 -1583
+rect -2199 -1701 -2108 -1583
+rect -2408 -1743 -2108 -1701
+rect -2408 -1861 -2317 -1743
+rect -2199 -1861 -2108 -1743
+rect -2408 -1872 -2108 -1861
+rect 2202 -1872 2502 -1391
+rect -2878 -2171 -2787 -2053
+rect -2669 -2171 -2578 -2053
+rect -2878 -2213 -2578 -2171
+rect -2878 -2331 -2787 -2213
+rect -2669 -2331 -2578 -2213
+rect -2878 -2342 -2578 -2331
+rect 4002 -2053 4302 240
+rect 4002 -2171 4093 -2053
+rect 4211 -2171 4302 -2053
+rect 4002 -2213 4302 -2171
+rect 4002 -2331 4093 -2213
+rect 4211 -2331 4302 -2213
+rect -3348 -2641 -3257 -2523
+rect -3139 -2641 -3048 -2523
+rect -3348 -2683 -3048 -2641
+rect -3348 -2801 -3257 -2683
+rect -3139 -2801 -3048 -2683
+rect -3348 -2812 -3048 -2801
+rect 4002 -2812 4302 -2331
+rect -3818 -3111 -3727 -2993
+rect -3609 -3111 -3518 -2993
+rect -3818 -3153 -3518 -3111
+rect -3818 -3271 -3727 -3153
+rect -3609 -3271 -3518 -3153
+rect -3818 -3282 -3518 -3271
+rect 5802 -2993 6102 240
+rect 9402 -643 9702 240
+rect 9402 -761 9493 -643
+rect 9611 -761 9702 -643
+rect 9402 -803 9702 -761
+rect 9402 -921 9493 -803
+rect 9611 -921 9702 -803
+rect 9402 -932 9702 -921
+rect 11202 -1583 11502 240
+rect 11202 -1701 11293 -1583
+rect 11411 -1701 11502 -1583
+rect 11202 -1743 11502 -1701
+rect 11202 -1861 11293 -1743
+rect 11411 -1861 11502 -1743
+rect 11202 -1872 11502 -1861
+rect 13002 -2523 13302 240
+rect 13002 -2641 13093 -2523
+rect 13211 -2641 13302 -2523
+rect 13002 -2683 13302 -2641
+rect 13002 -2801 13093 -2683
+rect 13211 -2801 13302 -2683
+rect 13002 -2812 13302 -2801
+rect 5802 -3111 5893 -2993
+rect 6011 -3111 6102 -2993
+rect 5802 -3153 6102 -3111
+rect 5802 -3271 5893 -3153
+rect 6011 -3271 6102 -3153
+rect -4288 -3581 -4197 -3463
+rect -4079 -3581 -3988 -3463
+rect -4288 -3623 -3988 -3581
+rect -4288 -3741 -4197 -3623
+rect -4079 -3741 -3988 -3623
+rect -4288 -3752 -3988 -3741
+rect 5802 -3752 6102 -3271
+rect 14802 -3463 15102 240
 rect 18402 -173 18702 240
 rect 18402 -291 18493 -173
 rect 18611 -291 18702 -173
 rect 18402 -333 18702 -291
 rect 18402 -451 18493 -333
 rect 18611 -451 18702 -333
-rect 18402 -922 18702 -451
-rect 20202 -1093 20502 240
-rect 20202 -1211 20293 -1093
-rect 20411 -1211 20502 -1093
-rect 20202 -1253 20502 -1211
-rect 20202 -1371 20293 -1253
-rect 20411 -1371 20502 -1253
-rect 20202 -1842 20502 -1371
-rect 22002 -2013 22302 240
-rect 22002 -2131 22093 -2013
-rect 22211 -2131 22302 -2013
-rect 22002 -2173 22302 -2131
-rect 22002 -2291 22093 -2173
-rect 22211 -2291 22302 -2173
-rect 22002 -2762 22302 -2291
-rect 14802 -3511 14893 -3393
-rect 15011 -3511 15102 -3393
-rect 14802 -3553 15102 -3511
-rect 14802 -3671 14893 -3553
-rect 15011 -3671 15102 -3553
-rect 14802 -3682 15102 -3671
-rect 23802 -2933 24102 240
-rect 27402 -633 27702 240
-rect 27402 -751 27493 -633
-rect 27611 -751 27702 -633
-rect 27402 -793 27702 -751
-rect 27402 -911 27493 -793
-rect 27611 -911 27702 -793
-rect 27402 -922 27702 -911
-rect 29202 -1553 29502 240
-rect 29202 -1671 29293 -1553
-rect 29411 -1671 29502 -1553
-rect 29202 -1713 29502 -1671
-rect 29202 -1831 29293 -1713
-rect 29411 -1831 29502 -1713
-rect 29202 -1842 29502 -1831
-rect 31002 -2473 31302 240
-rect 31002 -2591 31093 -2473
-rect 31211 -2591 31302 -2473
-rect 31002 -2633 31302 -2591
-rect 31002 -2751 31093 -2633
-rect 31211 -2751 31302 -2633
-rect 31002 -2762 31302 -2751
-rect 23802 -3051 23893 -2933
-rect 24011 -3051 24102 -2933
-rect 23802 -3093 24102 -3051
-rect 23802 -3211 23893 -3093
-rect 24011 -3211 24102 -3093
-rect 23802 -3682 24102 -3211
-rect 32802 -3393 33102 240
+rect 18402 -932 18702 -451
+rect 20202 -1113 20502 240
+rect 20202 -1231 20293 -1113
+rect 20411 -1231 20502 -1113
+rect 20202 -1273 20502 -1231
+rect 20202 -1391 20293 -1273
+rect 20411 -1391 20502 -1273
+rect 20202 -1872 20502 -1391
+rect 22002 -2053 22302 240
+rect 22002 -2171 22093 -2053
+rect 22211 -2171 22302 -2053
+rect 22002 -2213 22302 -2171
+rect 22002 -2331 22093 -2213
+rect 22211 -2331 22302 -2213
+rect 22002 -2812 22302 -2331
+rect 14802 -3581 14893 -3463
+rect 15011 -3581 15102 -3463
+rect 14802 -3623 15102 -3581
+rect 14802 -3741 14893 -3623
+rect 15011 -3741 15102 -3623
+rect 14802 -3752 15102 -3741
+rect 23802 -2993 24102 240
+rect 27402 -643 27702 240
+rect 27402 -761 27493 -643
+rect 27611 -761 27702 -643
+rect 27402 -803 27702 -761
+rect 27402 -921 27493 -803
+rect 27611 -921 27702 -803
+rect 27402 -932 27702 -921
+rect 29202 -1583 29502 240
+rect 29202 -1701 29293 -1583
+rect 29411 -1701 29502 -1583
+rect 29202 -1743 29502 -1701
+rect 29202 -1861 29293 -1743
+rect 29411 -1861 29502 -1743
+rect 29202 -1872 29502 -1861
+rect 31002 -2523 31302 240
+rect 31002 -2641 31093 -2523
+rect 31211 -2641 31302 -2523
+rect 31002 -2683 31302 -2641
+rect 31002 -2801 31093 -2683
+rect 31211 -2801 31302 -2683
+rect 31002 -2812 31302 -2801
+rect 23802 -3111 23893 -2993
+rect 24011 -3111 24102 -2993
+rect 23802 -3153 24102 -3111
+rect 23802 -3271 23893 -3153
+rect 24011 -3271 24102 -3153
+rect 23802 -3752 24102 -3271
+rect 32802 -3463 33102 240
 rect 36402 -173 36702 240
 rect 36402 -291 36493 -173
 rect 36611 -291 36702 -173
 rect 36402 -333 36702 -291
 rect 36402 -451 36493 -333
 rect 36611 -451 36702 -333
-rect 36402 -922 36702 -451
-rect 38202 -1093 38502 240
-rect 38202 -1211 38293 -1093
-rect 38411 -1211 38502 -1093
-rect 38202 -1253 38502 -1211
-rect 38202 -1371 38293 -1253
-rect 38411 -1371 38502 -1253
-rect 38202 -1842 38502 -1371
-rect 40002 -2013 40302 240
-rect 40002 -2131 40093 -2013
-rect 40211 -2131 40302 -2013
-rect 40002 -2173 40302 -2131
-rect 40002 -2291 40093 -2173
-rect 40211 -2291 40302 -2173
-rect 40002 -2762 40302 -2291
-rect 32802 -3511 32893 -3393
-rect 33011 -3511 33102 -3393
-rect 32802 -3553 33102 -3511
-rect 32802 -3671 32893 -3553
-rect 33011 -3671 33102 -3553
-rect 32802 -3682 33102 -3671
-rect 41802 -2933 42102 240
-rect 45402 -633 45702 240
-rect 45402 -751 45493 -633
-rect 45611 -751 45702 -633
-rect 45402 -793 45702 -751
-rect 45402 -911 45493 -793
-rect 45611 -911 45702 -793
-rect 45402 -922 45702 -911
-rect 47202 -1553 47502 240
-rect 47202 -1671 47293 -1553
-rect 47411 -1671 47502 -1553
-rect 47202 -1713 47502 -1671
-rect 47202 -1831 47293 -1713
-rect 47411 -1831 47502 -1713
-rect 47202 -1842 47502 -1831
-rect 49002 -2473 49302 240
-rect 49002 -2591 49093 -2473
-rect 49211 -2591 49302 -2473
-rect 49002 -2633 49302 -2591
-rect 49002 -2751 49093 -2633
-rect 49211 -2751 49302 -2633
-rect 49002 -2762 49302 -2751
-rect 41802 -3051 41893 -2933
-rect 42011 -3051 42102 -2933
-rect 41802 -3093 42102 -3051
-rect 41802 -3211 41893 -3093
-rect 42011 -3211 42102 -3093
-rect 41802 -3682 42102 -3211
-rect 50802 -3393 51102 240
+rect 36402 -932 36702 -451
+rect 38202 -1113 38502 240
+rect 38202 -1231 38293 -1113
+rect 38411 -1231 38502 -1113
+rect 38202 -1273 38502 -1231
+rect 38202 -1391 38293 -1273
+rect 38411 -1391 38502 -1273
+rect 38202 -1872 38502 -1391
+rect 40002 -2053 40302 240
+rect 40002 -2171 40093 -2053
+rect 40211 -2171 40302 -2053
+rect 40002 -2213 40302 -2171
+rect 40002 -2331 40093 -2213
+rect 40211 -2331 40302 -2213
+rect 40002 -2812 40302 -2331
+rect 32802 -3581 32893 -3463
+rect 33011 -3581 33102 -3463
+rect 32802 -3623 33102 -3581
+rect 32802 -3741 32893 -3623
+rect 33011 -3741 33102 -3623
+rect 32802 -3752 33102 -3741
+rect 41802 -2993 42102 240
+rect 45402 -643 45702 240
+rect 45402 -761 45493 -643
+rect 45611 -761 45702 -643
+rect 45402 -803 45702 -761
+rect 45402 -921 45493 -803
+rect 45611 -921 45702 -803
+rect 45402 -932 45702 -921
+rect 47202 -1583 47502 240
+rect 47202 -1701 47293 -1583
+rect 47411 -1701 47502 -1583
+rect 47202 -1743 47502 -1701
+rect 47202 -1861 47293 -1743
+rect 47411 -1861 47502 -1743
+rect 47202 -1872 47502 -1861
+rect 49002 -2523 49302 240
+rect 49002 -2641 49093 -2523
+rect 49211 -2641 49302 -2523
+rect 49002 -2683 49302 -2641
+rect 49002 -2801 49093 -2683
+rect 49211 -2801 49302 -2683
+rect 49002 -2812 49302 -2801
+rect 41802 -3111 41893 -2993
+rect 42011 -3111 42102 -2993
+rect 41802 -3153 42102 -3111
+rect 41802 -3271 41893 -3153
+rect 42011 -3271 42102 -3153
+rect 41802 -3752 42102 -3271
+rect 50802 -3463 51102 240
 rect 54402 -173 54702 240
 rect 54402 -291 54493 -173
 rect 54611 -291 54702 -173
 rect 54402 -333 54702 -291
 rect 54402 -451 54493 -333
 rect 54611 -451 54702 -333
-rect 54402 -922 54702 -451
-rect 56202 -1093 56502 240
-rect 56202 -1211 56293 -1093
-rect 56411 -1211 56502 -1093
-rect 56202 -1253 56502 -1211
-rect 56202 -1371 56293 -1253
-rect 56411 -1371 56502 -1253
-rect 56202 -1842 56502 -1371
-rect 58002 -2013 58302 240
-rect 58002 -2131 58093 -2013
-rect 58211 -2131 58302 -2013
-rect 58002 -2173 58302 -2131
-rect 58002 -2291 58093 -2173
-rect 58211 -2291 58302 -2173
-rect 58002 -2762 58302 -2291
-rect 50802 -3511 50893 -3393
-rect 51011 -3511 51102 -3393
-rect 50802 -3553 51102 -3511
-rect 50802 -3671 50893 -3553
-rect 51011 -3671 51102 -3553
-rect 50802 -3682 51102 -3671
-rect 59802 -2933 60102 240
-rect 63402 -633 63702 240
-rect 63402 -751 63493 -633
-rect 63611 -751 63702 -633
-rect 63402 -793 63702 -751
-rect 63402 -911 63493 -793
-rect 63611 -911 63702 -793
-rect 63402 -922 63702 -911
-rect 65202 -1553 65502 240
-rect 65202 -1671 65293 -1553
-rect 65411 -1671 65502 -1553
-rect 65202 -1713 65502 -1671
-rect 65202 -1831 65293 -1713
-rect 65411 -1831 65502 -1713
-rect 65202 -1842 65502 -1831
-rect 67002 -2473 67302 240
-rect 67002 -2591 67093 -2473
-rect 67211 -2591 67302 -2473
-rect 67002 -2633 67302 -2591
-rect 67002 -2751 67093 -2633
-rect 67211 -2751 67302 -2633
-rect 67002 -2762 67302 -2751
-rect 59802 -3051 59893 -2933
-rect 60011 -3051 60102 -2933
-rect 59802 -3093 60102 -3051
-rect 59802 -3211 59893 -3093
-rect 60011 -3211 60102 -3093
-rect 59802 -3682 60102 -3211
-rect 68802 -3393 69102 240
+rect 54402 -932 54702 -451
+rect 56202 -1113 56502 240
+rect 56202 -1231 56293 -1113
+rect 56411 -1231 56502 -1113
+rect 56202 -1273 56502 -1231
+rect 56202 -1391 56293 -1273
+rect 56411 -1391 56502 -1273
+rect 56202 -1872 56502 -1391
+rect 58002 -2053 58302 240
+rect 58002 -2171 58093 -2053
+rect 58211 -2171 58302 -2053
+rect 58002 -2213 58302 -2171
+rect 58002 -2331 58093 -2213
+rect 58211 -2331 58302 -2213
+rect 58002 -2812 58302 -2331
+rect 50802 -3581 50893 -3463
+rect 51011 -3581 51102 -3463
+rect 50802 -3623 51102 -3581
+rect 50802 -3741 50893 -3623
+rect 51011 -3741 51102 -3623
+rect 50802 -3752 51102 -3741
+rect 59802 -2993 60102 240
+rect 63402 -643 63702 240
+rect 63402 -761 63493 -643
+rect 63611 -761 63702 -643
+rect 63402 -803 63702 -761
+rect 63402 -921 63493 -803
+rect 63611 -921 63702 -803
+rect 63402 -932 63702 -921
+rect 65202 -1583 65502 240
+rect 65202 -1701 65293 -1583
+rect 65411 -1701 65502 -1583
+rect 65202 -1743 65502 -1701
+rect 65202 -1861 65293 -1743
+rect 65411 -1861 65502 -1743
+rect 65202 -1872 65502 -1861
+rect 67002 -2523 67302 240
+rect 67002 -2641 67093 -2523
+rect 67211 -2641 67302 -2523
+rect 67002 -2683 67302 -2641
+rect 67002 -2801 67093 -2683
+rect 67211 -2801 67302 -2683
+rect 67002 -2812 67302 -2801
+rect 59802 -3111 59893 -2993
+rect 60011 -3111 60102 -2993
+rect 59802 -3153 60102 -3111
+rect 59802 -3271 59893 -3153
+rect 60011 -3271 60102 -3153
+rect 59802 -3752 60102 -3271
+rect 68802 -3463 69102 240
 rect 72402 -173 72702 240
 rect 72402 -291 72493 -173
 rect 72611 -291 72702 -173
 rect 72402 -333 72702 -291
 rect 72402 -451 72493 -333
 rect 72611 -451 72702 -333
-rect 72402 -922 72702 -451
-rect 74202 -1093 74502 240
-rect 74202 -1211 74293 -1093
-rect 74411 -1211 74502 -1093
-rect 74202 -1253 74502 -1211
-rect 74202 -1371 74293 -1253
-rect 74411 -1371 74502 -1253
-rect 74202 -1842 74502 -1371
-rect 76002 -2013 76302 240
-rect 76002 -2131 76093 -2013
-rect 76211 -2131 76302 -2013
-rect 76002 -2173 76302 -2131
-rect 76002 -2291 76093 -2173
-rect 76211 -2291 76302 -2173
-rect 76002 -2762 76302 -2291
-rect 68802 -3511 68893 -3393
-rect 69011 -3511 69102 -3393
-rect 68802 -3553 69102 -3511
-rect 68802 -3671 68893 -3553
-rect 69011 -3671 69102 -3553
-rect 68802 -3682 69102 -3671
-rect 77802 -2933 78102 240
-rect 81402 -633 81702 240
-rect 81402 -751 81493 -633
-rect 81611 -751 81702 -633
-rect 81402 -793 81702 -751
-rect 81402 -911 81493 -793
-rect 81611 -911 81702 -793
-rect 81402 -922 81702 -911
-rect 83202 -1553 83502 240
-rect 83202 -1671 83293 -1553
-rect 83411 -1671 83502 -1553
-rect 83202 -1713 83502 -1671
-rect 83202 -1831 83293 -1713
-rect 83411 -1831 83502 -1713
-rect 83202 -1842 83502 -1831
-rect 85002 -2473 85302 240
-rect 85002 -2591 85093 -2473
-rect 85211 -2591 85302 -2473
-rect 85002 -2633 85302 -2591
-rect 85002 -2751 85093 -2633
-rect 85211 -2751 85302 -2633
-rect 85002 -2762 85302 -2751
-rect 77802 -3051 77893 -2933
-rect 78011 -3051 78102 -2933
-rect 77802 -3093 78102 -3051
-rect 77802 -3211 77893 -3093
-rect 78011 -3211 78102 -3093
-rect 77802 -3682 78102 -3211
-rect 86802 -3393 87102 240
+rect 72402 -932 72702 -451
+rect 74202 -1113 74502 240
+rect 74202 -1231 74293 -1113
+rect 74411 -1231 74502 -1113
+rect 74202 -1273 74502 -1231
+rect 74202 -1391 74293 -1273
+rect 74411 -1391 74502 -1273
+rect 74202 -1872 74502 -1391
+rect 76002 -2053 76302 240
+rect 76002 -2171 76093 -2053
+rect 76211 -2171 76302 -2053
+rect 76002 -2213 76302 -2171
+rect 76002 -2331 76093 -2213
+rect 76211 -2331 76302 -2213
+rect 76002 -2812 76302 -2331
+rect 68802 -3581 68893 -3463
+rect 69011 -3581 69102 -3463
+rect 68802 -3623 69102 -3581
+rect 68802 -3741 68893 -3623
+rect 69011 -3741 69102 -3623
+rect 68802 -3752 69102 -3741
+rect 77802 -2993 78102 240
+rect 81402 -643 81702 240
+rect 81402 -761 81493 -643
+rect 81611 -761 81702 -643
+rect 81402 -803 81702 -761
+rect 81402 -921 81493 -803
+rect 81611 -921 81702 -803
+rect 81402 -932 81702 -921
+rect 83202 -1583 83502 240
+rect 83202 -1701 83293 -1583
+rect 83411 -1701 83502 -1583
+rect 83202 -1743 83502 -1701
+rect 83202 -1861 83293 -1743
+rect 83411 -1861 83502 -1743
+rect 83202 -1872 83502 -1861
+rect 85002 -2523 85302 240
+rect 85002 -2641 85093 -2523
+rect 85211 -2641 85302 -2523
+rect 85002 -2683 85302 -2641
+rect 85002 -2801 85093 -2683
+rect 85211 -2801 85302 -2683
+rect 85002 -2812 85302 -2801
+rect 77802 -3111 77893 -2993
+rect 78011 -3111 78102 -2993
+rect 77802 -3153 78102 -3111
+rect 77802 -3271 77893 -3153
+rect 78011 -3271 78102 -3153
+rect 77802 -3752 78102 -3271
+rect 86802 -3463 87102 240
 rect 90402 -173 90702 240
 rect 90402 -291 90493 -173
 rect 90611 -291 90702 -173
 rect 90402 -333 90702 -291
 rect 90402 -451 90493 -333
 rect 90611 -451 90702 -333
-rect 90402 -922 90702 -451
-rect 92202 -1093 92502 240
-rect 92202 -1211 92293 -1093
-rect 92411 -1211 92502 -1093
-rect 92202 -1253 92502 -1211
-rect 92202 -1371 92293 -1253
-rect 92411 -1371 92502 -1253
-rect 92202 -1842 92502 -1371
-rect 94002 -2013 94302 240
-rect 94002 -2131 94093 -2013
-rect 94211 -2131 94302 -2013
-rect 94002 -2173 94302 -2131
-rect 94002 -2291 94093 -2173
-rect 94211 -2291 94302 -2173
-rect 94002 -2762 94302 -2291
-rect 86802 -3511 86893 -3393
-rect 87011 -3511 87102 -3393
-rect 86802 -3553 87102 -3511
-rect 86802 -3671 86893 -3553
-rect 87011 -3671 87102 -3553
-rect 86802 -3682 87102 -3671
-rect 95802 -2933 96102 240
-rect 99402 -633 99702 240
-rect 99402 -751 99493 -633
-rect 99611 -751 99702 -633
-rect 99402 -793 99702 -751
-rect 99402 -911 99493 -793
-rect 99611 -911 99702 -793
-rect 99402 -922 99702 -911
-rect 101202 -1553 101502 240
-rect 101202 -1671 101293 -1553
-rect 101411 -1671 101502 -1553
-rect 101202 -1713 101502 -1671
-rect 101202 -1831 101293 -1713
-rect 101411 -1831 101502 -1713
-rect 101202 -1842 101502 -1831
-rect 103002 -2473 103302 240
-rect 103002 -2591 103093 -2473
-rect 103211 -2591 103302 -2473
-rect 103002 -2633 103302 -2591
-rect 103002 -2751 103093 -2633
-rect 103211 -2751 103302 -2633
-rect 103002 -2762 103302 -2751
-rect 95802 -3051 95893 -2933
-rect 96011 -3051 96102 -2933
-rect 95802 -3093 96102 -3051
-rect 95802 -3211 95893 -3093
-rect 96011 -3211 96102 -3093
-rect 95802 -3682 96102 -3211
-rect 104802 -3393 105102 240
+rect 90402 -932 90702 -451
+rect 92202 -1113 92502 240
+rect 92202 -1231 92293 -1113
+rect 92411 -1231 92502 -1113
+rect 92202 -1273 92502 -1231
+rect 92202 -1391 92293 -1273
+rect 92411 -1391 92502 -1273
+rect 92202 -1872 92502 -1391
+rect 94002 -2053 94302 240
+rect 94002 -2171 94093 -2053
+rect 94211 -2171 94302 -2053
+rect 94002 -2213 94302 -2171
+rect 94002 -2331 94093 -2213
+rect 94211 -2331 94302 -2213
+rect 94002 -2812 94302 -2331
+rect 86802 -3581 86893 -3463
+rect 87011 -3581 87102 -3463
+rect 86802 -3623 87102 -3581
+rect 86802 -3741 86893 -3623
+rect 87011 -3741 87102 -3623
+rect 86802 -3752 87102 -3741
+rect 95802 -2993 96102 240
+rect 99402 -643 99702 240
+rect 99402 -761 99493 -643
+rect 99611 -761 99702 -643
+rect 99402 -803 99702 -761
+rect 99402 -921 99493 -803
+rect 99611 -921 99702 -803
+rect 99402 -932 99702 -921
+rect 101202 -1583 101502 240
+rect 101202 -1701 101293 -1583
+rect 101411 -1701 101502 -1583
+rect 101202 -1743 101502 -1701
+rect 101202 -1861 101293 -1743
+rect 101411 -1861 101502 -1743
+rect 101202 -1872 101502 -1861
+rect 103002 -2523 103302 240
+rect 103002 -2641 103093 -2523
+rect 103211 -2641 103302 -2523
+rect 103002 -2683 103302 -2641
+rect 103002 -2801 103093 -2683
+rect 103211 -2801 103302 -2683
+rect 103002 -2812 103302 -2801
+rect 95802 -3111 95893 -2993
+rect 96011 -3111 96102 -2993
+rect 95802 -3153 96102 -3111
+rect 95802 -3271 95893 -3153
+rect 96011 -3271 96102 -3153
+rect 95802 -3752 96102 -3271
+rect 104802 -3463 105102 240
 rect 108402 -173 108702 240
 rect 108402 -291 108493 -173
 rect 108611 -291 108702 -173
 rect 108402 -333 108702 -291
 rect 108402 -451 108493 -333
 rect 108611 -451 108702 -333
-rect 108402 -922 108702 -451
-rect 110202 -1093 110502 240
-rect 110202 -1211 110293 -1093
-rect 110411 -1211 110502 -1093
-rect 110202 -1253 110502 -1211
-rect 110202 -1371 110293 -1253
-rect 110411 -1371 110502 -1253
-rect 110202 -1842 110502 -1371
-rect 112002 -2013 112302 240
-rect 112002 -2131 112093 -2013
-rect 112211 -2131 112302 -2013
-rect 112002 -2173 112302 -2131
-rect 112002 -2291 112093 -2173
-rect 112211 -2291 112302 -2173
-rect 112002 -2762 112302 -2291
-rect 104802 -3511 104893 -3393
-rect 105011 -3511 105102 -3393
-rect 104802 -3553 105102 -3511
-rect 104802 -3671 104893 -3553
-rect 105011 -3671 105102 -3553
-rect 104802 -3682 105102 -3671
-rect 113802 -2933 114102 240
-rect 117402 -633 117702 240
-rect 117402 -751 117493 -633
-rect 117611 -751 117702 -633
-rect 117402 -793 117702 -751
-rect 117402 -911 117493 -793
-rect 117611 -911 117702 -793
-rect 117402 -922 117702 -911
-rect 119202 -1553 119502 240
-rect 119202 -1671 119293 -1553
-rect 119411 -1671 119502 -1553
-rect 119202 -1713 119502 -1671
-rect 119202 -1831 119293 -1713
-rect 119411 -1831 119502 -1713
-rect 119202 -1842 119502 -1831
-rect 121002 -2473 121302 240
-rect 121002 -2591 121093 -2473
-rect 121211 -2591 121302 -2473
-rect 121002 -2633 121302 -2591
-rect 121002 -2751 121093 -2633
-rect 121211 -2751 121302 -2633
-rect 121002 -2762 121302 -2751
-rect 113802 -3051 113893 -2933
-rect 114011 -3051 114102 -2933
-rect 113802 -3093 114102 -3051
-rect 113802 -3211 113893 -3093
-rect 114011 -3211 114102 -3093
-rect 113802 -3682 114102 -3211
-rect 122802 -3393 123102 240
+rect 108402 -932 108702 -451
+rect 110202 -1113 110502 240
+rect 110202 -1231 110293 -1113
+rect 110411 -1231 110502 -1113
+rect 110202 -1273 110502 -1231
+rect 110202 -1391 110293 -1273
+rect 110411 -1391 110502 -1273
+rect 110202 -1872 110502 -1391
+rect 112002 -2053 112302 240
+rect 112002 -2171 112093 -2053
+rect 112211 -2171 112302 -2053
+rect 112002 -2213 112302 -2171
+rect 112002 -2331 112093 -2213
+rect 112211 -2331 112302 -2213
+rect 112002 -2812 112302 -2331
+rect 104802 -3581 104893 -3463
+rect 105011 -3581 105102 -3463
+rect 104802 -3623 105102 -3581
+rect 104802 -3741 104893 -3623
+rect 105011 -3741 105102 -3623
+rect 104802 -3752 105102 -3741
+rect 113802 -2993 114102 240
+rect 117402 -643 117702 240
+rect 117402 -761 117493 -643
+rect 117611 -761 117702 -643
+rect 117402 -803 117702 -761
+rect 117402 -921 117493 -803
+rect 117611 -921 117702 -803
+rect 117402 -932 117702 -921
+rect 119202 -1583 119502 240
+rect 119202 -1701 119293 -1583
+rect 119411 -1701 119502 -1583
+rect 119202 -1743 119502 -1701
+rect 119202 -1861 119293 -1743
+rect 119411 -1861 119502 -1743
+rect 119202 -1872 119502 -1861
+rect 121002 -2523 121302 240
+rect 121002 -2641 121093 -2523
+rect 121211 -2641 121302 -2523
+rect 121002 -2683 121302 -2641
+rect 121002 -2801 121093 -2683
+rect 121211 -2801 121302 -2683
+rect 121002 -2812 121302 -2801
+rect 113802 -3111 113893 -2993
+rect 114011 -3111 114102 -2993
+rect 113802 -3153 114102 -3111
+rect 113802 -3271 113893 -3153
+rect 114011 -3271 114102 -3153
+rect 113802 -3752 114102 -3271
+rect 122802 -3463 123102 240
 rect 126402 -173 126702 240
 rect 126402 -291 126493 -173
 rect 126611 -291 126702 -173
 rect 126402 -333 126702 -291
 rect 126402 -451 126493 -333
 rect 126611 -451 126702 -333
-rect 126402 -922 126702 -451
-rect 128202 -1093 128502 240
-rect 128202 -1211 128293 -1093
-rect 128411 -1211 128502 -1093
-rect 128202 -1253 128502 -1211
-rect 128202 -1371 128293 -1253
-rect 128411 -1371 128502 -1253
-rect 128202 -1842 128502 -1371
-rect 130002 -2013 130302 240
-rect 130002 -2131 130093 -2013
-rect 130211 -2131 130302 -2013
-rect 130002 -2173 130302 -2131
-rect 130002 -2291 130093 -2173
-rect 130211 -2291 130302 -2173
-rect 130002 -2762 130302 -2291
-rect 122802 -3511 122893 -3393
-rect 123011 -3511 123102 -3393
-rect 122802 -3553 123102 -3511
-rect 122802 -3671 122893 -3553
-rect 123011 -3671 123102 -3553
-rect 122802 -3682 123102 -3671
-rect 131802 -2933 132102 240
-rect 135402 -633 135702 240
-rect 135402 -751 135493 -633
-rect 135611 -751 135702 -633
-rect 135402 -793 135702 -751
-rect 135402 -911 135493 -793
-rect 135611 -911 135702 -793
-rect 135402 -922 135702 -911
-rect 137202 -1553 137502 240
-rect 137202 -1671 137293 -1553
-rect 137411 -1671 137502 -1553
-rect 137202 -1713 137502 -1671
-rect 137202 -1831 137293 -1713
-rect 137411 -1831 137502 -1713
-rect 137202 -1842 137502 -1831
-rect 139002 -2473 139302 240
-rect 139002 -2591 139093 -2473
-rect 139211 -2591 139302 -2473
-rect 139002 -2633 139302 -2591
-rect 139002 -2751 139093 -2633
-rect 139211 -2751 139302 -2633
-rect 139002 -2762 139302 -2751
-rect 131802 -3051 131893 -2933
-rect 132011 -3051 132102 -2933
-rect 131802 -3093 132102 -3051
-rect 131802 -3211 131893 -3093
-rect 132011 -3211 132102 -3093
-rect 131802 -3682 132102 -3211
-rect 140802 -3393 141102 240
+rect 126402 -932 126702 -451
+rect 128202 -1113 128502 240
+rect 128202 -1231 128293 -1113
+rect 128411 -1231 128502 -1113
+rect 128202 -1273 128502 -1231
+rect 128202 -1391 128293 -1273
+rect 128411 -1391 128502 -1273
+rect 128202 -1872 128502 -1391
+rect 130002 -2053 130302 240
+rect 130002 -2171 130093 -2053
+rect 130211 -2171 130302 -2053
+rect 130002 -2213 130302 -2171
+rect 130002 -2331 130093 -2213
+rect 130211 -2331 130302 -2213
+rect 130002 -2812 130302 -2331
+rect 122802 -3581 122893 -3463
+rect 123011 -3581 123102 -3463
+rect 122802 -3623 123102 -3581
+rect 122802 -3741 122893 -3623
+rect 123011 -3741 123102 -3623
+rect 122802 -3752 123102 -3741
+rect 131802 -2993 132102 240
+rect 135402 -643 135702 240
+rect 135402 -761 135493 -643
+rect 135611 -761 135702 -643
+rect 135402 -803 135702 -761
+rect 135402 -921 135493 -803
+rect 135611 -921 135702 -803
+rect 135402 -932 135702 -921
+rect 137202 -1583 137502 240
+rect 137202 -1701 137293 -1583
+rect 137411 -1701 137502 -1583
+rect 137202 -1743 137502 -1701
+rect 137202 -1861 137293 -1743
+rect 137411 -1861 137502 -1743
+rect 137202 -1872 137502 -1861
+rect 139002 -2523 139302 240
+rect 139002 -2641 139093 -2523
+rect 139211 -2641 139302 -2523
+rect 139002 -2683 139302 -2641
+rect 139002 -2801 139093 -2683
+rect 139211 -2801 139302 -2683
+rect 139002 -2812 139302 -2801
+rect 131802 -3111 131893 -2993
+rect 132011 -3111 132102 -2993
+rect 131802 -3153 132102 -3111
+rect 131802 -3271 131893 -3153
+rect 132011 -3271 132102 -3153
+rect 131802 -3752 132102 -3271
+rect 140802 -3463 141102 240
 rect 144402 -173 144702 240
 rect 144402 -291 144493 -173
 rect 144611 -291 144702 -173
 rect 144402 -333 144702 -291
 rect 144402 -451 144493 -333
 rect 144611 -451 144702 -333
-rect 144402 -922 144702 -451
-rect 146202 -1093 146502 240
-rect 146202 -1211 146293 -1093
-rect 146411 -1211 146502 -1093
-rect 146202 -1253 146502 -1211
-rect 146202 -1371 146293 -1253
-rect 146411 -1371 146502 -1253
-rect 146202 -1842 146502 -1371
-rect 148002 -2013 148302 240
-rect 148002 -2131 148093 -2013
-rect 148211 -2131 148302 -2013
-rect 148002 -2173 148302 -2131
-rect 148002 -2291 148093 -2173
-rect 148211 -2291 148302 -2173
-rect 148002 -2762 148302 -2291
-rect 140802 -3511 140893 -3393
-rect 141011 -3511 141102 -3393
-rect 140802 -3553 141102 -3511
-rect 140802 -3671 140893 -3553
-rect 141011 -3671 141102 -3553
-rect 140802 -3682 141102 -3671
-rect 149802 -2933 150102 240
-rect 153402 -633 153702 240
-rect 153402 -751 153493 -633
-rect 153611 -751 153702 -633
-rect 153402 -793 153702 -751
-rect 153402 -911 153493 -793
-rect 153611 -911 153702 -793
-rect 153402 -922 153702 -911
-rect 155202 -1553 155502 240
-rect 155202 -1671 155293 -1553
-rect 155411 -1671 155502 -1553
-rect 155202 -1713 155502 -1671
-rect 155202 -1831 155293 -1713
-rect 155411 -1831 155502 -1713
-rect 155202 -1842 155502 -1831
-rect 157002 -2473 157302 240
-rect 157002 -2591 157093 -2473
-rect 157211 -2591 157302 -2473
-rect 157002 -2633 157302 -2591
-rect 157002 -2751 157093 -2633
-rect 157211 -2751 157302 -2633
-rect 157002 -2762 157302 -2751
-rect 149802 -3051 149893 -2933
-rect 150011 -3051 150102 -2933
-rect 149802 -3093 150102 -3051
-rect 149802 -3211 149893 -3093
-rect 150011 -3211 150102 -3093
-rect 149802 -3682 150102 -3211
-rect 158802 -3393 159102 240
+rect 144402 -932 144702 -451
+rect 146202 -1113 146502 240
+rect 146202 -1231 146293 -1113
+rect 146411 -1231 146502 -1113
+rect 146202 -1273 146502 -1231
+rect 146202 -1391 146293 -1273
+rect 146411 -1391 146502 -1273
+rect 146202 -1872 146502 -1391
+rect 148002 -2053 148302 240
+rect 148002 -2171 148093 -2053
+rect 148211 -2171 148302 -2053
+rect 148002 -2213 148302 -2171
+rect 148002 -2331 148093 -2213
+rect 148211 -2331 148302 -2213
+rect 148002 -2812 148302 -2331
+rect 140802 -3581 140893 -3463
+rect 141011 -3581 141102 -3463
+rect 140802 -3623 141102 -3581
+rect 140802 -3741 140893 -3623
+rect 141011 -3741 141102 -3623
+rect 140802 -3752 141102 -3741
+rect 149802 -2993 150102 240
+rect 153402 -643 153702 240
+rect 153402 -761 153493 -643
+rect 153611 -761 153702 -643
+rect 153402 -803 153702 -761
+rect 153402 -921 153493 -803
+rect 153611 -921 153702 -803
+rect 153402 -932 153702 -921
+rect 155202 -1583 155502 240
+rect 155202 -1701 155293 -1583
+rect 155411 -1701 155502 -1583
+rect 155202 -1743 155502 -1701
+rect 155202 -1861 155293 -1743
+rect 155411 -1861 155502 -1743
+rect 155202 -1872 155502 -1861
+rect 157002 -2523 157302 240
+rect 157002 -2641 157093 -2523
+rect 157211 -2641 157302 -2523
+rect 157002 -2683 157302 -2641
+rect 157002 -2801 157093 -2683
+rect 157211 -2801 157302 -2683
+rect 157002 -2812 157302 -2801
+rect 149802 -3111 149893 -2993
+rect 150011 -3111 150102 -2993
+rect 149802 -3153 150102 -3111
+rect 149802 -3271 149893 -3153
+rect 150011 -3271 150102 -3153
+rect 149802 -3752 150102 -3271
+rect 158802 -3463 159102 240
 rect 162402 -173 162702 240
 rect 162402 -291 162493 -173
 rect 162611 -291 162702 -173
 rect 162402 -333 162702 -291
 rect 162402 -451 162493 -333
 rect 162611 -451 162702 -333
-rect 162402 -922 162702 -451
-rect 164202 -1093 164502 240
-rect 164202 -1211 164293 -1093
-rect 164411 -1211 164502 -1093
-rect 164202 -1253 164502 -1211
-rect 164202 -1371 164293 -1253
-rect 164411 -1371 164502 -1253
-rect 164202 -1842 164502 -1371
-rect 166002 -2013 166302 240
-rect 166002 -2131 166093 -2013
-rect 166211 -2131 166302 -2013
-rect 166002 -2173 166302 -2131
-rect 166002 -2291 166093 -2173
-rect 166211 -2291 166302 -2173
-rect 166002 -2762 166302 -2291
-rect 158802 -3511 158893 -3393
-rect 159011 -3511 159102 -3393
-rect 158802 -3553 159102 -3511
-rect 158802 -3671 158893 -3553
-rect 159011 -3671 159102 -3553
-rect 158802 -3682 159102 -3671
-rect 167802 -2933 168102 240
-rect 171402 -633 171702 240
-rect 171402 -751 171493 -633
-rect 171611 -751 171702 -633
-rect 171402 -793 171702 -751
-rect 171402 -911 171493 -793
-rect 171611 -911 171702 -793
-rect 171402 -922 171702 -911
-rect 173202 -1553 173502 240
-rect 173202 -1671 173293 -1553
-rect 173411 -1671 173502 -1553
-rect 173202 -1713 173502 -1671
-rect 173202 -1831 173293 -1713
-rect 173411 -1831 173502 -1713
-rect 173202 -1842 173502 -1831
-rect 175002 -2473 175302 240
-rect 175002 -2591 175093 -2473
-rect 175211 -2591 175302 -2473
-rect 175002 -2633 175302 -2591
-rect 175002 -2751 175093 -2633
-rect 175211 -2751 175302 -2633
-rect 175002 -2762 175302 -2751
-rect 167802 -3051 167893 -2933
-rect 168011 -3051 168102 -2933
-rect 167802 -3093 168102 -3051
-rect 167802 -3211 167893 -3093
-rect 168011 -3211 168102 -3093
-rect 167802 -3682 168102 -3211
-rect 176802 -3393 177102 240
+rect 162402 -932 162702 -451
+rect 164202 -1113 164502 240
+rect 164202 -1231 164293 -1113
+rect 164411 -1231 164502 -1113
+rect 164202 -1273 164502 -1231
+rect 164202 -1391 164293 -1273
+rect 164411 -1391 164502 -1273
+rect 164202 -1872 164502 -1391
+rect 166002 -2053 166302 240
+rect 166002 -2171 166093 -2053
+rect 166211 -2171 166302 -2053
+rect 166002 -2213 166302 -2171
+rect 166002 -2331 166093 -2213
+rect 166211 -2331 166302 -2213
+rect 166002 -2812 166302 -2331
+rect 158802 -3581 158893 -3463
+rect 159011 -3581 159102 -3463
+rect 158802 -3623 159102 -3581
+rect 158802 -3741 158893 -3623
+rect 159011 -3741 159102 -3623
+rect 158802 -3752 159102 -3741
+rect 167802 -2993 168102 240
+rect 171402 -643 171702 240
+rect 171402 -761 171493 -643
+rect 171611 -761 171702 -643
+rect 171402 -803 171702 -761
+rect 171402 -921 171493 -803
+rect 171611 -921 171702 -803
+rect 171402 -932 171702 -921
+rect 173202 -1583 173502 240
+rect 173202 -1701 173293 -1583
+rect 173411 -1701 173502 -1583
+rect 173202 -1743 173502 -1701
+rect 173202 -1861 173293 -1743
+rect 173411 -1861 173502 -1743
+rect 173202 -1872 173502 -1861
+rect 175002 -2523 175302 240
+rect 175002 -2641 175093 -2523
+rect 175211 -2641 175302 -2523
+rect 175002 -2683 175302 -2641
+rect 175002 -2801 175093 -2683
+rect 175211 -2801 175302 -2683
+rect 175002 -2812 175302 -2801
+rect 167802 -3111 167893 -2993
+rect 168011 -3111 168102 -2993
+rect 167802 -3153 168102 -3111
+rect 167802 -3271 167893 -3153
+rect 168011 -3271 168102 -3153
+rect 167802 -3752 168102 -3271
+rect 176802 -3463 177102 240
 rect 180402 -173 180702 240
 rect 180402 -291 180493 -173
 rect 180611 -291 180702 -173
 rect 180402 -333 180702 -291
 rect 180402 -451 180493 -333
 rect 180611 -451 180702 -333
-rect 180402 -922 180702 -451
-rect 182202 -1093 182502 240
-rect 182202 -1211 182293 -1093
-rect 182411 -1211 182502 -1093
-rect 182202 -1253 182502 -1211
-rect 182202 -1371 182293 -1253
-rect 182411 -1371 182502 -1253
-rect 182202 -1842 182502 -1371
-rect 184002 -2013 184302 240
-rect 184002 -2131 184093 -2013
-rect 184211 -2131 184302 -2013
-rect 184002 -2173 184302 -2131
-rect 184002 -2291 184093 -2173
-rect 184211 -2291 184302 -2173
-rect 184002 -2762 184302 -2291
-rect 176802 -3511 176893 -3393
-rect 177011 -3511 177102 -3393
-rect 176802 -3553 177102 -3511
-rect 176802 -3671 176893 -3553
-rect 177011 -3671 177102 -3553
-rect 176802 -3682 177102 -3671
-rect 185802 -2933 186102 240
-rect 189402 -633 189702 240
-rect 189402 -751 189493 -633
-rect 189611 -751 189702 -633
-rect 189402 -793 189702 -751
-rect 189402 -911 189493 -793
-rect 189611 -911 189702 -793
-rect 189402 -922 189702 -911
-rect 191202 -1553 191502 240
-rect 191202 -1671 191293 -1553
-rect 191411 -1671 191502 -1553
-rect 191202 -1713 191502 -1671
-rect 191202 -1831 191293 -1713
-rect 191411 -1831 191502 -1713
-rect 191202 -1842 191502 -1831
-rect 193002 -2473 193302 240
-rect 193002 -2591 193093 -2473
-rect 193211 -2591 193302 -2473
-rect 193002 -2633 193302 -2591
-rect 193002 -2751 193093 -2633
-rect 193211 -2751 193302 -2633
-rect 193002 -2762 193302 -2751
-rect 185802 -3051 185893 -2933
-rect 186011 -3051 186102 -2933
-rect 185802 -3093 186102 -3051
-rect 185802 -3211 185893 -3093
-rect 186011 -3211 186102 -3093
-rect 185802 -3682 186102 -3211
-rect 194802 -3393 195102 240
+rect 180402 -932 180702 -451
+rect 182202 -1113 182502 240
+rect 182202 -1231 182293 -1113
+rect 182411 -1231 182502 -1113
+rect 182202 -1273 182502 -1231
+rect 182202 -1391 182293 -1273
+rect 182411 -1391 182502 -1273
+rect 182202 -1872 182502 -1391
+rect 184002 -2053 184302 240
+rect 184002 -2171 184093 -2053
+rect 184211 -2171 184302 -2053
+rect 184002 -2213 184302 -2171
+rect 184002 -2331 184093 -2213
+rect 184211 -2331 184302 -2213
+rect 184002 -2812 184302 -2331
+rect 176802 -3581 176893 -3463
+rect 177011 -3581 177102 -3463
+rect 176802 -3623 177102 -3581
+rect 176802 -3741 176893 -3623
+rect 177011 -3741 177102 -3623
+rect 176802 -3752 177102 -3741
+rect 185802 -2993 186102 240
+rect 189402 -643 189702 240
+rect 189402 -761 189493 -643
+rect 189611 -761 189702 -643
+rect 189402 -803 189702 -761
+rect 189402 -921 189493 -803
+rect 189611 -921 189702 -803
+rect 189402 -932 189702 -921
+rect 191202 -1583 191502 240
+rect 191202 -1701 191293 -1583
+rect 191411 -1701 191502 -1583
+rect 191202 -1743 191502 -1701
+rect 191202 -1861 191293 -1743
+rect 191411 -1861 191502 -1743
+rect 191202 -1872 191502 -1861
+rect 193002 -2523 193302 240
+rect 193002 -2641 193093 -2523
+rect 193211 -2641 193302 -2523
+rect 193002 -2683 193302 -2641
+rect 193002 -2801 193093 -2683
+rect 193211 -2801 193302 -2683
+rect 193002 -2812 193302 -2801
+rect 185802 -3111 185893 -2993
+rect 186011 -3111 186102 -2993
+rect 185802 -3153 186102 -3111
+rect 185802 -3271 185893 -3153
+rect 186011 -3271 186102 -3153
+rect 185802 -3752 186102 -3271
+rect 194802 -3463 195102 240
 rect 198402 -173 198702 240
 rect 198402 -291 198493 -173
 rect 198611 -291 198702 -173
 rect 198402 -333 198702 -291
 rect 198402 -451 198493 -333
 rect 198611 -451 198702 -333
-rect 198402 -922 198702 -451
-rect 200202 -1093 200502 240
-rect 200202 -1211 200293 -1093
-rect 200411 -1211 200502 -1093
-rect 200202 -1253 200502 -1211
-rect 200202 -1371 200293 -1253
-rect 200411 -1371 200502 -1253
-rect 200202 -1842 200502 -1371
-rect 202002 -2013 202302 240
-rect 202002 -2131 202093 -2013
-rect 202211 -2131 202302 -2013
-rect 202002 -2173 202302 -2131
-rect 202002 -2291 202093 -2173
-rect 202211 -2291 202302 -2173
-rect 202002 -2762 202302 -2291
-rect 194802 -3511 194893 -3393
-rect 195011 -3511 195102 -3393
-rect 194802 -3553 195102 -3511
-rect 194802 -3671 194893 -3553
-rect 195011 -3671 195102 -3553
-rect 194802 -3682 195102 -3671
-rect 203802 -2933 204102 240
-rect 207402 -633 207702 240
-rect 207402 -751 207493 -633
-rect 207611 -751 207702 -633
-rect 207402 -793 207702 -751
-rect 207402 -911 207493 -793
-rect 207611 -911 207702 -793
-rect 207402 -922 207702 -911
-rect 209202 -1553 209502 240
-rect 209202 -1671 209293 -1553
-rect 209411 -1671 209502 -1553
-rect 209202 -1713 209502 -1671
-rect 209202 -1831 209293 -1713
-rect 209411 -1831 209502 -1713
-rect 209202 -1842 209502 -1831
-rect 211002 -2473 211302 240
-rect 211002 -2591 211093 -2473
-rect 211211 -2591 211302 -2473
-rect 211002 -2633 211302 -2591
-rect 211002 -2751 211093 -2633
-rect 211211 -2751 211302 -2633
-rect 211002 -2762 211302 -2751
-rect 203802 -3051 203893 -2933
-rect 204011 -3051 204102 -2933
-rect 203802 -3093 204102 -3051
-rect 203802 -3211 203893 -3093
-rect 204011 -3211 204102 -3093
-rect 203802 -3682 204102 -3211
-rect 212802 -3393 213102 240
+rect 198402 -932 198702 -451
+rect 200202 -1113 200502 240
+rect 200202 -1231 200293 -1113
+rect 200411 -1231 200502 -1113
+rect 200202 -1273 200502 -1231
+rect 200202 -1391 200293 -1273
+rect 200411 -1391 200502 -1273
+rect 200202 -1872 200502 -1391
+rect 202002 -2053 202302 240
+rect 202002 -2171 202093 -2053
+rect 202211 -2171 202302 -2053
+rect 202002 -2213 202302 -2171
+rect 202002 -2331 202093 -2213
+rect 202211 -2331 202302 -2213
+rect 202002 -2812 202302 -2331
+rect 194802 -3581 194893 -3463
+rect 195011 -3581 195102 -3463
+rect 194802 -3623 195102 -3581
+rect 194802 -3741 194893 -3623
+rect 195011 -3741 195102 -3623
+rect 194802 -3752 195102 -3741
+rect 203802 -2993 204102 240
+rect 207402 -643 207702 240
+rect 207402 -761 207493 -643
+rect 207611 -761 207702 -643
+rect 207402 -803 207702 -761
+rect 207402 -921 207493 -803
+rect 207611 -921 207702 -803
+rect 207402 -932 207702 -921
+rect 209202 -1583 209502 240
+rect 209202 -1701 209293 -1583
+rect 209411 -1701 209502 -1583
+rect 209202 -1743 209502 -1701
+rect 209202 -1861 209293 -1743
+rect 209411 -1861 209502 -1743
+rect 209202 -1872 209502 -1861
+rect 211002 -2523 211302 240
+rect 211002 -2641 211093 -2523
+rect 211211 -2641 211302 -2523
+rect 211002 -2683 211302 -2641
+rect 211002 -2801 211093 -2683
+rect 211211 -2801 211302 -2683
+rect 211002 -2812 211302 -2801
+rect 203802 -3111 203893 -2993
+rect 204011 -3111 204102 -2993
+rect 203802 -3153 204102 -3111
+rect 203802 -3271 203893 -3153
+rect 204011 -3271 204102 -3153
+rect 203802 -3752 204102 -3271
+rect 212802 -3463 213102 240
 rect 216402 -173 216702 240
 rect 216402 -291 216493 -173
 rect 216611 -291 216702 -173
 rect 216402 -333 216702 -291
 rect 216402 -451 216493 -333
 rect 216611 -451 216702 -333
-rect 216402 -922 216702 -451
-rect 218202 -1093 218502 240
-rect 218202 -1211 218293 -1093
-rect 218411 -1211 218502 -1093
-rect 218202 -1253 218502 -1211
-rect 218202 -1371 218293 -1253
-rect 218411 -1371 218502 -1253
-rect 218202 -1842 218502 -1371
-rect 220002 -2013 220302 240
-rect 220002 -2131 220093 -2013
-rect 220211 -2131 220302 -2013
-rect 220002 -2173 220302 -2131
-rect 220002 -2291 220093 -2173
-rect 220211 -2291 220302 -2173
-rect 220002 -2762 220302 -2291
-rect 212802 -3511 212893 -3393
-rect 213011 -3511 213102 -3393
-rect 212802 -3553 213102 -3511
-rect 212802 -3671 212893 -3553
-rect 213011 -3671 213102 -3553
-rect 212802 -3682 213102 -3671
-rect 221802 -2933 222102 240
-rect 225402 -633 225702 240
-rect 225402 -751 225493 -633
-rect 225611 -751 225702 -633
-rect 225402 -793 225702 -751
-rect 225402 -911 225493 -793
-rect 225611 -911 225702 -793
-rect 225402 -922 225702 -911
-rect 227202 -1553 227502 240
-rect 227202 -1671 227293 -1553
-rect 227411 -1671 227502 -1553
-rect 227202 -1713 227502 -1671
-rect 227202 -1831 227293 -1713
-rect 227411 -1831 227502 -1713
-rect 227202 -1842 227502 -1831
-rect 229002 -2473 229302 240
-rect 229002 -2591 229093 -2473
-rect 229211 -2591 229302 -2473
-rect 229002 -2633 229302 -2591
-rect 229002 -2751 229093 -2633
-rect 229211 -2751 229302 -2633
-rect 229002 -2762 229302 -2751
-rect 221802 -3051 221893 -2933
-rect 222011 -3051 222102 -2933
-rect 221802 -3093 222102 -3051
-rect 221802 -3211 221893 -3093
-rect 222011 -3211 222102 -3093
-rect 221802 -3682 222102 -3211
-rect 230802 -3393 231102 240
+rect 216402 -932 216702 -451
+rect 218202 -1113 218502 240
+rect 218202 -1231 218293 -1113
+rect 218411 -1231 218502 -1113
+rect 218202 -1273 218502 -1231
+rect 218202 -1391 218293 -1273
+rect 218411 -1391 218502 -1273
+rect 218202 -1872 218502 -1391
+rect 220002 -2053 220302 240
+rect 220002 -2171 220093 -2053
+rect 220211 -2171 220302 -2053
+rect 220002 -2213 220302 -2171
+rect 220002 -2331 220093 -2213
+rect 220211 -2331 220302 -2213
+rect 220002 -2812 220302 -2331
+rect 212802 -3581 212893 -3463
+rect 213011 -3581 213102 -3463
+rect 212802 -3623 213102 -3581
+rect 212802 -3741 212893 -3623
+rect 213011 -3741 213102 -3623
+rect 212802 -3752 213102 -3741
+rect 221802 -2993 222102 240
+rect 225402 -643 225702 240
+rect 225402 -761 225493 -643
+rect 225611 -761 225702 -643
+rect 225402 -803 225702 -761
+rect 225402 -921 225493 -803
+rect 225611 -921 225702 -803
+rect 225402 -932 225702 -921
+rect 227202 -1583 227502 240
+rect 227202 -1701 227293 -1583
+rect 227411 -1701 227502 -1583
+rect 227202 -1743 227502 -1701
+rect 227202 -1861 227293 -1743
+rect 227411 -1861 227502 -1743
+rect 227202 -1872 227502 -1861
+rect 229002 -2523 229302 240
+rect 229002 -2641 229093 -2523
+rect 229211 -2641 229302 -2523
+rect 229002 -2683 229302 -2641
+rect 229002 -2801 229093 -2683
+rect 229211 -2801 229302 -2683
+rect 229002 -2812 229302 -2801
+rect 221802 -3111 221893 -2993
+rect 222011 -3111 222102 -2993
+rect 221802 -3153 222102 -3111
+rect 221802 -3271 221893 -3153
+rect 222011 -3271 222102 -3153
+rect 221802 -3752 222102 -3271
+rect 230802 -3463 231102 240
 rect 234402 -173 234702 240
 rect 234402 -291 234493 -173
 rect 234611 -291 234702 -173
 rect 234402 -333 234702 -291
 rect 234402 -451 234493 -333
 rect 234611 -451 234702 -333
-rect 234402 -922 234702 -451
-rect 236202 -1093 236502 240
-rect 236202 -1211 236293 -1093
-rect 236411 -1211 236502 -1093
-rect 236202 -1253 236502 -1211
-rect 236202 -1371 236293 -1253
-rect 236411 -1371 236502 -1253
-rect 236202 -1842 236502 -1371
-rect 238002 -2013 238302 240
-rect 238002 -2131 238093 -2013
-rect 238211 -2131 238302 -2013
-rect 238002 -2173 238302 -2131
-rect 238002 -2291 238093 -2173
-rect 238211 -2291 238302 -2173
-rect 238002 -2762 238302 -2291
-rect 230802 -3511 230893 -3393
-rect 231011 -3511 231102 -3393
-rect 230802 -3553 231102 -3511
-rect 230802 -3671 230893 -3553
-rect 231011 -3671 231102 -3553
-rect 230802 -3682 231102 -3671
-rect 239802 -2933 240102 240
-rect 243402 -633 243702 240
-rect 243402 -751 243493 -633
-rect 243611 -751 243702 -633
-rect 243402 -793 243702 -751
-rect 243402 -911 243493 -793
-rect 243611 -911 243702 -793
-rect 243402 -922 243702 -911
-rect 245202 -1553 245502 240
-rect 245202 -1671 245293 -1553
-rect 245411 -1671 245502 -1553
-rect 245202 -1713 245502 -1671
-rect 245202 -1831 245293 -1713
-rect 245411 -1831 245502 -1713
-rect 245202 -1842 245502 -1831
-rect 247002 -2473 247302 240
-rect 247002 -2591 247093 -2473
-rect 247211 -2591 247302 -2473
-rect 247002 -2633 247302 -2591
-rect 247002 -2751 247093 -2633
-rect 247211 -2751 247302 -2633
-rect 247002 -2762 247302 -2751
-rect 239802 -3051 239893 -2933
-rect 240011 -3051 240102 -2933
-rect 239802 -3093 240102 -3051
-rect 239802 -3211 239893 -3093
-rect 240011 -3211 240102 -3093
-rect 239802 -3682 240102 -3211
-rect 248802 -3393 249102 240
+rect 234402 -932 234702 -451
+rect 236202 -1113 236502 240
+rect 236202 -1231 236293 -1113
+rect 236411 -1231 236502 -1113
+rect 236202 -1273 236502 -1231
+rect 236202 -1391 236293 -1273
+rect 236411 -1391 236502 -1273
+rect 236202 -1872 236502 -1391
+rect 238002 -2053 238302 240
+rect 238002 -2171 238093 -2053
+rect 238211 -2171 238302 -2053
+rect 238002 -2213 238302 -2171
+rect 238002 -2331 238093 -2213
+rect 238211 -2331 238302 -2213
+rect 238002 -2812 238302 -2331
+rect 230802 -3581 230893 -3463
+rect 231011 -3581 231102 -3463
+rect 230802 -3623 231102 -3581
+rect 230802 -3741 230893 -3623
+rect 231011 -3741 231102 -3623
+rect 230802 -3752 231102 -3741
+rect 239802 -2993 240102 240
+rect 243402 -643 243702 240
+rect 243402 -761 243493 -643
+rect 243611 -761 243702 -643
+rect 243402 -803 243702 -761
+rect 243402 -921 243493 -803
+rect 243611 -921 243702 -803
+rect 243402 -932 243702 -921
+rect 245202 -1583 245502 240
+rect 245202 -1701 245293 -1583
+rect 245411 -1701 245502 -1583
+rect 245202 -1743 245502 -1701
+rect 245202 -1861 245293 -1743
+rect 245411 -1861 245502 -1743
+rect 245202 -1872 245502 -1861
+rect 247002 -2523 247302 240
+rect 247002 -2641 247093 -2523
+rect 247211 -2641 247302 -2523
+rect 247002 -2683 247302 -2641
+rect 247002 -2801 247093 -2683
+rect 247211 -2801 247302 -2683
+rect 247002 -2812 247302 -2801
+rect 239802 -3111 239893 -2993
+rect 240011 -3111 240102 -2993
+rect 239802 -3153 240102 -3111
+rect 239802 -3271 239893 -3153
+rect 240011 -3271 240102 -3153
+rect 239802 -3752 240102 -3271
+rect 248802 -3463 249102 240
 rect 252402 -173 252702 240
 rect 252402 -291 252493 -173
 rect 252611 -291 252702 -173
 rect 252402 -333 252702 -291
 rect 252402 -451 252493 -333
 rect 252611 -451 252702 -333
-rect 252402 -922 252702 -451
-rect 254202 -1093 254502 240
-rect 254202 -1211 254293 -1093
-rect 254411 -1211 254502 -1093
-rect 254202 -1253 254502 -1211
-rect 254202 -1371 254293 -1253
-rect 254411 -1371 254502 -1253
-rect 254202 -1842 254502 -1371
-rect 256002 -2013 256302 240
-rect 256002 -2131 256093 -2013
-rect 256211 -2131 256302 -2013
-rect 256002 -2173 256302 -2131
-rect 256002 -2291 256093 -2173
-rect 256211 -2291 256302 -2173
-rect 256002 -2762 256302 -2291
-rect 248802 -3511 248893 -3393
-rect 249011 -3511 249102 -3393
-rect 248802 -3553 249102 -3511
-rect 248802 -3671 248893 -3553
-rect 249011 -3671 249102 -3553
-rect 248802 -3682 249102 -3671
-rect 257802 -2933 258102 240
-rect 261402 -633 261702 240
-rect 261402 -751 261493 -633
-rect 261611 -751 261702 -633
-rect 261402 -793 261702 -751
-rect 261402 -911 261493 -793
-rect 261611 -911 261702 -793
-rect 261402 -922 261702 -911
-rect 263202 -1553 263502 240
-rect 263202 -1671 263293 -1553
-rect 263411 -1671 263502 -1553
-rect 263202 -1713 263502 -1671
-rect 263202 -1831 263293 -1713
-rect 263411 -1831 263502 -1713
-rect 263202 -1842 263502 -1831
-rect 265002 -2473 265302 240
-rect 265002 -2591 265093 -2473
-rect 265211 -2591 265302 -2473
-rect 265002 -2633 265302 -2591
-rect 265002 -2751 265093 -2633
-rect 265211 -2751 265302 -2633
-rect 265002 -2762 265302 -2751
-rect 257802 -3051 257893 -2933
-rect 258011 -3051 258102 -2933
-rect 257802 -3093 258102 -3051
-rect 257802 -3211 257893 -3093
-rect 258011 -3211 258102 -3093
-rect 257802 -3682 258102 -3211
-rect 266802 -3393 267102 240
+rect 252402 -932 252702 -451
+rect 254202 -1113 254502 240
+rect 254202 -1231 254293 -1113
+rect 254411 -1231 254502 -1113
+rect 254202 -1273 254502 -1231
+rect 254202 -1391 254293 -1273
+rect 254411 -1391 254502 -1273
+rect 254202 -1872 254502 -1391
+rect 256002 -2053 256302 240
+rect 256002 -2171 256093 -2053
+rect 256211 -2171 256302 -2053
+rect 256002 -2213 256302 -2171
+rect 256002 -2331 256093 -2213
+rect 256211 -2331 256302 -2213
+rect 256002 -2812 256302 -2331
+rect 248802 -3581 248893 -3463
+rect 249011 -3581 249102 -3463
+rect 248802 -3623 249102 -3581
+rect 248802 -3741 248893 -3623
+rect 249011 -3741 249102 -3623
+rect 248802 -3752 249102 -3741
+rect 257802 -2993 258102 240
+rect 261402 -643 261702 240
+rect 261402 -761 261493 -643
+rect 261611 -761 261702 -643
+rect 261402 -803 261702 -761
+rect 261402 -921 261493 -803
+rect 261611 -921 261702 -803
+rect 261402 -932 261702 -921
+rect 263202 -1583 263502 240
+rect 263202 -1701 263293 -1583
+rect 263411 -1701 263502 -1583
+rect 263202 -1743 263502 -1701
+rect 263202 -1861 263293 -1743
+rect 263411 -1861 263502 -1743
+rect 263202 -1872 263502 -1861
+rect 265002 -2523 265302 240
+rect 265002 -2641 265093 -2523
+rect 265211 -2641 265302 -2523
+rect 265002 -2683 265302 -2641
+rect 265002 -2801 265093 -2683
+rect 265211 -2801 265302 -2683
+rect 265002 -2812 265302 -2801
+rect 257802 -3111 257893 -2993
+rect 258011 -3111 258102 -2993
+rect 257802 -3153 258102 -3111
+rect 257802 -3271 257893 -3153
+rect 258011 -3271 258102 -3153
+rect 257802 -3752 258102 -3271
+rect 266802 -3463 267102 240
 rect 270402 -173 270702 240
 rect 270402 -291 270493 -173
 rect 270611 -291 270702 -173
 rect 270402 -333 270702 -291
 rect 270402 -451 270493 -333
 rect 270611 -451 270702 -333
-rect 270402 -922 270702 -451
-rect 272202 -1093 272502 240
-rect 272202 -1211 272293 -1093
-rect 272411 -1211 272502 -1093
-rect 272202 -1253 272502 -1211
-rect 272202 -1371 272293 -1253
-rect 272411 -1371 272502 -1253
-rect 272202 -1842 272502 -1371
-rect 274002 -2013 274302 240
-rect 274002 -2131 274093 -2013
-rect 274211 -2131 274302 -2013
-rect 274002 -2173 274302 -2131
-rect 274002 -2291 274093 -2173
-rect 274211 -2291 274302 -2173
-rect 274002 -2762 274302 -2291
-rect 266802 -3511 266893 -3393
-rect 267011 -3511 267102 -3393
-rect 266802 -3553 267102 -3511
-rect 266802 -3671 266893 -3553
-rect 267011 -3671 267102 -3553
-rect 266802 -3682 267102 -3671
-rect 275802 -2933 276102 240
-rect 279402 -633 279702 240
-rect 279402 -751 279493 -633
-rect 279611 -751 279702 -633
-rect 279402 -793 279702 -751
-rect 279402 -911 279493 -793
-rect 279611 -911 279702 -793
-rect 279402 -922 279702 -911
-rect 281202 -1553 281502 240
-rect 281202 -1671 281293 -1553
-rect 281411 -1671 281502 -1553
-rect 281202 -1713 281502 -1671
-rect 281202 -1831 281293 -1713
-rect 281411 -1831 281502 -1713
-rect 281202 -1842 281502 -1831
-rect 283002 -2473 283302 240
-rect 283002 -2591 283093 -2473
-rect 283211 -2591 283302 -2473
-rect 283002 -2633 283302 -2591
-rect 283002 -2751 283093 -2633
-rect 283211 -2751 283302 -2633
-rect 283002 -2762 283302 -2751
-rect 275802 -3051 275893 -2933
-rect 276011 -3051 276102 -2933
-rect 275802 -3093 276102 -3051
-rect 275802 -3211 275893 -3093
-rect 276011 -3211 276102 -3093
-rect 275802 -3682 276102 -3211
-rect 284802 -3393 285102 240
+rect 270402 -932 270702 -451
+rect 272202 -1113 272502 240
+rect 272202 -1231 272293 -1113
+rect 272411 -1231 272502 -1113
+rect 272202 -1273 272502 -1231
+rect 272202 -1391 272293 -1273
+rect 272411 -1391 272502 -1273
+rect 272202 -1872 272502 -1391
+rect 274002 -2053 274302 240
+rect 274002 -2171 274093 -2053
+rect 274211 -2171 274302 -2053
+rect 274002 -2213 274302 -2171
+rect 274002 -2331 274093 -2213
+rect 274211 -2331 274302 -2213
+rect 274002 -2812 274302 -2331
+rect 266802 -3581 266893 -3463
+rect 267011 -3581 267102 -3463
+rect 266802 -3623 267102 -3581
+rect 266802 -3741 266893 -3623
+rect 267011 -3741 267102 -3623
+rect 266802 -3752 267102 -3741
+rect 275802 -2993 276102 240
+rect 279402 -643 279702 240
+rect 279402 -761 279493 -643
+rect 279611 -761 279702 -643
+rect 279402 -803 279702 -761
+rect 279402 -921 279493 -803
+rect 279611 -921 279702 -803
+rect 279402 -932 279702 -921
+rect 281202 -1583 281502 240
+rect 281202 -1701 281293 -1583
+rect 281411 -1701 281502 -1583
+rect 281202 -1743 281502 -1701
+rect 281202 -1861 281293 -1743
+rect 281411 -1861 281502 -1743
+rect 281202 -1872 281502 -1861
+rect 283002 -2523 283302 240
+rect 283002 -2641 283093 -2523
+rect 283211 -2641 283302 -2523
+rect 283002 -2683 283302 -2641
+rect 283002 -2801 283093 -2683
+rect 283211 -2801 283302 -2683
+rect 283002 -2812 283302 -2801
+rect 275802 -3111 275893 -2993
+rect 276011 -3111 276102 -2993
+rect 275802 -3153 276102 -3111
+rect 275802 -3271 275893 -3153
+rect 276011 -3271 276102 -3153
+rect 275802 -3752 276102 -3271
+rect 284802 -3463 285102 240
 rect 288402 -173 288702 240
 rect 288402 -291 288493 -173
 rect 288611 -291 288702 -173
 rect 288402 -333 288702 -291
 rect 288402 -451 288493 -333
 rect 288611 -451 288702 -333
-rect 288402 -922 288702 -451
-rect 290202 -1093 290502 240
+rect 288402 -932 288702 -451
+rect 290202 -1113 290502 240
 rect 292660 -173 292960 949
 rect 292660 -291 292751 -173
 rect 292869 -291 292960 -173
@@ -3665,1446 +3665,1446 @@
 rect 292660 -451 292751 -333
 rect 292869 -451 292960 -333
 rect 292660 -462 292960 -451
-rect 293120 334227 293420 352601
-rect 293120 334109 293211 334227
-rect 293329 334109 293420 334227
-rect 293120 334067 293420 334109
-rect 293120 333949 293211 334067
-rect 293329 333949 293420 334067
-rect 293120 316227 293420 333949
-rect 293120 316109 293211 316227
-rect 293329 316109 293420 316227
-rect 293120 316067 293420 316109
-rect 293120 315949 293211 316067
-rect 293329 315949 293420 316067
-rect 293120 298227 293420 315949
-rect 293120 298109 293211 298227
-rect 293329 298109 293420 298227
-rect 293120 298067 293420 298109
-rect 293120 297949 293211 298067
-rect 293329 297949 293420 298067
-rect 293120 280227 293420 297949
-rect 293120 280109 293211 280227
-rect 293329 280109 293420 280227
-rect 293120 280067 293420 280109
-rect 293120 279949 293211 280067
-rect 293329 279949 293420 280067
-rect 293120 262227 293420 279949
-rect 293120 262109 293211 262227
-rect 293329 262109 293420 262227
-rect 293120 262067 293420 262109
-rect 293120 261949 293211 262067
-rect 293329 261949 293420 262067
-rect 293120 244227 293420 261949
-rect 293120 244109 293211 244227
-rect 293329 244109 293420 244227
-rect 293120 244067 293420 244109
-rect 293120 243949 293211 244067
-rect 293329 243949 293420 244067
-rect 293120 226227 293420 243949
-rect 293120 226109 293211 226227
-rect 293329 226109 293420 226227
-rect 293120 226067 293420 226109
-rect 293120 225949 293211 226067
-rect 293329 225949 293420 226067
-rect 293120 208227 293420 225949
-rect 293120 208109 293211 208227
-rect 293329 208109 293420 208227
-rect 293120 208067 293420 208109
-rect 293120 207949 293211 208067
-rect 293329 207949 293420 208067
-rect 293120 190227 293420 207949
-rect 293120 190109 293211 190227
-rect 293329 190109 293420 190227
-rect 293120 190067 293420 190109
-rect 293120 189949 293211 190067
-rect 293329 189949 293420 190067
-rect 293120 172227 293420 189949
-rect 293120 172109 293211 172227
-rect 293329 172109 293420 172227
-rect 293120 172067 293420 172109
-rect 293120 171949 293211 172067
-rect 293329 171949 293420 172067
-rect 293120 154227 293420 171949
-rect 293120 154109 293211 154227
-rect 293329 154109 293420 154227
-rect 293120 154067 293420 154109
-rect 293120 153949 293211 154067
-rect 293329 153949 293420 154067
-rect 293120 136227 293420 153949
-rect 293120 136109 293211 136227
-rect 293329 136109 293420 136227
-rect 293120 136067 293420 136109
-rect 293120 135949 293211 136067
-rect 293329 135949 293420 136067
-rect 293120 118227 293420 135949
-rect 293120 118109 293211 118227
-rect 293329 118109 293420 118227
-rect 293120 118067 293420 118109
-rect 293120 117949 293211 118067
-rect 293329 117949 293420 118067
-rect 293120 100227 293420 117949
-rect 293120 100109 293211 100227
-rect 293329 100109 293420 100227
-rect 293120 100067 293420 100109
-rect 293120 99949 293211 100067
-rect 293329 99949 293420 100067
-rect 293120 82227 293420 99949
-rect 293120 82109 293211 82227
-rect 293329 82109 293420 82227
-rect 293120 82067 293420 82109
-rect 293120 81949 293211 82067
-rect 293329 81949 293420 82067
-rect 293120 64227 293420 81949
-rect 293120 64109 293211 64227
-rect 293329 64109 293420 64227
-rect 293120 64067 293420 64109
-rect 293120 63949 293211 64067
-rect 293329 63949 293420 64067
-rect 293120 46227 293420 63949
-rect 293120 46109 293211 46227
-rect 293329 46109 293420 46227
-rect 293120 46067 293420 46109
-rect 293120 45949 293211 46067
-rect 293329 45949 293420 46067
-rect 293120 28227 293420 45949
-rect 293120 28109 293211 28227
-rect 293329 28109 293420 28227
-rect 293120 28067 293420 28109
-rect 293120 27949 293211 28067
-rect 293329 27949 293420 28067
-rect 293120 10227 293420 27949
-rect 293120 10109 293211 10227
-rect 293329 10109 293420 10227
-rect 293120 10067 293420 10109
-rect 293120 9949 293211 10067
-rect 293329 9949 293420 10067
-rect 293120 -633 293420 9949
-rect 293120 -751 293211 -633
-rect 293329 -751 293420 -633
-rect 293120 -793 293420 -751
-rect 293120 -911 293211 -793
-rect 293329 -911 293420 -793
-rect 293120 -922 293420 -911
-rect 293580 345027 293880 353061
-rect 293580 344909 293671 345027
-rect 293789 344909 293880 345027
-rect 293580 344867 293880 344909
-rect 293580 344749 293671 344867
-rect 293789 344749 293880 344867
-rect 293580 327027 293880 344749
-rect 293580 326909 293671 327027
-rect 293789 326909 293880 327027
-rect 293580 326867 293880 326909
-rect 293580 326749 293671 326867
-rect 293789 326749 293880 326867
-rect 293580 309027 293880 326749
-rect 293580 308909 293671 309027
-rect 293789 308909 293880 309027
-rect 293580 308867 293880 308909
-rect 293580 308749 293671 308867
-rect 293789 308749 293880 308867
-rect 293580 291027 293880 308749
-rect 293580 290909 293671 291027
-rect 293789 290909 293880 291027
-rect 293580 290867 293880 290909
-rect 293580 290749 293671 290867
-rect 293789 290749 293880 290867
-rect 293580 273027 293880 290749
-rect 293580 272909 293671 273027
-rect 293789 272909 293880 273027
-rect 293580 272867 293880 272909
-rect 293580 272749 293671 272867
-rect 293789 272749 293880 272867
-rect 293580 255027 293880 272749
-rect 293580 254909 293671 255027
-rect 293789 254909 293880 255027
-rect 293580 254867 293880 254909
-rect 293580 254749 293671 254867
-rect 293789 254749 293880 254867
-rect 293580 237027 293880 254749
-rect 293580 236909 293671 237027
-rect 293789 236909 293880 237027
-rect 293580 236867 293880 236909
-rect 293580 236749 293671 236867
-rect 293789 236749 293880 236867
-rect 293580 219027 293880 236749
-rect 293580 218909 293671 219027
-rect 293789 218909 293880 219027
-rect 293580 218867 293880 218909
-rect 293580 218749 293671 218867
-rect 293789 218749 293880 218867
-rect 293580 201027 293880 218749
-rect 293580 200909 293671 201027
-rect 293789 200909 293880 201027
-rect 293580 200867 293880 200909
-rect 293580 200749 293671 200867
-rect 293789 200749 293880 200867
-rect 293580 183027 293880 200749
-rect 293580 182909 293671 183027
-rect 293789 182909 293880 183027
-rect 293580 182867 293880 182909
-rect 293580 182749 293671 182867
-rect 293789 182749 293880 182867
-rect 293580 165027 293880 182749
-rect 293580 164909 293671 165027
-rect 293789 164909 293880 165027
-rect 293580 164867 293880 164909
-rect 293580 164749 293671 164867
-rect 293789 164749 293880 164867
-rect 293580 147027 293880 164749
-rect 293580 146909 293671 147027
-rect 293789 146909 293880 147027
-rect 293580 146867 293880 146909
-rect 293580 146749 293671 146867
-rect 293789 146749 293880 146867
-rect 293580 129027 293880 146749
-rect 293580 128909 293671 129027
-rect 293789 128909 293880 129027
-rect 293580 128867 293880 128909
-rect 293580 128749 293671 128867
-rect 293789 128749 293880 128867
-rect 293580 111027 293880 128749
-rect 293580 110909 293671 111027
-rect 293789 110909 293880 111027
-rect 293580 110867 293880 110909
-rect 293580 110749 293671 110867
-rect 293789 110749 293880 110867
-rect 293580 93027 293880 110749
-rect 293580 92909 293671 93027
-rect 293789 92909 293880 93027
-rect 293580 92867 293880 92909
-rect 293580 92749 293671 92867
-rect 293789 92749 293880 92867
-rect 293580 75027 293880 92749
-rect 293580 74909 293671 75027
-rect 293789 74909 293880 75027
-rect 293580 74867 293880 74909
-rect 293580 74749 293671 74867
-rect 293789 74749 293880 74867
-rect 293580 57027 293880 74749
-rect 293580 56909 293671 57027
-rect 293789 56909 293880 57027
-rect 293580 56867 293880 56909
-rect 293580 56749 293671 56867
-rect 293789 56749 293880 56867
-rect 293580 39027 293880 56749
-rect 293580 38909 293671 39027
-rect 293789 38909 293880 39027
-rect 293580 38867 293880 38909
-rect 293580 38749 293671 38867
-rect 293789 38749 293880 38867
-rect 293580 21027 293880 38749
-rect 293580 20909 293671 21027
-rect 293789 20909 293880 21027
-rect 293580 20867 293880 20909
-rect 293580 20749 293671 20867
-rect 293789 20749 293880 20867
-rect 293580 3027 293880 20749
-rect 293580 2909 293671 3027
-rect 293789 2909 293880 3027
-rect 293580 2867 293880 2909
-rect 293580 2749 293671 2867
-rect 293789 2749 293880 2867
-rect 290202 -1211 290293 -1093
-rect 290411 -1211 290502 -1093
-rect 290202 -1253 290502 -1211
-rect 290202 -1371 290293 -1253
-rect 290411 -1371 290502 -1253
-rect 290202 -1842 290502 -1371
-rect 293580 -1093 293880 2749
-rect 293580 -1211 293671 -1093
-rect 293789 -1211 293880 -1093
-rect 293580 -1253 293880 -1211
-rect 293580 -1371 293671 -1253
-rect 293789 -1371 293880 -1253
-rect 293580 -1382 293880 -1371
-rect 294040 336027 294340 353521
-rect 294040 335909 294131 336027
-rect 294249 335909 294340 336027
-rect 294040 335867 294340 335909
-rect 294040 335749 294131 335867
-rect 294249 335749 294340 335867
-rect 294040 318027 294340 335749
-rect 294040 317909 294131 318027
-rect 294249 317909 294340 318027
-rect 294040 317867 294340 317909
-rect 294040 317749 294131 317867
-rect 294249 317749 294340 317867
-rect 294040 300027 294340 317749
-rect 294040 299909 294131 300027
-rect 294249 299909 294340 300027
-rect 294040 299867 294340 299909
-rect 294040 299749 294131 299867
-rect 294249 299749 294340 299867
-rect 294040 282027 294340 299749
-rect 294040 281909 294131 282027
-rect 294249 281909 294340 282027
-rect 294040 281867 294340 281909
-rect 294040 281749 294131 281867
-rect 294249 281749 294340 281867
-rect 294040 264027 294340 281749
-rect 294040 263909 294131 264027
-rect 294249 263909 294340 264027
-rect 294040 263867 294340 263909
-rect 294040 263749 294131 263867
-rect 294249 263749 294340 263867
-rect 294040 246027 294340 263749
-rect 294040 245909 294131 246027
-rect 294249 245909 294340 246027
-rect 294040 245867 294340 245909
-rect 294040 245749 294131 245867
-rect 294249 245749 294340 245867
-rect 294040 228027 294340 245749
-rect 294040 227909 294131 228027
-rect 294249 227909 294340 228027
-rect 294040 227867 294340 227909
-rect 294040 227749 294131 227867
-rect 294249 227749 294340 227867
-rect 294040 210027 294340 227749
-rect 294040 209909 294131 210027
-rect 294249 209909 294340 210027
-rect 294040 209867 294340 209909
-rect 294040 209749 294131 209867
-rect 294249 209749 294340 209867
-rect 294040 192027 294340 209749
-rect 294040 191909 294131 192027
-rect 294249 191909 294340 192027
-rect 294040 191867 294340 191909
-rect 294040 191749 294131 191867
-rect 294249 191749 294340 191867
-rect 294040 174027 294340 191749
-rect 294040 173909 294131 174027
-rect 294249 173909 294340 174027
-rect 294040 173867 294340 173909
-rect 294040 173749 294131 173867
-rect 294249 173749 294340 173867
-rect 294040 156027 294340 173749
-rect 294040 155909 294131 156027
-rect 294249 155909 294340 156027
-rect 294040 155867 294340 155909
-rect 294040 155749 294131 155867
-rect 294249 155749 294340 155867
-rect 294040 138027 294340 155749
-rect 294040 137909 294131 138027
-rect 294249 137909 294340 138027
-rect 294040 137867 294340 137909
-rect 294040 137749 294131 137867
-rect 294249 137749 294340 137867
-rect 294040 120027 294340 137749
-rect 294040 119909 294131 120027
-rect 294249 119909 294340 120027
-rect 294040 119867 294340 119909
-rect 294040 119749 294131 119867
-rect 294249 119749 294340 119867
-rect 294040 102027 294340 119749
-rect 294040 101909 294131 102027
-rect 294249 101909 294340 102027
-rect 294040 101867 294340 101909
-rect 294040 101749 294131 101867
-rect 294249 101749 294340 101867
-rect 294040 84027 294340 101749
-rect 294040 83909 294131 84027
-rect 294249 83909 294340 84027
-rect 294040 83867 294340 83909
-rect 294040 83749 294131 83867
-rect 294249 83749 294340 83867
-rect 294040 66027 294340 83749
-rect 294040 65909 294131 66027
-rect 294249 65909 294340 66027
-rect 294040 65867 294340 65909
-rect 294040 65749 294131 65867
-rect 294249 65749 294340 65867
-rect 294040 48027 294340 65749
-rect 294040 47909 294131 48027
-rect 294249 47909 294340 48027
-rect 294040 47867 294340 47909
-rect 294040 47749 294131 47867
-rect 294249 47749 294340 47867
-rect 294040 30027 294340 47749
-rect 294040 29909 294131 30027
-rect 294249 29909 294340 30027
-rect 294040 29867 294340 29909
-rect 294040 29749 294131 29867
-rect 294249 29749 294340 29867
-rect 294040 12027 294340 29749
-rect 294040 11909 294131 12027
-rect 294249 11909 294340 12027
-rect 294040 11867 294340 11909
-rect 294040 11749 294131 11867
-rect 294249 11749 294340 11867
-rect 294040 -1553 294340 11749
-rect 294040 -1671 294131 -1553
-rect 294249 -1671 294340 -1553
-rect 294040 -1713 294340 -1671
-rect 294040 -1831 294131 -1713
-rect 294249 -1831 294340 -1713
-rect 294040 -1842 294340 -1831
-rect 294500 346827 294800 353981
-rect 294500 346709 294591 346827
-rect 294709 346709 294800 346827
-rect 294500 346667 294800 346709
-rect 294500 346549 294591 346667
-rect 294709 346549 294800 346667
-rect 294500 328827 294800 346549
-rect 294500 328709 294591 328827
-rect 294709 328709 294800 328827
-rect 294500 328667 294800 328709
-rect 294500 328549 294591 328667
-rect 294709 328549 294800 328667
-rect 294500 310827 294800 328549
-rect 294500 310709 294591 310827
-rect 294709 310709 294800 310827
-rect 294500 310667 294800 310709
-rect 294500 310549 294591 310667
-rect 294709 310549 294800 310667
-rect 294500 292827 294800 310549
-rect 294500 292709 294591 292827
-rect 294709 292709 294800 292827
-rect 294500 292667 294800 292709
-rect 294500 292549 294591 292667
-rect 294709 292549 294800 292667
-rect 294500 274827 294800 292549
-rect 294500 274709 294591 274827
-rect 294709 274709 294800 274827
-rect 294500 274667 294800 274709
-rect 294500 274549 294591 274667
-rect 294709 274549 294800 274667
-rect 294500 256827 294800 274549
-rect 294500 256709 294591 256827
-rect 294709 256709 294800 256827
-rect 294500 256667 294800 256709
-rect 294500 256549 294591 256667
-rect 294709 256549 294800 256667
-rect 294500 238827 294800 256549
-rect 294500 238709 294591 238827
-rect 294709 238709 294800 238827
-rect 294500 238667 294800 238709
-rect 294500 238549 294591 238667
-rect 294709 238549 294800 238667
-rect 294500 220827 294800 238549
-rect 294500 220709 294591 220827
-rect 294709 220709 294800 220827
-rect 294500 220667 294800 220709
-rect 294500 220549 294591 220667
-rect 294709 220549 294800 220667
-rect 294500 202827 294800 220549
-rect 294500 202709 294591 202827
-rect 294709 202709 294800 202827
-rect 294500 202667 294800 202709
-rect 294500 202549 294591 202667
-rect 294709 202549 294800 202667
-rect 294500 184827 294800 202549
-rect 294500 184709 294591 184827
-rect 294709 184709 294800 184827
-rect 294500 184667 294800 184709
-rect 294500 184549 294591 184667
-rect 294709 184549 294800 184667
-rect 294500 166827 294800 184549
-rect 294500 166709 294591 166827
-rect 294709 166709 294800 166827
-rect 294500 166667 294800 166709
-rect 294500 166549 294591 166667
-rect 294709 166549 294800 166667
-rect 294500 148827 294800 166549
-rect 294500 148709 294591 148827
-rect 294709 148709 294800 148827
-rect 294500 148667 294800 148709
-rect 294500 148549 294591 148667
-rect 294709 148549 294800 148667
-rect 294500 130827 294800 148549
-rect 294500 130709 294591 130827
-rect 294709 130709 294800 130827
-rect 294500 130667 294800 130709
-rect 294500 130549 294591 130667
-rect 294709 130549 294800 130667
-rect 294500 112827 294800 130549
-rect 294500 112709 294591 112827
-rect 294709 112709 294800 112827
-rect 294500 112667 294800 112709
-rect 294500 112549 294591 112667
-rect 294709 112549 294800 112667
-rect 294500 94827 294800 112549
-rect 294500 94709 294591 94827
-rect 294709 94709 294800 94827
-rect 294500 94667 294800 94709
-rect 294500 94549 294591 94667
-rect 294709 94549 294800 94667
-rect 294500 76827 294800 94549
-rect 294500 76709 294591 76827
-rect 294709 76709 294800 76827
-rect 294500 76667 294800 76709
-rect 294500 76549 294591 76667
-rect 294709 76549 294800 76667
-rect 294500 58827 294800 76549
-rect 294500 58709 294591 58827
-rect 294709 58709 294800 58827
-rect 294500 58667 294800 58709
-rect 294500 58549 294591 58667
-rect 294709 58549 294800 58667
-rect 294500 40827 294800 58549
-rect 294500 40709 294591 40827
-rect 294709 40709 294800 40827
-rect 294500 40667 294800 40709
-rect 294500 40549 294591 40667
-rect 294709 40549 294800 40667
-rect 294500 22827 294800 40549
-rect 294500 22709 294591 22827
-rect 294709 22709 294800 22827
-rect 294500 22667 294800 22709
-rect 294500 22549 294591 22667
-rect 294709 22549 294800 22667
-rect 294500 4827 294800 22549
-rect 294500 4709 294591 4827
-rect 294709 4709 294800 4827
-rect 294500 4667 294800 4709
-rect 294500 4549 294591 4667
-rect 294709 4549 294800 4667
-rect 294500 -2013 294800 4549
-rect 294500 -2131 294591 -2013
-rect 294709 -2131 294800 -2013
-rect 294500 -2173 294800 -2131
-rect 294500 -2291 294591 -2173
-rect 294709 -2291 294800 -2173
-rect 294500 -2302 294800 -2291
-rect 294960 337827 295260 354441
-rect 294960 337709 295051 337827
-rect 295169 337709 295260 337827
-rect 294960 337667 295260 337709
-rect 294960 337549 295051 337667
-rect 295169 337549 295260 337667
-rect 294960 319827 295260 337549
-rect 294960 319709 295051 319827
-rect 295169 319709 295260 319827
-rect 294960 319667 295260 319709
-rect 294960 319549 295051 319667
-rect 295169 319549 295260 319667
-rect 294960 301827 295260 319549
-rect 294960 301709 295051 301827
-rect 295169 301709 295260 301827
-rect 294960 301667 295260 301709
-rect 294960 301549 295051 301667
-rect 295169 301549 295260 301667
-rect 294960 283827 295260 301549
-rect 294960 283709 295051 283827
-rect 295169 283709 295260 283827
-rect 294960 283667 295260 283709
-rect 294960 283549 295051 283667
-rect 295169 283549 295260 283667
-rect 294960 265827 295260 283549
-rect 294960 265709 295051 265827
-rect 295169 265709 295260 265827
-rect 294960 265667 295260 265709
-rect 294960 265549 295051 265667
-rect 295169 265549 295260 265667
-rect 294960 247827 295260 265549
-rect 294960 247709 295051 247827
-rect 295169 247709 295260 247827
-rect 294960 247667 295260 247709
-rect 294960 247549 295051 247667
-rect 295169 247549 295260 247667
-rect 294960 229827 295260 247549
-rect 294960 229709 295051 229827
-rect 295169 229709 295260 229827
-rect 294960 229667 295260 229709
-rect 294960 229549 295051 229667
-rect 295169 229549 295260 229667
-rect 294960 211827 295260 229549
-rect 294960 211709 295051 211827
-rect 295169 211709 295260 211827
-rect 294960 211667 295260 211709
-rect 294960 211549 295051 211667
-rect 295169 211549 295260 211667
-rect 294960 193827 295260 211549
-rect 294960 193709 295051 193827
-rect 295169 193709 295260 193827
-rect 294960 193667 295260 193709
-rect 294960 193549 295051 193667
-rect 295169 193549 295260 193667
-rect 294960 175827 295260 193549
-rect 294960 175709 295051 175827
-rect 295169 175709 295260 175827
-rect 294960 175667 295260 175709
-rect 294960 175549 295051 175667
-rect 295169 175549 295260 175667
-rect 294960 157827 295260 175549
-rect 294960 157709 295051 157827
-rect 295169 157709 295260 157827
-rect 294960 157667 295260 157709
-rect 294960 157549 295051 157667
-rect 295169 157549 295260 157667
-rect 294960 139827 295260 157549
-rect 294960 139709 295051 139827
-rect 295169 139709 295260 139827
-rect 294960 139667 295260 139709
-rect 294960 139549 295051 139667
-rect 295169 139549 295260 139667
-rect 294960 121827 295260 139549
-rect 294960 121709 295051 121827
-rect 295169 121709 295260 121827
-rect 294960 121667 295260 121709
-rect 294960 121549 295051 121667
-rect 295169 121549 295260 121667
-rect 294960 103827 295260 121549
-rect 294960 103709 295051 103827
-rect 295169 103709 295260 103827
-rect 294960 103667 295260 103709
-rect 294960 103549 295051 103667
-rect 295169 103549 295260 103667
-rect 294960 85827 295260 103549
-rect 294960 85709 295051 85827
-rect 295169 85709 295260 85827
-rect 294960 85667 295260 85709
-rect 294960 85549 295051 85667
-rect 295169 85549 295260 85667
-rect 294960 67827 295260 85549
-rect 294960 67709 295051 67827
-rect 295169 67709 295260 67827
-rect 294960 67667 295260 67709
-rect 294960 67549 295051 67667
-rect 295169 67549 295260 67667
-rect 294960 49827 295260 67549
-rect 294960 49709 295051 49827
-rect 295169 49709 295260 49827
-rect 294960 49667 295260 49709
-rect 294960 49549 295051 49667
-rect 295169 49549 295260 49667
-rect 294960 31827 295260 49549
-rect 294960 31709 295051 31827
-rect 295169 31709 295260 31827
-rect 294960 31667 295260 31709
-rect 294960 31549 295051 31667
-rect 295169 31549 295260 31667
-rect 294960 13827 295260 31549
-rect 294960 13709 295051 13827
-rect 295169 13709 295260 13827
-rect 294960 13667 295260 13709
-rect 294960 13549 295051 13667
-rect 295169 13549 295260 13667
-rect 294960 -2473 295260 13549
-rect 294960 -2591 295051 -2473
-rect 295169 -2591 295260 -2473
-rect 294960 -2633 295260 -2591
-rect 294960 -2751 295051 -2633
-rect 295169 -2751 295260 -2633
-rect 294960 -2762 295260 -2751
-rect 295420 348627 295720 354901
-rect 295420 348509 295511 348627
-rect 295629 348509 295720 348627
-rect 295420 348467 295720 348509
-rect 295420 348349 295511 348467
-rect 295629 348349 295720 348467
-rect 295420 330627 295720 348349
-rect 295420 330509 295511 330627
-rect 295629 330509 295720 330627
-rect 295420 330467 295720 330509
-rect 295420 330349 295511 330467
-rect 295629 330349 295720 330467
-rect 295420 312627 295720 330349
-rect 295420 312509 295511 312627
-rect 295629 312509 295720 312627
-rect 295420 312467 295720 312509
-rect 295420 312349 295511 312467
-rect 295629 312349 295720 312467
-rect 295420 294627 295720 312349
-rect 295420 294509 295511 294627
-rect 295629 294509 295720 294627
-rect 295420 294467 295720 294509
-rect 295420 294349 295511 294467
-rect 295629 294349 295720 294467
-rect 295420 276627 295720 294349
-rect 295420 276509 295511 276627
-rect 295629 276509 295720 276627
-rect 295420 276467 295720 276509
-rect 295420 276349 295511 276467
-rect 295629 276349 295720 276467
-rect 295420 258627 295720 276349
-rect 295420 258509 295511 258627
-rect 295629 258509 295720 258627
-rect 295420 258467 295720 258509
-rect 295420 258349 295511 258467
-rect 295629 258349 295720 258467
-rect 295420 240627 295720 258349
-rect 295420 240509 295511 240627
-rect 295629 240509 295720 240627
-rect 295420 240467 295720 240509
-rect 295420 240349 295511 240467
-rect 295629 240349 295720 240467
-rect 295420 222627 295720 240349
-rect 295420 222509 295511 222627
-rect 295629 222509 295720 222627
-rect 295420 222467 295720 222509
-rect 295420 222349 295511 222467
-rect 295629 222349 295720 222467
-rect 295420 204627 295720 222349
-rect 295420 204509 295511 204627
-rect 295629 204509 295720 204627
-rect 295420 204467 295720 204509
-rect 295420 204349 295511 204467
-rect 295629 204349 295720 204467
-rect 295420 186627 295720 204349
-rect 295420 186509 295511 186627
-rect 295629 186509 295720 186627
-rect 295420 186467 295720 186509
-rect 295420 186349 295511 186467
-rect 295629 186349 295720 186467
-rect 295420 168627 295720 186349
-rect 295420 168509 295511 168627
-rect 295629 168509 295720 168627
-rect 295420 168467 295720 168509
-rect 295420 168349 295511 168467
-rect 295629 168349 295720 168467
-rect 295420 150627 295720 168349
-rect 295420 150509 295511 150627
-rect 295629 150509 295720 150627
-rect 295420 150467 295720 150509
-rect 295420 150349 295511 150467
-rect 295629 150349 295720 150467
-rect 295420 132627 295720 150349
-rect 295420 132509 295511 132627
-rect 295629 132509 295720 132627
-rect 295420 132467 295720 132509
-rect 295420 132349 295511 132467
-rect 295629 132349 295720 132467
-rect 295420 114627 295720 132349
-rect 295420 114509 295511 114627
-rect 295629 114509 295720 114627
-rect 295420 114467 295720 114509
-rect 295420 114349 295511 114467
-rect 295629 114349 295720 114467
-rect 295420 96627 295720 114349
-rect 295420 96509 295511 96627
-rect 295629 96509 295720 96627
-rect 295420 96467 295720 96509
-rect 295420 96349 295511 96467
-rect 295629 96349 295720 96467
-rect 295420 78627 295720 96349
-rect 295420 78509 295511 78627
-rect 295629 78509 295720 78627
-rect 295420 78467 295720 78509
-rect 295420 78349 295511 78467
-rect 295629 78349 295720 78467
-rect 295420 60627 295720 78349
-rect 295420 60509 295511 60627
-rect 295629 60509 295720 60627
-rect 295420 60467 295720 60509
-rect 295420 60349 295511 60467
-rect 295629 60349 295720 60467
-rect 295420 42627 295720 60349
-rect 295420 42509 295511 42627
-rect 295629 42509 295720 42627
-rect 295420 42467 295720 42509
-rect 295420 42349 295511 42467
-rect 295629 42349 295720 42467
-rect 295420 24627 295720 42349
-rect 295420 24509 295511 24627
-rect 295629 24509 295720 24627
-rect 295420 24467 295720 24509
-rect 295420 24349 295511 24467
-rect 295629 24349 295720 24467
-rect 295420 6627 295720 24349
-rect 295420 6509 295511 6627
-rect 295629 6509 295720 6627
-rect 295420 6467 295720 6509
-rect 295420 6349 295511 6467
-rect 295629 6349 295720 6467
-rect 295420 -2933 295720 6349
-rect 295420 -3051 295511 -2933
-rect 295629 -3051 295720 -2933
-rect 295420 -3093 295720 -3051
-rect 295420 -3211 295511 -3093
-rect 295629 -3211 295720 -3093
-rect 295420 -3222 295720 -3211
-rect 295880 339627 296180 355361
-rect 295880 339509 295971 339627
-rect 296089 339509 296180 339627
-rect 295880 339467 296180 339509
-rect 295880 339349 295971 339467
-rect 296089 339349 296180 339467
-rect 295880 321627 296180 339349
-rect 295880 321509 295971 321627
-rect 296089 321509 296180 321627
-rect 295880 321467 296180 321509
-rect 295880 321349 295971 321467
-rect 296089 321349 296180 321467
-rect 295880 303627 296180 321349
-rect 295880 303509 295971 303627
-rect 296089 303509 296180 303627
-rect 295880 303467 296180 303509
-rect 295880 303349 295971 303467
-rect 296089 303349 296180 303467
-rect 295880 285627 296180 303349
-rect 295880 285509 295971 285627
-rect 296089 285509 296180 285627
-rect 295880 285467 296180 285509
-rect 295880 285349 295971 285467
-rect 296089 285349 296180 285467
-rect 295880 267627 296180 285349
-rect 295880 267509 295971 267627
-rect 296089 267509 296180 267627
-rect 295880 267467 296180 267509
-rect 295880 267349 295971 267467
-rect 296089 267349 296180 267467
-rect 295880 249627 296180 267349
-rect 295880 249509 295971 249627
-rect 296089 249509 296180 249627
-rect 295880 249467 296180 249509
-rect 295880 249349 295971 249467
-rect 296089 249349 296180 249467
-rect 295880 231627 296180 249349
-rect 295880 231509 295971 231627
-rect 296089 231509 296180 231627
-rect 295880 231467 296180 231509
-rect 295880 231349 295971 231467
-rect 296089 231349 296180 231467
-rect 295880 213627 296180 231349
-rect 295880 213509 295971 213627
-rect 296089 213509 296180 213627
-rect 295880 213467 296180 213509
-rect 295880 213349 295971 213467
-rect 296089 213349 296180 213467
-rect 295880 195627 296180 213349
-rect 295880 195509 295971 195627
-rect 296089 195509 296180 195627
-rect 295880 195467 296180 195509
-rect 295880 195349 295971 195467
-rect 296089 195349 296180 195467
-rect 295880 177627 296180 195349
-rect 295880 177509 295971 177627
-rect 296089 177509 296180 177627
-rect 295880 177467 296180 177509
-rect 295880 177349 295971 177467
-rect 296089 177349 296180 177467
-rect 295880 159627 296180 177349
-rect 295880 159509 295971 159627
-rect 296089 159509 296180 159627
-rect 295880 159467 296180 159509
-rect 295880 159349 295971 159467
-rect 296089 159349 296180 159467
-rect 295880 141627 296180 159349
-rect 295880 141509 295971 141627
-rect 296089 141509 296180 141627
-rect 295880 141467 296180 141509
-rect 295880 141349 295971 141467
-rect 296089 141349 296180 141467
-rect 295880 123627 296180 141349
-rect 295880 123509 295971 123627
-rect 296089 123509 296180 123627
-rect 295880 123467 296180 123509
-rect 295880 123349 295971 123467
-rect 296089 123349 296180 123467
-rect 295880 105627 296180 123349
-rect 295880 105509 295971 105627
-rect 296089 105509 296180 105627
-rect 295880 105467 296180 105509
-rect 295880 105349 295971 105467
-rect 296089 105349 296180 105467
-rect 295880 87627 296180 105349
-rect 295880 87509 295971 87627
-rect 296089 87509 296180 87627
-rect 295880 87467 296180 87509
-rect 295880 87349 295971 87467
-rect 296089 87349 296180 87467
-rect 295880 69627 296180 87349
-rect 295880 69509 295971 69627
-rect 296089 69509 296180 69627
-rect 295880 69467 296180 69509
-rect 295880 69349 295971 69467
-rect 296089 69349 296180 69467
-rect 295880 51627 296180 69349
-rect 295880 51509 295971 51627
-rect 296089 51509 296180 51627
-rect 295880 51467 296180 51509
-rect 295880 51349 295971 51467
-rect 296089 51349 296180 51467
-rect 295880 33627 296180 51349
-rect 295880 33509 295971 33627
-rect 296089 33509 296180 33627
-rect 295880 33467 296180 33509
-rect 295880 33349 295971 33467
-rect 296089 33349 296180 33467
-rect 295880 15627 296180 33349
-rect 295880 15509 295971 15627
-rect 296089 15509 296180 15627
-rect 295880 15467 296180 15509
-rect 295880 15349 295971 15467
-rect 296089 15349 296180 15467
-rect 284802 -3511 284893 -3393
-rect 285011 -3511 285102 -3393
-rect 284802 -3553 285102 -3511
-rect 284802 -3671 284893 -3553
-rect 285011 -3671 285102 -3553
-rect 284802 -3682 285102 -3671
-rect 295880 -3393 296180 15349
-rect 295880 -3511 295971 -3393
-rect 296089 -3511 296180 -3393
-rect 295880 -3553 296180 -3511
-rect 295880 -3671 295971 -3553
-rect 296089 -3671 296180 -3553
-rect 295880 -3682 296180 -3671
+rect 293130 334227 293430 352611
+rect 293130 334109 293221 334227
+rect 293339 334109 293430 334227
+rect 293130 334067 293430 334109
+rect 293130 333949 293221 334067
+rect 293339 333949 293430 334067
+rect 293130 316227 293430 333949
+rect 293130 316109 293221 316227
+rect 293339 316109 293430 316227
+rect 293130 316067 293430 316109
+rect 293130 315949 293221 316067
+rect 293339 315949 293430 316067
+rect 293130 298227 293430 315949
+rect 293130 298109 293221 298227
+rect 293339 298109 293430 298227
+rect 293130 298067 293430 298109
+rect 293130 297949 293221 298067
+rect 293339 297949 293430 298067
+rect 293130 280227 293430 297949
+rect 293130 280109 293221 280227
+rect 293339 280109 293430 280227
+rect 293130 280067 293430 280109
+rect 293130 279949 293221 280067
+rect 293339 279949 293430 280067
+rect 293130 262227 293430 279949
+rect 293130 262109 293221 262227
+rect 293339 262109 293430 262227
+rect 293130 262067 293430 262109
+rect 293130 261949 293221 262067
+rect 293339 261949 293430 262067
+rect 293130 244227 293430 261949
+rect 293130 244109 293221 244227
+rect 293339 244109 293430 244227
+rect 293130 244067 293430 244109
+rect 293130 243949 293221 244067
+rect 293339 243949 293430 244067
+rect 293130 226227 293430 243949
+rect 293130 226109 293221 226227
+rect 293339 226109 293430 226227
+rect 293130 226067 293430 226109
+rect 293130 225949 293221 226067
+rect 293339 225949 293430 226067
+rect 293130 208227 293430 225949
+rect 293130 208109 293221 208227
+rect 293339 208109 293430 208227
+rect 293130 208067 293430 208109
+rect 293130 207949 293221 208067
+rect 293339 207949 293430 208067
+rect 293130 190227 293430 207949
+rect 293130 190109 293221 190227
+rect 293339 190109 293430 190227
+rect 293130 190067 293430 190109
+rect 293130 189949 293221 190067
+rect 293339 189949 293430 190067
+rect 293130 172227 293430 189949
+rect 293130 172109 293221 172227
+rect 293339 172109 293430 172227
+rect 293130 172067 293430 172109
+rect 293130 171949 293221 172067
+rect 293339 171949 293430 172067
+rect 293130 154227 293430 171949
+rect 293130 154109 293221 154227
+rect 293339 154109 293430 154227
+rect 293130 154067 293430 154109
+rect 293130 153949 293221 154067
+rect 293339 153949 293430 154067
+rect 293130 136227 293430 153949
+rect 293130 136109 293221 136227
+rect 293339 136109 293430 136227
+rect 293130 136067 293430 136109
+rect 293130 135949 293221 136067
+rect 293339 135949 293430 136067
+rect 293130 118227 293430 135949
+rect 293130 118109 293221 118227
+rect 293339 118109 293430 118227
+rect 293130 118067 293430 118109
+rect 293130 117949 293221 118067
+rect 293339 117949 293430 118067
+rect 293130 100227 293430 117949
+rect 293130 100109 293221 100227
+rect 293339 100109 293430 100227
+rect 293130 100067 293430 100109
+rect 293130 99949 293221 100067
+rect 293339 99949 293430 100067
+rect 293130 82227 293430 99949
+rect 293130 82109 293221 82227
+rect 293339 82109 293430 82227
+rect 293130 82067 293430 82109
+rect 293130 81949 293221 82067
+rect 293339 81949 293430 82067
+rect 293130 64227 293430 81949
+rect 293130 64109 293221 64227
+rect 293339 64109 293430 64227
+rect 293130 64067 293430 64109
+rect 293130 63949 293221 64067
+rect 293339 63949 293430 64067
+rect 293130 46227 293430 63949
+rect 293130 46109 293221 46227
+rect 293339 46109 293430 46227
+rect 293130 46067 293430 46109
+rect 293130 45949 293221 46067
+rect 293339 45949 293430 46067
+rect 293130 28227 293430 45949
+rect 293130 28109 293221 28227
+rect 293339 28109 293430 28227
+rect 293130 28067 293430 28109
+rect 293130 27949 293221 28067
+rect 293339 27949 293430 28067
+rect 293130 10227 293430 27949
+rect 293130 10109 293221 10227
+rect 293339 10109 293430 10227
+rect 293130 10067 293430 10109
+rect 293130 9949 293221 10067
+rect 293339 9949 293430 10067
+rect 293130 -643 293430 9949
+rect 293130 -761 293221 -643
+rect 293339 -761 293430 -643
+rect 293130 -803 293430 -761
+rect 293130 -921 293221 -803
+rect 293339 -921 293430 -803
+rect 293130 -932 293430 -921
+rect 293600 345027 293900 353081
+rect 293600 344909 293691 345027
+rect 293809 344909 293900 345027
+rect 293600 344867 293900 344909
+rect 293600 344749 293691 344867
+rect 293809 344749 293900 344867
+rect 293600 327027 293900 344749
+rect 293600 326909 293691 327027
+rect 293809 326909 293900 327027
+rect 293600 326867 293900 326909
+rect 293600 326749 293691 326867
+rect 293809 326749 293900 326867
+rect 293600 309027 293900 326749
+rect 293600 308909 293691 309027
+rect 293809 308909 293900 309027
+rect 293600 308867 293900 308909
+rect 293600 308749 293691 308867
+rect 293809 308749 293900 308867
+rect 293600 291027 293900 308749
+rect 293600 290909 293691 291027
+rect 293809 290909 293900 291027
+rect 293600 290867 293900 290909
+rect 293600 290749 293691 290867
+rect 293809 290749 293900 290867
+rect 293600 273027 293900 290749
+rect 293600 272909 293691 273027
+rect 293809 272909 293900 273027
+rect 293600 272867 293900 272909
+rect 293600 272749 293691 272867
+rect 293809 272749 293900 272867
+rect 293600 255027 293900 272749
+rect 293600 254909 293691 255027
+rect 293809 254909 293900 255027
+rect 293600 254867 293900 254909
+rect 293600 254749 293691 254867
+rect 293809 254749 293900 254867
+rect 293600 237027 293900 254749
+rect 293600 236909 293691 237027
+rect 293809 236909 293900 237027
+rect 293600 236867 293900 236909
+rect 293600 236749 293691 236867
+rect 293809 236749 293900 236867
+rect 293600 219027 293900 236749
+rect 293600 218909 293691 219027
+rect 293809 218909 293900 219027
+rect 293600 218867 293900 218909
+rect 293600 218749 293691 218867
+rect 293809 218749 293900 218867
+rect 293600 201027 293900 218749
+rect 293600 200909 293691 201027
+rect 293809 200909 293900 201027
+rect 293600 200867 293900 200909
+rect 293600 200749 293691 200867
+rect 293809 200749 293900 200867
+rect 293600 183027 293900 200749
+rect 293600 182909 293691 183027
+rect 293809 182909 293900 183027
+rect 293600 182867 293900 182909
+rect 293600 182749 293691 182867
+rect 293809 182749 293900 182867
+rect 293600 165027 293900 182749
+rect 293600 164909 293691 165027
+rect 293809 164909 293900 165027
+rect 293600 164867 293900 164909
+rect 293600 164749 293691 164867
+rect 293809 164749 293900 164867
+rect 293600 147027 293900 164749
+rect 293600 146909 293691 147027
+rect 293809 146909 293900 147027
+rect 293600 146867 293900 146909
+rect 293600 146749 293691 146867
+rect 293809 146749 293900 146867
+rect 293600 129027 293900 146749
+rect 293600 128909 293691 129027
+rect 293809 128909 293900 129027
+rect 293600 128867 293900 128909
+rect 293600 128749 293691 128867
+rect 293809 128749 293900 128867
+rect 293600 111027 293900 128749
+rect 293600 110909 293691 111027
+rect 293809 110909 293900 111027
+rect 293600 110867 293900 110909
+rect 293600 110749 293691 110867
+rect 293809 110749 293900 110867
+rect 293600 93027 293900 110749
+rect 293600 92909 293691 93027
+rect 293809 92909 293900 93027
+rect 293600 92867 293900 92909
+rect 293600 92749 293691 92867
+rect 293809 92749 293900 92867
+rect 293600 75027 293900 92749
+rect 293600 74909 293691 75027
+rect 293809 74909 293900 75027
+rect 293600 74867 293900 74909
+rect 293600 74749 293691 74867
+rect 293809 74749 293900 74867
+rect 293600 57027 293900 74749
+rect 293600 56909 293691 57027
+rect 293809 56909 293900 57027
+rect 293600 56867 293900 56909
+rect 293600 56749 293691 56867
+rect 293809 56749 293900 56867
+rect 293600 39027 293900 56749
+rect 293600 38909 293691 39027
+rect 293809 38909 293900 39027
+rect 293600 38867 293900 38909
+rect 293600 38749 293691 38867
+rect 293809 38749 293900 38867
+rect 293600 21027 293900 38749
+rect 293600 20909 293691 21027
+rect 293809 20909 293900 21027
+rect 293600 20867 293900 20909
+rect 293600 20749 293691 20867
+rect 293809 20749 293900 20867
+rect 293600 3027 293900 20749
+rect 293600 2909 293691 3027
+rect 293809 2909 293900 3027
+rect 293600 2867 293900 2909
+rect 293600 2749 293691 2867
+rect 293809 2749 293900 2867
+rect 290202 -1231 290293 -1113
+rect 290411 -1231 290502 -1113
+rect 290202 -1273 290502 -1231
+rect 290202 -1391 290293 -1273
+rect 290411 -1391 290502 -1273
+rect 290202 -1872 290502 -1391
+rect 293600 -1113 293900 2749
+rect 293600 -1231 293691 -1113
+rect 293809 -1231 293900 -1113
+rect 293600 -1273 293900 -1231
+rect 293600 -1391 293691 -1273
+rect 293809 -1391 293900 -1273
+rect 293600 -1402 293900 -1391
+rect 294070 336027 294370 353551
+rect 294070 335909 294161 336027
+rect 294279 335909 294370 336027
+rect 294070 335867 294370 335909
+rect 294070 335749 294161 335867
+rect 294279 335749 294370 335867
+rect 294070 318027 294370 335749
+rect 294070 317909 294161 318027
+rect 294279 317909 294370 318027
+rect 294070 317867 294370 317909
+rect 294070 317749 294161 317867
+rect 294279 317749 294370 317867
+rect 294070 300027 294370 317749
+rect 294070 299909 294161 300027
+rect 294279 299909 294370 300027
+rect 294070 299867 294370 299909
+rect 294070 299749 294161 299867
+rect 294279 299749 294370 299867
+rect 294070 282027 294370 299749
+rect 294070 281909 294161 282027
+rect 294279 281909 294370 282027
+rect 294070 281867 294370 281909
+rect 294070 281749 294161 281867
+rect 294279 281749 294370 281867
+rect 294070 264027 294370 281749
+rect 294070 263909 294161 264027
+rect 294279 263909 294370 264027
+rect 294070 263867 294370 263909
+rect 294070 263749 294161 263867
+rect 294279 263749 294370 263867
+rect 294070 246027 294370 263749
+rect 294070 245909 294161 246027
+rect 294279 245909 294370 246027
+rect 294070 245867 294370 245909
+rect 294070 245749 294161 245867
+rect 294279 245749 294370 245867
+rect 294070 228027 294370 245749
+rect 294070 227909 294161 228027
+rect 294279 227909 294370 228027
+rect 294070 227867 294370 227909
+rect 294070 227749 294161 227867
+rect 294279 227749 294370 227867
+rect 294070 210027 294370 227749
+rect 294070 209909 294161 210027
+rect 294279 209909 294370 210027
+rect 294070 209867 294370 209909
+rect 294070 209749 294161 209867
+rect 294279 209749 294370 209867
+rect 294070 192027 294370 209749
+rect 294070 191909 294161 192027
+rect 294279 191909 294370 192027
+rect 294070 191867 294370 191909
+rect 294070 191749 294161 191867
+rect 294279 191749 294370 191867
+rect 294070 174027 294370 191749
+rect 294070 173909 294161 174027
+rect 294279 173909 294370 174027
+rect 294070 173867 294370 173909
+rect 294070 173749 294161 173867
+rect 294279 173749 294370 173867
+rect 294070 156027 294370 173749
+rect 294070 155909 294161 156027
+rect 294279 155909 294370 156027
+rect 294070 155867 294370 155909
+rect 294070 155749 294161 155867
+rect 294279 155749 294370 155867
+rect 294070 138027 294370 155749
+rect 294070 137909 294161 138027
+rect 294279 137909 294370 138027
+rect 294070 137867 294370 137909
+rect 294070 137749 294161 137867
+rect 294279 137749 294370 137867
+rect 294070 120027 294370 137749
+rect 294070 119909 294161 120027
+rect 294279 119909 294370 120027
+rect 294070 119867 294370 119909
+rect 294070 119749 294161 119867
+rect 294279 119749 294370 119867
+rect 294070 102027 294370 119749
+rect 294070 101909 294161 102027
+rect 294279 101909 294370 102027
+rect 294070 101867 294370 101909
+rect 294070 101749 294161 101867
+rect 294279 101749 294370 101867
+rect 294070 84027 294370 101749
+rect 294070 83909 294161 84027
+rect 294279 83909 294370 84027
+rect 294070 83867 294370 83909
+rect 294070 83749 294161 83867
+rect 294279 83749 294370 83867
+rect 294070 66027 294370 83749
+rect 294070 65909 294161 66027
+rect 294279 65909 294370 66027
+rect 294070 65867 294370 65909
+rect 294070 65749 294161 65867
+rect 294279 65749 294370 65867
+rect 294070 48027 294370 65749
+rect 294070 47909 294161 48027
+rect 294279 47909 294370 48027
+rect 294070 47867 294370 47909
+rect 294070 47749 294161 47867
+rect 294279 47749 294370 47867
+rect 294070 30027 294370 47749
+rect 294070 29909 294161 30027
+rect 294279 29909 294370 30027
+rect 294070 29867 294370 29909
+rect 294070 29749 294161 29867
+rect 294279 29749 294370 29867
+rect 294070 12027 294370 29749
+rect 294070 11909 294161 12027
+rect 294279 11909 294370 12027
+rect 294070 11867 294370 11909
+rect 294070 11749 294161 11867
+rect 294279 11749 294370 11867
+rect 294070 -1583 294370 11749
+rect 294070 -1701 294161 -1583
+rect 294279 -1701 294370 -1583
+rect 294070 -1743 294370 -1701
+rect 294070 -1861 294161 -1743
+rect 294279 -1861 294370 -1743
+rect 294070 -1872 294370 -1861
+rect 294540 346827 294840 354021
+rect 294540 346709 294631 346827
+rect 294749 346709 294840 346827
+rect 294540 346667 294840 346709
+rect 294540 346549 294631 346667
+rect 294749 346549 294840 346667
+rect 294540 328827 294840 346549
+rect 294540 328709 294631 328827
+rect 294749 328709 294840 328827
+rect 294540 328667 294840 328709
+rect 294540 328549 294631 328667
+rect 294749 328549 294840 328667
+rect 294540 310827 294840 328549
+rect 294540 310709 294631 310827
+rect 294749 310709 294840 310827
+rect 294540 310667 294840 310709
+rect 294540 310549 294631 310667
+rect 294749 310549 294840 310667
+rect 294540 292827 294840 310549
+rect 294540 292709 294631 292827
+rect 294749 292709 294840 292827
+rect 294540 292667 294840 292709
+rect 294540 292549 294631 292667
+rect 294749 292549 294840 292667
+rect 294540 274827 294840 292549
+rect 294540 274709 294631 274827
+rect 294749 274709 294840 274827
+rect 294540 274667 294840 274709
+rect 294540 274549 294631 274667
+rect 294749 274549 294840 274667
+rect 294540 256827 294840 274549
+rect 294540 256709 294631 256827
+rect 294749 256709 294840 256827
+rect 294540 256667 294840 256709
+rect 294540 256549 294631 256667
+rect 294749 256549 294840 256667
+rect 294540 238827 294840 256549
+rect 294540 238709 294631 238827
+rect 294749 238709 294840 238827
+rect 294540 238667 294840 238709
+rect 294540 238549 294631 238667
+rect 294749 238549 294840 238667
+rect 294540 220827 294840 238549
+rect 294540 220709 294631 220827
+rect 294749 220709 294840 220827
+rect 294540 220667 294840 220709
+rect 294540 220549 294631 220667
+rect 294749 220549 294840 220667
+rect 294540 202827 294840 220549
+rect 294540 202709 294631 202827
+rect 294749 202709 294840 202827
+rect 294540 202667 294840 202709
+rect 294540 202549 294631 202667
+rect 294749 202549 294840 202667
+rect 294540 184827 294840 202549
+rect 294540 184709 294631 184827
+rect 294749 184709 294840 184827
+rect 294540 184667 294840 184709
+rect 294540 184549 294631 184667
+rect 294749 184549 294840 184667
+rect 294540 166827 294840 184549
+rect 294540 166709 294631 166827
+rect 294749 166709 294840 166827
+rect 294540 166667 294840 166709
+rect 294540 166549 294631 166667
+rect 294749 166549 294840 166667
+rect 294540 148827 294840 166549
+rect 294540 148709 294631 148827
+rect 294749 148709 294840 148827
+rect 294540 148667 294840 148709
+rect 294540 148549 294631 148667
+rect 294749 148549 294840 148667
+rect 294540 130827 294840 148549
+rect 294540 130709 294631 130827
+rect 294749 130709 294840 130827
+rect 294540 130667 294840 130709
+rect 294540 130549 294631 130667
+rect 294749 130549 294840 130667
+rect 294540 112827 294840 130549
+rect 294540 112709 294631 112827
+rect 294749 112709 294840 112827
+rect 294540 112667 294840 112709
+rect 294540 112549 294631 112667
+rect 294749 112549 294840 112667
+rect 294540 94827 294840 112549
+rect 294540 94709 294631 94827
+rect 294749 94709 294840 94827
+rect 294540 94667 294840 94709
+rect 294540 94549 294631 94667
+rect 294749 94549 294840 94667
+rect 294540 76827 294840 94549
+rect 294540 76709 294631 76827
+rect 294749 76709 294840 76827
+rect 294540 76667 294840 76709
+rect 294540 76549 294631 76667
+rect 294749 76549 294840 76667
+rect 294540 58827 294840 76549
+rect 294540 58709 294631 58827
+rect 294749 58709 294840 58827
+rect 294540 58667 294840 58709
+rect 294540 58549 294631 58667
+rect 294749 58549 294840 58667
+rect 294540 40827 294840 58549
+rect 294540 40709 294631 40827
+rect 294749 40709 294840 40827
+rect 294540 40667 294840 40709
+rect 294540 40549 294631 40667
+rect 294749 40549 294840 40667
+rect 294540 22827 294840 40549
+rect 294540 22709 294631 22827
+rect 294749 22709 294840 22827
+rect 294540 22667 294840 22709
+rect 294540 22549 294631 22667
+rect 294749 22549 294840 22667
+rect 294540 4827 294840 22549
+rect 294540 4709 294631 4827
+rect 294749 4709 294840 4827
+rect 294540 4667 294840 4709
+rect 294540 4549 294631 4667
+rect 294749 4549 294840 4667
+rect 294540 -2053 294840 4549
+rect 294540 -2171 294631 -2053
+rect 294749 -2171 294840 -2053
+rect 294540 -2213 294840 -2171
+rect 294540 -2331 294631 -2213
+rect 294749 -2331 294840 -2213
+rect 294540 -2342 294840 -2331
+rect 295010 337827 295310 354491
+rect 295010 337709 295101 337827
+rect 295219 337709 295310 337827
+rect 295010 337667 295310 337709
+rect 295010 337549 295101 337667
+rect 295219 337549 295310 337667
+rect 295010 319827 295310 337549
+rect 295010 319709 295101 319827
+rect 295219 319709 295310 319827
+rect 295010 319667 295310 319709
+rect 295010 319549 295101 319667
+rect 295219 319549 295310 319667
+rect 295010 301827 295310 319549
+rect 295010 301709 295101 301827
+rect 295219 301709 295310 301827
+rect 295010 301667 295310 301709
+rect 295010 301549 295101 301667
+rect 295219 301549 295310 301667
+rect 295010 283827 295310 301549
+rect 295010 283709 295101 283827
+rect 295219 283709 295310 283827
+rect 295010 283667 295310 283709
+rect 295010 283549 295101 283667
+rect 295219 283549 295310 283667
+rect 295010 265827 295310 283549
+rect 295010 265709 295101 265827
+rect 295219 265709 295310 265827
+rect 295010 265667 295310 265709
+rect 295010 265549 295101 265667
+rect 295219 265549 295310 265667
+rect 295010 247827 295310 265549
+rect 295010 247709 295101 247827
+rect 295219 247709 295310 247827
+rect 295010 247667 295310 247709
+rect 295010 247549 295101 247667
+rect 295219 247549 295310 247667
+rect 295010 229827 295310 247549
+rect 295010 229709 295101 229827
+rect 295219 229709 295310 229827
+rect 295010 229667 295310 229709
+rect 295010 229549 295101 229667
+rect 295219 229549 295310 229667
+rect 295010 211827 295310 229549
+rect 295010 211709 295101 211827
+rect 295219 211709 295310 211827
+rect 295010 211667 295310 211709
+rect 295010 211549 295101 211667
+rect 295219 211549 295310 211667
+rect 295010 193827 295310 211549
+rect 295010 193709 295101 193827
+rect 295219 193709 295310 193827
+rect 295010 193667 295310 193709
+rect 295010 193549 295101 193667
+rect 295219 193549 295310 193667
+rect 295010 175827 295310 193549
+rect 295010 175709 295101 175827
+rect 295219 175709 295310 175827
+rect 295010 175667 295310 175709
+rect 295010 175549 295101 175667
+rect 295219 175549 295310 175667
+rect 295010 157827 295310 175549
+rect 295010 157709 295101 157827
+rect 295219 157709 295310 157827
+rect 295010 157667 295310 157709
+rect 295010 157549 295101 157667
+rect 295219 157549 295310 157667
+rect 295010 139827 295310 157549
+rect 295010 139709 295101 139827
+rect 295219 139709 295310 139827
+rect 295010 139667 295310 139709
+rect 295010 139549 295101 139667
+rect 295219 139549 295310 139667
+rect 295010 121827 295310 139549
+rect 295010 121709 295101 121827
+rect 295219 121709 295310 121827
+rect 295010 121667 295310 121709
+rect 295010 121549 295101 121667
+rect 295219 121549 295310 121667
+rect 295010 103827 295310 121549
+rect 295010 103709 295101 103827
+rect 295219 103709 295310 103827
+rect 295010 103667 295310 103709
+rect 295010 103549 295101 103667
+rect 295219 103549 295310 103667
+rect 295010 85827 295310 103549
+rect 295010 85709 295101 85827
+rect 295219 85709 295310 85827
+rect 295010 85667 295310 85709
+rect 295010 85549 295101 85667
+rect 295219 85549 295310 85667
+rect 295010 67827 295310 85549
+rect 295010 67709 295101 67827
+rect 295219 67709 295310 67827
+rect 295010 67667 295310 67709
+rect 295010 67549 295101 67667
+rect 295219 67549 295310 67667
+rect 295010 49827 295310 67549
+rect 295010 49709 295101 49827
+rect 295219 49709 295310 49827
+rect 295010 49667 295310 49709
+rect 295010 49549 295101 49667
+rect 295219 49549 295310 49667
+rect 295010 31827 295310 49549
+rect 295010 31709 295101 31827
+rect 295219 31709 295310 31827
+rect 295010 31667 295310 31709
+rect 295010 31549 295101 31667
+rect 295219 31549 295310 31667
+rect 295010 13827 295310 31549
+rect 295010 13709 295101 13827
+rect 295219 13709 295310 13827
+rect 295010 13667 295310 13709
+rect 295010 13549 295101 13667
+rect 295219 13549 295310 13667
+rect 295010 -2523 295310 13549
+rect 295010 -2641 295101 -2523
+rect 295219 -2641 295310 -2523
+rect 295010 -2683 295310 -2641
+rect 295010 -2801 295101 -2683
+rect 295219 -2801 295310 -2683
+rect 295010 -2812 295310 -2801
+rect 295480 348627 295780 354961
+rect 295480 348509 295571 348627
+rect 295689 348509 295780 348627
+rect 295480 348467 295780 348509
+rect 295480 348349 295571 348467
+rect 295689 348349 295780 348467
+rect 295480 330627 295780 348349
+rect 295480 330509 295571 330627
+rect 295689 330509 295780 330627
+rect 295480 330467 295780 330509
+rect 295480 330349 295571 330467
+rect 295689 330349 295780 330467
+rect 295480 312627 295780 330349
+rect 295480 312509 295571 312627
+rect 295689 312509 295780 312627
+rect 295480 312467 295780 312509
+rect 295480 312349 295571 312467
+rect 295689 312349 295780 312467
+rect 295480 294627 295780 312349
+rect 295480 294509 295571 294627
+rect 295689 294509 295780 294627
+rect 295480 294467 295780 294509
+rect 295480 294349 295571 294467
+rect 295689 294349 295780 294467
+rect 295480 276627 295780 294349
+rect 295480 276509 295571 276627
+rect 295689 276509 295780 276627
+rect 295480 276467 295780 276509
+rect 295480 276349 295571 276467
+rect 295689 276349 295780 276467
+rect 295480 258627 295780 276349
+rect 295480 258509 295571 258627
+rect 295689 258509 295780 258627
+rect 295480 258467 295780 258509
+rect 295480 258349 295571 258467
+rect 295689 258349 295780 258467
+rect 295480 240627 295780 258349
+rect 295480 240509 295571 240627
+rect 295689 240509 295780 240627
+rect 295480 240467 295780 240509
+rect 295480 240349 295571 240467
+rect 295689 240349 295780 240467
+rect 295480 222627 295780 240349
+rect 295480 222509 295571 222627
+rect 295689 222509 295780 222627
+rect 295480 222467 295780 222509
+rect 295480 222349 295571 222467
+rect 295689 222349 295780 222467
+rect 295480 204627 295780 222349
+rect 295480 204509 295571 204627
+rect 295689 204509 295780 204627
+rect 295480 204467 295780 204509
+rect 295480 204349 295571 204467
+rect 295689 204349 295780 204467
+rect 295480 186627 295780 204349
+rect 295480 186509 295571 186627
+rect 295689 186509 295780 186627
+rect 295480 186467 295780 186509
+rect 295480 186349 295571 186467
+rect 295689 186349 295780 186467
+rect 295480 168627 295780 186349
+rect 295480 168509 295571 168627
+rect 295689 168509 295780 168627
+rect 295480 168467 295780 168509
+rect 295480 168349 295571 168467
+rect 295689 168349 295780 168467
+rect 295480 150627 295780 168349
+rect 295480 150509 295571 150627
+rect 295689 150509 295780 150627
+rect 295480 150467 295780 150509
+rect 295480 150349 295571 150467
+rect 295689 150349 295780 150467
+rect 295480 132627 295780 150349
+rect 295480 132509 295571 132627
+rect 295689 132509 295780 132627
+rect 295480 132467 295780 132509
+rect 295480 132349 295571 132467
+rect 295689 132349 295780 132467
+rect 295480 114627 295780 132349
+rect 295480 114509 295571 114627
+rect 295689 114509 295780 114627
+rect 295480 114467 295780 114509
+rect 295480 114349 295571 114467
+rect 295689 114349 295780 114467
+rect 295480 96627 295780 114349
+rect 295480 96509 295571 96627
+rect 295689 96509 295780 96627
+rect 295480 96467 295780 96509
+rect 295480 96349 295571 96467
+rect 295689 96349 295780 96467
+rect 295480 78627 295780 96349
+rect 295480 78509 295571 78627
+rect 295689 78509 295780 78627
+rect 295480 78467 295780 78509
+rect 295480 78349 295571 78467
+rect 295689 78349 295780 78467
+rect 295480 60627 295780 78349
+rect 295480 60509 295571 60627
+rect 295689 60509 295780 60627
+rect 295480 60467 295780 60509
+rect 295480 60349 295571 60467
+rect 295689 60349 295780 60467
+rect 295480 42627 295780 60349
+rect 295480 42509 295571 42627
+rect 295689 42509 295780 42627
+rect 295480 42467 295780 42509
+rect 295480 42349 295571 42467
+rect 295689 42349 295780 42467
+rect 295480 24627 295780 42349
+rect 295480 24509 295571 24627
+rect 295689 24509 295780 24627
+rect 295480 24467 295780 24509
+rect 295480 24349 295571 24467
+rect 295689 24349 295780 24467
+rect 295480 6627 295780 24349
+rect 295480 6509 295571 6627
+rect 295689 6509 295780 6627
+rect 295480 6467 295780 6509
+rect 295480 6349 295571 6467
+rect 295689 6349 295780 6467
+rect 295480 -2993 295780 6349
+rect 295480 -3111 295571 -2993
+rect 295689 -3111 295780 -2993
+rect 295480 -3153 295780 -3111
+rect 295480 -3271 295571 -3153
+rect 295689 -3271 295780 -3153
+rect 295480 -3282 295780 -3271
+rect 295950 339627 296250 355431
+rect 295950 339509 296041 339627
+rect 296159 339509 296250 339627
+rect 295950 339467 296250 339509
+rect 295950 339349 296041 339467
+rect 296159 339349 296250 339467
+rect 295950 321627 296250 339349
+rect 295950 321509 296041 321627
+rect 296159 321509 296250 321627
+rect 295950 321467 296250 321509
+rect 295950 321349 296041 321467
+rect 296159 321349 296250 321467
+rect 295950 303627 296250 321349
+rect 295950 303509 296041 303627
+rect 296159 303509 296250 303627
+rect 295950 303467 296250 303509
+rect 295950 303349 296041 303467
+rect 296159 303349 296250 303467
+rect 295950 285627 296250 303349
+rect 295950 285509 296041 285627
+rect 296159 285509 296250 285627
+rect 295950 285467 296250 285509
+rect 295950 285349 296041 285467
+rect 296159 285349 296250 285467
+rect 295950 267627 296250 285349
+rect 295950 267509 296041 267627
+rect 296159 267509 296250 267627
+rect 295950 267467 296250 267509
+rect 295950 267349 296041 267467
+rect 296159 267349 296250 267467
+rect 295950 249627 296250 267349
+rect 295950 249509 296041 249627
+rect 296159 249509 296250 249627
+rect 295950 249467 296250 249509
+rect 295950 249349 296041 249467
+rect 296159 249349 296250 249467
+rect 295950 231627 296250 249349
+rect 295950 231509 296041 231627
+rect 296159 231509 296250 231627
+rect 295950 231467 296250 231509
+rect 295950 231349 296041 231467
+rect 296159 231349 296250 231467
+rect 295950 213627 296250 231349
+rect 295950 213509 296041 213627
+rect 296159 213509 296250 213627
+rect 295950 213467 296250 213509
+rect 295950 213349 296041 213467
+rect 296159 213349 296250 213467
+rect 295950 195627 296250 213349
+rect 295950 195509 296041 195627
+rect 296159 195509 296250 195627
+rect 295950 195467 296250 195509
+rect 295950 195349 296041 195467
+rect 296159 195349 296250 195467
+rect 295950 177627 296250 195349
+rect 295950 177509 296041 177627
+rect 296159 177509 296250 177627
+rect 295950 177467 296250 177509
+rect 295950 177349 296041 177467
+rect 296159 177349 296250 177467
+rect 295950 159627 296250 177349
+rect 295950 159509 296041 159627
+rect 296159 159509 296250 159627
+rect 295950 159467 296250 159509
+rect 295950 159349 296041 159467
+rect 296159 159349 296250 159467
+rect 295950 141627 296250 159349
+rect 295950 141509 296041 141627
+rect 296159 141509 296250 141627
+rect 295950 141467 296250 141509
+rect 295950 141349 296041 141467
+rect 296159 141349 296250 141467
+rect 295950 123627 296250 141349
+rect 295950 123509 296041 123627
+rect 296159 123509 296250 123627
+rect 295950 123467 296250 123509
+rect 295950 123349 296041 123467
+rect 296159 123349 296250 123467
+rect 295950 105627 296250 123349
+rect 295950 105509 296041 105627
+rect 296159 105509 296250 105627
+rect 295950 105467 296250 105509
+rect 295950 105349 296041 105467
+rect 296159 105349 296250 105467
+rect 295950 87627 296250 105349
+rect 295950 87509 296041 87627
+rect 296159 87509 296250 87627
+rect 295950 87467 296250 87509
+rect 295950 87349 296041 87467
+rect 296159 87349 296250 87467
+rect 295950 69627 296250 87349
+rect 295950 69509 296041 69627
+rect 296159 69509 296250 69627
+rect 295950 69467 296250 69509
+rect 295950 69349 296041 69467
+rect 296159 69349 296250 69467
+rect 295950 51627 296250 69349
+rect 295950 51509 296041 51627
+rect 296159 51509 296250 51627
+rect 295950 51467 296250 51509
+rect 295950 51349 296041 51467
+rect 296159 51349 296250 51467
+rect 295950 33627 296250 51349
+rect 295950 33509 296041 33627
+rect 296159 33509 296250 33627
+rect 295950 33467 296250 33509
+rect 295950 33349 296041 33467
+rect 296159 33349 296250 33467
+rect 295950 15627 296250 33349
+rect 295950 15509 296041 15627
+rect 296159 15509 296250 15627
+rect 295950 15467 296250 15509
+rect 295950 15349 296041 15467
+rect 296159 15349 296250 15467
+rect 284802 -3581 284893 -3463
+rect 285011 -3581 285102 -3463
+rect 284802 -3623 285102 -3581
+rect 284802 -3741 284893 -3623
+rect 285011 -3741 285102 -3623
+rect 284802 -3752 285102 -3741
+rect 295950 -3463 296250 15349
+rect 295950 -3581 296041 -3463
+rect 296159 -3581 296250 -3463
+rect 295950 -3623 296250 -3581
+rect 295950 -3741 296041 -3623
+rect 296159 -3741 296250 -3623
+rect 295950 -3752 296250 -3741
 << via4 >>
-rect -4127 355521 -4009 355639
-rect -4127 355361 -4009 355479
-rect -4127 339509 -4009 339627
-rect -4127 339349 -4009 339467
-rect -4127 321509 -4009 321627
-rect -4127 321349 -4009 321467
-rect -4127 303509 -4009 303627
-rect -4127 303349 -4009 303467
-rect -4127 285509 -4009 285627
-rect -4127 285349 -4009 285467
-rect -4127 267509 -4009 267627
-rect -4127 267349 -4009 267467
-rect -4127 249509 -4009 249627
-rect -4127 249349 -4009 249467
-rect -4127 231509 -4009 231627
-rect -4127 231349 -4009 231467
-rect -4127 213509 -4009 213627
-rect -4127 213349 -4009 213467
-rect -4127 195509 -4009 195627
-rect -4127 195349 -4009 195467
-rect -4127 177509 -4009 177627
-rect -4127 177349 -4009 177467
-rect -4127 159509 -4009 159627
-rect -4127 159349 -4009 159467
-rect -4127 141509 -4009 141627
-rect -4127 141349 -4009 141467
-rect -4127 123509 -4009 123627
-rect -4127 123349 -4009 123467
-rect -4127 105509 -4009 105627
-rect -4127 105349 -4009 105467
-rect -4127 87509 -4009 87627
-rect -4127 87349 -4009 87467
-rect -4127 69509 -4009 69627
-rect -4127 69349 -4009 69467
-rect -4127 51509 -4009 51627
-rect -4127 51349 -4009 51467
-rect -4127 33509 -4009 33627
-rect -4127 33349 -4009 33467
-rect -4127 15509 -4009 15627
-rect -4127 15349 -4009 15467
-rect -3667 355061 -3549 355179
-rect -3667 354901 -3549 355019
-rect 5893 355061 6011 355179
-rect 5893 354901 6011 355019
-rect -3667 348509 -3549 348627
-rect -3667 348349 -3549 348467
-rect -3667 330509 -3549 330627
-rect -3667 330349 -3549 330467
-rect -3667 312509 -3549 312627
-rect -3667 312349 -3549 312467
-rect -3667 294509 -3549 294627
-rect -3667 294349 -3549 294467
-rect -3667 276509 -3549 276627
-rect -3667 276349 -3549 276467
-rect -3667 258509 -3549 258627
-rect -3667 258349 -3549 258467
-rect -3667 240509 -3549 240627
-rect -3667 240349 -3549 240467
-rect -3667 222509 -3549 222627
-rect -3667 222349 -3549 222467
-rect -3667 204509 -3549 204627
-rect -3667 204349 -3549 204467
-rect -3667 186509 -3549 186627
-rect -3667 186349 -3549 186467
-rect -3667 168509 -3549 168627
-rect -3667 168349 -3549 168467
-rect -3667 150509 -3549 150627
-rect -3667 150349 -3549 150467
-rect -3667 132509 -3549 132627
-rect -3667 132349 -3549 132467
-rect -3667 114509 -3549 114627
-rect -3667 114349 -3549 114467
-rect -3667 96509 -3549 96627
-rect -3667 96349 -3549 96467
-rect -3667 78509 -3549 78627
-rect -3667 78349 -3549 78467
-rect -3667 60509 -3549 60627
-rect -3667 60349 -3549 60467
-rect -3667 42509 -3549 42627
-rect -3667 42349 -3549 42467
-rect -3667 24509 -3549 24627
-rect -3667 24349 -3549 24467
-rect -3667 6509 -3549 6627
-rect -3667 6349 -3549 6467
-rect -3207 354601 -3089 354719
-rect -3207 354441 -3089 354559
-rect -3207 337709 -3089 337827
-rect -3207 337549 -3089 337667
-rect -3207 319709 -3089 319827
-rect -3207 319549 -3089 319667
-rect -3207 301709 -3089 301827
-rect -3207 301549 -3089 301667
-rect -3207 283709 -3089 283827
-rect -3207 283549 -3089 283667
-rect -3207 265709 -3089 265827
-rect -3207 265549 -3089 265667
-rect -3207 247709 -3089 247827
-rect -3207 247549 -3089 247667
-rect -3207 229709 -3089 229827
-rect -3207 229549 -3089 229667
-rect -3207 211709 -3089 211827
-rect -3207 211549 -3089 211667
-rect -3207 193709 -3089 193827
-rect -3207 193549 -3089 193667
-rect -3207 175709 -3089 175827
-rect -3207 175549 -3089 175667
-rect -3207 157709 -3089 157827
-rect -3207 157549 -3089 157667
-rect -3207 139709 -3089 139827
-rect -3207 139549 -3089 139667
-rect -3207 121709 -3089 121827
-rect -3207 121549 -3089 121667
-rect -3207 103709 -3089 103827
-rect -3207 103549 -3089 103667
-rect -3207 85709 -3089 85827
-rect -3207 85549 -3089 85667
-rect -3207 67709 -3089 67827
-rect -3207 67549 -3089 67667
-rect -3207 49709 -3089 49827
-rect -3207 49549 -3089 49667
-rect -3207 31709 -3089 31827
-rect -3207 31549 -3089 31667
-rect -3207 13709 -3089 13827
-rect -3207 13549 -3089 13667
-rect -2747 354141 -2629 354259
-rect -2747 353981 -2629 354099
-rect 4093 354141 4211 354259
-rect 4093 353981 4211 354099
-rect -2747 346709 -2629 346827
-rect -2747 346549 -2629 346667
-rect -2747 328709 -2629 328827
-rect -2747 328549 -2629 328667
-rect -2747 310709 -2629 310827
-rect -2747 310549 -2629 310667
-rect -2747 292709 -2629 292827
-rect -2747 292549 -2629 292667
-rect -2747 274709 -2629 274827
-rect -2747 274549 -2629 274667
-rect -2747 256709 -2629 256827
-rect -2747 256549 -2629 256667
-rect -2747 238709 -2629 238827
-rect -2747 238549 -2629 238667
-rect -2747 220709 -2629 220827
-rect -2747 220549 -2629 220667
-rect -2747 202709 -2629 202827
-rect -2747 202549 -2629 202667
-rect -2747 184709 -2629 184827
-rect -2747 184549 -2629 184667
-rect -2747 166709 -2629 166827
-rect -2747 166549 -2629 166667
-rect -2747 148709 -2629 148827
-rect -2747 148549 -2629 148667
-rect -2747 130709 -2629 130827
-rect -2747 130549 -2629 130667
-rect -2747 112709 -2629 112827
-rect -2747 112549 -2629 112667
-rect -2747 94709 -2629 94827
-rect -2747 94549 -2629 94667
-rect -2747 76709 -2629 76827
-rect -2747 76549 -2629 76667
-rect -2747 58709 -2629 58827
-rect -2747 58549 -2629 58667
-rect -2747 40709 -2629 40827
-rect -2747 40549 -2629 40667
-rect -2747 22709 -2629 22827
-rect -2747 22549 -2629 22667
-rect -2747 4709 -2629 4827
-rect -2747 4549 -2629 4667
-rect -2287 353681 -2169 353799
-rect -2287 353521 -2169 353639
-rect -2287 335909 -2169 336027
-rect -2287 335749 -2169 335867
-rect -2287 317909 -2169 318027
-rect -2287 317749 -2169 317867
-rect -2287 299909 -2169 300027
-rect -2287 299749 -2169 299867
-rect -2287 281909 -2169 282027
-rect -2287 281749 -2169 281867
-rect -2287 263909 -2169 264027
-rect -2287 263749 -2169 263867
-rect -2287 245909 -2169 246027
-rect -2287 245749 -2169 245867
-rect -2287 227909 -2169 228027
-rect -2287 227749 -2169 227867
-rect -2287 209909 -2169 210027
-rect -2287 209749 -2169 209867
-rect -2287 191909 -2169 192027
-rect -2287 191749 -2169 191867
-rect -2287 173909 -2169 174027
-rect -2287 173749 -2169 173867
-rect -2287 155909 -2169 156027
-rect -2287 155749 -2169 155867
-rect -2287 137909 -2169 138027
-rect -2287 137749 -2169 137867
-rect -2287 119909 -2169 120027
-rect -2287 119749 -2169 119867
-rect -2287 101909 -2169 102027
-rect -2287 101749 -2169 101867
-rect -2287 83909 -2169 84027
-rect -2287 83749 -2169 83867
-rect -2287 65909 -2169 66027
-rect -2287 65749 -2169 65867
-rect -2287 47909 -2169 48027
-rect -2287 47749 -2169 47867
-rect -2287 29909 -2169 30027
-rect -2287 29749 -2169 29867
-rect -2287 11909 -2169 12027
-rect -2287 11749 -2169 11867
-rect -1827 353221 -1709 353339
-rect -1827 353061 -1709 353179
-rect 2293 353221 2411 353339
-rect 2293 353061 2411 353179
-rect -1827 344909 -1709 345027
-rect -1827 344749 -1709 344867
-rect -1827 326909 -1709 327027
-rect -1827 326749 -1709 326867
-rect -1827 308909 -1709 309027
-rect -1827 308749 -1709 308867
-rect -1827 290909 -1709 291027
-rect -1827 290749 -1709 290867
-rect -1827 272909 -1709 273027
-rect -1827 272749 -1709 272867
-rect -1827 254909 -1709 255027
-rect -1827 254749 -1709 254867
-rect -1827 236909 -1709 237027
-rect -1827 236749 -1709 236867
-rect -1827 218909 -1709 219027
-rect -1827 218749 -1709 218867
-rect -1827 200909 -1709 201027
-rect -1827 200749 -1709 200867
-rect -1827 182909 -1709 183027
-rect -1827 182749 -1709 182867
-rect -1827 164909 -1709 165027
-rect -1827 164749 -1709 164867
-rect -1827 146909 -1709 147027
-rect -1827 146749 -1709 146867
-rect -1827 128909 -1709 129027
-rect -1827 128749 -1709 128867
-rect -1827 110909 -1709 111027
-rect -1827 110749 -1709 110867
-rect -1827 92909 -1709 93027
-rect -1827 92749 -1709 92867
-rect -1827 74909 -1709 75027
-rect -1827 74749 -1709 74867
-rect -1827 56909 -1709 57027
-rect -1827 56749 -1709 56867
-rect -1827 38909 -1709 39027
-rect -1827 38749 -1709 38867
-rect -1827 20909 -1709 21027
-rect -1827 20749 -1709 20867
-rect -1827 2909 -1709 3027
-rect -1827 2749 -1709 2867
-rect -1367 352761 -1249 352879
-rect -1367 352601 -1249 352719
-rect -1367 334109 -1249 334227
-rect -1367 333949 -1249 334067
-rect -1367 316109 -1249 316227
-rect -1367 315949 -1249 316067
-rect -1367 298109 -1249 298227
-rect -1367 297949 -1249 298067
-rect -1367 280109 -1249 280227
-rect -1367 279949 -1249 280067
-rect -1367 262109 -1249 262227
-rect -1367 261949 -1249 262067
-rect -1367 244109 -1249 244227
-rect -1367 243949 -1249 244067
-rect -1367 226109 -1249 226227
-rect -1367 225949 -1249 226067
-rect -1367 208109 -1249 208227
-rect -1367 207949 -1249 208067
-rect -1367 190109 -1249 190227
-rect -1367 189949 -1249 190067
-rect -1367 172109 -1249 172227
-rect -1367 171949 -1249 172067
-rect -1367 154109 -1249 154227
-rect -1367 153949 -1249 154067
-rect -1367 136109 -1249 136227
-rect -1367 135949 -1249 136067
-rect -1367 118109 -1249 118227
-rect -1367 117949 -1249 118067
-rect -1367 100109 -1249 100227
-rect -1367 99949 -1249 100067
-rect -1367 82109 -1249 82227
-rect -1367 81949 -1249 82067
-rect -1367 64109 -1249 64227
-rect -1367 63949 -1249 64067
-rect -1367 46109 -1249 46227
-rect -1367 45949 -1249 46067
-rect -1367 28109 -1249 28227
-rect -1367 27949 -1249 28067
-rect -1367 10109 -1249 10227
-rect -1367 9949 -1249 10067
+rect -4197 355591 -4079 355709
+rect -4197 355431 -4079 355549
+rect -4197 339509 -4079 339627
+rect -4197 339349 -4079 339467
+rect -4197 321509 -4079 321627
+rect -4197 321349 -4079 321467
+rect -4197 303509 -4079 303627
+rect -4197 303349 -4079 303467
+rect -4197 285509 -4079 285627
+rect -4197 285349 -4079 285467
+rect -4197 267509 -4079 267627
+rect -4197 267349 -4079 267467
+rect -4197 249509 -4079 249627
+rect -4197 249349 -4079 249467
+rect -4197 231509 -4079 231627
+rect -4197 231349 -4079 231467
+rect -4197 213509 -4079 213627
+rect -4197 213349 -4079 213467
+rect -4197 195509 -4079 195627
+rect -4197 195349 -4079 195467
+rect -4197 177509 -4079 177627
+rect -4197 177349 -4079 177467
+rect -4197 159509 -4079 159627
+rect -4197 159349 -4079 159467
+rect -4197 141509 -4079 141627
+rect -4197 141349 -4079 141467
+rect -4197 123509 -4079 123627
+rect -4197 123349 -4079 123467
+rect -4197 105509 -4079 105627
+rect -4197 105349 -4079 105467
+rect -4197 87509 -4079 87627
+rect -4197 87349 -4079 87467
+rect -4197 69509 -4079 69627
+rect -4197 69349 -4079 69467
+rect -4197 51509 -4079 51627
+rect -4197 51349 -4079 51467
+rect -4197 33509 -4079 33627
+rect -4197 33349 -4079 33467
+rect -4197 15509 -4079 15627
+rect -4197 15349 -4079 15467
+rect -3727 355121 -3609 355239
+rect -3727 354961 -3609 355079
+rect 5893 355121 6011 355239
+rect 5893 354961 6011 355079
+rect -3727 348509 -3609 348627
+rect -3727 348349 -3609 348467
+rect -3727 330509 -3609 330627
+rect -3727 330349 -3609 330467
+rect -3727 312509 -3609 312627
+rect -3727 312349 -3609 312467
+rect -3727 294509 -3609 294627
+rect -3727 294349 -3609 294467
+rect -3727 276509 -3609 276627
+rect -3727 276349 -3609 276467
+rect -3727 258509 -3609 258627
+rect -3727 258349 -3609 258467
+rect -3727 240509 -3609 240627
+rect -3727 240349 -3609 240467
+rect -3727 222509 -3609 222627
+rect -3727 222349 -3609 222467
+rect -3727 204509 -3609 204627
+rect -3727 204349 -3609 204467
+rect -3727 186509 -3609 186627
+rect -3727 186349 -3609 186467
+rect -3727 168509 -3609 168627
+rect -3727 168349 -3609 168467
+rect -3727 150509 -3609 150627
+rect -3727 150349 -3609 150467
+rect -3727 132509 -3609 132627
+rect -3727 132349 -3609 132467
+rect -3727 114509 -3609 114627
+rect -3727 114349 -3609 114467
+rect -3727 96509 -3609 96627
+rect -3727 96349 -3609 96467
+rect -3727 78509 -3609 78627
+rect -3727 78349 -3609 78467
+rect -3727 60509 -3609 60627
+rect -3727 60349 -3609 60467
+rect -3727 42509 -3609 42627
+rect -3727 42349 -3609 42467
+rect -3727 24509 -3609 24627
+rect -3727 24349 -3609 24467
+rect -3727 6509 -3609 6627
+rect -3727 6349 -3609 6467
+rect -3257 354651 -3139 354769
+rect -3257 354491 -3139 354609
+rect -3257 337709 -3139 337827
+rect -3257 337549 -3139 337667
+rect -3257 319709 -3139 319827
+rect -3257 319549 -3139 319667
+rect -3257 301709 -3139 301827
+rect -3257 301549 -3139 301667
+rect -3257 283709 -3139 283827
+rect -3257 283549 -3139 283667
+rect -3257 265709 -3139 265827
+rect -3257 265549 -3139 265667
+rect -3257 247709 -3139 247827
+rect -3257 247549 -3139 247667
+rect -3257 229709 -3139 229827
+rect -3257 229549 -3139 229667
+rect -3257 211709 -3139 211827
+rect -3257 211549 -3139 211667
+rect -3257 193709 -3139 193827
+rect -3257 193549 -3139 193667
+rect -3257 175709 -3139 175827
+rect -3257 175549 -3139 175667
+rect -3257 157709 -3139 157827
+rect -3257 157549 -3139 157667
+rect -3257 139709 -3139 139827
+rect -3257 139549 -3139 139667
+rect -3257 121709 -3139 121827
+rect -3257 121549 -3139 121667
+rect -3257 103709 -3139 103827
+rect -3257 103549 -3139 103667
+rect -3257 85709 -3139 85827
+rect -3257 85549 -3139 85667
+rect -3257 67709 -3139 67827
+rect -3257 67549 -3139 67667
+rect -3257 49709 -3139 49827
+rect -3257 49549 -3139 49667
+rect -3257 31709 -3139 31827
+rect -3257 31549 -3139 31667
+rect -3257 13709 -3139 13827
+rect -3257 13549 -3139 13667
+rect -2787 354181 -2669 354299
+rect -2787 354021 -2669 354139
+rect 4093 354181 4211 354299
+rect 4093 354021 4211 354139
+rect -2787 346709 -2669 346827
+rect -2787 346549 -2669 346667
+rect -2787 328709 -2669 328827
+rect -2787 328549 -2669 328667
+rect -2787 310709 -2669 310827
+rect -2787 310549 -2669 310667
+rect -2787 292709 -2669 292827
+rect -2787 292549 -2669 292667
+rect -2787 274709 -2669 274827
+rect -2787 274549 -2669 274667
+rect -2787 256709 -2669 256827
+rect -2787 256549 -2669 256667
+rect -2787 238709 -2669 238827
+rect -2787 238549 -2669 238667
+rect -2787 220709 -2669 220827
+rect -2787 220549 -2669 220667
+rect -2787 202709 -2669 202827
+rect -2787 202549 -2669 202667
+rect -2787 184709 -2669 184827
+rect -2787 184549 -2669 184667
+rect -2787 166709 -2669 166827
+rect -2787 166549 -2669 166667
+rect -2787 148709 -2669 148827
+rect -2787 148549 -2669 148667
+rect -2787 130709 -2669 130827
+rect -2787 130549 -2669 130667
+rect -2787 112709 -2669 112827
+rect -2787 112549 -2669 112667
+rect -2787 94709 -2669 94827
+rect -2787 94549 -2669 94667
+rect -2787 76709 -2669 76827
+rect -2787 76549 -2669 76667
+rect -2787 58709 -2669 58827
+rect -2787 58549 -2669 58667
+rect -2787 40709 -2669 40827
+rect -2787 40549 -2669 40667
+rect -2787 22709 -2669 22827
+rect -2787 22549 -2669 22667
+rect -2787 4709 -2669 4827
+rect -2787 4549 -2669 4667
+rect -2317 353711 -2199 353829
+rect -2317 353551 -2199 353669
+rect -2317 335909 -2199 336027
+rect -2317 335749 -2199 335867
+rect -2317 317909 -2199 318027
+rect -2317 317749 -2199 317867
+rect -2317 299909 -2199 300027
+rect -2317 299749 -2199 299867
+rect -2317 281909 -2199 282027
+rect -2317 281749 -2199 281867
+rect -2317 263909 -2199 264027
+rect -2317 263749 -2199 263867
+rect -2317 245909 -2199 246027
+rect -2317 245749 -2199 245867
+rect -2317 227909 -2199 228027
+rect -2317 227749 -2199 227867
+rect -2317 209909 -2199 210027
+rect -2317 209749 -2199 209867
+rect -2317 191909 -2199 192027
+rect -2317 191749 -2199 191867
+rect -2317 173909 -2199 174027
+rect -2317 173749 -2199 173867
+rect -2317 155909 -2199 156027
+rect -2317 155749 -2199 155867
+rect -2317 137909 -2199 138027
+rect -2317 137749 -2199 137867
+rect -2317 119909 -2199 120027
+rect -2317 119749 -2199 119867
+rect -2317 101909 -2199 102027
+rect -2317 101749 -2199 101867
+rect -2317 83909 -2199 84027
+rect -2317 83749 -2199 83867
+rect -2317 65909 -2199 66027
+rect -2317 65749 -2199 65867
+rect -2317 47909 -2199 48027
+rect -2317 47749 -2199 47867
+rect -2317 29909 -2199 30027
+rect -2317 29749 -2199 29867
+rect -2317 11909 -2199 12027
+rect -2317 11749 -2199 11867
+rect -1847 353241 -1729 353359
+rect -1847 353081 -1729 353199
+rect 2293 353241 2411 353359
+rect 2293 353081 2411 353199
+rect -1847 344909 -1729 345027
+rect -1847 344749 -1729 344867
+rect -1847 326909 -1729 327027
+rect -1847 326749 -1729 326867
+rect -1847 308909 -1729 309027
+rect -1847 308749 -1729 308867
+rect -1847 290909 -1729 291027
+rect -1847 290749 -1729 290867
+rect -1847 272909 -1729 273027
+rect -1847 272749 -1729 272867
+rect -1847 254909 -1729 255027
+rect -1847 254749 -1729 254867
+rect -1847 236909 -1729 237027
+rect -1847 236749 -1729 236867
+rect -1847 218909 -1729 219027
+rect -1847 218749 -1729 218867
+rect -1847 200909 -1729 201027
+rect -1847 200749 -1729 200867
+rect -1847 182909 -1729 183027
+rect -1847 182749 -1729 182867
+rect -1847 164909 -1729 165027
+rect -1847 164749 -1729 164867
+rect -1847 146909 -1729 147027
+rect -1847 146749 -1729 146867
+rect -1847 128909 -1729 129027
+rect -1847 128749 -1729 128867
+rect -1847 110909 -1729 111027
+rect -1847 110749 -1729 110867
+rect -1847 92909 -1729 93027
+rect -1847 92749 -1729 92867
+rect -1847 74909 -1729 75027
+rect -1847 74749 -1729 74867
+rect -1847 56909 -1729 57027
+rect -1847 56749 -1729 56867
+rect -1847 38909 -1729 39027
+rect -1847 38749 -1729 38867
+rect -1847 20909 -1729 21027
+rect -1847 20749 -1729 20867
+rect -1847 2909 -1729 3027
+rect -1847 2749 -1729 2867
+rect -1377 352771 -1259 352889
+rect -1377 352611 -1259 352729
+rect -1377 334109 -1259 334227
+rect -1377 333949 -1259 334067
+rect -1377 316109 -1259 316227
+rect -1377 315949 -1259 316067
+rect -1377 298109 -1259 298227
+rect -1377 297949 -1259 298067
+rect -1377 280109 -1259 280227
+rect -1377 279949 -1259 280067
+rect -1377 262109 -1259 262227
+rect -1377 261949 -1259 262067
+rect -1377 244109 -1259 244227
+rect -1377 243949 -1259 244067
+rect -1377 226109 -1259 226227
+rect -1377 225949 -1259 226067
+rect -1377 208109 -1259 208227
+rect -1377 207949 -1259 208067
+rect -1377 190109 -1259 190227
+rect -1377 189949 -1259 190067
+rect -1377 172109 -1259 172227
+rect -1377 171949 -1259 172067
+rect -1377 154109 -1259 154227
+rect -1377 153949 -1259 154067
+rect -1377 136109 -1259 136227
+rect -1377 135949 -1259 136067
+rect -1377 118109 -1259 118227
+rect -1377 117949 -1259 118067
+rect -1377 100109 -1259 100227
+rect -1377 99949 -1259 100067
+rect -1377 82109 -1259 82227
+rect -1377 81949 -1259 82067
+rect -1377 64109 -1259 64227
+rect -1377 63949 -1259 64067
+rect -1377 46109 -1259 46227
+rect -1377 45949 -1259 46067
+rect -1377 28109 -1259 28227
+rect -1377 27949 -1259 28067
+rect -1377 10109 -1259 10227
+rect -1377 9949 -1259 10067
 rect -907 352301 -789 352419
 rect -907 352141 -789 352259
 rect 493 352301 611 352419
 rect 493 352141 611 352259
-rect 14893 355521 15011 355639
-rect 14893 355361 15011 355479
-rect 13093 354601 13211 354719
-rect 13093 354441 13211 354559
-rect 11293 353681 11411 353799
-rect 11293 353521 11411 353639
-rect 9493 352761 9611 352879
-rect 9493 352601 9611 352719
-rect 23893 355061 24011 355179
-rect 23893 354901 24011 355019
-rect 22093 354141 22211 354259
-rect 22093 353981 22211 354099
-rect 20293 353221 20411 353339
-rect 20293 353061 20411 353179
+rect 14893 355591 15011 355709
+rect 14893 355431 15011 355549
+rect 13093 354651 13211 354769
+rect 13093 354491 13211 354609
+rect 11293 353711 11411 353829
+rect 11293 353551 11411 353669
+rect 9493 352771 9611 352889
+rect 9493 352611 9611 352729
+rect 23893 355121 24011 355239
+rect 23893 354961 24011 355079
+rect 22093 354181 22211 354299
+rect 22093 354021 22211 354139
+rect 20293 353241 20411 353359
+rect 20293 353081 20411 353199
 rect 18493 352301 18611 352419
 rect 18493 352141 18611 352259
-rect 32893 355521 33011 355639
-rect 32893 355361 33011 355479
-rect 31093 354601 31211 354719
-rect 31093 354441 31211 354559
-rect 29293 353681 29411 353799
-rect 29293 353521 29411 353639
-rect 27493 352761 27611 352879
-rect 27493 352601 27611 352719
-rect 41893 355061 42011 355179
-rect 41893 354901 42011 355019
-rect 40093 354141 40211 354259
-rect 40093 353981 40211 354099
-rect 38293 353221 38411 353339
-rect 38293 353061 38411 353179
+rect 32893 355591 33011 355709
+rect 32893 355431 33011 355549
+rect 31093 354651 31211 354769
+rect 31093 354491 31211 354609
+rect 29293 353711 29411 353829
+rect 29293 353551 29411 353669
+rect 27493 352771 27611 352889
+rect 27493 352611 27611 352729
+rect 41893 355121 42011 355239
+rect 41893 354961 42011 355079
+rect 40093 354181 40211 354299
+rect 40093 354021 40211 354139
+rect 38293 353241 38411 353359
+rect 38293 353081 38411 353199
 rect 36493 352301 36611 352419
 rect 36493 352141 36611 352259
-rect 50893 355521 51011 355639
-rect 50893 355361 51011 355479
-rect 49093 354601 49211 354719
-rect 49093 354441 49211 354559
-rect 47293 353681 47411 353799
-rect 47293 353521 47411 353639
-rect 45493 352761 45611 352879
-rect 45493 352601 45611 352719
-rect 59893 355061 60011 355179
-rect 59893 354901 60011 355019
-rect 58093 354141 58211 354259
-rect 58093 353981 58211 354099
-rect 56293 353221 56411 353339
-rect 56293 353061 56411 353179
+rect 50893 355591 51011 355709
+rect 50893 355431 51011 355549
+rect 49093 354651 49211 354769
+rect 49093 354491 49211 354609
+rect 47293 353711 47411 353829
+rect 47293 353551 47411 353669
+rect 45493 352771 45611 352889
+rect 45493 352611 45611 352729
+rect 59893 355121 60011 355239
+rect 59893 354961 60011 355079
+rect 58093 354181 58211 354299
+rect 58093 354021 58211 354139
+rect 56293 353241 56411 353359
+rect 56293 353081 56411 353199
 rect 54493 352301 54611 352419
 rect 54493 352141 54611 352259
-rect 68893 355521 69011 355639
-rect 68893 355361 69011 355479
-rect 67093 354601 67211 354719
-rect 67093 354441 67211 354559
-rect 65293 353681 65411 353799
-rect 65293 353521 65411 353639
-rect 63493 352761 63611 352879
-rect 63493 352601 63611 352719
-rect 77893 355061 78011 355179
-rect 77893 354901 78011 355019
-rect 76093 354141 76211 354259
-rect 76093 353981 76211 354099
-rect 74293 353221 74411 353339
-rect 74293 353061 74411 353179
+rect 68893 355591 69011 355709
+rect 68893 355431 69011 355549
+rect 67093 354651 67211 354769
+rect 67093 354491 67211 354609
+rect 65293 353711 65411 353829
+rect 65293 353551 65411 353669
+rect 63493 352771 63611 352889
+rect 63493 352611 63611 352729
+rect 77893 355121 78011 355239
+rect 77893 354961 78011 355079
+rect 76093 354181 76211 354299
+rect 76093 354021 76211 354139
+rect 74293 353241 74411 353359
+rect 74293 353081 74411 353199
 rect 72493 352301 72611 352419
 rect 72493 352141 72611 352259
-rect 86893 355521 87011 355639
-rect 86893 355361 87011 355479
-rect 85093 354601 85211 354719
-rect 85093 354441 85211 354559
-rect 83293 353681 83411 353799
-rect 83293 353521 83411 353639
-rect 81493 352761 81611 352879
-rect 81493 352601 81611 352719
-rect 95893 355061 96011 355179
-rect 95893 354901 96011 355019
-rect 94093 354141 94211 354259
-rect 94093 353981 94211 354099
-rect 92293 353221 92411 353339
-rect 92293 353061 92411 353179
+rect 86893 355591 87011 355709
+rect 86893 355431 87011 355549
+rect 85093 354651 85211 354769
+rect 85093 354491 85211 354609
+rect 83293 353711 83411 353829
+rect 83293 353551 83411 353669
+rect 81493 352771 81611 352889
+rect 81493 352611 81611 352729
+rect 95893 355121 96011 355239
+rect 95893 354961 96011 355079
+rect 94093 354181 94211 354299
+rect 94093 354021 94211 354139
+rect 92293 353241 92411 353359
+rect 92293 353081 92411 353199
 rect 90493 352301 90611 352419
 rect 90493 352141 90611 352259
-rect 104893 355521 105011 355639
-rect 104893 355361 105011 355479
-rect 103093 354601 103211 354719
-rect 103093 354441 103211 354559
-rect 101293 353681 101411 353799
-rect 101293 353521 101411 353639
-rect 99493 352761 99611 352879
-rect 99493 352601 99611 352719
-rect 113893 355061 114011 355179
-rect 113893 354901 114011 355019
-rect 112093 354141 112211 354259
-rect 112093 353981 112211 354099
-rect 110293 353221 110411 353339
-rect 110293 353061 110411 353179
+rect 104893 355591 105011 355709
+rect 104893 355431 105011 355549
+rect 103093 354651 103211 354769
+rect 103093 354491 103211 354609
+rect 101293 353711 101411 353829
+rect 101293 353551 101411 353669
+rect 99493 352771 99611 352889
+rect 99493 352611 99611 352729
+rect 113893 355121 114011 355239
+rect 113893 354961 114011 355079
+rect 112093 354181 112211 354299
+rect 112093 354021 112211 354139
+rect 110293 353241 110411 353359
+rect 110293 353081 110411 353199
 rect 108493 352301 108611 352419
 rect 108493 352141 108611 352259
-rect 122893 355521 123011 355639
-rect 122893 355361 123011 355479
-rect 121093 354601 121211 354719
-rect 121093 354441 121211 354559
-rect 119293 353681 119411 353799
-rect 119293 353521 119411 353639
-rect 117493 352761 117611 352879
-rect 117493 352601 117611 352719
-rect 131893 355061 132011 355179
-rect 131893 354901 132011 355019
-rect 130093 354141 130211 354259
-rect 130093 353981 130211 354099
-rect 128293 353221 128411 353339
-rect 128293 353061 128411 353179
+rect 122893 355591 123011 355709
+rect 122893 355431 123011 355549
+rect 121093 354651 121211 354769
+rect 121093 354491 121211 354609
+rect 119293 353711 119411 353829
+rect 119293 353551 119411 353669
+rect 117493 352771 117611 352889
+rect 117493 352611 117611 352729
+rect 131893 355121 132011 355239
+rect 131893 354961 132011 355079
+rect 130093 354181 130211 354299
+rect 130093 354021 130211 354139
+rect 128293 353241 128411 353359
+rect 128293 353081 128411 353199
 rect 126493 352301 126611 352419
 rect 126493 352141 126611 352259
-rect 140893 355521 141011 355639
-rect 140893 355361 141011 355479
-rect 139093 354601 139211 354719
-rect 139093 354441 139211 354559
-rect 137293 353681 137411 353799
-rect 137293 353521 137411 353639
-rect 135493 352761 135611 352879
-rect 135493 352601 135611 352719
-rect 149893 355061 150011 355179
-rect 149893 354901 150011 355019
-rect 148093 354141 148211 354259
-rect 148093 353981 148211 354099
-rect 146293 353221 146411 353339
-rect 146293 353061 146411 353179
+rect 140893 355591 141011 355709
+rect 140893 355431 141011 355549
+rect 139093 354651 139211 354769
+rect 139093 354491 139211 354609
+rect 137293 353711 137411 353829
+rect 137293 353551 137411 353669
+rect 135493 352771 135611 352889
+rect 135493 352611 135611 352729
+rect 149893 355121 150011 355239
+rect 149893 354961 150011 355079
+rect 148093 354181 148211 354299
+rect 148093 354021 148211 354139
+rect 146293 353241 146411 353359
+rect 146293 353081 146411 353199
 rect 144493 352301 144611 352419
 rect 144493 352141 144611 352259
-rect 158893 355521 159011 355639
-rect 158893 355361 159011 355479
-rect 157093 354601 157211 354719
-rect 157093 354441 157211 354559
-rect 155293 353681 155411 353799
-rect 155293 353521 155411 353639
-rect 153493 352761 153611 352879
-rect 153493 352601 153611 352719
-rect 167893 355061 168011 355179
-rect 167893 354901 168011 355019
-rect 166093 354141 166211 354259
-rect 166093 353981 166211 354099
-rect 164293 353221 164411 353339
-rect 164293 353061 164411 353179
+rect 158893 355591 159011 355709
+rect 158893 355431 159011 355549
+rect 157093 354651 157211 354769
+rect 157093 354491 157211 354609
+rect 155293 353711 155411 353829
+rect 155293 353551 155411 353669
+rect 153493 352771 153611 352889
+rect 153493 352611 153611 352729
+rect 167893 355121 168011 355239
+rect 167893 354961 168011 355079
+rect 166093 354181 166211 354299
+rect 166093 354021 166211 354139
+rect 164293 353241 164411 353359
+rect 164293 353081 164411 353199
 rect 162493 352301 162611 352419
 rect 162493 352141 162611 352259
-rect 176893 355521 177011 355639
-rect 176893 355361 177011 355479
-rect 175093 354601 175211 354719
-rect 175093 354441 175211 354559
-rect 173293 353681 173411 353799
-rect 173293 353521 173411 353639
-rect 171493 352761 171611 352879
-rect 171493 352601 171611 352719
-rect 185893 355061 186011 355179
-rect 185893 354901 186011 355019
-rect 184093 354141 184211 354259
-rect 184093 353981 184211 354099
-rect 182293 353221 182411 353339
-rect 182293 353061 182411 353179
+rect 176893 355591 177011 355709
+rect 176893 355431 177011 355549
+rect 175093 354651 175211 354769
+rect 175093 354491 175211 354609
+rect 173293 353711 173411 353829
+rect 173293 353551 173411 353669
+rect 171493 352771 171611 352889
+rect 171493 352611 171611 352729
+rect 185893 355121 186011 355239
+rect 185893 354961 186011 355079
+rect 184093 354181 184211 354299
+rect 184093 354021 184211 354139
+rect 182293 353241 182411 353359
+rect 182293 353081 182411 353199
 rect 180493 352301 180611 352419
 rect 180493 352141 180611 352259
-rect 194893 355521 195011 355639
-rect 194893 355361 195011 355479
-rect 193093 354601 193211 354719
-rect 193093 354441 193211 354559
-rect 191293 353681 191411 353799
-rect 191293 353521 191411 353639
-rect 189493 352761 189611 352879
-rect 189493 352601 189611 352719
-rect 203893 355061 204011 355179
-rect 203893 354901 204011 355019
-rect 202093 354141 202211 354259
-rect 202093 353981 202211 354099
-rect 200293 353221 200411 353339
-rect 200293 353061 200411 353179
+rect 194893 355591 195011 355709
+rect 194893 355431 195011 355549
+rect 193093 354651 193211 354769
+rect 193093 354491 193211 354609
+rect 191293 353711 191411 353829
+rect 191293 353551 191411 353669
+rect 189493 352771 189611 352889
+rect 189493 352611 189611 352729
+rect 203893 355121 204011 355239
+rect 203893 354961 204011 355079
+rect 202093 354181 202211 354299
+rect 202093 354021 202211 354139
+rect 200293 353241 200411 353359
+rect 200293 353081 200411 353199
 rect 198493 352301 198611 352419
 rect 198493 352141 198611 352259
-rect 212893 355521 213011 355639
-rect 212893 355361 213011 355479
-rect 211093 354601 211211 354719
-rect 211093 354441 211211 354559
-rect 209293 353681 209411 353799
-rect 209293 353521 209411 353639
-rect 207493 352761 207611 352879
-rect 207493 352601 207611 352719
-rect 221893 355061 222011 355179
-rect 221893 354901 222011 355019
-rect 220093 354141 220211 354259
-rect 220093 353981 220211 354099
-rect 218293 353221 218411 353339
-rect 218293 353061 218411 353179
+rect 212893 355591 213011 355709
+rect 212893 355431 213011 355549
+rect 211093 354651 211211 354769
+rect 211093 354491 211211 354609
+rect 209293 353711 209411 353829
+rect 209293 353551 209411 353669
+rect 207493 352771 207611 352889
+rect 207493 352611 207611 352729
+rect 221893 355121 222011 355239
+rect 221893 354961 222011 355079
+rect 220093 354181 220211 354299
+rect 220093 354021 220211 354139
+rect 218293 353241 218411 353359
+rect 218293 353081 218411 353199
 rect 216493 352301 216611 352419
 rect 216493 352141 216611 352259
-rect 230893 355521 231011 355639
-rect 230893 355361 231011 355479
-rect 229093 354601 229211 354719
-rect 229093 354441 229211 354559
-rect 227293 353681 227411 353799
-rect 227293 353521 227411 353639
-rect 225493 352761 225611 352879
-rect 225493 352601 225611 352719
-rect 239893 355061 240011 355179
-rect 239893 354901 240011 355019
-rect 238093 354141 238211 354259
-rect 238093 353981 238211 354099
-rect 236293 353221 236411 353339
-rect 236293 353061 236411 353179
+rect 230893 355591 231011 355709
+rect 230893 355431 231011 355549
+rect 229093 354651 229211 354769
+rect 229093 354491 229211 354609
+rect 227293 353711 227411 353829
+rect 227293 353551 227411 353669
+rect 225493 352771 225611 352889
+rect 225493 352611 225611 352729
+rect 239893 355121 240011 355239
+rect 239893 354961 240011 355079
+rect 238093 354181 238211 354299
+rect 238093 354021 238211 354139
+rect 236293 353241 236411 353359
+rect 236293 353081 236411 353199
 rect 234493 352301 234611 352419
 rect 234493 352141 234611 352259
-rect 248893 355521 249011 355639
-rect 248893 355361 249011 355479
-rect 247093 354601 247211 354719
-rect 247093 354441 247211 354559
-rect 245293 353681 245411 353799
-rect 245293 353521 245411 353639
-rect 243493 352761 243611 352879
-rect 243493 352601 243611 352719
-rect 257893 355061 258011 355179
-rect 257893 354901 258011 355019
-rect 256093 354141 256211 354259
-rect 256093 353981 256211 354099
-rect 254293 353221 254411 353339
-rect 254293 353061 254411 353179
+rect 248893 355591 249011 355709
+rect 248893 355431 249011 355549
+rect 247093 354651 247211 354769
+rect 247093 354491 247211 354609
+rect 245293 353711 245411 353829
+rect 245293 353551 245411 353669
+rect 243493 352771 243611 352889
+rect 243493 352611 243611 352729
+rect 257893 355121 258011 355239
+rect 257893 354961 258011 355079
+rect 256093 354181 256211 354299
+rect 256093 354021 256211 354139
+rect 254293 353241 254411 353359
+rect 254293 353081 254411 353199
 rect 252493 352301 252611 352419
 rect 252493 352141 252611 352259
-rect 266893 355521 267011 355639
-rect 266893 355361 267011 355479
-rect 265093 354601 265211 354719
-rect 265093 354441 265211 354559
-rect 263293 353681 263411 353799
-rect 263293 353521 263411 353639
-rect 261493 352761 261611 352879
-rect 261493 352601 261611 352719
-rect 275893 355061 276011 355179
-rect 275893 354901 276011 355019
-rect 274093 354141 274211 354259
-rect 274093 353981 274211 354099
-rect 272293 353221 272411 353339
-rect 272293 353061 272411 353179
+rect 266893 355591 267011 355709
+rect 266893 355431 267011 355549
+rect 265093 354651 265211 354769
+rect 265093 354491 265211 354609
+rect 263293 353711 263411 353829
+rect 263293 353551 263411 353669
+rect 261493 352771 261611 352889
+rect 261493 352611 261611 352729
+rect 275893 355121 276011 355239
+rect 275893 354961 276011 355079
+rect 274093 354181 274211 354299
+rect 274093 354021 274211 354139
+rect 272293 353241 272411 353359
+rect 272293 353081 272411 353199
 rect 270493 352301 270611 352419
 rect 270493 352141 270611 352259
-rect 284893 355521 285011 355639
-rect 284893 355361 285011 355479
-rect 283093 354601 283211 354719
-rect 283093 354441 283211 354559
-rect 281293 353681 281411 353799
-rect 281293 353521 281411 353639
-rect 279493 352761 279611 352879
-rect 279493 352601 279611 352719
-rect 295971 355521 296089 355639
-rect 295971 355361 296089 355479
-rect 295511 355061 295629 355179
-rect 295511 354901 295629 355019
-rect 295051 354601 295169 354719
-rect 295051 354441 295169 354559
-rect 294591 354141 294709 354259
-rect 294591 353981 294709 354099
-rect 294131 353681 294249 353799
-rect 294131 353521 294249 353639
-rect 290293 353221 290411 353339
-rect 290293 353061 290411 353179
+rect 284893 355591 285011 355709
+rect 284893 355431 285011 355549
+rect 283093 354651 283211 354769
+rect 283093 354491 283211 354609
+rect 281293 353711 281411 353829
+rect 281293 353551 281411 353669
+rect 279493 352771 279611 352889
+rect 279493 352611 279611 352729
+rect 296041 355591 296159 355709
+rect 296041 355431 296159 355549
+rect 295571 355121 295689 355239
+rect 295571 354961 295689 355079
+rect 295101 354651 295219 354769
+rect 295101 354491 295219 354609
+rect 294631 354181 294749 354299
+rect 294631 354021 294749 354139
+rect 294161 353711 294279 353829
+rect 294161 353551 294279 353669
+rect 290293 353241 290411 353359
+rect 290293 353081 290411 353199
 rect 288493 352301 288611 352419
 rect 288493 352141 288611 352259
-rect 293671 353221 293789 353339
-rect 293671 353061 293789 353179
-rect 293211 352761 293329 352879
-rect 293211 352601 293329 352719
+rect 293691 353241 293809 353359
+rect 293691 353081 293809 353199
+rect 293221 352771 293339 352889
+rect 293221 352611 293339 352729
 rect 292751 352301 292869 352419
 rect 292751 352141 292869 352259
 rect -907 343109 -789 343227
@@ -5191,1110 +5191,1110 @@
 rect -907 -451 -789 -333
 rect 493 -291 611 -173
 rect 493 -451 611 -333
-rect -1367 -751 -1249 -633
-rect -1367 -911 -1249 -793
-rect -1827 -1211 -1709 -1093
-rect -1827 -1371 -1709 -1253
-rect 2293 -1211 2411 -1093
-rect 2293 -1371 2411 -1253
-rect -2287 -1671 -2169 -1553
-rect -2287 -1831 -2169 -1713
-rect -2747 -2131 -2629 -2013
-rect -2747 -2291 -2629 -2173
-rect 4093 -2131 4211 -2013
-rect 4093 -2291 4211 -2173
-rect -3207 -2591 -3089 -2473
-rect -3207 -2751 -3089 -2633
-rect -3667 -3051 -3549 -2933
-rect -3667 -3211 -3549 -3093
-rect 9493 -751 9611 -633
-rect 9493 -911 9611 -793
-rect 11293 -1671 11411 -1553
-rect 11293 -1831 11411 -1713
-rect 13093 -2591 13211 -2473
-rect 13093 -2751 13211 -2633
-rect 5893 -3051 6011 -2933
-rect 5893 -3211 6011 -3093
-rect -4127 -3511 -4009 -3393
-rect -4127 -3671 -4009 -3553
+rect -1377 -761 -1259 -643
+rect -1377 -921 -1259 -803
+rect -1847 -1231 -1729 -1113
+rect -1847 -1391 -1729 -1273
+rect 2293 -1231 2411 -1113
+rect 2293 -1391 2411 -1273
+rect -2317 -1701 -2199 -1583
+rect -2317 -1861 -2199 -1743
+rect -2787 -2171 -2669 -2053
+rect -2787 -2331 -2669 -2213
+rect 4093 -2171 4211 -2053
+rect 4093 -2331 4211 -2213
+rect -3257 -2641 -3139 -2523
+rect -3257 -2801 -3139 -2683
+rect -3727 -3111 -3609 -2993
+rect -3727 -3271 -3609 -3153
+rect 9493 -761 9611 -643
+rect 9493 -921 9611 -803
+rect 11293 -1701 11411 -1583
+rect 11293 -1861 11411 -1743
+rect 13093 -2641 13211 -2523
+rect 13093 -2801 13211 -2683
+rect 5893 -3111 6011 -2993
+rect 5893 -3271 6011 -3153
+rect -4197 -3581 -4079 -3463
+rect -4197 -3741 -4079 -3623
 rect 18493 -291 18611 -173
 rect 18493 -451 18611 -333
-rect 20293 -1211 20411 -1093
-rect 20293 -1371 20411 -1253
-rect 22093 -2131 22211 -2013
-rect 22093 -2291 22211 -2173
-rect 14893 -3511 15011 -3393
-rect 14893 -3671 15011 -3553
-rect 27493 -751 27611 -633
-rect 27493 -911 27611 -793
-rect 29293 -1671 29411 -1553
-rect 29293 -1831 29411 -1713
-rect 31093 -2591 31211 -2473
-rect 31093 -2751 31211 -2633
-rect 23893 -3051 24011 -2933
-rect 23893 -3211 24011 -3093
+rect 20293 -1231 20411 -1113
+rect 20293 -1391 20411 -1273
+rect 22093 -2171 22211 -2053
+rect 22093 -2331 22211 -2213
+rect 14893 -3581 15011 -3463
+rect 14893 -3741 15011 -3623
+rect 27493 -761 27611 -643
+rect 27493 -921 27611 -803
+rect 29293 -1701 29411 -1583
+rect 29293 -1861 29411 -1743
+rect 31093 -2641 31211 -2523
+rect 31093 -2801 31211 -2683
+rect 23893 -3111 24011 -2993
+rect 23893 -3271 24011 -3153
 rect 36493 -291 36611 -173
 rect 36493 -451 36611 -333
-rect 38293 -1211 38411 -1093
-rect 38293 -1371 38411 -1253
-rect 40093 -2131 40211 -2013
-rect 40093 -2291 40211 -2173
-rect 32893 -3511 33011 -3393
-rect 32893 -3671 33011 -3553
-rect 45493 -751 45611 -633
-rect 45493 -911 45611 -793
-rect 47293 -1671 47411 -1553
-rect 47293 -1831 47411 -1713
-rect 49093 -2591 49211 -2473
-rect 49093 -2751 49211 -2633
-rect 41893 -3051 42011 -2933
-rect 41893 -3211 42011 -3093
+rect 38293 -1231 38411 -1113
+rect 38293 -1391 38411 -1273
+rect 40093 -2171 40211 -2053
+rect 40093 -2331 40211 -2213
+rect 32893 -3581 33011 -3463
+rect 32893 -3741 33011 -3623
+rect 45493 -761 45611 -643
+rect 45493 -921 45611 -803
+rect 47293 -1701 47411 -1583
+rect 47293 -1861 47411 -1743
+rect 49093 -2641 49211 -2523
+rect 49093 -2801 49211 -2683
+rect 41893 -3111 42011 -2993
+rect 41893 -3271 42011 -3153
 rect 54493 -291 54611 -173
 rect 54493 -451 54611 -333
-rect 56293 -1211 56411 -1093
-rect 56293 -1371 56411 -1253
-rect 58093 -2131 58211 -2013
-rect 58093 -2291 58211 -2173
-rect 50893 -3511 51011 -3393
-rect 50893 -3671 51011 -3553
-rect 63493 -751 63611 -633
-rect 63493 -911 63611 -793
-rect 65293 -1671 65411 -1553
-rect 65293 -1831 65411 -1713
-rect 67093 -2591 67211 -2473
-rect 67093 -2751 67211 -2633
-rect 59893 -3051 60011 -2933
-rect 59893 -3211 60011 -3093
+rect 56293 -1231 56411 -1113
+rect 56293 -1391 56411 -1273
+rect 58093 -2171 58211 -2053
+rect 58093 -2331 58211 -2213
+rect 50893 -3581 51011 -3463
+rect 50893 -3741 51011 -3623
+rect 63493 -761 63611 -643
+rect 63493 -921 63611 -803
+rect 65293 -1701 65411 -1583
+rect 65293 -1861 65411 -1743
+rect 67093 -2641 67211 -2523
+rect 67093 -2801 67211 -2683
+rect 59893 -3111 60011 -2993
+rect 59893 -3271 60011 -3153
 rect 72493 -291 72611 -173
 rect 72493 -451 72611 -333
-rect 74293 -1211 74411 -1093
-rect 74293 -1371 74411 -1253
-rect 76093 -2131 76211 -2013
-rect 76093 -2291 76211 -2173
-rect 68893 -3511 69011 -3393
-rect 68893 -3671 69011 -3553
-rect 81493 -751 81611 -633
-rect 81493 -911 81611 -793
-rect 83293 -1671 83411 -1553
-rect 83293 -1831 83411 -1713
-rect 85093 -2591 85211 -2473
-rect 85093 -2751 85211 -2633
-rect 77893 -3051 78011 -2933
-rect 77893 -3211 78011 -3093
+rect 74293 -1231 74411 -1113
+rect 74293 -1391 74411 -1273
+rect 76093 -2171 76211 -2053
+rect 76093 -2331 76211 -2213
+rect 68893 -3581 69011 -3463
+rect 68893 -3741 69011 -3623
+rect 81493 -761 81611 -643
+rect 81493 -921 81611 -803
+rect 83293 -1701 83411 -1583
+rect 83293 -1861 83411 -1743
+rect 85093 -2641 85211 -2523
+rect 85093 -2801 85211 -2683
+rect 77893 -3111 78011 -2993
+rect 77893 -3271 78011 -3153
 rect 90493 -291 90611 -173
 rect 90493 -451 90611 -333
-rect 92293 -1211 92411 -1093
-rect 92293 -1371 92411 -1253
-rect 94093 -2131 94211 -2013
-rect 94093 -2291 94211 -2173
-rect 86893 -3511 87011 -3393
-rect 86893 -3671 87011 -3553
-rect 99493 -751 99611 -633
-rect 99493 -911 99611 -793
-rect 101293 -1671 101411 -1553
-rect 101293 -1831 101411 -1713
-rect 103093 -2591 103211 -2473
-rect 103093 -2751 103211 -2633
-rect 95893 -3051 96011 -2933
-rect 95893 -3211 96011 -3093
+rect 92293 -1231 92411 -1113
+rect 92293 -1391 92411 -1273
+rect 94093 -2171 94211 -2053
+rect 94093 -2331 94211 -2213
+rect 86893 -3581 87011 -3463
+rect 86893 -3741 87011 -3623
+rect 99493 -761 99611 -643
+rect 99493 -921 99611 -803
+rect 101293 -1701 101411 -1583
+rect 101293 -1861 101411 -1743
+rect 103093 -2641 103211 -2523
+rect 103093 -2801 103211 -2683
+rect 95893 -3111 96011 -2993
+rect 95893 -3271 96011 -3153
 rect 108493 -291 108611 -173
 rect 108493 -451 108611 -333
-rect 110293 -1211 110411 -1093
-rect 110293 -1371 110411 -1253
-rect 112093 -2131 112211 -2013
-rect 112093 -2291 112211 -2173
-rect 104893 -3511 105011 -3393
-rect 104893 -3671 105011 -3553
-rect 117493 -751 117611 -633
-rect 117493 -911 117611 -793
-rect 119293 -1671 119411 -1553
-rect 119293 -1831 119411 -1713
-rect 121093 -2591 121211 -2473
-rect 121093 -2751 121211 -2633
-rect 113893 -3051 114011 -2933
-rect 113893 -3211 114011 -3093
+rect 110293 -1231 110411 -1113
+rect 110293 -1391 110411 -1273
+rect 112093 -2171 112211 -2053
+rect 112093 -2331 112211 -2213
+rect 104893 -3581 105011 -3463
+rect 104893 -3741 105011 -3623
+rect 117493 -761 117611 -643
+rect 117493 -921 117611 -803
+rect 119293 -1701 119411 -1583
+rect 119293 -1861 119411 -1743
+rect 121093 -2641 121211 -2523
+rect 121093 -2801 121211 -2683
+rect 113893 -3111 114011 -2993
+rect 113893 -3271 114011 -3153
 rect 126493 -291 126611 -173
 rect 126493 -451 126611 -333
-rect 128293 -1211 128411 -1093
-rect 128293 -1371 128411 -1253
-rect 130093 -2131 130211 -2013
-rect 130093 -2291 130211 -2173
-rect 122893 -3511 123011 -3393
-rect 122893 -3671 123011 -3553
-rect 135493 -751 135611 -633
-rect 135493 -911 135611 -793
-rect 137293 -1671 137411 -1553
-rect 137293 -1831 137411 -1713
-rect 139093 -2591 139211 -2473
-rect 139093 -2751 139211 -2633
-rect 131893 -3051 132011 -2933
-rect 131893 -3211 132011 -3093
+rect 128293 -1231 128411 -1113
+rect 128293 -1391 128411 -1273
+rect 130093 -2171 130211 -2053
+rect 130093 -2331 130211 -2213
+rect 122893 -3581 123011 -3463
+rect 122893 -3741 123011 -3623
+rect 135493 -761 135611 -643
+rect 135493 -921 135611 -803
+rect 137293 -1701 137411 -1583
+rect 137293 -1861 137411 -1743
+rect 139093 -2641 139211 -2523
+rect 139093 -2801 139211 -2683
+rect 131893 -3111 132011 -2993
+rect 131893 -3271 132011 -3153
 rect 144493 -291 144611 -173
 rect 144493 -451 144611 -333
-rect 146293 -1211 146411 -1093
-rect 146293 -1371 146411 -1253
-rect 148093 -2131 148211 -2013
-rect 148093 -2291 148211 -2173
-rect 140893 -3511 141011 -3393
-rect 140893 -3671 141011 -3553
-rect 153493 -751 153611 -633
-rect 153493 -911 153611 -793
-rect 155293 -1671 155411 -1553
-rect 155293 -1831 155411 -1713
-rect 157093 -2591 157211 -2473
-rect 157093 -2751 157211 -2633
-rect 149893 -3051 150011 -2933
-rect 149893 -3211 150011 -3093
+rect 146293 -1231 146411 -1113
+rect 146293 -1391 146411 -1273
+rect 148093 -2171 148211 -2053
+rect 148093 -2331 148211 -2213
+rect 140893 -3581 141011 -3463
+rect 140893 -3741 141011 -3623
+rect 153493 -761 153611 -643
+rect 153493 -921 153611 -803
+rect 155293 -1701 155411 -1583
+rect 155293 -1861 155411 -1743
+rect 157093 -2641 157211 -2523
+rect 157093 -2801 157211 -2683
+rect 149893 -3111 150011 -2993
+rect 149893 -3271 150011 -3153
 rect 162493 -291 162611 -173
 rect 162493 -451 162611 -333
-rect 164293 -1211 164411 -1093
-rect 164293 -1371 164411 -1253
-rect 166093 -2131 166211 -2013
-rect 166093 -2291 166211 -2173
-rect 158893 -3511 159011 -3393
-rect 158893 -3671 159011 -3553
-rect 171493 -751 171611 -633
-rect 171493 -911 171611 -793
-rect 173293 -1671 173411 -1553
-rect 173293 -1831 173411 -1713
-rect 175093 -2591 175211 -2473
-rect 175093 -2751 175211 -2633
-rect 167893 -3051 168011 -2933
-rect 167893 -3211 168011 -3093
+rect 164293 -1231 164411 -1113
+rect 164293 -1391 164411 -1273
+rect 166093 -2171 166211 -2053
+rect 166093 -2331 166211 -2213
+rect 158893 -3581 159011 -3463
+rect 158893 -3741 159011 -3623
+rect 171493 -761 171611 -643
+rect 171493 -921 171611 -803
+rect 173293 -1701 173411 -1583
+rect 173293 -1861 173411 -1743
+rect 175093 -2641 175211 -2523
+rect 175093 -2801 175211 -2683
+rect 167893 -3111 168011 -2993
+rect 167893 -3271 168011 -3153
 rect 180493 -291 180611 -173
 rect 180493 -451 180611 -333
-rect 182293 -1211 182411 -1093
-rect 182293 -1371 182411 -1253
-rect 184093 -2131 184211 -2013
-rect 184093 -2291 184211 -2173
-rect 176893 -3511 177011 -3393
-rect 176893 -3671 177011 -3553
-rect 189493 -751 189611 -633
-rect 189493 -911 189611 -793
-rect 191293 -1671 191411 -1553
-rect 191293 -1831 191411 -1713
-rect 193093 -2591 193211 -2473
-rect 193093 -2751 193211 -2633
-rect 185893 -3051 186011 -2933
-rect 185893 -3211 186011 -3093
+rect 182293 -1231 182411 -1113
+rect 182293 -1391 182411 -1273
+rect 184093 -2171 184211 -2053
+rect 184093 -2331 184211 -2213
+rect 176893 -3581 177011 -3463
+rect 176893 -3741 177011 -3623
+rect 189493 -761 189611 -643
+rect 189493 -921 189611 -803
+rect 191293 -1701 191411 -1583
+rect 191293 -1861 191411 -1743
+rect 193093 -2641 193211 -2523
+rect 193093 -2801 193211 -2683
+rect 185893 -3111 186011 -2993
+rect 185893 -3271 186011 -3153
 rect 198493 -291 198611 -173
 rect 198493 -451 198611 -333
-rect 200293 -1211 200411 -1093
-rect 200293 -1371 200411 -1253
-rect 202093 -2131 202211 -2013
-rect 202093 -2291 202211 -2173
-rect 194893 -3511 195011 -3393
-rect 194893 -3671 195011 -3553
-rect 207493 -751 207611 -633
-rect 207493 -911 207611 -793
-rect 209293 -1671 209411 -1553
-rect 209293 -1831 209411 -1713
-rect 211093 -2591 211211 -2473
-rect 211093 -2751 211211 -2633
-rect 203893 -3051 204011 -2933
-rect 203893 -3211 204011 -3093
+rect 200293 -1231 200411 -1113
+rect 200293 -1391 200411 -1273
+rect 202093 -2171 202211 -2053
+rect 202093 -2331 202211 -2213
+rect 194893 -3581 195011 -3463
+rect 194893 -3741 195011 -3623
+rect 207493 -761 207611 -643
+rect 207493 -921 207611 -803
+rect 209293 -1701 209411 -1583
+rect 209293 -1861 209411 -1743
+rect 211093 -2641 211211 -2523
+rect 211093 -2801 211211 -2683
+rect 203893 -3111 204011 -2993
+rect 203893 -3271 204011 -3153
 rect 216493 -291 216611 -173
 rect 216493 -451 216611 -333
-rect 218293 -1211 218411 -1093
-rect 218293 -1371 218411 -1253
-rect 220093 -2131 220211 -2013
-rect 220093 -2291 220211 -2173
-rect 212893 -3511 213011 -3393
-rect 212893 -3671 213011 -3553
-rect 225493 -751 225611 -633
-rect 225493 -911 225611 -793
-rect 227293 -1671 227411 -1553
-rect 227293 -1831 227411 -1713
-rect 229093 -2591 229211 -2473
-rect 229093 -2751 229211 -2633
-rect 221893 -3051 222011 -2933
-rect 221893 -3211 222011 -3093
+rect 218293 -1231 218411 -1113
+rect 218293 -1391 218411 -1273
+rect 220093 -2171 220211 -2053
+rect 220093 -2331 220211 -2213
+rect 212893 -3581 213011 -3463
+rect 212893 -3741 213011 -3623
+rect 225493 -761 225611 -643
+rect 225493 -921 225611 -803
+rect 227293 -1701 227411 -1583
+rect 227293 -1861 227411 -1743
+rect 229093 -2641 229211 -2523
+rect 229093 -2801 229211 -2683
+rect 221893 -3111 222011 -2993
+rect 221893 -3271 222011 -3153
 rect 234493 -291 234611 -173
 rect 234493 -451 234611 -333
-rect 236293 -1211 236411 -1093
-rect 236293 -1371 236411 -1253
-rect 238093 -2131 238211 -2013
-rect 238093 -2291 238211 -2173
-rect 230893 -3511 231011 -3393
-rect 230893 -3671 231011 -3553
-rect 243493 -751 243611 -633
-rect 243493 -911 243611 -793
-rect 245293 -1671 245411 -1553
-rect 245293 -1831 245411 -1713
-rect 247093 -2591 247211 -2473
-rect 247093 -2751 247211 -2633
-rect 239893 -3051 240011 -2933
-rect 239893 -3211 240011 -3093
+rect 236293 -1231 236411 -1113
+rect 236293 -1391 236411 -1273
+rect 238093 -2171 238211 -2053
+rect 238093 -2331 238211 -2213
+rect 230893 -3581 231011 -3463
+rect 230893 -3741 231011 -3623
+rect 243493 -761 243611 -643
+rect 243493 -921 243611 -803
+rect 245293 -1701 245411 -1583
+rect 245293 -1861 245411 -1743
+rect 247093 -2641 247211 -2523
+rect 247093 -2801 247211 -2683
+rect 239893 -3111 240011 -2993
+rect 239893 -3271 240011 -3153
 rect 252493 -291 252611 -173
 rect 252493 -451 252611 -333
-rect 254293 -1211 254411 -1093
-rect 254293 -1371 254411 -1253
-rect 256093 -2131 256211 -2013
-rect 256093 -2291 256211 -2173
-rect 248893 -3511 249011 -3393
-rect 248893 -3671 249011 -3553
-rect 261493 -751 261611 -633
-rect 261493 -911 261611 -793
-rect 263293 -1671 263411 -1553
-rect 263293 -1831 263411 -1713
-rect 265093 -2591 265211 -2473
-rect 265093 -2751 265211 -2633
-rect 257893 -3051 258011 -2933
-rect 257893 -3211 258011 -3093
+rect 254293 -1231 254411 -1113
+rect 254293 -1391 254411 -1273
+rect 256093 -2171 256211 -2053
+rect 256093 -2331 256211 -2213
+rect 248893 -3581 249011 -3463
+rect 248893 -3741 249011 -3623
+rect 261493 -761 261611 -643
+rect 261493 -921 261611 -803
+rect 263293 -1701 263411 -1583
+rect 263293 -1861 263411 -1743
+rect 265093 -2641 265211 -2523
+rect 265093 -2801 265211 -2683
+rect 257893 -3111 258011 -2993
+rect 257893 -3271 258011 -3153
 rect 270493 -291 270611 -173
 rect 270493 -451 270611 -333
-rect 272293 -1211 272411 -1093
-rect 272293 -1371 272411 -1253
-rect 274093 -2131 274211 -2013
-rect 274093 -2291 274211 -2173
-rect 266893 -3511 267011 -3393
-rect 266893 -3671 267011 -3553
-rect 279493 -751 279611 -633
-rect 279493 -911 279611 -793
-rect 281293 -1671 281411 -1553
-rect 281293 -1831 281411 -1713
-rect 283093 -2591 283211 -2473
-rect 283093 -2751 283211 -2633
-rect 275893 -3051 276011 -2933
-rect 275893 -3211 276011 -3093
+rect 272293 -1231 272411 -1113
+rect 272293 -1391 272411 -1273
+rect 274093 -2171 274211 -2053
+rect 274093 -2331 274211 -2213
+rect 266893 -3581 267011 -3463
+rect 266893 -3741 267011 -3623
+rect 279493 -761 279611 -643
+rect 279493 -921 279611 -803
+rect 281293 -1701 281411 -1583
+rect 281293 -1861 281411 -1743
+rect 283093 -2641 283211 -2523
+rect 283093 -2801 283211 -2683
+rect 275893 -3111 276011 -2993
+rect 275893 -3271 276011 -3153
 rect 288493 -291 288611 -173
 rect 288493 -451 288611 -333
 rect 292751 -291 292869 -173
 rect 292751 -451 292869 -333
-rect 293211 334109 293329 334227
-rect 293211 333949 293329 334067
-rect 293211 316109 293329 316227
-rect 293211 315949 293329 316067
-rect 293211 298109 293329 298227
-rect 293211 297949 293329 298067
-rect 293211 280109 293329 280227
-rect 293211 279949 293329 280067
-rect 293211 262109 293329 262227
-rect 293211 261949 293329 262067
-rect 293211 244109 293329 244227
-rect 293211 243949 293329 244067
-rect 293211 226109 293329 226227
-rect 293211 225949 293329 226067
-rect 293211 208109 293329 208227
-rect 293211 207949 293329 208067
-rect 293211 190109 293329 190227
-rect 293211 189949 293329 190067
-rect 293211 172109 293329 172227
-rect 293211 171949 293329 172067
-rect 293211 154109 293329 154227
-rect 293211 153949 293329 154067
-rect 293211 136109 293329 136227
-rect 293211 135949 293329 136067
-rect 293211 118109 293329 118227
-rect 293211 117949 293329 118067
-rect 293211 100109 293329 100227
-rect 293211 99949 293329 100067
-rect 293211 82109 293329 82227
-rect 293211 81949 293329 82067
-rect 293211 64109 293329 64227
-rect 293211 63949 293329 64067
-rect 293211 46109 293329 46227
-rect 293211 45949 293329 46067
-rect 293211 28109 293329 28227
-rect 293211 27949 293329 28067
-rect 293211 10109 293329 10227
-rect 293211 9949 293329 10067
-rect 293211 -751 293329 -633
-rect 293211 -911 293329 -793
-rect 293671 344909 293789 345027
-rect 293671 344749 293789 344867
-rect 293671 326909 293789 327027
-rect 293671 326749 293789 326867
-rect 293671 308909 293789 309027
-rect 293671 308749 293789 308867
-rect 293671 290909 293789 291027
-rect 293671 290749 293789 290867
-rect 293671 272909 293789 273027
-rect 293671 272749 293789 272867
-rect 293671 254909 293789 255027
-rect 293671 254749 293789 254867
-rect 293671 236909 293789 237027
-rect 293671 236749 293789 236867
-rect 293671 218909 293789 219027
-rect 293671 218749 293789 218867
-rect 293671 200909 293789 201027
-rect 293671 200749 293789 200867
-rect 293671 182909 293789 183027
-rect 293671 182749 293789 182867
-rect 293671 164909 293789 165027
-rect 293671 164749 293789 164867
-rect 293671 146909 293789 147027
-rect 293671 146749 293789 146867
-rect 293671 128909 293789 129027
-rect 293671 128749 293789 128867
-rect 293671 110909 293789 111027
-rect 293671 110749 293789 110867
-rect 293671 92909 293789 93027
-rect 293671 92749 293789 92867
-rect 293671 74909 293789 75027
-rect 293671 74749 293789 74867
-rect 293671 56909 293789 57027
-rect 293671 56749 293789 56867
-rect 293671 38909 293789 39027
-rect 293671 38749 293789 38867
-rect 293671 20909 293789 21027
-rect 293671 20749 293789 20867
-rect 293671 2909 293789 3027
-rect 293671 2749 293789 2867
-rect 290293 -1211 290411 -1093
-rect 290293 -1371 290411 -1253
-rect 293671 -1211 293789 -1093
-rect 293671 -1371 293789 -1253
-rect 294131 335909 294249 336027
-rect 294131 335749 294249 335867
-rect 294131 317909 294249 318027
-rect 294131 317749 294249 317867
-rect 294131 299909 294249 300027
-rect 294131 299749 294249 299867
-rect 294131 281909 294249 282027
-rect 294131 281749 294249 281867
-rect 294131 263909 294249 264027
-rect 294131 263749 294249 263867
-rect 294131 245909 294249 246027
-rect 294131 245749 294249 245867
-rect 294131 227909 294249 228027
-rect 294131 227749 294249 227867
-rect 294131 209909 294249 210027
-rect 294131 209749 294249 209867
-rect 294131 191909 294249 192027
-rect 294131 191749 294249 191867
-rect 294131 173909 294249 174027
-rect 294131 173749 294249 173867
-rect 294131 155909 294249 156027
-rect 294131 155749 294249 155867
-rect 294131 137909 294249 138027
-rect 294131 137749 294249 137867
-rect 294131 119909 294249 120027
-rect 294131 119749 294249 119867
-rect 294131 101909 294249 102027
-rect 294131 101749 294249 101867
-rect 294131 83909 294249 84027
-rect 294131 83749 294249 83867
-rect 294131 65909 294249 66027
-rect 294131 65749 294249 65867
-rect 294131 47909 294249 48027
-rect 294131 47749 294249 47867
-rect 294131 29909 294249 30027
-rect 294131 29749 294249 29867
-rect 294131 11909 294249 12027
-rect 294131 11749 294249 11867
-rect 294131 -1671 294249 -1553
-rect 294131 -1831 294249 -1713
-rect 294591 346709 294709 346827
-rect 294591 346549 294709 346667
-rect 294591 328709 294709 328827
-rect 294591 328549 294709 328667
-rect 294591 310709 294709 310827
-rect 294591 310549 294709 310667
-rect 294591 292709 294709 292827
-rect 294591 292549 294709 292667
-rect 294591 274709 294709 274827
-rect 294591 274549 294709 274667
-rect 294591 256709 294709 256827
-rect 294591 256549 294709 256667
-rect 294591 238709 294709 238827
-rect 294591 238549 294709 238667
-rect 294591 220709 294709 220827
-rect 294591 220549 294709 220667
-rect 294591 202709 294709 202827
-rect 294591 202549 294709 202667
-rect 294591 184709 294709 184827
-rect 294591 184549 294709 184667
-rect 294591 166709 294709 166827
-rect 294591 166549 294709 166667
-rect 294591 148709 294709 148827
-rect 294591 148549 294709 148667
-rect 294591 130709 294709 130827
-rect 294591 130549 294709 130667
-rect 294591 112709 294709 112827
-rect 294591 112549 294709 112667
-rect 294591 94709 294709 94827
-rect 294591 94549 294709 94667
-rect 294591 76709 294709 76827
-rect 294591 76549 294709 76667
-rect 294591 58709 294709 58827
-rect 294591 58549 294709 58667
-rect 294591 40709 294709 40827
-rect 294591 40549 294709 40667
-rect 294591 22709 294709 22827
-rect 294591 22549 294709 22667
-rect 294591 4709 294709 4827
-rect 294591 4549 294709 4667
-rect 294591 -2131 294709 -2013
-rect 294591 -2291 294709 -2173
-rect 295051 337709 295169 337827
-rect 295051 337549 295169 337667
-rect 295051 319709 295169 319827
-rect 295051 319549 295169 319667
-rect 295051 301709 295169 301827
-rect 295051 301549 295169 301667
-rect 295051 283709 295169 283827
-rect 295051 283549 295169 283667
-rect 295051 265709 295169 265827
-rect 295051 265549 295169 265667
-rect 295051 247709 295169 247827
-rect 295051 247549 295169 247667
-rect 295051 229709 295169 229827
-rect 295051 229549 295169 229667
-rect 295051 211709 295169 211827
-rect 295051 211549 295169 211667
-rect 295051 193709 295169 193827
-rect 295051 193549 295169 193667
-rect 295051 175709 295169 175827
-rect 295051 175549 295169 175667
-rect 295051 157709 295169 157827
-rect 295051 157549 295169 157667
-rect 295051 139709 295169 139827
-rect 295051 139549 295169 139667
-rect 295051 121709 295169 121827
-rect 295051 121549 295169 121667
-rect 295051 103709 295169 103827
-rect 295051 103549 295169 103667
-rect 295051 85709 295169 85827
-rect 295051 85549 295169 85667
-rect 295051 67709 295169 67827
-rect 295051 67549 295169 67667
-rect 295051 49709 295169 49827
-rect 295051 49549 295169 49667
-rect 295051 31709 295169 31827
-rect 295051 31549 295169 31667
-rect 295051 13709 295169 13827
-rect 295051 13549 295169 13667
-rect 295051 -2591 295169 -2473
-rect 295051 -2751 295169 -2633
-rect 295511 348509 295629 348627
-rect 295511 348349 295629 348467
-rect 295511 330509 295629 330627
-rect 295511 330349 295629 330467
-rect 295511 312509 295629 312627
-rect 295511 312349 295629 312467
-rect 295511 294509 295629 294627
-rect 295511 294349 295629 294467
-rect 295511 276509 295629 276627
-rect 295511 276349 295629 276467
-rect 295511 258509 295629 258627
-rect 295511 258349 295629 258467
-rect 295511 240509 295629 240627
-rect 295511 240349 295629 240467
-rect 295511 222509 295629 222627
-rect 295511 222349 295629 222467
-rect 295511 204509 295629 204627
-rect 295511 204349 295629 204467
-rect 295511 186509 295629 186627
-rect 295511 186349 295629 186467
-rect 295511 168509 295629 168627
-rect 295511 168349 295629 168467
-rect 295511 150509 295629 150627
-rect 295511 150349 295629 150467
-rect 295511 132509 295629 132627
-rect 295511 132349 295629 132467
-rect 295511 114509 295629 114627
-rect 295511 114349 295629 114467
-rect 295511 96509 295629 96627
-rect 295511 96349 295629 96467
-rect 295511 78509 295629 78627
-rect 295511 78349 295629 78467
-rect 295511 60509 295629 60627
-rect 295511 60349 295629 60467
-rect 295511 42509 295629 42627
-rect 295511 42349 295629 42467
-rect 295511 24509 295629 24627
-rect 295511 24349 295629 24467
-rect 295511 6509 295629 6627
-rect 295511 6349 295629 6467
-rect 295511 -3051 295629 -2933
-rect 295511 -3211 295629 -3093
-rect 295971 339509 296089 339627
-rect 295971 339349 296089 339467
-rect 295971 321509 296089 321627
-rect 295971 321349 296089 321467
-rect 295971 303509 296089 303627
-rect 295971 303349 296089 303467
-rect 295971 285509 296089 285627
-rect 295971 285349 296089 285467
-rect 295971 267509 296089 267627
-rect 295971 267349 296089 267467
-rect 295971 249509 296089 249627
-rect 295971 249349 296089 249467
-rect 295971 231509 296089 231627
-rect 295971 231349 296089 231467
-rect 295971 213509 296089 213627
-rect 295971 213349 296089 213467
-rect 295971 195509 296089 195627
-rect 295971 195349 296089 195467
-rect 295971 177509 296089 177627
-rect 295971 177349 296089 177467
-rect 295971 159509 296089 159627
-rect 295971 159349 296089 159467
-rect 295971 141509 296089 141627
-rect 295971 141349 296089 141467
-rect 295971 123509 296089 123627
-rect 295971 123349 296089 123467
-rect 295971 105509 296089 105627
-rect 295971 105349 296089 105467
-rect 295971 87509 296089 87627
-rect 295971 87349 296089 87467
-rect 295971 69509 296089 69627
-rect 295971 69349 296089 69467
-rect 295971 51509 296089 51627
-rect 295971 51349 296089 51467
-rect 295971 33509 296089 33627
-rect 295971 33349 296089 33467
-rect 295971 15509 296089 15627
-rect 295971 15349 296089 15467
-rect 284893 -3511 285011 -3393
-rect 284893 -3671 285011 -3553
-rect 295971 -3511 296089 -3393
-rect 295971 -3671 296089 -3553
+rect 293221 334109 293339 334227
+rect 293221 333949 293339 334067
+rect 293221 316109 293339 316227
+rect 293221 315949 293339 316067
+rect 293221 298109 293339 298227
+rect 293221 297949 293339 298067
+rect 293221 280109 293339 280227
+rect 293221 279949 293339 280067
+rect 293221 262109 293339 262227
+rect 293221 261949 293339 262067
+rect 293221 244109 293339 244227
+rect 293221 243949 293339 244067
+rect 293221 226109 293339 226227
+rect 293221 225949 293339 226067
+rect 293221 208109 293339 208227
+rect 293221 207949 293339 208067
+rect 293221 190109 293339 190227
+rect 293221 189949 293339 190067
+rect 293221 172109 293339 172227
+rect 293221 171949 293339 172067
+rect 293221 154109 293339 154227
+rect 293221 153949 293339 154067
+rect 293221 136109 293339 136227
+rect 293221 135949 293339 136067
+rect 293221 118109 293339 118227
+rect 293221 117949 293339 118067
+rect 293221 100109 293339 100227
+rect 293221 99949 293339 100067
+rect 293221 82109 293339 82227
+rect 293221 81949 293339 82067
+rect 293221 64109 293339 64227
+rect 293221 63949 293339 64067
+rect 293221 46109 293339 46227
+rect 293221 45949 293339 46067
+rect 293221 28109 293339 28227
+rect 293221 27949 293339 28067
+rect 293221 10109 293339 10227
+rect 293221 9949 293339 10067
+rect 293221 -761 293339 -643
+rect 293221 -921 293339 -803
+rect 293691 344909 293809 345027
+rect 293691 344749 293809 344867
+rect 293691 326909 293809 327027
+rect 293691 326749 293809 326867
+rect 293691 308909 293809 309027
+rect 293691 308749 293809 308867
+rect 293691 290909 293809 291027
+rect 293691 290749 293809 290867
+rect 293691 272909 293809 273027
+rect 293691 272749 293809 272867
+rect 293691 254909 293809 255027
+rect 293691 254749 293809 254867
+rect 293691 236909 293809 237027
+rect 293691 236749 293809 236867
+rect 293691 218909 293809 219027
+rect 293691 218749 293809 218867
+rect 293691 200909 293809 201027
+rect 293691 200749 293809 200867
+rect 293691 182909 293809 183027
+rect 293691 182749 293809 182867
+rect 293691 164909 293809 165027
+rect 293691 164749 293809 164867
+rect 293691 146909 293809 147027
+rect 293691 146749 293809 146867
+rect 293691 128909 293809 129027
+rect 293691 128749 293809 128867
+rect 293691 110909 293809 111027
+rect 293691 110749 293809 110867
+rect 293691 92909 293809 93027
+rect 293691 92749 293809 92867
+rect 293691 74909 293809 75027
+rect 293691 74749 293809 74867
+rect 293691 56909 293809 57027
+rect 293691 56749 293809 56867
+rect 293691 38909 293809 39027
+rect 293691 38749 293809 38867
+rect 293691 20909 293809 21027
+rect 293691 20749 293809 20867
+rect 293691 2909 293809 3027
+rect 293691 2749 293809 2867
+rect 290293 -1231 290411 -1113
+rect 290293 -1391 290411 -1273
+rect 293691 -1231 293809 -1113
+rect 293691 -1391 293809 -1273
+rect 294161 335909 294279 336027
+rect 294161 335749 294279 335867
+rect 294161 317909 294279 318027
+rect 294161 317749 294279 317867
+rect 294161 299909 294279 300027
+rect 294161 299749 294279 299867
+rect 294161 281909 294279 282027
+rect 294161 281749 294279 281867
+rect 294161 263909 294279 264027
+rect 294161 263749 294279 263867
+rect 294161 245909 294279 246027
+rect 294161 245749 294279 245867
+rect 294161 227909 294279 228027
+rect 294161 227749 294279 227867
+rect 294161 209909 294279 210027
+rect 294161 209749 294279 209867
+rect 294161 191909 294279 192027
+rect 294161 191749 294279 191867
+rect 294161 173909 294279 174027
+rect 294161 173749 294279 173867
+rect 294161 155909 294279 156027
+rect 294161 155749 294279 155867
+rect 294161 137909 294279 138027
+rect 294161 137749 294279 137867
+rect 294161 119909 294279 120027
+rect 294161 119749 294279 119867
+rect 294161 101909 294279 102027
+rect 294161 101749 294279 101867
+rect 294161 83909 294279 84027
+rect 294161 83749 294279 83867
+rect 294161 65909 294279 66027
+rect 294161 65749 294279 65867
+rect 294161 47909 294279 48027
+rect 294161 47749 294279 47867
+rect 294161 29909 294279 30027
+rect 294161 29749 294279 29867
+rect 294161 11909 294279 12027
+rect 294161 11749 294279 11867
+rect 294161 -1701 294279 -1583
+rect 294161 -1861 294279 -1743
+rect 294631 346709 294749 346827
+rect 294631 346549 294749 346667
+rect 294631 328709 294749 328827
+rect 294631 328549 294749 328667
+rect 294631 310709 294749 310827
+rect 294631 310549 294749 310667
+rect 294631 292709 294749 292827
+rect 294631 292549 294749 292667
+rect 294631 274709 294749 274827
+rect 294631 274549 294749 274667
+rect 294631 256709 294749 256827
+rect 294631 256549 294749 256667
+rect 294631 238709 294749 238827
+rect 294631 238549 294749 238667
+rect 294631 220709 294749 220827
+rect 294631 220549 294749 220667
+rect 294631 202709 294749 202827
+rect 294631 202549 294749 202667
+rect 294631 184709 294749 184827
+rect 294631 184549 294749 184667
+rect 294631 166709 294749 166827
+rect 294631 166549 294749 166667
+rect 294631 148709 294749 148827
+rect 294631 148549 294749 148667
+rect 294631 130709 294749 130827
+rect 294631 130549 294749 130667
+rect 294631 112709 294749 112827
+rect 294631 112549 294749 112667
+rect 294631 94709 294749 94827
+rect 294631 94549 294749 94667
+rect 294631 76709 294749 76827
+rect 294631 76549 294749 76667
+rect 294631 58709 294749 58827
+rect 294631 58549 294749 58667
+rect 294631 40709 294749 40827
+rect 294631 40549 294749 40667
+rect 294631 22709 294749 22827
+rect 294631 22549 294749 22667
+rect 294631 4709 294749 4827
+rect 294631 4549 294749 4667
+rect 294631 -2171 294749 -2053
+rect 294631 -2331 294749 -2213
+rect 295101 337709 295219 337827
+rect 295101 337549 295219 337667
+rect 295101 319709 295219 319827
+rect 295101 319549 295219 319667
+rect 295101 301709 295219 301827
+rect 295101 301549 295219 301667
+rect 295101 283709 295219 283827
+rect 295101 283549 295219 283667
+rect 295101 265709 295219 265827
+rect 295101 265549 295219 265667
+rect 295101 247709 295219 247827
+rect 295101 247549 295219 247667
+rect 295101 229709 295219 229827
+rect 295101 229549 295219 229667
+rect 295101 211709 295219 211827
+rect 295101 211549 295219 211667
+rect 295101 193709 295219 193827
+rect 295101 193549 295219 193667
+rect 295101 175709 295219 175827
+rect 295101 175549 295219 175667
+rect 295101 157709 295219 157827
+rect 295101 157549 295219 157667
+rect 295101 139709 295219 139827
+rect 295101 139549 295219 139667
+rect 295101 121709 295219 121827
+rect 295101 121549 295219 121667
+rect 295101 103709 295219 103827
+rect 295101 103549 295219 103667
+rect 295101 85709 295219 85827
+rect 295101 85549 295219 85667
+rect 295101 67709 295219 67827
+rect 295101 67549 295219 67667
+rect 295101 49709 295219 49827
+rect 295101 49549 295219 49667
+rect 295101 31709 295219 31827
+rect 295101 31549 295219 31667
+rect 295101 13709 295219 13827
+rect 295101 13549 295219 13667
+rect 295101 -2641 295219 -2523
+rect 295101 -2801 295219 -2683
+rect 295571 348509 295689 348627
+rect 295571 348349 295689 348467
+rect 295571 330509 295689 330627
+rect 295571 330349 295689 330467
+rect 295571 312509 295689 312627
+rect 295571 312349 295689 312467
+rect 295571 294509 295689 294627
+rect 295571 294349 295689 294467
+rect 295571 276509 295689 276627
+rect 295571 276349 295689 276467
+rect 295571 258509 295689 258627
+rect 295571 258349 295689 258467
+rect 295571 240509 295689 240627
+rect 295571 240349 295689 240467
+rect 295571 222509 295689 222627
+rect 295571 222349 295689 222467
+rect 295571 204509 295689 204627
+rect 295571 204349 295689 204467
+rect 295571 186509 295689 186627
+rect 295571 186349 295689 186467
+rect 295571 168509 295689 168627
+rect 295571 168349 295689 168467
+rect 295571 150509 295689 150627
+rect 295571 150349 295689 150467
+rect 295571 132509 295689 132627
+rect 295571 132349 295689 132467
+rect 295571 114509 295689 114627
+rect 295571 114349 295689 114467
+rect 295571 96509 295689 96627
+rect 295571 96349 295689 96467
+rect 295571 78509 295689 78627
+rect 295571 78349 295689 78467
+rect 295571 60509 295689 60627
+rect 295571 60349 295689 60467
+rect 295571 42509 295689 42627
+rect 295571 42349 295689 42467
+rect 295571 24509 295689 24627
+rect 295571 24349 295689 24467
+rect 295571 6509 295689 6627
+rect 295571 6349 295689 6467
+rect 295571 -3111 295689 -2993
+rect 295571 -3271 295689 -3153
+rect 296041 339509 296159 339627
+rect 296041 339349 296159 339467
+rect 296041 321509 296159 321627
+rect 296041 321349 296159 321467
+rect 296041 303509 296159 303627
+rect 296041 303349 296159 303467
+rect 296041 285509 296159 285627
+rect 296041 285349 296159 285467
+rect 296041 267509 296159 267627
+rect 296041 267349 296159 267467
+rect 296041 249509 296159 249627
+rect 296041 249349 296159 249467
+rect 296041 231509 296159 231627
+rect 296041 231349 296159 231467
+rect 296041 213509 296159 213627
+rect 296041 213349 296159 213467
+rect 296041 195509 296159 195627
+rect 296041 195349 296159 195467
+rect 296041 177509 296159 177627
+rect 296041 177349 296159 177467
+rect 296041 159509 296159 159627
+rect 296041 159349 296159 159467
+rect 296041 141509 296159 141627
+rect 296041 141349 296159 141467
+rect 296041 123509 296159 123627
+rect 296041 123349 296159 123467
+rect 296041 105509 296159 105627
+rect 296041 105349 296159 105467
+rect 296041 87509 296159 87627
+rect 296041 87349 296159 87467
+rect 296041 69509 296159 69627
+rect 296041 69349 296159 69467
+rect 296041 51509 296159 51627
+rect 296041 51349 296159 51467
+rect 296041 33509 296159 33627
+rect 296041 33349 296159 33467
+rect 296041 15509 296159 15627
+rect 296041 15349 296159 15467
+rect 284893 -3581 285011 -3463
+rect 284893 -3741 285011 -3623
+rect 296041 -3581 296159 -3463
+rect 296041 -3741 296159 -3623
 << metal5 >>
-rect -4218 355650 -3918 355651
-rect 14802 355650 15102 355651
-rect 32802 355650 33102 355651
-rect 50802 355650 51102 355651
-rect 68802 355650 69102 355651
-rect 86802 355650 87102 355651
-rect 104802 355650 105102 355651
-rect 122802 355650 123102 355651
-rect 140802 355650 141102 355651
-rect 158802 355650 159102 355651
-rect 176802 355650 177102 355651
-rect 194802 355650 195102 355651
-rect 212802 355650 213102 355651
-rect 230802 355650 231102 355651
-rect 248802 355650 249102 355651
-rect 266802 355650 267102 355651
-rect 284802 355650 285102 355651
-rect 295880 355650 296180 355651
-rect -4218 355639 296180 355650
-rect -4218 355521 -4127 355639
-rect -4009 355521 14893 355639
-rect 15011 355521 32893 355639
-rect 33011 355521 50893 355639
-rect 51011 355521 68893 355639
-rect 69011 355521 86893 355639
-rect 87011 355521 104893 355639
-rect 105011 355521 122893 355639
-rect 123011 355521 140893 355639
-rect 141011 355521 158893 355639
-rect 159011 355521 176893 355639
-rect 177011 355521 194893 355639
-rect 195011 355521 212893 355639
-rect 213011 355521 230893 355639
-rect 231011 355521 248893 355639
-rect 249011 355521 266893 355639
-rect 267011 355521 284893 355639
-rect 285011 355521 295971 355639
-rect 296089 355521 296180 355639
-rect -4218 355479 296180 355521
-rect -4218 355361 -4127 355479
-rect -4009 355361 14893 355479
-rect 15011 355361 32893 355479
-rect 33011 355361 50893 355479
-rect 51011 355361 68893 355479
-rect 69011 355361 86893 355479
-rect 87011 355361 104893 355479
-rect 105011 355361 122893 355479
-rect 123011 355361 140893 355479
-rect 141011 355361 158893 355479
-rect 159011 355361 176893 355479
-rect 177011 355361 194893 355479
-rect 195011 355361 212893 355479
-rect 213011 355361 230893 355479
-rect 231011 355361 248893 355479
-rect 249011 355361 266893 355479
-rect 267011 355361 284893 355479
-rect 285011 355361 295971 355479
-rect 296089 355361 296180 355479
-rect -4218 355350 296180 355361
-rect -4218 355349 -3918 355350
-rect 14802 355349 15102 355350
-rect 32802 355349 33102 355350
-rect 50802 355349 51102 355350
-rect 68802 355349 69102 355350
-rect 86802 355349 87102 355350
-rect 104802 355349 105102 355350
-rect 122802 355349 123102 355350
-rect 140802 355349 141102 355350
-rect 158802 355349 159102 355350
-rect 176802 355349 177102 355350
-rect 194802 355349 195102 355350
-rect 212802 355349 213102 355350
-rect 230802 355349 231102 355350
-rect 248802 355349 249102 355350
-rect 266802 355349 267102 355350
-rect 284802 355349 285102 355350
-rect 295880 355349 296180 355350
-rect -3758 355190 -3458 355191
-rect 5802 355190 6102 355191
-rect 23802 355190 24102 355191
-rect 41802 355190 42102 355191
-rect 59802 355190 60102 355191
-rect 77802 355190 78102 355191
-rect 95802 355190 96102 355191
-rect 113802 355190 114102 355191
-rect 131802 355190 132102 355191
-rect 149802 355190 150102 355191
-rect 167802 355190 168102 355191
-rect 185802 355190 186102 355191
-rect 203802 355190 204102 355191
-rect 221802 355190 222102 355191
-rect 239802 355190 240102 355191
-rect 257802 355190 258102 355191
-rect 275802 355190 276102 355191
-rect 295420 355190 295720 355191
-rect -3758 355179 295720 355190
-rect -3758 355061 -3667 355179
-rect -3549 355061 5893 355179
-rect 6011 355061 23893 355179
-rect 24011 355061 41893 355179
-rect 42011 355061 59893 355179
-rect 60011 355061 77893 355179
-rect 78011 355061 95893 355179
-rect 96011 355061 113893 355179
-rect 114011 355061 131893 355179
-rect 132011 355061 149893 355179
-rect 150011 355061 167893 355179
-rect 168011 355061 185893 355179
-rect 186011 355061 203893 355179
-rect 204011 355061 221893 355179
-rect 222011 355061 239893 355179
-rect 240011 355061 257893 355179
-rect 258011 355061 275893 355179
-rect 276011 355061 295511 355179
-rect 295629 355061 295720 355179
-rect -3758 355019 295720 355061
-rect -3758 354901 -3667 355019
-rect -3549 354901 5893 355019
-rect 6011 354901 23893 355019
-rect 24011 354901 41893 355019
-rect 42011 354901 59893 355019
-rect 60011 354901 77893 355019
-rect 78011 354901 95893 355019
-rect 96011 354901 113893 355019
-rect 114011 354901 131893 355019
-rect 132011 354901 149893 355019
-rect 150011 354901 167893 355019
-rect 168011 354901 185893 355019
-rect 186011 354901 203893 355019
-rect 204011 354901 221893 355019
-rect 222011 354901 239893 355019
-rect 240011 354901 257893 355019
-rect 258011 354901 275893 355019
-rect 276011 354901 295511 355019
-rect 295629 354901 295720 355019
-rect -3758 354890 295720 354901
-rect -3758 354889 -3458 354890
-rect 5802 354889 6102 354890
-rect 23802 354889 24102 354890
-rect 41802 354889 42102 354890
-rect 59802 354889 60102 354890
-rect 77802 354889 78102 354890
-rect 95802 354889 96102 354890
-rect 113802 354889 114102 354890
-rect 131802 354889 132102 354890
-rect 149802 354889 150102 354890
-rect 167802 354889 168102 354890
-rect 185802 354889 186102 354890
-rect 203802 354889 204102 354890
-rect 221802 354889 222102 354890
-rect 239802 354889 240102 354890
-rect 257802 354889 258102 354890
-rect 275802 354889 276102 354890
-rect 295420 354889 295720 354890
-rect -3298 354730 -2998 354731
-rect 13002 354730 13302 354731
-rect 31002 354730 31302 354731
-rect 49002 354730 49302 354731
-rect 67002 354730 67302 354731
-rect 85002 354730 85302 354731
-rect 103002 354730 103302 354731
-rect 121002 354730 121302 354731
-rect 139002 354730 139302 354731
-rect 157002 354730 157302 354731
-rect 175002 354730 175302 354731
-rect 193002 354730 193302 354731
-rect 211002 354730 211302 354731
-rect 229002 354730 229302 354731
-rect 247002 354730 247302 354731
-rect 265002 354730 265302 354731
-rect 283002 354730 283302 354731
-rect 294960 354730 295260 354731
-rect -3298 354719 295260 354730
-rect -3298 354601 -3207 354719
-rect -3089 354601 13093 354719
-rect 13211 354601 31093 354719
-rect 31211 354601 49093 354719
-rect 49211 354601 67093 354719
-rect 67211 354601 85093 354719
-rect 85211 354601 103093 354719
-rect 103211 354601 121093 354719
-rect 121211 354601 139093 354719
-rect 139211 354601 157093 354719
-rect 157211 354601 175093 354719
-rect 175211 354601 193093 354719
-rect 193211 354601 211093 354719
-rect 211211 354601 229093 354719
-rect 229211 354601 247093 354719
-rect 247211 354601 265093 354719
-rect 265211 354601 283093 354719
-rect 283211 354601 295051 354719
-rect 295169 354601 295260 354719
-rect -3298 354559 295260 354601
-rect -3298 354441 -3207 354559
-rect -3089 354441 13093 354559
-rect 13211 354441 31093 354559
-rect 31211 354441 49093 354559
-rect 49211 354441 67093 354559
-rect 67211 354441 85093 354559
-rect 85211 354441 103093 354559
-rect 103211 354441 121093 354559
-rect 121211 354441 139093 354559
-rect 139211 354441 157093 354559
-rect 157211 354441 175093 354559
-rect 175211 354441 193093 354559
-rect 193211 354441 211093 354559
-rect 211211 354441 229093 354559
-rect 229211 354441 247093 354559
-rect 247211 354441 265093 354559
-rect 265211 354441 283093 354559
-rect 283211 354441 295051 354559
-rect 295169 354441 295260 354559
-rect -3298 354430 295260 354441
-rect -3298 354429 -2998 354430
-rect 13002 354429 13302 354430
-rect 31002 354429 31302 354430
-rect 49002 354429 49302 354430
-rect 67002 354429 67302 354430
-rect 85002 354429 85302 354430
-rect 103002 354429 103302 354430
-rect 121002 354429 121302 354430
-rect 139002 354429 139302 354430
-rect 157002 354429 157302 354430
-rect 175002 354429 175302 354430
-rect 193002 354429 193302 354430
-rect 211002 354429 211302 354430
-rect 229002 354429 229302 354430
-rect 247002 354429 247302 354430
-rect 265002 354429 265302 354430
-rect 283002 354429 283302 354430
-rect 294960 354429 295260 354430
-rect -2838 354270 -2538 354271
-rect 4002 354270 4302 354271
-rect 22002 354270 22302 354271
-rect 40002 354270 40302 354271
-rect 58002 354270 58302 354271
-rect 76002 354270 76302 354271
-rect 94002 354270 94302 354271
-rect 112002 354270 112302 354271
-rect 130002 354270 130302 354271
-rect 148002 354270 148302 354271
-rect 166002 354270 166302 354271
-rect 184002 354270 184302 354271
-rect 202002 354270 202302 354271
-rect 220002 354270 220302 354271
-rect 238002 354270 238302 354271
-rect 256002 354270 256302 354271
-rect 274002 354270 274302 354271
-rect 294500 354270 294800 354271
-rect -2838 354259 294800 354270
-rect -2838 354141 -2747 354259
-rect -2629 354141 4093 354259
-rect 4211 354141 22093 354259
-rect 22211 354141 40093 354259
-rect 40211 354141 58093 354259
-rect 58211 354141 76093 354259
-rect 76211 354141 94093 354259
-rect 94211 354141 112093 354259
-rect 112211 354141 130093 354259
-rect 130211 354141 148093 354259
-rect 148211 354141 166093 354259
-rect 166211 354141 184093 354259
-rect 184211 354141 202093 354259
-rect 202211 354141 220093 354259
-rect 220211 354141 238093 354259
-rect 238211 354141 256093 354259
-rect 256211 354141 274093 354259
-rect 274211 354141 294591 354259
-rect 294709 354141 294800 354259
-rect -2838 354099 294800 354141
-rect -2838 353981 -2747 354099
-rect -2629 353981 4093 354099
-rect 4211 353981 22093 354099
-rect 22211 353981 40093 354099
-rect 40211 353981 58093 354099
-rect 58211 353981 76093 354099
-rect 76211 353981 94093 354099
-rect 94211 353981 112093 354099
-rect 112211 353981 130093 354099
-rect 130211 353981 148093 354099
-rect 148211 353981 166093 354099
-rect 166211 353981 184093 354099
-rect 184211 353981 202093 354099
-rect 202211 353981 220093 354099
-rect 220211 353981 238093 354099
-rect 238211 353981 256093 354099
-rect 256211 353981 274093 354099
-rect 274211 353981 294591 354099
-rect 294709 353981 294800 354099
-rect -2838 353970 294800 353981
-rect -2838 353969 -2538 353970
-rect 4002 353969 4302 353970
-rect 22002 353969 22302 353970
-rect 40002 353969 40302 353970
-rect 58002 353969 58302 353970
-rect 76002 353969 76302 353970
-rect 94002 353969 94302 353970
-rect 112002 353969 112302 353970
-rect 130002 353969 130302 353970
-rect 148002 353969 148302 353970
-rect 166002 353969 166302 353970
-rect 184002 353969 184302 353970
-rect 202002 353969 202302 353970
-rect 220002 353969 220302 353970
-rect 238002 353969 238302 353970
-rect 256002 353969 256302 353970
-rect 274002 353969 274302 353970
-rect 294500 353969 294800 353970
-rect -2378 353810 -2078 353811
-rect 11202 353810 11502 353811
-rect 29202 353810 29502 353811
-rect 47202 353810 47502 353811
-rect 65202 353810 65502 353811
-rect 83202 353810 83502 353811
-rect 101202 353810 101502 353811
-rect 119202 353810 119502 353811
-rect 137202 353810 137502 353811
-rect 155202 353810 155502 353811
-rect 173202 353810 173502 353811
-rect 191202 353810 191502 353811
-rect 209202 353810 209502 353811
-rect 227202 353810 227502 353811
-rect 245202 353810 245502 353811
-rect 263202 353810 263502 353811
-rect 281202 353810 281502 353811
-rect 294040 353810 294340 353811
-rect -2378 353799 294340 353810
-rect -2378 353681 -2287 353799
-rect -2169 353681 11293 353799
-rect 11411 353681 29293 353799
-rect 29411 353681 47293 353799
-rect 47411 353681 65293 353799
-rect 65411 353681 83293 353799
-rect 83411 353681 101293 353799
-rect 101411 353681 119293 353799
-rect 119411 353681 137293 353799
-rect 137411 353681 155293 353799
-rect 155411 353681 173293 353799
-rect 173411 353681 191293 353799
-rect 191411 353681 209293 353799
-rect 209411 353681 227293 353799
-rect 227411 353681 245293 353799
-rect 245411 353681 263293 353799
-rect 263411 353681 281293 353799
-rect 281411 353681 294131 353799
-rect 294249 353681 294340 353799
-rect -2378 353639 294340 353681
-rect -2378 353521 -2287 353639
-rect -2169 353521 11293 353639
-rect 11411 353521 29293 353639
-rect 29411 353521 47293 353639
-rect 47411 353521 65293 353639
-rect 65411 353521 83293 353639
-rect 83411 353521 101293 353639
-rect 101411 353521 119293 353639
-rect 119411 353521 137293 353639
-rect 137411 353521 155293 353639
-rect 155411 353521 173293 353639
-rect 173411 353521 191293 353639
-rect 191411 353521 209293 353639
-rect 209411 353521 227293 353639
-rect 227411 353521 245293 353639
-rect 245411 353521 263293 353639
-rect 263411 353521 281293 353639
-rect 281411 353521 294131 353639
-rect 294249 353521 294340 353639
-rect -2378 353510 294340 353521
-rect -2378 353509 -2078 353510
-rect 11202 353509 11502 353510
-rect 29202 353509 29502 353510
-rect 47202 353509 47502 353510
-rect 65202 353509 65502 353510
-rect 83202 353509 83502 353510
-rect 101202 353509 101502 353510
-rect 119202 353509 119502 353510
-rect 137202 353509 137502 353510
-rect 155202 353509 155502 353510
-rect 173202 353509 173502 353510
-rect 191202 353509 191502 353510
-rect 209202 353509 209502 353510
-rect 227202 353509 227502 353510
-rect 245202 353509 245502 353510
-rect 263202 353509 263502 353510
-rect 281202 353509 281502 353510
-rect 294040 353509 294340 353510
-rect -1918 353350 -1618 353351
-rect 2202 353350 2502 353351
-rect 20202 353350 20502 353351
-rect 38202 353350 38502 353351
-rect 56202 353350 56502 353351
-rect 74202 353350 74502 353351
-rect 92202 353350 92502 353351
-rect 110202 353350 110502 353351
-rect 128202 353350 128502 353351
-rect 146202 353350 146502 353351
-rect 164202 353350 164502 353351
-rect 182202 353350 182502 353351
-rect 200202 353350 200502 353351
-rect 218202 353350 218502 353351
-rect 236202 353350 236502 353351
-rect 254202 353350 254502 353351
-rect 272202 353350 272502 353351
-rect 290202 353350 290502 353351
-rect 293580 353350 293880 353351
-rect -1918 353339 293880 353350
-rect -1918 353221 -1827 353339
-rect -1709 353221 2293 353339
-rect 2411 353221 20293 353339
-rect 20411 353221 38293 353339
-rect 38411 353221 56293 353339
-rect 56411 353221 74293 353339
-rect 74411 353221 92293 353339
-rect 92411 353221 110293 353339
-rect 110411 353221 128293 353339
-rect 128411 353221 146293 353339
-rect 146411 353221 164293 353339
-rect 164411 353221 182293 353339
-rect 182411 353221 200293 353339
-rect 200411 353221 218293 353339
-rect 218411 353221 236293 353339
-rect 236411 353221 254293 353339
-rect 254411 353221 272293 353339
-rect 272411 353221 290293 353339
-rect 290411 353221 293671 353339
-rect 293789 353221 293880 353339
-rect -1918 353179 293880 353221
-rect -1918 353061 -1827 353179
-rect -1709 353061 2293 353179
-rect 2411 353061 20293 353179
-rect 20411 353061 38293 353179
-rect 38411 353061 56293 353179
-rect 56411 353061 74293 353179
-rect 74411 353061 92293 353179
-rect 92411 353061 110293 353179
-rect 110411 353061 128293 353179
-rect 128411 353061 146293 353179
-rect 146411 353061 164293 353179
-rect 164411 353061 182293 353179
-rect 182411 353061 200293 353179
-rect 200411 353061 218293 353179
-rect 218411 353061 236293 353179
-rect 236411 353061 254293 353179
-rect 254411 353061 272293 353179
-rect 272411 353061 290293 353179
-rect 290411 353061 293671 353179
-rect 293789 353061 293880 353179
-rect -1918 353050 293880 353061
-rect -1918 353049 -1618 353050
-rect 2202 353049 2502 353050
-rect 20202 353049 20502 353050
-rect 38202 353049 38502 353050
-rect 56202 353049 56502 353050
-rect 74202 353049 74502 353050
-rect 92202 353049 92502 353050
-rect 110202 353049 110502 353050
-rect 128202 353049 128502 353050
-rect 146202 353049 146502 353050
-rect 164202 353049 164502 353050
-rect 182202 353049 182502 353050
-rect 200202 353049 200502 353050
-rect 218202 353049 218502 353050
-rect 236202 353049 236502 353050
-rect 254202 353049 254502 353050
-rect 272202 353049 272502 353050
-rect 290202 353049 290502 353050
-rect 293580 353049 293880 353050
-rect -1458 352890 -1158 352891
-rect 9402 352890 9702 352891
-rect 27402 352890 27702 352891
-rect 45402 352890 45702 352891
-rect 63402 352890 63702 352891
-rect 81402 352890 81702 352891
-rect 99402 352890 99702 352891
-rect 117402 352890 117702 352891
-rect 135402 352890 135702 352891
-rect 153402 352890 153702 352891
-rect 171402 352890 171702 352891
-rect 189402 352890 189702 352891
-rect 207402 352890 207702 352891
-rect 225402 352890 225702 352891
-rect 243402 352890 243702 352891
-rect 261402 352890 261702 352891
-rect 279402 352890 279702 352891
-rect 293120 352890 293420 352891
-rect -1458 352879 293420 352890
-rect -1458 352761 -1367 352879
-rect -1249 352761 9493 352879
-rect 9611 352761 27493 352879
-rect 27611 352761 45493 352879
-rect 45611 352761 63493 352879
-rect 63611 352761 81493 352879
-rect 81611 352761 99493 352879
-rect 99611 352761 117493 352879
-rect 117611 352761 135493 352879
-rect 135611 352761 153493 352879
-rect 153611 352761 171493 352879
-rect 171611 352761 189493 352879
-rect 189611 352761 207493 352879
-rect 207611 352761 225493 352879
-rect 225611 352761 243493 352879
-rect 243611 352761 261493 352879
-rect 261611 352761 279493 352879
-rect 279611 352761 293211 352879
-rect 293329 352761 293420 352879
-rect -1458 352719 293420 352761
-rect -1458 352601 -1367 352719
-rect -1249 352601 9493 352719
-rect 9611 352601 27493 352719
-rect 27611 352601 45493 352719
-rect 45611 352601 63493 352719
-rect 63611 352601 81493 352719
-rect 81611 352601 99493 352719
-rect 99611 352601 117493 352719
-rect 117611 352601 135493 352719
-rect 135611 352601 153493 352719
-rect 153611 352601 171493 352719
-rect 171611 352601 189493 352719
-rect 189611 352601 207493 352719
-rect 207611 352601 225493 352719
-rect 225611 352601 243493 352719
-rect 243611 352601 261493 352719
-rect 261611 352601 279493 352719
-rect 279611 352601 293211 352719
-rect 293329 352601 293420 352719
-rect -1458 352590 293420 352601
-rect -1458 352589 -1158 352590
-rect 9402 352589 9702 352590
-rect 27402 352589 27702 352590
-rect 45402 352589 45702 352590
-rect 63402 352589 63702 352590
-rect 81402 352589 81702 352590
-rect 99402 352589 99702 352590
-rect 117402 352589 117702 352590
-rect 135402 352589 135702 352590
-rect 153402 352589 153702 352590
-rect 171402 352589 171702 352590
-rect 189402 352589 189702 352590
-rect 207402 352589 207702 352590
-rect 225402 352589 225702 352590
-rect 243402 352589 243702 352590
-rect 261402 352589 261702 352590
-rect 279402 352589 279702 352590
-rect 293120 352589 293420 352590
+rect -4288 355720 -3988 355721
+rect 14802 355720 15102 355721
+rect 32802 355720 33102 355721
+rect 50802 355720 51102 355721
+rect 68802 355720 69102 355721
+rect 86802 355720 87102 355721
+rect 104802 355720 105102 355721
+rect 122802 355720 123102 355721
+rect 140802 355720 141102 355721
+rect 158802 355720 159102 355721
+rect 176802 355720 177102 355721
+rect 194802 355720 195102 355721
+rect 212802 355720 213102 355721
+rect 230802 355720 231102 355721
+rect 248802 355720 249102 355721
+rect 266802 355720 267102 355721
+rect 284802 355720 285102 355721
+rect 295950 355720 296250 355721
+rect -4288 355709 296250 355720
+rect -4288 355591 -4197 355709
+rect -4079 355591 14893 355709
+rect 15011 355591 32893 355709
+rect 33011 355591 50893 355709
+rect 51011 355591 68893 355709
+rect 69011 355591 86893 355709
+rect 87011 355591 104893 355709
+rect 105011 355591 122893 355709
+rect 123011 355591 140893 355709
+rect 141011 355591 158893 355709
+rect 159011 355591 176893 355709
+rect 177011 355591 194893 355709
+rect 195011 355591 212893 355709
+rect 213011 355591 230893 355709
+rect 231011 355591 248893 355709
+rect 249011 355591 266893 355709
+rect 267011 355591 284893 355709
+rect 285011 355591 296041 355709
+rect 296159 355591 296250 355709
+rect -4288 355549 296250 355591
+rect -4288 355431 -4197 355549
+rect -4079 355431 14893 355549
+rect 15011 355431 32893 355549
+rect 33011 355431 50893 355549
+rect 51011 355431 68893 355549
+rect 69011 355431 86893 355549
+rect 87011 355431 104893 355549
+rect 105011 355431 122893 355549
+rect 123011 355431 140893 355549
+rect 141011 355431 158893 355549
+rect 159011 355431 176893 355549
+rect 177011 355431 194893 355549
+rect 195011 355431 212893 355549
+rect 213011 355431 230893 355549
+rect 231011 355431 248893 355549
+rect 249011 355431 266893 355549
+rect 267011 355431 284893 355549
+rect 285011 355431 296041 355549
+rect 296159 355431 296250 355549
+rect -4288 355420 296250 355431
+rect -4288 355419 -3988 355420
+rect 14802 355419 15102 355420
+rect 32802 355419 33102 355420
+rect 50802 355419 51102 355420
+rect 68802 355419 69102 355420
+rect 86802 355419 87102 355420
+rect 104802 355419 105102 355420
+rect 122802 355419 123102 355420
+rect 140802 355419 141102 355420
+rect 158802 355419 159102 355420
+rect 176802 355419 177102 355420
+rect 194802 355419 195102 355420
+rect 212802 355419 213102 355420
+rect 230802 355419 231102 355420
+rect 248802 355419 249102 355420
+rect 266802 355419 267102 355420
+rect 284802 355419 285102 355420
+rect 295950 355419 296250 355420
+rect -3818 355250 -3518 355251
+rect 5802 355250 6102 355251
+rect 23802 355250 24102 355251
+rect 41802 355250 42102 355251
+rect 59802 355250 60102 355251
+rect 77802 355250 78102 355251
+rect 95802 355250 96102 355251
+rect 113802 355250 114102 355251
+rect 131802 355250 132102 355251
+rect 149802 355250 150102 355251
+rect 167802 355250 168102 355251
+rect 185802 355250 186102 355251
+rect 203802 355250 204102 355251
+rect 221802 355250 222102 355251
+rect 239802 355250 240102 355251
+rect 257802 355250 258102 355251
+rect 275802 355250 276102 355251
+rect 295480 355250 295780 355251
+rect -3818 355239 295780 355250
+rect -3818 355121 -3727 355239
+rect -3609 355121 5893 355239
+rect 6011 355121 23893 355239
+rect 24011 355121 41893 355239
+rect 42011 355121 59893 355239
+rect 60011 355121 77893 355239
+rect 78011 355121 95893 355239
+rect 96011 355121 113893 355239
+rect 114011 355121 131893 355239
+rect 132011 355121 149893 355239
+rect 150011 355121 167893 355239
+rect 168011 355121 185893 355239
+rect 186011 355121 203893 355239
+rect 204011 355121 221893 355239
+rect 222011 355121 239893 355239
+rect 240011 355121 257893 355239
+rect 258011 355121 275893 355239
+rect 276011 355121 295571 355239
+rect 295689 355121 295780 355239
+rect -3818 355079 295780 355121
+rect -3818 354961 -3727 355079
+rect -3609 354961 5893 355079
+rect 6011 354961 23893 355079
+rect 24011 354961 41893 355079
+rect 42011 354961 59893 355079
+rect 60011 354961 77893 355079
+rect 78011 354961 95893 355079
+rect 96011 354961 113893 355079
+rect 114011 354961 131893 355079
+rect 132011 354961 149893 355079
+rect 150011 354961 167893 355079
+rect 168011 354961 185893 355079
+rect 186011 354961 203893 355079
+rect 204011 354961 221893 355079
+rect 222011 354961 239893 355079
+rect 240011 354961 257893 355079
+rect 258011 354961 275893 355079
+rect 276011 354961 295571 355079
+rect 295689 354961 295780 355079
+rect -3818 354950 295780 354961
+rect -3818 354949 -3518 354950
+rect 5802 354949 6102 354950
+rect 23802 354949 24102 354950
+rect 41802 354949 42102 354950
+rect 59802 354949 60102 354950
+rect 77802 354949 78102 354950
+rect 95802 354949 96102 354950
+rect 113802 354949 114102 354950
+rect 131802 354949 132102 354950
+rect 149802 354949 150102 354950
+rect 167802 354949 168102 354950
+rect 185802 354949 186102 354950
+rect 203802 354949 204102 354950
+rect 221802 354949 222102 354950
+rect 239802 354949 240102 354950
+rect 257802 354949 258102 354950
+rect 275802 354949 276102 354950
+rect 295480 354949 295780 354950
+rect -3348 354780 -3048 354781
+rect 13002 354780 13302 354781
+rect 31002 354780 31302 354781
+rect 49002 354780 49302 354781
+rect 67002 354780 67302 354781
+rect 85002 354780 85302 354781
+rect 103002 354780 103302 354781
+rect 121002 354780 121302 354781
+rect 139002 354780 139302 354781
+rect 157002 354780 157302 354781
+rect 175002 354780 175302 354781
+rect 193002 354780 193302 354781
+rect 211002 354780 211302 354781
+rect 229002 354780 229302 354781
+rect 247002 354780 247302 354781
+rect 265002 354780 265302 354781
+rect 283002 354780 283302 354781
+rect 295010 354780 295310 354781
+rect -3348 354769 295310 354780
+rect -3348 354651 -3257 354769
+rect -3139 354651 13093 354769
+rect 13211 354651 31093 354769
+rect 31211 354651 49093 354769
+rect 49211 354651 67093 354769
+rect 67211 354651 85093 354769
+rect 85211 354651 103093 354769
+rect 103211 354651 121093 354769
+rect 121211 354651 139093 354769
+rect 139211 354651 157093 354769
+rect 157211 354651 175093 354769
+rect 175211 354651 193093 354769
+rect 193211 354651 211093 354769
+rect 211211 354651 229093 354769
+rect 229211 354651 247093 354769
+rect 247211 354651 265093 354769
+rect 265211 354651 283093 354769
+rect 283211 354651 295101 354769
+rect 295219 354651 295310 354769
+rect -3348 354609 295310 354651
+rect -3348 354491 -3257 354609
+rect -3139 354491 13093 354609
+rect 13211 354491 31093 354609
+rect 31211 354491 49093 354609
+rect 49211 354491 67093 354609
+rect 67211 354491 85093 354609
+rect 85211 354491 103093 354609
+rect 103211 354491 121093 354609
+rect 121211 354491 139093 354609
+rect 139211 354491 157093 354609
+rect 157211 354491 175093 354609
+rect 175211 354491 193093 354609
+rect 193211 354491 211093 354609
+rect 211211 354491 229093 354609
+rect 229211 354491 247093 354609
+rect 247211 354491 265093 354609
+rect 265211 354491 283093 354609
+rect 283211 354491 295101 354609
+rect 295219 354491 295310 354609
+rect -3348 354480 295310 354491
+rect -3348 354479 -3048 354480
+rect 13002 354479 13302 354480
+rect 31002 354479 31302 354480
+rect 49002 354479 49302 354480
+rect 67002 354479 67302 354480
+rect 85002 354479 85302 354480
+rect 103002 354479 103302 354480
+rect 121002 354479 121302 354480
+rect 139002 354479 139302 354480
+rect 157002 354479 157302 354480
+rect 175002 354479 175302 354480
+rect 193002 354479 193302 354480
+rect 211002 354479 211302 354480
+rect 229002 354479 229302 354480
+rect 247002 354479 247302 354480
+rect 265002 354479 265302 354480
+rect 283002 354479 283302 354480
+rect 295010 354479 295310 354480
+rect -2878 354310 -2578 354311
+rect 4002 354310 4302 354311
+rect 22002 354310 22302 354311
+rect 40002 354310 40302 354311
+rect 58002 354310 58302 354311
+rect 76002 354310 76302 354311
+rect 94002 354310 94302 354311
+rect 112002 354310 112302 354311
+rect 130002 354310 130302 354311
+rect 148002 354310 148302 354311
+rect 166002 354310 166302 354311
+rect 184002 354310 184302 354311
+rect 202002 354310 202302 354311
+rect 220002 354310 220302 354311
+rect 238002 354310 238302 354311
+rect 256002 354310 256302 354311
+rect 274002 354310 274302 354311
+rect 294540 354310 294840 354311
+rect -2878 354299 294840 354310
+rect -2878 354181 -2787 354299
+rect -2669 354181 4093 354299
+rect 4211 354181 22093 354299
+rect 22211 354181 40093 354299
+rect 40211 354181 58093 354299
+rect 58211 354181 76093 354299
+rect 76211 354181 94093 354299
+rect 94211 354181 112093 354299
+rect 112211 354181 130093 354299
+rect 130211 354181 148093 354299
+rect 148211 354181 166093 354299
+rect 166211 354181 184093 354299
+rect 184211 354181 202093 354299
+rect 202211 354181 220093 354299
+rect 220211 354181 238093 354299
+rect 238211 354181 256093 354299
+rect 256211 354181 274093 354299
+rect 274211 354181 294631 354299
+rect 294749 354181 294840 354299
+rect -2878 354139 294840 354181
+rect -2878 354021 -2787 354139
+rect -2669 354021 4093 354139
+rect 4211 354021 22093 354139
+rect 22211 354021 40093 354139
+rect 40211 354021 58093 354139
+rect 58211 354021 76093 354139
+rect 76211 354021 94093 354139
+rect 94211 354021 112093 354139
+rect 112211 354021 130093 354139
+rect 130211 354021 148093 354139
+rect 148211 354021 166093 354139
+rect 166211 354021 184093 354139
+rect 184211 354021 202093 354139
+rect 202211 354021 220093 354139
+rect 220211 354021 238093 354139
+rect 238211 354021 256093 354139
+rect 256211 354021 274093 354139
+rect 274211 354021 294631 354139
+rect 294749 354021 294840 354139
+rect -2878 354010 294840 354021
+rect -2878 354009 -2578 354010
+rect 4002 354009 4302 354010
+rect 22002 354009 22302 354010
+rect 40002 354009 40302 354010
+rect 58002 354009 58302 354010
+rect 76002 354009 76302 354010
+rect 94002 354009 94302 354010
+rect 112002 354009 112302 354010
+rect 130002 354009 130302 354010
+rect 148002 354009 148302 354010
+rect 166002 354009 166302 354010
+rect 184002 354009 184302 354010
+rect 202002 354009 202302 354010
+rect 220002 354009 220302 354010
+rect 238002 354009 238302 354010
+rect 256002 354009 256302 354010
+rect 274002 354009 274302 354010
+rect 294540 354009 294840 354010
+rect -2408 353840 -2108 353841
+rect 11202 353840 11502 353841
+rect 29202 353840 29502 353841
+rect 47202 353840 47502 353841
+rect 65202 353840 65502 353841
+rect 83202 353840 83502 353841
+rect 101202 353840 101502 353841
+rect 119202 353840 119502 353841
+rect 137202 353840 137502 353841
+rect 155202 353840 155502 353841
+rect 173202 353840 173502 353841
+rect 191202 353840 191502 353841
+rect 209202 353840 209502 353841
+rect 227202 353840 227502 353841
+rect 245202 353840 245502 353841
+rect 263202 353840 263502 353841
+rect 281202 353840 281502 353841
+rect 294070 353840 294370 353841
+rect -2408 353829 294370 353840
+rect -2408 353711 -2317 353829
+rect -2199 353711 11293 353829
+rect 11411 353711 29293 353829
+rect 29411 353711 47293 353829
+rect 47411 353711 65293 353829
+rect 65411 353711 83293 353829
+rect 83411 353711 101293 353829
+rect 101411 353711 119293 353829
+rect 119411 353711 137293 353829
+rect 137411 353711 155293 353829
+rect 155411 353711 173293 353829
+rect 173411 353711 191293 353829
+rect 191411 353711 209293 353829
+rect 209411 353711 227293 353829
+rect 227411 353711 245293 353829
+rect 245411 353711 263293 353829
+rect 263411 353711 281293 353829
+rect 281411 353711 294161 353829
+rect 294279 353711 294370 353829
+rect -2408 353669 294370 353711
+rect -2408 353551 -2317 353669
+rect -2199 353551 11293 353669
+rect 11411 353551 29293 353669
+rect 29411 353551 47293 353669
+rect 47411 353551 65293 353669
+rect 65411 353551 83293 353669
+rect 83411 353551 101293 353669
+rect 101411 353551 119293 353669
+rect 119411 353551 137293 353669
+rect 137411 353551 155293 353669
+rect 155411 353551 173293 353669
+rect 173411 353551 191293 353669
+rect 191411 353551 209293 353669
+rect 209411 353551 227293 353669
+rect 227411 353551 245293 353669
+rect 245411 353551 263293 353669
+rect 263411 353551 281293 353669
+rect 281411 353551 294161 353669
+rect 294279 353551 294370 353669
+rect -2408 353540 294370 353551
+rect -2408 353539 -2108 353540
+rect 11202 353539 11502 353540
+rect 29202 353539 29502 353540
+rect 47202 353539 47502 353540
+rect 65202 353539 65502 353540
+rect 83202 353539 83502 353540
+rect 101202 353539 101502 353540
+rect 119202 353539 119502 353540
+rect 137202 353539 137502 353540
+rect 155202 353539 155502 353540
+rect 173202 353539 173502 353540
+rect 191202 353539 191502 353540
+rect 209202 353539 209502 353540
+rect 227202 353539 227502 353540
+rect 245202 353539 245502 353540
+rect 263202 353539 263502 353540
+rect 281202 353539 281502 353540
+rect 294070 353539 294370 353540
+rect -1938 353370 -1638 353371
+rect 2202 353370 2502 353371
+rect 20202 353370 20502 353371
+rect 38202 353370 38502 353371
+rect 56202 353370 56502 353371
+rect 74202 353370 74502 353371
+rect 92202 353370 92502 353371
+rect 110202 353370 110502 353371
+rect 128202 353370 128502 353371
+rect 146202 353370 146502 353371
+rect 164202 353370 164502 353371
+rect 182202 353370 182502 353371
+rect 200202 353370 200502 353371
+rect 218202 353370 218502 353371
+rect 236202 353370 236502 353371
+rect 254202 353370 254502 353371
+rect 272202 353370 272502 353371
+rect 290202 353370 290502 353371
+rect 293600 353370 293900 353371
+rect -1938 353359 293900 353370
+rect -1938 353241 -1847 353359
+rect -1729 353241 2293 353359
+rect 2411 353241 20293 353359
+rect 20411 353241 38293 353359
+rect 38411 353241 56293 353359
+rect 56411 353241 74293 353359
+rect 74411 353241 92293 353359
+rect 92411 353241 110293 353359
+rect 110411 353241 128293 353359
+rect 128411 353241 146293 353359
+rect 146411 353241 164293 353359
+rect 164411 353241 182293 353359
+rect 182411 353241 200293 353359
+rect 200411 353241 218293 353359
+rect 218411 353241 236293 353359
+rect 236411 353241 254293 353359
+rect 254411 353241 272293 353359
+rect 272411 353241 290293 353359
+rect 290411 353241 293691 353359
+rect 293809 353241 293900 353359
+rect -1938 353199 293900 353241
+rect -1938 353081 -1847 353199
+rect -1729 353081 2293 353199
+rect 2411 353081 20293 353199
+rect 20411 353081 38293 353199
+rect 38411 353081 56293 353199
+rect 56411 353081 74293 353199
+rect 74411 353081 92293 353199
+rect 92411 353081 110293 353199
+rect 110411 353081 128293 353199
+rect 128411 353081 146293 353199
+rect 146411 353081 164293 353199
+rect 164411 353081 182293 353199
+rect 182411 353081 200293 353199
+rect 200411 353081 218293 353199
+rect 218411 353081 236293 353199
+rect 236411 353081 254293 353199
+rect 254411 353081 272293 353199
+rect 272411 353081 290293 353199
+rect 290411 353081 293691 353199
+rect 293809 353081 293900 353199
+rect -1938 353070 293900 353081
+rect -1938 353069 -1638 353070
+rect 2202 353069 2502 353070
+rect 20202 353069 20502 353070
+rect 38202 353069 38502 353070
+rect 56202 353069 56502 353070
+rect 74202 353069 74502 353070
+rect 92202 353069 92502 353070
+rect 110202 353069 110502 353070
+rect 128202 353069 128502 353070
+rect 146202 353069 146502 353070
+rect 164202 353069 164502 353070
+rect 182202 353069 182502 353070
+rect 200202 353069 200502 353070
+rect 218202 353069 218502 353070
+rect 236202 353069 236502 353070
+rect 254202 353069 254502 353070
+rect 272202 353069 272502 353070
+rect 290202 353069 290502 353070
+rect 293600 353069 293900 353070
+rect -1468 352900 -1168 352901
+rect 9402 352900 9702 352901
+rect 27402 352900 27702 352901
+rect 45402 352900 45702 352901
+rect 63402 352900 63702 352901
+rect 81402 352900 81702 352901
+rect 99402 352900 99702 352901
+rect 117402 352900 117702 352901
+rect 135402 352900 135702 352901
+rect 153402 352900 153702 352901
+rect 171402 352900 171702 352901
+rect 189402 352900 189702 352901
+rect 207402 352900 207702 352901
+rect 225402 352900 225702 352901
+rect 243402 352900 243702 352901
+rect 261402 352900 261702 352901
+rect 279402 352900 279702 352901
+rect 293130 352900 293430 352901
+rect -1468 352889 293430 352900
+rect -1468 352771 -1377 352889
+rect -1259 352771 9493 352889
+rect 9611 352771 27493 352889
+rect 27611 352771 45493 352889
+rect 45611 352771 63493 352889
+rect 63611 352771 81493 352889
+rect 81611 352771 99493 352889
+rect 99611 352771 117493 352889
+rect 117611 352771 135493 352889
+rect 135611 352771 153493 352889
+rect 153611 352771 171493 352889
+rect 171611 352771 189493 352889
+rect 189611 352771 207493 352889
+rect 207611 352771 225493 352889
+rect 225611 352771 243493 352889
+rect 243611 352771 261493 352889
+rect 261611 352771 279493 352889
+rect 279611 352771 293221 352889
+rect 293339 352771 293430 352889
+rect -1468 352729 293430 352771
+rect -1468 352611 -1377 352729
+rect -1259 352611 9493 352729
+rect 9611 352611 27493 352729
+rect 27611 352611 45493 352729
+rect 45611 352611 63493 352729
+rect 63611 352611 81493 352729
+rect 81611 352611 99493 352729
+rect 99611 352611 117493 352729
+rect 117611 352611 135493 352729
+rect 135611 352611 153493 352729
+rect 153611 352611 171493 352729
+rect 171611 352611 189493 352729
+rect 189611 352611 207493 352729
+rect 207611 352611 225493 352729
+rect 225611 352611 243493 352729
+rect 243611 352611 261493 352729
+rect 261611 352611 279493 352729
+rect 279611 352611 293221 352729
+rect 293339 352611 293430 352729
+rect -1468 352600 293430 352611
+rect -1468 352599 -1168 352600
+rect 9402 352599 9702 352600
+rect 27402 352599 27702 352600
+rect 45402 352599 45702 352600
+rect 63402 352599 63702 352600
+rect 81402 352599 81702 352600
+rect 99402 352599 99702 352600
+rect 117402 352599 117702 352600
+rect 135402 352599 135702 352600
+rect 153402 352599 153702 352600
+rect 171402 352599 171702 352600
+rect 189402 352599 189702 352600
+rect 207402 352599 207702 352600
+rect 225402 352599 225702 352600
+rect 243402 352599 243702 352600
+rect 261402 352599 261702 352600
+rect 279402 352599 279702 352600
+rect 293130 352599 293430 352600
 rect -998 352430 -698 352431
 rect 402 352430 702 352431
 rect 18402 352430 18702 352431
@@ -6376,2812 +6376,2812 @@
 rect 270402 352129 270702 352130
 rect 288402 352129 288702 352130
 rect 292660 352129 292960 352130
-rect -3758 348638 -3458 348639
-rect 295420 348638 295720 348639
-rect -4218 348627 240 348638
-rect -4218 348509 -3667 348627
-rect -3549 348509 240 348627
-rect -4218 348467 240 348509
-rect -4218 348349 -3667 348467
-rect -3549 348349 240 348467
-rect -4218 348338 240 348349
-rect 291760 348627 296180 348638
-rect 291760 348509 295511 348627
-rect 295629 348509 296180 348627
-rect 291760 348467 296180 348509
-rect 291760 348349 295511 348467
-rect 295629 348349 296180 348467
-rect 291760 348338 296180 348349
-rect -3758 348337 -3458 348338
-rect 295420 348337 295720 348338
-rect -2838 346838 -2538 346839
-rect 294500 346838 294800 346839
-rect -3298 346827 240 346838
-rect -3298 346709 -2747 346827
-rect -2629 346709 240 346827
-rect -3298 346667 240 346709
-rect -3298 346549 -2747 346667
-rect -2629 346549 240 346667
-rect -3298 346538 240 346549
-rect 291760 346827 295260 346838
-rect 291760 346709 294591 346827
-rect 294709 346709 295260 346827
-rect 291760 346667 295260 346709
-rect 291760 346549 294591 346667
-rect 294709 346549 295260 346667
-rect 291760 346538 295260 346549
-rect -2838 346537 -2538 346538
-rect 294500 346537 294800 346538
-rect -1918 345038 -1618 345039
-rect 293580 345038 293880 345039
-rect -2378 345027 240 345038
-rect -2378 344909 -1827 345027
-rect -1709 344909 240 345027
-rect -2378 344867 240 344909
-rect -2378 344749 -1827 344867
-rect -1709 344749 240 344867
-rect -2378 344738 240 344749
-rect 291760 345027 294340 345038
-rect 291760 344909 293671 345027
-rect 293789 344909 294340 345027
-rect 291760 344867 294340 344909
-rect 291760 344749 293671 344867
-rect 293789 344749 294340 344867
-rect 291760 344738 294340 344749
-rect -1918 344737 -1618 344738
-rect 293580 344737 293880 344738
+rect -3818 348638 -3518 348639
+rect 295480 348638 295780 348639
+rect -4288 348627 240 348638
+rect -4288 348509 -3727 348627
+rect -3609 348509 240 348627
+rect -4288 348467 240 348509
+rect -4288 348349 -3727 348467
+rect -3609 348349 240 348467
+rect -4288 348338 240 348349
+rect 291760 348627 296250 348638
+rect 291760 348509 295571 348627
+rect 295689 348509 296250 348627
+rect 291760 348467 296250 348509
+rect 291760 348349 295571 348467
+rect 295689 348349 296250 348467
+rect 291760 348338 296250 348349
+rect -3818 348337 -3518 348338
+rect 295480 348337 295780 348338
+rect -2878 346838 -2578 346839
+rect 294540 346838 294840 346839
+rect -3348 346827 240 346838
+rect -3348 346709 -2787 346827
+rect -2669 346709 240 346827
+rect -3348 346667 240 346709
+rect -3348 346549 -2787 346667
+rect -2669 346549 240 346667
+rect -3348 346538 240 346549
+rect 291760 346827 295310 346838
+rect 291760 346709 294631 346827
+rect 294749 346709 295310 346827
+rect 291760 346667 295310 346709
+rect 291760 346549 294631 346667
+rect 294749 346549 295310 346667
+rect 291760 346538 295310 346549
+rect -2878 346537 -2578 346538
+rect 294540 346537 294840 346538
+rect -1938 345038 -1638 345039
+rect 293600 345038 293900 345039
+rect -2408 345027 240 345038
+rect -2408 344909 -1847 345027
+rect -1729 344909 240 345027
+rect -2408 344867 240 344909
+rect -2408 344749 -1847 344867
+rect -1729 344749 240 344867
+rect -2408 344738 240 344749
+rect 291760 345027 294370 345038
+rect 291760 344909 293691 345027
+rect 293809 344909 294370 345027
+rect 291760 344867 294370 344909
+rect 291760 344749 293691 344867
+rect 293809 344749 294370 344867
+rect 291760 344738 294370 344749
+rect -1938 344737 -1638 344738
+rect 293600 344737 293900 344738
 rect -998 343238 -698 343239
 rect 292660 343238 292960 343239
-rect -1458 343227 240 343238
-rect -1458 343109 -907 343227
+rect -1468 343227 240 343238
+rect -1468 343109 -907 343227
 rect -789 343109 240 343227
-rect -1458 343067 240 343109
-rect -1458 342949 -907 343067
+rect -1468 343067 240 343109
+rect -1468 342949 -907 343067
 rect -789 342949 240 343067
-rect -1458 342938 240 342949
-rect 291760 343227 293420 343238
+rect -1468 342938 240 342949
+rect 291760 343227 293430 343238
 rect 291760 343109 292751 343227
-rect 292869 343109 293420 343227
-rect 291760 343067 293420 343109
+rect 292869 343109 293430 343227
+rect 291760 343067 293430 343109
 rect 291760 342949 292751 343067
-rect 292869 342949 293420 343067
-rect 291760 342938 293420 342949
+rect 292869 342949 293430 343067
+rect 291760 342938 293430 342949
 rect -998 342937 -698 342938
 rect 292660 342937 292960 342938
-rect -4218 339638 -3918 339639
-rect 295880 339638 296180 339639
-rect -4218 339627 240 339638
-rect -4218 339509 -4127 339627
-rect -4009 339509 240 339627
-rect -4218 339467 240 339509
-rect -4218 339349 -4127 339467
-rect -4009 339349 240 339467
-rect -4218 339338 240 339349
-rect 291760 339627 296180 339638
-rect 291760 339509 295971 339627
-rect 296089 339509 296180 339627
-rect 291760 339467 296180 339509
-rect 291760 339349 295971 339467
-rect 296089 339349 296180 339467
-rect 291760 339338 296180 339349
-rect -4218 339337 -3918 339338
-rect 295880 339337 296180 339338
-rect -3298 337838 -2998 337839
-rect 294960 337838 295260 337839
-rect -3298 337827 240 337838
-rect -3298 337709 -3207 337827
-rect -3089 337709 240 337827
-rect -3298 337667 240 337709
-rect -3298 337549 -3207 337667
-rect -3089 337549 240 337667
-rect -3298 337538 240 337549
-rect 291760 337827 295260 337838
-rect 291760 337709 295051 337827
-rect 295169 337709 295260 337827
-rect 291760 337667 295260 337709
-rect 291760 337549 295051 337667
-rect 295169 337549 295260 337667
-rect 291760 337538 295260 337549
-rect -3298 337537 -2998 337538
-rect 294960 337537 295260 337538
-rect -2378 336038 -2078 336039
-rect 294040 336038 294340 336039
-rect -2378 336027 240 336038
-rect -2378 335909 -2287 336027
-rect -2169 335909 240 336027
-rect -2378 335867 240 335909
-rect -2378 335749 -2287 335867
-rect -2169 335749 240 335867
-rect -2378 335738 240 335749
-rect 291760 336027 294340 336038
-rect 291760 335909 294131 336027
-rect 294249 335909 294340 336027
-rect 291760 335867 294340 335909
-rect 291760 335749 294131 335867
-rect 294249 335749 294340 335867
-rect 291760 335738 294340 335749
-rect -2378 335737 -2078 335738
-rect 294040 335737 294340 335738
-rect -1458 334238 -1158 334239
-rect 293120 334238 293420 334239
-rect -1458 334227 240 334238
-rect -1458 334109 -1367 334227
-rect -1249 334109 240 334227
-rect -1458 334067 240 334109
-rect -1458 333949 -1367 334067
-rect -1249 333949 240 334067
-rect -1458 333938 240 333949
-rect 291760 334227 293420 334238
-rect 291760 334109 293211 334227
-rect 293329 334109 293420 334227
-rect 291760 334067 293420 334109
-rect 291760 333949 293211 334067
-rect 293329 333949 293420 334067
-rect 291760 333938 293420 333949
-rect -1458 333937 -1158 333938
-rect 293120 333937 293420 333938
-rect -3758 330638 -3458 330639
-rect 295420 330638 295720 330639
-rect -4218 330627 240 330638
-rect -4218 330509 -3667 330627
-rect -3549 330509 240 330627
-rect -4218 330467 240 330509
-rect -4218 330349 -3667 330467
-rect -3549 330349 240 330467
-rect -4218 330338 240 330349
-rect 291760 330627 296180 330638
-rect 291760 330509 295511 330627
-rect 295629 330509 296180 330627
-rect 291760 330467 296180 330509
-rect 291760 330349 295511 330467
-rect 295629 330349 296180 330467
-rect 291760 330338 296180 330349
-rect -3758 330337 -3458 330338
-rect 295420 330337 295720 330338
-rect -2838 328838 -2538 328839
-rect 294500 328838 294800 328839
-rect -3298 328827 240 328838
-rect -3298 328709 -2747 328827
-rect -2629 328709 240 328827
-rect -3298 328667 240 328709
-rect -3298 328549 -2747 328667
-rect -2629 328549 240 328667
-rect -3298 328538 240 328549
-rect 291760 328827 295260 328838
-rect 291760 328709 294591 328827
-rect 294709 328709 295260 328827
-rect 291760 328667 295260 328709
-rect 291760 328549 294591 328667
-rect 294709 328549 295260 328667
-rect 291760 328538 295260 328549
-rect -2838 328537 -2538 328538
-rect 294500 328537 294800 328538
-rect -1918 327038 -1618 327039
-rect 293580 327038 293880 327039
-rect -2378 327027 240 327038
-rect -2378 326909 -1827 327027
-rect -1709 326909 240 327027
-rect -2378 326867 240 326909
-rect -2378 326749 -1827 326867
-rect -1709 326749 240 326867
-rect -2378 326738 240 326749
-rect 291760 327027 294340 327038
-rect 291760 326909 293671 327027
-rect 293789 326909 294340 327027
-rect 291760 326867 294340 326909
-rect 291760 326749 293671 326867
-rect 293789 326749 294340 326867
-rect 291760 326738 294340 326749
-rect -1918 326737 -1618 326738
-rect 293580 326737 293880 326738
+rect -4288 339638 -3988 339639
+rect 295950 339638 296250 339639
+rect -4288 339627 240 339638
+rect -4288 339509 -4197 339627
+rect -4079 339509 240 339627
+rect -4288 339467 240 339509
+rect -4288 339349 -4197 339467
+rect -4079 339349 240 339467
+rect -4288 339338 240 339349
+rect 291760 339627 296250 339638
+rect 291760 339509 296041 339627
+rect 296159 339509 296250 339627
+rect 291760 339467 296250 339509
+rect 291760 339349 296041 339467
+rect 296159 339349 296250 339467
+rect 291760 339338 296250 339349
+rect -4288 339337 -3988 339338
+rect 295950 339337 296250 339338
+rect -3348 337838 -3048 337839
+rect 295010 337838 295310 337839
+rect -3348 337827 240 337838
+rect -3348 337709 -3257 337827
+rect -3139 337709 240 337827
+rect -3348 337667 240 337709
+rect -3348 337549 -3257 337667
+rect -3139 337549 240 337667
+rect -3348 337538 240 337549
+rect 291760 337827 295310 337838
+rect 291760 337709 295101 337827
+rect 295219 337709 295310 337827
+rect 291760 337667 295310 337709
+rect 291760 337549 295101 337667
+rect 295219 337549 295310 337667
+rect 291760 337538 295310 337549
+rect -3348 337537 -3048 337538
+rect 295010 337537 295310 337538
+rect -2408 336038 -2108 336039
+rect 294070 336038 294370 336039
+rect -2408 336027 240 336038
+rect -2408 335909 -2317 336027
+rect -2199 335909 240 336027
+rect -2408 335867 240 335909
+rect -2408 335749 -2317 335867
+rect -2199 335749 240 335867
+rect -2408 335738 240 335749
+rect 291760 336027 294370 336038
+rect 291760 335909 294161 336027
+rect 294279 335909 294370 336027
+rect 291760 335867 294370 335909
+rect 291760 335749 294161 335867
+rect 294279 335749 294370 335867
+rect 291760 335738 294370 335749
+rect -2408 335737 -2108 335738
+rect 294070 335737 294370 335738
+rect -1468 334238 -1168 334239
+rect 293130 334238 293430 334239
+rect -1468 334227 240 334238
+rect -1468 334109 -1377 334227
+rect -1259 334109 240 334227
+rect -1468 334067 240 334109
+rect -1468 333949 -1377 334067
+rect -1259 333949 240 334067
+rect -1468 333938 240 333949
+rect 291760 334227 293430 334238
+rect 291760 334109 293221 334227
+rect 293339 334109 293430 334227
+rect 291760 334067 293430 334109
+rect 291760 333949 293221 334067
+rect 293339 333949 293430 334067
+rect 291760 333938 293430 333949
+rect -1468 333937 -1168 333938
+rect 293130 333937 293430 333938
+rect -3818 330638 -3518 330639
+rect 295480 330638 295780 330639
+rect -4288 330627 240 330638
+rect -4288 330509 -3727 330627
+rect -3609 330509 240 330627
+rect -4288 330467 240 330509
+rect -4288 330349 -3727 330467
+rect -3609 330349 240 330467
+rect -4288 330338 240 330349
+rect 291760 330627 296250 330638
+rect 291760 330509 295571 330627
+rect 295689 330509 296250 330627
+rect 291760 330467 296250 330509
+rect 291760 330349 295571 330467
+rect 295689 330349 296250 330467
+rect 291760 330338 296250 330349
+rect -3818 330337 -3518 330338
+rect 295480 330337 295780 330338
+rect -2878 328838 -2578 328839
+rect 294540 328838 294840 328839
+rect -3348 328827 240 328838
+rect -3348 328709 -2787 328827
+rect -2669 328709 240 328827
+rect -3348 328667 240 328709
+rect -3348 328549 -2787 328667
+rect -2669 328549 240 328667
+rect -3348 328538 240 328549
+rect 291760 328827 295310 328838
+rect 291760 328709 294631 328827
+rect 294749 328709 295310 328827
+rect 291760 328667 295310 328709
+rect 291760 328549 294631 328667
+rect 294749 328549 295310 328667
+rect 291760 328538 295310 328549
+rect -2878 328537 -2578 328538
+rect 294540 328537 294840 328538
+rect -1938 327038 -1638 327039
+rect 293600 327038 293900 327039
+rect -2408 327027 240 327038
+rect -2408 326909 -1847 327027
+rect -1729 326909 240 327027
+rect -2408 326867 240 326909
+rect -2408 326749 -1847 326867
+rect -1729 326749 240 326867
+rect -2408 326738 240 326749
+rect 291760 327027 294370 327038
+rect 291760 326909 293691 327027
+rect 293809 326909 294370 327027
+rect 291760 326867 294370 326909
+rect 291760 326749 293691 326867
+rect 293809 326749 294370 326867
+rect 291760 326738 294370 326749
+rect -1938 326737 -1638 326738
+rect 293600 326737 293900 326738
 rect -998 325238 -698 325239
 rect 292660 325238 292960 325239
-rect -1458 325227 240 325238
-rect -1458 325109 -907 325227
+rect -1468 325227 240 325238
+rect -1468 325109 -907 325227
 rect -789 325109 240 325227
-rect -1458 325067 240 325109
-rect -1458 324949 -907 325067
+rect -1468 325067 240 325109
+rect -1468 324949 -907 325067
 rect -789 324949 240 325067
-rect -1458 324938 240 324949
-rect 291760 325227 293420 325238
+rect -1468 324938 240 324949
+rect 291760 325227 293430 325238
 rect 291760 325109 292751 325227
-rect 292869 325109 293420 325227
-rect 291760 325067 293420 325109
+rect 292869 325109 293430 325227
+rect 291760 325067 293430 325109
 rect 291760 324949 292751 325067
-rect 292869 324949 293420 325067
-rect 291760 324938 293420 324949
+rect 292869 324949 293430 325067
+rect 291760 324938 293430 324949
 rect -998 324937 -698 324938
 rect 292660 324937 292960 324938
-rect -4218 321638 -3918 321639
-rect 295880 321638 296180 321639
-rect -4218 321627 240 321638
-rect -4218 321509 -4127 321627
-rect -4009 321509 240 321627
-rect -4218 321467 240 321509
-rect -4218 321349 -4127 321467
-rect -4009 321349 240 321467
-rect -4218 321338 240 321349
-rect 291760 321627 296180 321638
-rect 291760 321509 295971 321627
-rect 296089 321509 296180 321627
-rect 291760 321467 296180 321509
-rect 291760 321349 295971 321467
-rect 296089 321349 296180 321467
-rect 291760 321338 296180 321349
-rect -4218 321337 -3918 321338
-rect 295880 321337 296180 321338
-rect -3298 319838 -2998 319839
-rect 294960 319838 295260 319839
-rect -3298 319827 240 319838
-rect -3298 319709 -3207 319827
-rect -3089 319709 240 319827
-rect -3298 319667 240 319709
-rect -3298 319549 -3207 319667
-rect -3089 319549 240 319667
-rect -3298 319538 240 319549
-rect 291760 319827 295260 319838
-rect 291760 319709 295051 319827
-rect 295169 319709 295260 319827
-rect 291760 319667 295260 319709
-rect 291760 319549 295051 319667
-rect 295169 319549 295260 319667
-rect 291760 319538 295260 319549
-rect -3298 319537 -2998 319538
-rect 294960 319537 295260 319538
-rect -2378 318038 -2078 318039
-rect 294040 318038 294340 318039
-rect -2378 318027 240 318038
-rect -2378 317909 -2287 318027
-rect -2169 317909 240 318027
-rect -2378 317867 240 317909
-rect -2378 317749 -2287 317867
-rect -2169 317749 240 317867
-rect -2378 317738 240 317749
-rect 291760 318027 294340 318038
-rect 291760 317909 294131 318027
-rect 294249 317909 294340 318027
-rect 291760 317867 294340 317909
-rect 291760 317749 294131 317867
-rect 294249 317749 294340 317867
-rect 291760 317738 294340 317749
-rect -2378 317737 -2078 317738
-rect 294040 317737 294340 317738
-rect -1458 316238 -1158 316239
-rect 293120 316238 293420 316239
-rect -1458 316227 240 316238
-rect -1458 316109 -1367 316227
-rect -1249 316109 240 316227
-rect -1458 316067 240 316109
-rect -1458 315949 -1367 316067
-rect -1249 315949 240 316067
-rect -1458 315938 240 315949
-rect 291760 316227 293420 316238
-rect 291760 316109 293211 316227
-rect 293329 316109 293420 316227
-rect 291760 316067 293420 316109
-rect 291760 315949 293211 316067
-rect 293329 315949 293420 316067
-rect 291760 315938 293420 315949
-rect -1458 315937 -1158 315938
-rect 293120 315937 293420 315938
-rect -3758 312638 -3458 312639
-rect 295420 312638 295720 312639
-rect -4218 312627 240 312638
-rect -4218 312509 -3667 312627
-rect -3549 312509 240 312627
-rect -4218 312467 240 312509
-rect -4218 312349 -3667 312467
-rect -3549 312349 240 312467
-rect -4218 312338 240 312349
-rect 291760 312627 296180 312638
-rect 291760 312509 295511 312627
-rect 295629 312509 296180 312627
-rect 291760 312467 296180 312509
-rect 291760 312349 295511 312467
-rect 295629 312349 296180 312467
-rect 291760 312338 296180 312349
-rect -3758 312337 -3458 312338
-rect 295420 312337 295720 312338
-rect -2838 310838 -2538 310839
-rect 294500 310838 294800 310839
-rect -3298 310827 240 310838
-rect -3298 310709 -2747 310827
-rect -2629 310709 240 310827
-rect -3298 310667 240 310709
-rect -3298 310549 -2747 310667
-rect -2629 310549 240 310667
-rect -3298 310538 240 310549
-rect 291760 310827 295260 310838
-rect 291760 310709 294591 310827
-rect 294709 310709 295260 310827
-rect 291760 310667 295260 310709
-rect 291760 310549 294591 310667
-rect 294709 310549 295260 310667
-rect 291760 310538 295260 310549
-rect -2838 310537 -2538 310538
-rect 294500 310537 294800 310538
-rect -1918 309038 -1618 309039
-rect 293580 309038 293880 309039
-rect -2378 309027 240 309038
-rect -2378 308909 -1827 309027
-rect -1709 308909 240 309027
-rect -2378 308867 240 308909
-rect -2378 308749 -1827 308867
-rect -1709 308749 240 308867
-rect -2378 308738 240 308749
-rect 291760 309027 294340 309038
-rect 291760 308909 293671 309027
-rect 293789 308909 294340 309027
-rect 291760 308867 294340 308909
-rect 291760 308749 293671 308867
-rect 293789 308749 294340 308867
-rect 291760 308738 294340 308749
-rect -1918 308737 -1618 308738
-rect 293580 308737 293880 308738
+rect -4288 321638 -3988 321639
+rect 295950 321638 296250 321639
+rect -4288 321627 240 321638
+rect -4288 321509 -4197 321627
+rect -4079 321509 240 321627
+rect -4288 321467 240 321509
+rect -4288 321349 -4197 321467
+rect -4079 321349 240 321467
+rect -4288 321338 240 321349
+rect 291760 321627 296250 321638
+rect 291760 321509 296041 321627
+rect 296159 321509 296250 321627
+rect 291760 321467 296250 321509
+rect 291760 321349 296041 321467
+rect 296159 321349 296250 321467
+rect 291760 321338 296250 321349
+rect -4288 321337 -3988 321338
+rect 295950 321337 296250 321338
+rect -3348 319838 -3048 319839
+rect 295010 319838 295310 319839
+rect -3348 319827 240 319838
+rect -3348 319709 -3257 319827
+rect -3139 319709 240 319827
+rect -3348 319667 240 319709
+rect -3348 319549 -3257 319667
+rect -3139 319549 240 319667
+rect -3348 319538 240 319549
+rect 291760 319827 295310 319838
+rect 291760 319709 295101 319827
+rect 295219 319709 295310 319827
+rect 291760 319667 295310 319709
+rect 291760 319549 295101 319667
+rect 295219 319549 295310 319667
+rect 291760 319538 295310 319549
+rect -3348 319537 -3048 319538
+rect 295010 319537 295310 319538
+rect -2408 318038 -2108 318039
+rect 294070 318038 294370 318039
+rect -2408 318027 240 318038
+rect -2408 317909 -2317 318027
+rect -2199 317909 240 318027
+rect -2408 317867 240 317909
+rect -2408 317749 -2317 317867
+rect -2199 317749 240 317867
+rect -2408 317738 240 317749
+rect 291760 318027 294370 318038
+rect 291760 317909 294161 318027
+rect 294279 317909 294370 318027
+rect 291760 317867 294370 317909
+rect 291760 317749 294161 317867
+rect 294279 317749 294370 317867
+rect 291760 317738 294370 317749
+rect -2408 317737 -2108 317738
+rect 294070 317737 294370 317738
+rect -1468 316238 -1168 316239
+rect 293130 316238 293430 316239
+rect -1468 316227 240 316238
+rect -1468 316109 -1377 316227
+rect -1259 316109 240 316227
+rect -1468 316067 240 316109
+rect -1468 315949 -1377 316067
+rect -1259 315949 240 316067
+rect -1468 315938 240 315949
+rect 291760 316227 293430 316238
+rect 291760 316109 293221 316227
+rect 293339 316109 293430 316227
+rect 291760 316067 293430 316109
+rect 291760 315949 293221 316067
+rect 293339 315949 293430 316067
+rect 291760 315938 293430 315949
+rect -1468 315937 -1168 315938
+rect 293130 315937 293430 315938
+rect -3818 312638 -3518 312639
+rect 295480 312638 295780 312639
+rect -4288 312627 240 312638
+rect -4288 312509 -3727 312627
+rect -3609 312509 240 312627
+rect -4288 312467 240 312509
+rect -4288 312349 -3727 312467
+rect -3609 312349 240 312467
+rect -4288 312338 240 312349
+rect 291760 312627 296250 312638
+rect 291760 312509 295571 312627
+rect 295689 312509 296250 312627
+rect 291760 312467 296250 312509
+rect 291760 312349 295571 312467
+rect 295689 312349 296250 312467
+rect 291760 312338 296250 312349
+rect -3818 312337 -3518 312338
+rect 295480 312337 295780 312338
+rect -2878 310838 -2578 310839
+rect 294540 310838 294840 310839
+rect -3348 310827 240 310838
+rect -3348 310709 -2787 310827
+rect -2669 310709 240 310827
+rect -3348 310667 240 310709
+rect -3348 310549 -2787 310667
+rect -2669 310549 240 310667
+rect -3348 310538 240 310549
+rect 291760 310827 295310 310838
+rect 291760 310709 294631 310827
+rect 294749 310709 295310 310827
+rect 291760 310667 295310 310709
+rect 291760 310549 294631 310667
+rect 294749 310549 295310 310667
+rect 291760 310538 295310 310549
+rect -2878 310537 -2578 310538
+rect 294540 310537 294840 310538
+rect -1938 309038 -1638 309039
+rect 293600 309038 293900 309039
+rect -2408 309027 240 309038
+rect -2408 308909 -1847 309027
+rect -1729 308909 240 309027
+rect -2408 308867 240 308909
+rect -2408 308749 -1847 308867
+rect -1729 308749 240 308867
+rect -2408 308738 240 308749
+rect 291760 309027 294370 309038
+rect 291760 308909 293691 309027
+rect 293809 308909 294370 309027
+rect 291760 308867 294370 308909
+rect 291760 308749 293691 308867
+rect 293809 308749 294370 308867
+rect 291760 308738 294370 308749
+rect -1938 308737 -1638 308738
+rect 293600 308737 293900 308738
 rect -998 307238 -698 307239
 rect 292660 307238 292960 307239
-rect -1458 307227 240 307238
-rect -1458 307109 -907 307227
+rect -1468 307227 240 307238
+rect -1468 307109 -907 307227
 rect -789 307109 240 307227
-rect -1458 307067 240 307109
-rect -1458 306949 -907 307067
+rect -1468 307067 240 307109
+rect -1468 306949 -907 307067
 rect -789 306949 240 307067
-rect -1458 306938 240 306949
-rect 291760 307227 293420 307238
+rect -1468 306938 240 306949
+rect 291760 307227 293430 307238
 rect 291760 307109 292751 307227
-rect 292869 307109 293420 307227
-rect 291760 307067 293420 307109
+rect 292869 307109 293430 307227
+rect 291760 307067 293430 307109
 rect 291760 306949 292751 307067
-rect 292869 306949 293420 307067
-rect 291760 306938 293420 306949
+rect 292869 306949 293430 307067
+rect 291760 306938 293430 306949
 rect -998 306937 -698 306938
 rect 292660 306937 292960 306938
-rect -4218 303638 -3918 303639
-rect 295880 303638 296180 303639
-rect -4218 303627 240 303638
-rect -4218 303509 -4127 303627
-rect -4009 303509 240 303627
-rect -4218 303467 240 303509
-rect -4218 303349 -4127 303467
-rect -4009 303349 240 303467
-rect -4218 303338 240 303349
-rect 291760 303627 296180 303638
-rect 291760 303509 295971 303627
-rect 296089 303509 296180 303627
-rect 291760 303467 296180 303509
-rect 291760 303349 295971 303467
-rect 296089 303349 296180 303467
-rect 291760 303338 296180 303349
-rect -4218 303337 -3918 303338
-rect 295880 303337 296180 303338
-rect -3298 301838 -2998 301839
-rect 294960 301838 295260 301839
-rect -3298 301827 240 301838
-rect -3298 301709 -3207 301827
-rect -3089 301709 240 301827
-rect -3298 301667 240 301709
-rect -3298 301549 -3207 301667
-rect -3089 301549 240 301667
-rect -3298 301538 240 301549
-rect 291760 301827 295260 301838
-rect 291760 301709 295051 301827
-rect 295169 301709 295260 301827
-rect 291760 301667 295260 301709
-rect 291760 301549 295051 301667
-rect 295169 301549 295260 301667
-rect 291760 301538 295260 301549
-rect -3298 301537 -2998 301538
-rect 294960 301537 295260 301538
-rect -2378 300038 -2078 300039
-rect 294040 300038 294340 300039
-rect -2378 300027 240 300038
-rect -2378 299909 -2287 300027
-rect -2169 299909 240 300027
-rect -2378 299867 240 299909
-rect -2378 299749 -2287 299867
-rect -2169 299749 240 299867
-rect -2378 299738 240 299749
-rect 291760 300027 294340 300038
-rect 291760 299909 294131 300027
-rect 294249 299909 294340 300027
-rect 291760 299867 294340 299909
-rect 291760 299749 294131 299867
-rect 294249 299749 294340 299867
-rect 291760 299738 294340 299749
-rect -2378 299737 -2078 299738
-rect 294040 299737 294340 299738
-rect -1458 298238 -1158 298239
-rect 293120 298238 293420 298239
-rect -1458 298227 240 298238
-rect -1458 298109 -1367 298227
-rect -1249 298109 240 298227
-rect -1458 298067 240 298109
-rect -1458 297949 -1367 298067
-rect -1249 297949 240 298067
-rect -1458 297938 240 297949
-rect 291760 298227 293420 298238
-rect 291760 298109 293211 298227
-rect 293329 298109 293420 298227
-rect 291760 298067 293420 298109
-rect 291760 297949 293211 298067
-rect 293329 297949 293420 298067
-rect 291760 297938 293420 297949
-rect -1458 297937 -1158 297938
-rect 293120 297937 293420 297938
-rect -3758 294638 -3458 294639
-rect 295420 294638 295720 294639
-rect -4218 294627 240 294638
-rect -4218 294509 -3667 294627
-rect -3549 294509 240 294627
-rect -4218 294467 240 294509
-rect -4218 294349 -3667 294467
-rect -3549 294349 240 294467
-rect -4218 294338 240 294349
-rect 291760 294627 296180 294638
-rect 291760 294509 295511 294627
-rect 295629 294509 296180 294627
-rect 291760 294467 296180 294509
-rect 291760 294349 295511 294467
-rect 295629 294349 296180 294467
-rect 291760 294338 296180 294349
-rect -3758 294337 -3458 294338
-rect 295420 294337 295720 294338
-rect -2838 292838 -2538 292839
-rect 294500 292838 294800 292839
-rect -3298 292827 240 292838
-rect -3298 292709 -2747 292827
-rect -2629 292709 240 292827
-rect -3298 292667 240 292709
-rect -3298 292549 -2747 292667
-rect -2629 292549 240 292667
-rect -3298 292538 240 292549
-rect 291760 292827 295260 292838
-rect 291760 292709 294591 292827
-rect 294709 292709 295260 292827
-rect 291760 292667 295260 292709
-rect 291760 292549 294591 292667
-rect 294709 292549 295260 292667
-rect 291760 292538 295260 292549
-rect -2838 292537 -2538 292538
-rect 294500 292537 294800 292538
-rect -1918 291038 -1618 291039
-rect 293580 291038 293880 291039
-rect -2378 291027 240 291038
-rect -2378 290909 -1827 291027
-rect -1709 290909 240 291027
-rect -2378 290867 240 290909
-rect -2378 290749 -1827 290867
-rect -1709 290749 240 290867
-rect -2378 290738 240 290749
-rect 291760 291027 294340 291038
-rect 291760 290909 293671 291027
-rect 293789 290909 294340 291027
-rect 291760 290867 294340 290909
-rect 291760 290749 293671 290867
-rect 293789 290749 294340 290867
-rect 291760 290738 294340 290749
-rect -1918 290737 -1618 290738
-rect 293580 290737 293880 290738
+rect -4288 303638 -3988 303639
+rect 295950 303638 296250 303639
+rect -4288 303627 240 303638
+rect -4288 303509 -4197 303627
+rect -4079 303509 240 303627
+rect -4288 303467 240 303509
+rect -4288 303349 -4197 303467
+rect -4079 303349 240 303467
+rect -4288 303338 240 303349
+rect 291760 303627 296250 303638
+rect 291760 303509 296041 303627
+rect 296159 303509 296250 303627
+rect 291760 303467 296250 303509
+rect 291760 303349 296041 303467
+rect 296159 303349 296250 303467
+rect 291760 303338 296250 303349
+rect -4288 303337 -3988 303338
+rect 295950 303337 296250 303338
+rect -3348 301838 -3048 301839
+rect 295010 301838 295310 301839
+rect -3348 301827 240 301838
+rect -3348 301709 -3257 301827
+rect -3139 301709 240 301827
+rect -3348 301667 240 301709
+rect -3348 301549 -3257 301667
+rect -3139 301549 240 301667
+rect -3348 301538 240 301549
+rect 291760 301827 295310 301838
+rect 291760 301709 295101 301827
+rect 295219 301709 295310 301827
+rect 291760 301667 295310 301709
+rect 291760 301549 295101 301667
+rect 295219 301549 295310 301667
+rect 291760 301538 295310 301549
+rect -3348 301537 -3048 301538
+rect 295010 301537 295310 301538
+rect -2408 300038 -2108 300039
+rect 294070 300038 294370 300039
+rect -2408 300027 240 300038
+rect -2408 299909 -2317 300027
+rect -2199 299909 240 300027
+rect -2408 299867 240 299909
+rect -2408 299749 -2317 299867
+rect -2199 299749 240 299867
+rect -2408 299738 240 299749
+rect 291760 300027 294370 300038
+rect 291760 299909 294161 300027
+rect 294279 299909 294370 300027
+rect 291760 299867 294370 299909
+rect 291760 299749 294161 299867
+rect 294279 299749 294370 299867
+rect 291760 299738 294370 299749
+rect -2408 299737 -2108 299738
+rect 294070 299737 294370 299738
+rect -1468 298238 -1168 298239
+rect 293130 298238 293430 298239
+rect -1468 298227 240 298238
+rect -1468 298109 -1377 298227
+rect -1259 298109 240 298227
+rect -1468 298067 240 298109
+rect -1468 297949 -1377 298067
+rect -1259 297949 240 298067
+rect -1468 297938 240 297949
+rect 291760 298227 293430 298238
+rect 291760 298109 293221 298227
+rect 293339 298109 293430 298227
+rect 291760 298067 293430 298109
+rect 291760 297949 293221 298067
+rect 293339 297949 293430 298067
+rect 291760 297938 293430 297949
+rect -1468 297937 -1168 297938
+rect 293130 297937 293430 297938
+rect -3818 294638 -3518 294639
+rect 295480 294638 295780 294639
+rect -4288 294627 240 294638
+rect -4288 294509 -3727 294627
+rect -3609 294509 240 294627
+rect -4288 294467 240 294509
+rect -4288 294349 -3727 294467
+rect -3609 294349 240 294467
+rect -4288 294338 240 294349
+rect 291760 294627 296250 294638
+rect 291760 294509 295571 294627
+rect 295689 294509 296250 294627
+rect 291760 294467 296250 294509
+rect 291760 294349 295571 294467
+rect 295689 294349 296250 294467
+rect 291760 294338 296250 294349
+rect -3818 294337 -3518 294338
+rect 295480 294337 295780 294338
+rect -2878 292838 -2578 292839
+rect 294540 292838 294840 292839
+rect -3348 292827 240 292838
+rect -3348 292709 -2787 292827
+rect -2669 292709 240 292827
+rect -3348 292667 240 292709
+rect -3348 292549 -2787 292667
+rect -2669 292549 240 292667
+rect -3348 292538 240 292549
+rect 291760 292827 295310 292838
+rect 291760 292709 294631 292827
+rect 294749 292709 295310 292827
+rect 291760 292667 295310 292709
+rect 291760 292549 294631 292667
+rect 294749 292549 295310 292667
+rect 291760 292538 295310 292549
+rect -2878 292537 -2578 292538
+rect 294540 292537 294840 292538
+rect -1938 291038 -1638 291039
+rect 293600 291038 293900 291039
+rect -2408 291027 240 291038
+rect -2408 290909 -1847 291027
+rect -1729 290909 240 291027
+rect -2408 290867 240 290909
+rect -2408 290749 -1847 290867
+rect -1729 290749 240 290867
+rect -2408 290738 240 290749
+rect 291760 291027 294370 291038
+rect 291760 290909 293691 291027
+rect 293809 290909 294370 291027
+rect 291760 290867 294370 290909
+rect 291760 290749 293691 290867
+rect 293809 290749 294370 290867
+rect 291760 290738 294370 290749
+rect -1938 290737 -1638 290738
+rect 293600 290737 293900 290738
 rect -998 289238 -698 289239
 rect 292660 289238 292960 289239
-rect -1458 289227 240 289238
-rect -1458 289109 -907 289227
+rect -1468 289227 240 289238
+rect -1468 289109 -907 289227
 rect -789 289109 240 289227
-rect -1458 289067 240 289109
-rect -1458 288949 -907 289067
+rect -1468 289067 240 289109
+rect -1468 288949 -907 289067
 rect -789 288949 240 289067
-rect -1458 288938 240 288949
-rect 291760 289227 293420 289238
+rect -1468 288938 240 288949
+rect 291760 289227 293430 289238
 rect 291760 289109 292751 289227
-rect 292869 289109 293420 289227
-rect 291760 289067 293420 289109
+rect 292869 289109 293430 289227
+rect 291760 289067 293430 289109
 rect 291760 288949 292751 289067
-rect 292869 288949 293420 289067
-rect 291760 288938 293420 288949
+rect 292869 288949 293430 289067
+rect 291760 288938 293430 288949
 rect -998 288937 -698 288938
 rect 292660 288937 292960 288938
-rect -4218 285638 -3918 285639
-rect 295880 285638 296180 285639
-rect -4218 285627 240 285638
-rect -4218 285509 -4127 285627
-rect -4009 285509 240 285627
-rect -4218 285467 240 285509
-rect -4218 285349 -4127 285467
-rect -4009 285349 240 285467
-rect -4218 285338 240 285349
-rect 291760 285627 296180 285638
-rect 291760 285509 295971 285627
-rect 296089 285509 296180 285627
-rect 291760 285467 296180 285509
-rect 291760 285349 295971 285467
-rect 296089 285349 296180 285467
-rect 291760 285338 296180 285349
-rect -4218 285337 -3918 285338
-rect 295880 285337 296180 285338
-rect -3298 283838 -2998 283839
-rect 294960 283838 295260 283839
-rect -3298 283827 240 283838
-rect -3298 283709 -3207 283827
-rect -3089 283709 240 283827
-rect -3298 283667 240 283709
-rect -3298 283549 -3207 283667
-rect -3089 283549 240 283667
-rect -3298 283538 240 283549
-rect 291760 283827 295260 283838
-rect 291760 283709 295051 283827
-rect 295169 283709 295260 283827
-rect 291760 283667 295260 283709
-rect 291760 283549 295051 283667
-rect 295169 283549 295260 283667
-rect 291760 283538 295260 283549
-rect -3298 283537 -2998 283538
-rect 294960 283537 295260 283538
-rect -2378 282038 -2078 282039
-rect 294040 282038 294340 282039
-rect -2378 282027 240 282038
-rect -2378 281909 -2287 282027
-rect -2169 281909 240 282027
-rect -2378 281867 240 281909
-rect -2378 281749 -2287 281867
-rect -2169 281749 240 281867
-rect -2378 281738 240 281749
-rect 291760 282027 294340 282038
-rect 291760 281909 294131 282027
-rect 294249 281909 294340 282027
-rect 291760 281867 294340 281909
-rect 291760 281749 294131 281867
-rect 294249 281749 294340 281867
-rect 291760 281738 294340 281749
-rect -2378 281737 -2078 281738
-rect 294040 281737 294340 281738
-rect -1458 280238 -1158 280239
-rect 293120 280238 293420 280239
-rect -1458 280227 240 280238
-rect -1458 280109 -1367 280227
-rect -1249 280109 240 280227
-rect -1458 280067 240 280109
-rect -1458 279949 -1367 280067
-rect -1249 279949 240 280067
-rect -1458 279938 240 279949
-rect 291760 280227 293420 280238
-rect 291760 280109 293211 280227
-rect 293329 280109 293420 280227
-rect 291760 280067 293420 280109
-rect 291760 279949 293211 280067
-rect 293329 279949 293420 280067
-rect 291760 279938 293420 279949
-rect -1458 279937 -1158 279938
-rect 293120 279937 293420 279938
-rect -3758 276638 -3458 276639
-rect 295420 276638 295720 276639
-rect -4218 276627 240 276638
-rect -4218 276509 -3667 276627
-rect -3549 276509 240 276627
-rect -4218 276467 240 276509
-rect -4218 276349 -3667 276467
-rect -3549 276349 240 276467
-rect -4218 276338 240 276349
-rect 291760 276627 296180 276638
-rect 291760 276509 295511 276627
-rect 295629 276509 296180 276627
-rect 291760 276467 296180 276509
-rect 291760 276349 295511 276467
-rect 295629 276349 296180 276467
-rect 291760 276338 296180 276349
-rect -3758 276337 -3458 276338
-rect 295420 276337 295720 276338
-rect -2838 274838 -2538 274839
-rect 294500 274838 294800 274839
-rect -3298 274827 240 274838
-rect -3298 274709 -2747 274827
-rect -2629 274709 240 274827
-rect -3298 274667 240 274709
-rect -3298 274549 -2747 274667
-rect -2629 274549 240 274667
-rect -3298 274538 240 274549
-rect 291760 274827 295260 274838
-rect 291760 274709 294591 274827
-rect 294709 274709 295260 274827
-rect 291760 274667 295260 274709
-rect 291760 274549 294591 274667
-rect 294709 274549 295260 274667
-rect 291760 274538 295260 274549
-rect -2838 274537 -2538 274538
-rect 294500 274537 294800 274538
-rect -1918 273038 -1618 273039
-rect 293580 273038 293880 273039
-rect -2378 273027 240 273038
-rect -2378 272909 -1827 273027
-rect -1709 272909 240 273027
-rect -2378 272867 240 272909
-rect -2378 272749 -1827 272867
-rect -1709 272749 240 272867
-rect -2378 272738 240 272749
-rect 291760 273027 294340 273038
-rect 291760 272909 293671 273027
-rect 293789 272909 294340 273027
-rect 291760 272867 294340 272909
-rect 291760 272749 293671 272867
-rect 293789 272749 294340 272867
-rect 291760 272738 294340 272749
-rect -1918 272737 -1618 272738
-rect 293580 272737 293880 272738
+rect -4288 285638 -3988 285639
+rect 295950 285638 296250 285639
+rect -4288 285627 240 285638
+rect -4288 285509 -4197 285627
+rect -4079 285509 240 285627
+rect -4288 285467 240 285509
+rect -4288 285349 -4197 285467
+rect -4079 285349 240 285467
+rect -4288 285338 240 285349
+rect 291760 285627 296250 285638
+rect 291760 285509 296041 285627
+rect 296159 285509 296250 285627
+rect 291760 285467 296250 285509
+rect 291760 285349 296041 285467
+rect 296159 285349 296250 285467
+rect 291760 285338 296250 285349
+rect -4288 285337 -3988 285338
+rect 295950 285337 296250 285338
+rect -3348 283838 -3048 283839
+rect 295010 283838 295310 283839
+rect -3348 283827 240 283838
+rect -3348 283709 -3257 283827
+rect -3139 283709 240 283827
+rect -3348 283667 240 283709
+rect -3348 283549 -3257 283667
+rect -3139 283549 240 283667
+rect -3348 283538 240 283549
+rect 291760 283827 295310 283838
+rect 291760 283709 295101 283827
+rect 295219 283709 295310 283827
+rect 291760 283667 295310 283709
+rect 291760 283549 295101 283667
+rect 295219 283549 295310 283667
+rect 291760 283538 295310 283549
+rect -3348 283537 -3048 283538
+rect 295010 283537 295310 283538
+rect -2408 282038 -2108 282039
+rect 294070 282038 294370 282039
+rect -2408 282027 240 282038
+rect -2408 281909 -2317 282027
+rect -2199 281909 240 282027
+rect -2408 281867 240 281909
+rect -2408 281749 -2317 281867
+rect -2199 281749 240 281867
+rect -2408 281738 240 281749
+rect 291760 282027 294370 282038
+rect 291760 281909 294161 282027
+rect 294279 281909 294370 282027
+rect 291760 281867 294370 281909
+rect 291760 281749 294161 281867
+rect 294279 281749 294370 281867
+rect 291760 281738 294370 281749
+rect -2408 281737 -2108 281738
+rect 294070 281737 294370 281738
+rect -1468 280238 -1168 280239
+rect 293130 280238 293430 280239
+rect -1468 280227 240 280238
+rect -1468 280109 -1377 280227
+rect -1259 280109 240 280227
+rect -1468 280067 240 280109
+rect -1468 279949 -1377 280067
+rect -1259 279949 240 280067
+rect -1468 279938 240 279949
+rect 291760 280227 293430 280238
+rect 291760 280109 293221 280227
+rect 293339 280109 293430 280227
+rect 291760 280067 293430 280109
+rect 291760 279949 293221 280067
+rect 293339 279949 293430 280067
+rect 291760 279938 293430 279949
+rect -1468 279937 -1168 279938
+rect 293130 279937 293430 279938
+rect -3818 276638 -3518 276639
+rect 295480 276638 295780 276639
+rect -4288 276627 240 276638
+rect -4288 276509 -3727 276627
+rect -3609 276509 240 276627
+rect -4288 276467 240 276509
+rect -4288 276349 -3727 276467
+rect -3609 276349 240 276467
+rect -4288 276338 240 276349
+rect 291760 276627 296250 276638
+rect 291760 276509 295571 276627
+rect 295689 276509 296250 276627
+rect 291760 276467 296250 276509
+rect 291760 276349 295571 276467
+rect 295689 276349 296250 276467
+rect 291760 276338 296250 276349
+rect -3818 276337 -3518 276338
+rect 295480 276337 295780 276338
+rect -2878 274838 -2578 274839
+rect 294540 274838 294840 274839
+rect -3348 274827 240 274838
+rect -3348 274709 -2787 274827
+rect -2669 274709 240 274827
+rect -3348 274667 240 274709
+rect -3348 274549 -2787 274667
+rect -2669 274549 240 274667
+rect -3348 274538 240 274549
+rect 291760 274827 295310 274838
+rect 291760 274709 294631 274827
+rect 294749 274709 295310 274827
+rect 291760 274667 295310 274709
+rect 291760 274549 294631 274667
+rect 294749 274549 295310 274667
+rect 291760 274538 295310 274549
+rect -2878 274537 -2578 274538
+rect 294540 274537 294840 274538
+rect -1938 273038 -1638 273039
+rect 293600 273038 293900 273039
+rect -2408 273027 240 273038
+rect -2408 272909 -1847 273027
+rect -1729 272909 240 273027
+rect -2408 272867 240 272909
+rect -2408 272749 -1847 272867
+rect -1729 272749 240 272867
+rect -2408 272738 240 272749
+rect 291760 273027 294370 273038
+rect 291760 272909 293691 273027
+rect 293809 272909 294370 273027
+rect 291760 272867 294370 272909
+rect 291760 272749 293691 272867
+rect 293809 272749 294370 272867
+rect 291760 272738 294370 272749
+rect -1938 272737 -1638 272738
+rect 293600 272737 293900 272738
 rect -998 271238 -698 271239
 rect 292660 271238 292960 271239
-rect -1458 271227 240 271238
-rect -1458 271109 -907 271227
+rect -1468 271227 240 271238
+rect -1468 271109 -907 271227
 rect -789 271109 240 271227
-rect -1458 271067 240 271109
-rect -1458 270949 -907 271067
+rect -1468 271067 240 271109
+rect -1468 270949 -907 271067
 rect -789 270949 240 271067
-rect -1458 270938 240 270949
-rect 291760 271227 293420 271238
+rect -1468 270938 240 270949
+rect 291760 271227 293430 271238
 rect 291760 271109 292751 271227
-rect 292869 271109 293420 271227
-rect 291760 271067 293420 271109
+rect 292869 271109 293430 271227
+rect 291760 271067 293430 271109
 rect 291760 270949 292751 271067
-rect 292869 270949 293420 271067
-rect 291760 270938 293420 270949
+rect 292869 270949 293430 271067
+rect 291760 270938 293430 270949
 rect -998 270937 -698 270938
 rect 292660 270937 292960 270938
-rect -4218 267638 -3918 267639
-rect 295880 267638 296180 267639
-rect -4218 267627 240 267638
-rect -4218 267509 -4127 267627
-rect -4009 267509 240 267627
-rect -4218 267467 240 267509
-rect -4218 267349 -4127 267467
-rect -4009 267349 240 267467
-rect -4218 267338 240 267349
-rect 291760 267627 296180 267638
-rect 291760 267509 295971 267627
-rect 296089 267509 296180 267627
-rect 291760 267467 296180 267509
-rect 291760 267349 295971 267467
-rect 296089 267349 296180 267467
-rect 291760 267338 296180 267349
-rect -4218 267337 -3918 267338
-rect 295880 267337 296180 267338
-rect -3298 265838 -2998 265839
-rect 294960 265838 295260 265839
-rect -3298 265827 240 265838
-rect -3298 265709 -3207 265827
-rect -3089 265709 240 265827
-rect -3298 265667 240 265709
-rect -3298 265549 -3207 265667
-rect -3089 265549 240 265667
-rect -3298 265538 240 265549
-rect 291760 265827 295260 265838
-rect 291760 265709 295051 265827
-rect 295169 265709 295260 265827
-rect 291760 265667 295260 265709
-rect 291760 265549 295051 265667
-rect 295169 265549 295260 265667
-rect 291760 265538 295260 265549
-rect -3298 265537 -2998 265538
-rect 294960 265537 295260 265538
-rect -2378 264038 -2078 264039
-rect 294040 264038 294340 264039
-rect -2378 264027 240 264038
-rect -2378 263909 -2287 264027
-rect -2169 263909 240 264027
-rect -2378 263867 240 263909
-rect -2378 263749 -2287 263867
-rect -2169 263749 240 263867
-rect -2378 263738 240 263749
-rect 291760 264027 294340 264038
-rect 291760 263909 294131 264027
-rect 294249 263909 294340 264027
-rect 291760 263867 294340 263909
-rect 291760 263749 294131 263867
-rect 294249 263749 294340 263867
-rect 291760 263738 294340 263749
-rect -2378 263737 -2078 263738
-rect 294040 263737 294340 263738
-rect -1458 262238 -1158 262239
-rect 293120 262238 293420 262239
-rect -1458 262227 240 262238
-rect -1458 262109 -1367 262227
-rect -1249 262109 240 262227
-rect -1458 262067 240 262109
-rect -1458 261949 -1367 262067
-rect -1249 261949 240 262067
-rect -1458 261938 240 261949
-rect 291760 262227 293420 262238
-rect 291760 262109 293211 262227
-rect 293329 262109 293420 262227
-rect 291760 262067 293420 262109
-rect 291760 261949 293211 262067
-rect 293329 261949 293420 262067
-rect 291760 261938 293420 261949
-rect -1458 261937 -1158 261938
-rect 293120 261937 293420 261938
-rect -3758 258638 -3458 258639
-rect 295420 258638 295720 258639
-rect -4218 258627 240 258638
-rect -4218 258509 -3667 258627
-rect -3549 258509 240 258627
-rect -4218 258467 240 258509
-rect -4218 258349 -3667 258467
-rect -3549 258349 240 258467
-rect -4218 258338 240 258349
-rect 291760 258627 296180 258638
-rect 291760 258509 295511 258627
-rect 295629 258509 296180 258627
-rect 291760 258467 296180 258509
-rect 291760 258349 295511 258467
-rect 295629 258349 296180 258467
-rect 291760 258338 296180 258349
-rect -3758 258337 -3458 258338
-rect 295420 258337 295720 258338
-rect -2838 256838 -2538 256839
-rect 294500 256838 294800 256839
-rect -3298 256827 240 256838
-rect -3298 256709 -2747 256827
-rect -2629 256709 240 256827
-rect -3298 256667 240 256709
-rect -3298 256549 -2747 256667
-rect -2629 256549 240 256667
-rect -3298 256538 240 256549
-rect 291760 256827 295260 256838
-rect 291760 256709 294591 256827
-rect 294709 256709 295260 256827
-rect 291760 256667 295260 256709
-rect 291760 256549 294591 256667
-rect 294709 256549 295260 256667
-rect 291760 256538 295260 256549
-rect -2838 256537 -2538 256538
-rect 294500 256537 294800 256538
-rect -1918 255038 -1618 255039
-rect 293580 255038 293880 255039
-rect -2378 255027 240 255038
-rect -2378 254909 -1827 255027
-rect -1709 254909 240 255027
-rect -2378 254867 240 254909
-rect -2378 254749 -1827 254867
-rect -1709 254749 240 254867
-rect -2378 254738 240 254749
-rect 291760 255027 294340 255038
-rect 291760 254909 293671 255027
-rect 293789 254909 294340 255027
-rect 291760 254867 294340 254909
-rect 291760 254749 293671 254867
-rect 293789 254749 294340 254867
-rect 291760 254738 294340 254749
-rect -1918 254737 -1618 254738
-rect 293580 254737 293880 254738
+rect -4288 267638 -3988 267639
+rect 295950 267638 296250 267639
+rect -4288 267627 240 267638
+rect -4288 267509 -4197 267627
+rect -4079 267509 240 267627
+rect -4288 267467 240 267509
+rect -4288 267349 -4197 267467
+rect -4079 267349 240 267467
+rect -4288 267338 240 267349
+rect 291760 267627 296250 267638
+rect 291760 267509 296041 267627
+rect 296159 267509 296250 267627
+rect 291760 267467 296250 267509
+rect 291760 267349 296041 267467
+rect 296159 267349 296250 267467
+rect 291760 267338 296250 267349
+rect -4288 267337 -3988 267338
+rect 295950 267337 296250 267338
+rect -3348 265838 -3048 265839
+rect 295010 265838 295310 265839
+rect -3348 265827 240 265838
+rect -3348 265709 -3257 265827
+rect -3139 265709 240 265827
+rect -3348 265667 240 265709
+rect -3348 265549 -3257 265667
+rect -3139 265549 240 265667
+rect -3348 265538 240 265549
+rect 291760 265827 295310 265838
+rect 291760 265709 295101 265827
+rect 295219 265709 295310 265827
+rect 291760 265667 295310 265709
+rect 291760 265549 295101 265667
+rect 295219 265549 295310 265667
+rect 291760 265538 295310 265549
+rect -3348 265537 -3048 265538
+rect 295010 265537 295310 265538
+rect -2408 264038 -2108 264039
+rect 294070 264038 294370 264039
+rect -2408 264027 240 264038
+rect -2408 263909 -2317 264027
+rect -2199 263909 240 264027
+rect -2408 263867 240 263909
+rect -2408 263749 -2317 263867
+rect -2199 263749 240 263867
+rect -2408 263738 240 263749
+rect 291760 264027 294370 264038
+rect 291760 263909 294161 264027
+rect 294279 263909 294370 264027
+rect 291760 263867 294370 263909
+rect 291760 263749 294161 263867
+rect 294279 263749 294370 263867
+rect 291760 263738 294370 263749
+rect -2408 263737 -2108 263738
+rect 294070 263737 294370 263738
+rect -1468 262238 -1168 262239
+rect 293130 262238 293430 262239
+rect -1468 262227 240 262238
+rect -1468 262109 -1377 262227
+rect -1259 262109 240 262227
+rect -1468 262067 240 262109
+rect -1468 261949 -1377 262067
+rect -1259 261949 240 262067
+rect -1468 261938 240 261949
+rect 291760 262227 293430 262238
+rect 291760 262109 293221 262227
+rect 293339 262109 293430 262227
+rect 291760 262067 293430 262109
+rect 291760 261949 293221 262067
+rect 293339 261949 293430 262067
+rect 291760 261938 293430 261949
+rect -1468 261937 -1168 261938
+rect 293130 261937 293430 261938
+rect -3818 258638 -3518 258639
+rect 295480 258638 295780 258639
+rect -4288 258627 240 258638
+rect -4288 258509 -3727 258627
+rect -3609 258509 240 258627
+rect -4288 258467 240 258509
+rect -4288 258349 -3727 258467
+rect -3609 258349 240 258467
+rect -4288 258338 240 258349
+rect 291760 258627 296250 258638
+rect 291760 258509 295571 258627
+rect 295689 258509 296250 258627
+rect 291760 258467 296250 258509
+rect 291760 258349 295571 258467
+rect 295689 258349 296250 258467
+rect 291760 258338 296250 258349
+rect -3818 258337 -3518 258338
+rect 295480 258337 295780 258338
+rect -2878 256838 -2578 256839
+rect 294540 256838 294840 256839
+rect -3348 256827 240 256838
+rect -3348 256709 -2787 256827
+rect -2669 256709 240 256827
+rect -3348 256667 240 256709
+rect -3348 256549 -2787 256667
+rect -2669 256549 240 256667
+rect -3348 256538 240 256549
+rect 291760 256827 295310 256838
+rect 291760 256709 294631 256827
+rect 294749 256709 295310 256827
+rect 291760 256667 295310 256709
+rect 291760 256549 294631 256667
+rect 294749 256549 295310 256667
+rect 291760 256538 295310 256549
+rect -2878 256537 -2578 256538
+rect 294540 256537 294840 256538
+rect -1938 255038 -1638 255039
+rect 293600 255038 293900 255039
+rect -2408 255027 240 255038
+rect -2408 254909 -1847 255027
+rect -1729 254909 240 255027
+rect -2408 254867 240 254909
+rect -2408 254749 -1847 254867
+rect -1729 254749 240 254867
+rect -2408 254738 240 254749
+rect 291760 255027 294370 255038
+rect 291760 254909 293691 255027
+rect 293809 254909 294370 255027
+rect 291760 254867 294370 254909
+rect 291760 254749 293691 254867
+rect 293809 254749 294370 254867
+rect 291760 254738 294370 254749
+rect -1938 254737 -1638 254738
+rect 293600 254737 293900 254738
 rect -998 253238 -698 253239
 rect 292660 253238 292960 253239
-rect -1458 253227 240 253238
-rect -1458 253109 -907 253227
+rect -1468 253227 240 253238
+rect -1468 253109 -907 253227
 rect -789 253109 240 253227
-rect -1458 253067 240 253109
-rect -1458 252949 -907 253067
+rect -1468 253067 240 253109
+rect -1468 252949 -907 253067
 rect -789 252949 240 253067
-rect -1458 252938 240 252949
-rect 291760 253227 293420 253238
+rect -1468 252938 240 252949
+rect 291760 253227 293430 253238
 rect 291760 253109 292751 253227
-rect 292869 253109 293420 253227
-rect 291760 253067 293420 253109
+rect 292869 253109 293430 253227
+rect 291760 253067 293430 253109
 rect 291760 252949 292751 253067
-rect 292869 252949 293420 253067
-rect 291760 252938 293420 252949
+rect 292869 252949 293430 253067
+rect 291760 252938 293430 252949
 rect -998 252937 -698 252938
 rect 292660 252937 292960 252938
-rect -4218 249638 -3918 249639
-rect 295880 249638 296180 249639
-rect -4218 249627 240 249638
-rect -4218 249509 -4127 249627
-rect -4009 249509 240 249627
-rect -4218 249467 240 249509
-rect -4218 249349 -4127 249467
-rect -4009 249349 240 249467
-rect -4218 249338 240 249349
-rect 291760 249627 296180 249638
-rect 291760 249509 295971 249627
-rect 296089 249509 296180 249627
-rect 291760 249467 296180 249509
-rect 291760 249349 295971 249467
-rect 296089 249349 296180 249467
-rect 291760 249338 296180 249349
-rect -4218 249337 -3918 249338
-rect 295880 249337 296180 249338
-rect -3298 247838 -2998 247839
-rect 294960 247838 295260 247839
-rect -3298 247827 240 247838
-rect -3298 247709 -3207 247827
-rect -3089 247709 240 247827
-rect -3298 247667 240 247709
-rect -3298 247549 -3207 247667
-rect -3089 247549 240 247667
-rect -3298 247538 240 247549
-rect 291760 247827 295260 247838
-rect 291760 247709 295051 247827
-rect 295169 247709 295260 247827
-rect 291760 247667 295260 247709
-rect 291760 247549 295051 247667
-rect 295169 247549 295260 247667
-rect 291760 247538 295260 247549
-rect -3298 247537 -2998 247538
-rect 294960 247537 295260 247538
-rect -2378 246038 -2078 246039
-rect 294040 246038 294340 246039
-rect -2378 246027 240 246038
-rect -2378 245909 -2287 246027
-rect -2169 245909 240 246027
-rect -2378 245867 240 245909
-rect -2378 245749 -2287 245867
-rect -2169 245749 240 245867
-rect -2378 245738 240 245749
-rect 291760 246027 294340 246038
-rect 291760 245909 294131 246027
-rect 294249 245909 294340 246027
-rect 291760 245867 294340 245909
-rect 291760 245749 294131 245867
-rect 294249 245749 294340 245867
-rect 291760 245738 294340 245749
-rect -2378 245737 -2078 245738
-rect 294040 245737 294340 245738
-rect -1458 244238 -1158 244239
-rect 293120 244238 293420 244239
-rect -1458 244227 240 244238
-rect -1458 244109 -1367 244227
-rect -1249 244109 240 244227
-rect -1458 244067 240 244109
-rect -1458 243949 -1367 244067
-rect -1249 243949 240 244067
-rect -1458 243938 240 243949
-rect 291760 244227 293420 244238
-rect 291760 244109 293211 244227
-rect 293329 244109 293420 244227
-rect 291760 244067 293420 244109
-rect 291760 243949 293211 244067
-rect 293329 243949 293420 244067
-rect 291760 243938 293420 243949
-rect -1458 243937 -1158 243938
-rect 293120 243937 293420 243938
-rect -3758 240638 -3458 240639
-rect 295420 240638 295720 240639
-rect -4218 240627 240 240638
-rect -4218 240509 -3667 240627
-rect -3549 240509 240 240627
-rect -4218 240467 240 240509
-rect -4218 240349 -3667 240467
-rect -3549 240349 240 240467
-rect -4218 240338 240 240349
-rect 291760 240627 296180 240638
-rect 291760 240509 295511 240627
-rect 295629 240509 296180 240627
-rect 291760 240467 296180 240509
-rect 291760 240349 295511 240467
-rect 295629 240349 296180 240467
-rect 291760 240338 296180 240349
-rect -3758 240337 -3458 240338
-rect 295420 240337 295720 240338
-rect -2838 238838 -2538 238839
-rect 294500 238838 294800 238839
-rect -3298 238827 240 238838
-rect -3298 238709 -2747 238827
-rect -2629 238709 240 238827
-rect -3298 238667 240 238709
-rect -3298 238549 -2747 238667
-rect -2629 238549 240 238667
-rect -3298 238538 240 238549
-rect 291760 238827 295260 238838
-rect 291760 238709 294591 238827
-rect 294709 238709 295260 238827
-rect 291760 238667 295260 238709
-rect 291760 238549 294591 238667
-rect 294709 238549 295260 238667
-rect 291760 238538 295260 238549
-rect -2838 238537 -2538 238538
-rect 294500 238537 294800 238538
-rect -1918 237038 -1618 237039
-rect 293580 237038 293880 237039
-rect -2378 237027 240 237038
-rect -2378 236909 -1827 237027
-rect -1709 236909 240 237027
-rect -2378 236867 240 236909
-rect -2378 236749 -1827 236867
-rect -1709 236749 240 236867
-rect -2378 236738 240 236749
-rect 291760 237027 294340 237038
-rect 291760 236909 293671 237027
-rect 293789 236909 294340 237027
-rect 291760 236867 294340 236909
-rect 291760 236749 293671 236867
-rect 293789 236749 294340 236867
-rect 291760 236738 294340 236749
-rect -1918 236737 -1618 236738
-rect 293580 236737 293880 236738
+rect -4288 249638 -3988 249639
+rect 295950 249638 296250 249639
+rect -4288 249627 240 249638
+rect -4288 249509 -4197 249627
+rect -4079 249509 240 249627
+rect -4288 249467 240 249509
+rect -4288 249349 -4197 249467
+rect -4079 249349 240 249467
+rect -4288 249338 240 249349
+rect 291760 249627 296250 249638
+rect 291760 249509 296041 249627
+rect 296159 249509 296250 249627
+rect 291760 249467 296250 249509
+rect 291760 249349 296041 249467
+rect 296159 249349 296250 249467
+rect 291760 249338 296250 249349
+rect -4288 249337 -3988 249338
+rect 295950 249337 296250 249338
+rect -3348 247838 -3048 247839
+rect 295010 247838 295310 247839
+rect -3348 247827 240 247838
+rect -3348 247709 -3257 247827
+rect -3139 247709 240 247827
+rect -3348 247667 240 247709
+rect -3348 247549 -3257 247667
+rect -3139 247549 240 247667
+rect -3348 247538 240 247549
+rect 291760 247827 295310 247838
+rect 291760 247709 295101 247827
+rect 295219 247709 295310 247827
+rect 291760 247667 295310 247709
+rect 291760 247549 295101 247667
+rect 295219 247549 295310 247667
+rect 291760 247538 295310 247549
+rect -3348 247537 -3048 247538
+rect 295010 247537 295310 247538
+rect -2408 246038 -2108 246039
+rect 294070 246038 294370 246039
+rect -2408 246027 240 246038
+rect -2408 245909 -2317 246027
+rect -2199 245909 240 246027
+rect -2408 245867 240 245909
+rect -2408 245749 -2317 245867
+rect -2199 245749 240 245867
+rect -2408 245738 240 245749
+rect 291760 246027 294370 246038
+rect 291760 245909 294161 246027
+rect 294279 245909 294370 246027
+rect 291760 245867 294370 245909
+rect 291760 245749 294161 245867
+rect 294279 245749 294370 245867
+rect 291760 245738 294370 245749
+rect -2408 245737 -2108 245738
+rect 294070 245737 294370 245738
+rect -1468 244238 -1168 244239
+rect 293130 244238 293430 244239
+rect -1468 244227 240 244238
+rect -1468 244109 -1377 244227
+rect -1259 244109 240 244227
+rect -1468 244067 240 244109
+rect -1468 243949 -1377 244067
+rect -1259 243949 240 244067
+rect -1468 243938 240 243949
+rect 291760 244227 293430 244238
+rect 291760 244109 293221 244227
+rect 293339 244109 293430 244227
+rect 291760 244067 293430 244109
+rect 291760 243949 293221 244067
+rect 293339 243949 293430 244067
+rect 291760 243938 293430 243949
+rect -1468 243937 -1168 243938
+rect 293130 243937 293430 243938
+rect -3818 240638 -3518 240639
+rect 295480 240638 295780 240639
+rect -4288 240627 240 240638
+rect -4288 240509 -3727 240627
+rect -3609 240509 240 240627
+rect -4288 240467 240 240509
+rect -4288 240349 -3727 240467
+rect -3609 240349 240 240467
+rect -4288 240338 240 240349
+rect 291760 240627 296250 240638
+rect 291760 240509 295571 240627
+rect 295689 240509 296250 240627
+rect 291760 240467 296250 240509
+rect 291760 240349 295571 240467
+rect 295689 240349 296250 240467
+rect 291760 240338 296250 240349
+rect -3818 240337 -3518 240338
+rect 295480 240337 295780 240338
+rect -2878 238838 -2578 238839
+rect 294540 238838 294840 238839
+rect -3348 238827 240 238838
+rect -3348 238709 -2787 238827
+rect -2669 238709 240 238827
+rect -3348 238667 240 238709
+rect -3348 238549 -2787 238667
+rect -2669 238549 240 238667
+rect -3348 238538 240 238549
+rect 291760 238827 295310 238838
+rect 291760 238709 294631 238827
+rect 294749 238709 295310 238827
+rect 291760 238667 295310 238709
+rect 291760 238549 294631 238667
+rect 294749 238549 295310 238667
+rect 291760 238538 295310 238549
+rect -2878 238537 -2578 238538
+rect 294540 238537 294840 238538
+rect -1938 237038 -1638 237039
+rect 293600 237038 293900 237039
+rect -2408 237027 240 237038
+rect -2408 236909 -1847 237027
+rect -1729 236909 240 237027
+rect -2408 236867 240 236909
+rect -2408 236749 -1847 236867
+rect -1729 236749 240 236867
+rect -2408 236738 240 236749
+rect 291760 237027 294370 237038
+rect 291760 236909 293691 237027
+rect 293809 236909 294370 237027
+rect 291760 236867 294370 236909
+rect 291760 236749 293691 236867
+rect 293809 236749 294370 236867
+rect 291760 236738 294370 236749
+rect -1938 236737 -1638 236738
+rect 293600 236737 293900 236738
 rect -998 235238 -698 235239
 rect 292660 235238 292960 235239
-rect -1458 235227 240 235238
-rect -1458 235109 -907 235227
+rect -1468 235227 240 235238
+rect -1468 235109 -907 235227
 rect -789 235109 240 235227
-rect -1458 235067 240 235109
-rect -1458 234949 -907 235067
+rect -1468 235067 240 235109
+rect -1468 234949 -907 235067
 rect -789 234949 240 235067
-rect -1458 234938 240 234949
-rect 291760 235227 293420 235238
+rect -1468 234938 240 234949
+rect 291760 235227 293430 235238
 rect 291760 235109 292751 235227
-rect 292869 235109 293420 235227
-rect 291760 235067 293420 235109
+rect 292869 235109 293430 235227
+rect 291760 235067 293430 235109
 rect 291760 234949 292751 235067
-rect 292869 234949 293420 235067
-rect 291760 234938 293420 234949
+rect 292869 234949 293430 235067
+rect 291760 234938 293430 234949
 rect -998 234937 -698 234938
 rect 292660 234937 292960 234938
-rect -4218 231638 -3918 231639
-rect 295880 231638 296180 231639
-rect -4218 231627 240 231638
-rect -4218 231509 -4127 231627
-rect -4009 231509 240 231627
-rect -4218 231467 240 231509
-rect -4218 231349 -4127 231467
-rect -4009 231349 240 231467
-rect -4218 231338 240 231349
-rect 291760 231627 296180 231638
-rect 291760 231509 295971 231627
-rect 296089 231509 296180 231627
-rect 291760 231467 296180 231509
-rect 291760 231349 295971 231467
-rect 296089 231349 296180 231467
-rect 291760 231338 296180 231349
-rect -4218 231337 -3918 231338
-rect 295880 231337 296180 231338
-rect -3298 229838 -2998 229839
-rect 294960 229838 295260 229839
-rect -3298 229827 240 229838
-rect -3298 229709 -3207 229827
-rect -3089 229709 240 229827
-rect -3298 229667 240 229709
-rect -3298 229549 -3207 229667
-rect -3089 229549 240 229667
-rect -3298 229538 240 229549
-rect 291760 229827 295260 229838
-rect 291760 229709 295051 229827
-rect 295169 229709 295260 229827
-rect 291760 229667 295260 229709
-rect 291760 229549 295051 229667
-rect 295169 229549 295260 229667
-rect 291760 229538 295260 229549
-rect -3298 229537 -2998 229538
-rect 294960 229537 295260 229538
-rect -2378 228038 -2078 228039
-rect 294040 228038 294340 228039
-rect -2378 228027 240 228038
-rect -2378 227909 -2287 228027
-rect -2169 227909 240 228027
-rect -2378 227867 240 227909
-rect -2378 227749 -2287 227867
-rect -2169 227749 240 227867
-rect -2378 227738 240 227749
-rect 291760 228027 294340 228038
-rect 291760 227909 294131 228027
-rect 294249 227909 294340 228027
-rect 291760 227867 294340 227909
-rect 291760 227749 294131 227867
-rect 294249 227749 294340 227867
-rect 291760 227738 294340 227749
-rect -2378 227737 -2078 227738
-rect 294040 227737 294340 227738
-rect -1458 226238 -1158 226239
-rect 293120 226238 293420 226239
-rect -1458 226227 240 226238
-rect -1458 226109 -1367 226227
-rect -1249 226109 240 226227
-rect -1458 226067 240 226109
-rect -1458 225949 -1367 226067
-rect -1249 225949 240 226067
-rect -1458 225938 240 225949
-rect 291760 226227 293420 226238
-rect 291760 226109 293211 226227
-rect 293329 226109 293420 226227
-rect 291760 226067 293420 226109
-rect 291760 225949 293211 226067
-rect 293329 225949 293420 226067
-rect 291760 225938 293420 225949
-rect -1458 225937 -1158 225938
-rect 293120 225937 293420 225938
-rect -3758 222638 -3458 222639
-rect 295420 222638 295720 222639
-rect -4218 222627 240 222638
-rect -4218 222509 -3667 222627
-rect -3549 222509 240 222627
-rect -4218 222467 240 222509
-rect -4218 222349 -3667 222467
-rect -3549 222349 240 222467
-rect -4218 222338 240 222349
-rect 291760 222627 296180 222638
-rect 291760 222509 295511 222627
-rect 295629 222509 296180 222627
-rect 291760 222467 296180 222509
-rect 291760 222349 295511 222467
-rect 295629 222349 296180 222467
-rect 291760 222338 296180 222349
-rect -3758 222337 -3458 222338
-rect 295420 222337 295720 222338
-rect -2838 220838 -2538 220839
-rect 294500 220838 294800 220839
-rect -3298 220827 240 220838
-rect -3298 220709 -2747 220827
-rect -2629 220709 240 220827
-rect -3298 220667 240 220709
-rect -3298 220549 -2747 220667
-rect -2629 220549 240 220667
-rect -3298 220538 240 220549
-rect 291760 220827 295260 220838
-rect 291760 220709 294591 220827
-rect 294709 220709 295260 220827
-rect 291760 220667 295260 220709
-rect 291760 220549 294591 220667
-rect 294709 220549 295260 220667
-rect 291760 220538 295260 220549
-rect -2838 220537 -2538 220538
-rect 294500 220537 294800 220538
-rect -1918 219038 -1618 219039
-rect 293580 219038 293880 219039
-rect -2378 219027 240 219038
-rect -2378 218909 -1827 219027
-rect -1709 218909 240 219027
-rect -2378 218867 240 218909
-rect -2378 218749 -1827 218867
-rect -1709 218749 240 218867
-rect -2378 218738 240 218749
-rect 291760 219027 294340 219038
-rect 291760 218909 293671 219027
-rect 293789 218909 294340 219027
-rect 291760 218867 294340 218909
-rect 291760 218749 293671 218867
-rect 293789 218749 294340 218867
-rect 291760 218738 294340 218749
-rect -1918 218737 -1618 218738
-rect 293580 218737 293880 218738
+rect -4288 231638 -3988 231639
+rect 295950 231638 296250 231639
+rect -4288 231627 240 231638
+rect -4288 231509 -4197 231627
+rect -4079 231509 240 231627
+rect -4288 231467 240 231509
+rect -4288 231349 -4197 231467
+rect -4079 231349 240 231467
+rect -4288 231338 240 231349
+rect 291760 231627 296250 231638
+rect 291760 231509 296041 231627
+rect 296159 231509 296250 231627
+rect 291760 231467 296250 231509
+rect 291760 231349 296041 231467
+rect 296159 231349 296250 231467
+rect 291760 231338 296250 231349
+rect -4288 231337 -3988 231338
+rect 295950 231337 296250 231338
+rect -3348 229838 -3048 229839
+rect 295010 229838 295310 229839
+rect -3348 229827 240 229838
+rect -3348 229709 -3257 229827
+rect -3139 229709 240 229827
+rect -3348 229667 240 229709
+rect -3348 229549 -3257 229667
+rect -3139 229549 240 229667
+rect -3348 229538 240 229549
+rect 291760 229827 295310 229838
+rect 291760 229709 295101 229827
+rect 295219 229709 295310 229827
+rect 291760 229667 295310 229709
+rect 291760 229549 295101 229667
+rect 295219 229549 295310 229667
+rect 291760 229538 295310 229549
+rect -3348 229537 -3048 229538
+rect 295010 229537 295310 229538
+rect -2408 228038 -2108 228039
+rect 294070 228038 294370 228039
+rect -2408 228027 240 228038
+rect -2408 227909 -2317 228027
+rect -2199 227909 240 228027
+rect -2408 227867 240 227909
+rect -2408 227749 -2317 227867
+rect -2199 227749 240 227867
+rect -2408 227738 240 227749
+rect 291760 228027 294370 228038
+rect 291760 227909 294161 228027
+rect 294279 227909 294370 228027
+rect 291760 227867 294370 227909
+rect 291760 227749 294161 227867
+rect 294279 227749 294370 227867
+rect 291760 227738 294370 227749
+rect -2408 227737 -2108 227738
+rect 294070 227737 294370 227738
+rect -1468 226238 -1168 226239
+rect 293130 226238 293430 226239
+rect -1468 226227 240 226238
+rect -1468 226109 -1377 226227
+rect -1259 226109 240 226227
+rect -1468 226067 240 226109
+rect -1468 225949 -1377 226067
+rect -1259 225949 240 226067
+rect -1468 225938 240 225949
+rect 291760 226227 293430 226238
+rect 291760 226109 293221 226227
+rect 293339 226109 293430 226227
+rect 291760 226067 293430 226109
+rect 291760 225949 293221 226067
+rect 293339 225949 293430 226067
+rect 291760 225938 293430 225949
+rect -1468 225937 -1168 225938
+rect 293130 225937 293430 225938
+rect -3818 222638 -3518 222639
+rect 295480 222638 295780 222639
+rect -4288 222627 240 222638
+rect -4288 222509 -3727 222627
+rect -3609 222509 240 222627
+rect -4288 222467 240 222509
+rect -4288 222349 -3727 222467
+rect -3609 222349 240 222467
+rect -4288 222338 240 222349
+rect 291760 222627 296250 222638
+rect 291760 222509 295571 222627
+rect 295689 222509 296250 222627
+rect 291760 222467 296250 222509
+rect 291760 222349 295571 222467
+rect 295689 222349 296250 222467
+rect 291760 222338 296250 222349
+rect -3818 222337 -3518 222338
+rect 295480 222337 295780 222338
+rect -2878 220838 -2578 220839
+rect 294540 220838 294840 220839
+rect -3348 220827 240 220838
+rect -3348 220709 -2787 220827
+rect -2669 220709 240 220827
+rect -3348 220667 240 220709
+rect -3348 220549 -2787 220667
+rect -2669 220549 240 220667
+rect -3348 220538 240 220549
+rect 291760 220827 295310 220838
+rect 291760 220709 294631 220827
+rect 294749 220709 295310 220827
+rect 291760 220667 295310 220709
+rect 291760 220549 294631 220667
+rect 294749 220549 295310 220667
+rect 291760 220538 295310 220549
+rect -2878 220537 -2578 220538
+rect 294540 220537 294840 220538
+rect -1938 219038 -1638 219039
+rect 293600 219038 293900 219039
+rect -2408 219027 240 219038
+rect -2408 218909 -1847 219027
+rect -1729 218909 240 219027
+rect -2408 218867 240 218909
+rect -2408 218749 -1847 218867
+rect -1729 218749 240 218867
+rect -2408 218738 240 218749
+rect 291760 219027 294370 219038
+rect 291760 218909 293691 219027
+rect 293809 218909 294370 219027
+rect 291760 218867 294370 218909
+rect 291760 218749 293691 218867
+rect 293809 218749 294370 218867
+rect 291760 218738 294370 218749
+rect -1938 218737 -1638 218738
+rect 293600 218737 293900 218738
 rect -998 217238 -698 217239
 rect 292660 217238 292960 217239
-rect -1458 217227 240 217238
-rect -1458 217109 -907 217227
+rect -1468 217227 240 217238
+rect -1468 217109 -907 217227
 rect -789 217109 240 217227
-rect -1458 217067 240 217109
-rect -1458 216949 -907 217067
+rect -1468 217067 240 217109
+rect -1468 216949 -907 217067
 rect -789 216949 240 217067
-rect -1458 216938 240 216949
-rect 291760 217227 293420 217238
+rect -1468 216938 240 216949
+rect 291760 217227 293430 217238
 rect 291760 217109 292751 217227
-rect 292869 217109 293420 217227
-rect 291760 217067 293420 217109
+rect 292869 217109 293430 217227
+rect 291760 217067 293430 217109
 rect 291760 216949 292751 217067
-rect 292869 216949 293420 217067
-rect 291760 216938 293420 216949
+rect 292869 216949 293430 217067
+rect 291760 216938 293430 216949
 rect -998 216937 -698 216938
 rect 292660 216937 292960 216938
-rect -4218 213638 -3918 213639
-rect 295880 213638 296180 213639
-rect -4218 213627 240 213638
-rect -4218 213509 -4127 213627
-rect -4009 213509 240 213627
-rect -4218 213467 240 213509
-rect -4218 213349 -4127 213467
-rect -4009 213349 240 213467
-rect -4218 213338 240 213349
-rect 291760 213627 296180 213638
-rect 291760 213509 295971 213627
-rect 296089 213509 296180 213627
-rect 291760 213467 296180 213509
-rect 291760 213349 295971 213467
-rect 296089 213349 296180 213467
-rect 291760 213338 296180 213349
-rect -4218 213337 -3918 213338
-rect 295880 213337 296180 213338
-rect -3298 211838 -2998 211839
-rect 294960 211838 295260 211839
-rect -3298 211827 240 211838
-rect -3298 211709 -3207 211827
-rect -3089 211709 240 211827
-rect -3298 211667 240 211709
-rect -3298 211549 -3207 211667
-rect -3089 211549 240 211667
-rect -3298 211538 240 211549
-rect 291760 211827 295260 211838
-rect 291760 211709 295051 211827
-rect 295169 211709 295260 211827
-rect 291760 211667 295260 211709
-rect 291760 211549 295051 211667
-rect 295169 211549 295260 211667
-rect 291760 211538 295260 211549
-rect -3298 211537 -2998 211538
-rect 294960 211537 295260 211538
-rect -2378 210038 -2078 210039
-rect 294040 210038 294340 210039
-rect -2378 210027 240 210038
-rect -2378 209909 -2287 210027
-rect -2169 209909 240 210027
-rect -2378 209867 240 209909
-rect -2378 209749 -2287 209867
-rect -2169 209749 240 209867
-rect -2378 209738 240 209749
-rect 291760 210027 294340 210038
-rect 291760 209909 294131 210027
-rect 294249 209909 294340 210027
-rect 291760 209867 294340 209909
-rect 291760 209749 294131 209867
-rect 294249 209749 294340 209867
-rect 291760 209738 294340 209749
-rect -2378 209737 -2078 209738
-rect 294040 209737 294340 209738
-rect -1458 208238 -1158 208239
-rect 293120 208238 293420 208239
-rect -1458 208227 240 208238
-rect -1458 208109 -1367 208227
-rect -1249 208109 240 208227
-rect -1458 208067 240 208109
-rect -1458 207949 -1367 208067
-rect -1249 207949 240 208067
-rect -1458 207938 240 207949
-rect 291760 208227 293420 208238
-rect 291760 208109 293211 208227
-rect 293329 208109 293420 208227
-rect 291760 208067 293420 208109
-rect 291760 207949 293211 208067
-rect 293329 207949 293420 208067
-rect 291760 207938 293420 207949
-rect -1458 207937 -1158 207938
-rect 293120 207937 293420 207938
-rect -3758 204638 -3458 204639
-rect 295420 204638 295720 204639
-rect -4218 204627 240 204638
-rect -4218 204509 -3667 204627
-rect -3549 204509 240 204627
-rect -4218 204467 240 204509
-rect -4218 204349 -3667 204467
-rect -3549 204349 240 204467
-rect -4218 204338 240 204349
-rect 291760 204627 296180 204638
-rect 291760 204509 295511 204627
-rect 295629 204509 296180 204627
-rect 291760 204467 296180 204509
-rect 291760 204349 295511 204467
-rect 295629 204349 296180 204467
-rect 291760 204338 296180 204349
-rect -3758 204337 -3458 204338
-rect 295420 204337 295720 204338
-rect -2838 202838 -2538 202839
-rect 294500 202838 294800 202839
-rect -3298 202827 240 202838
-rect -3298 202709 -2747 202827
-rect -2629 202709 240 202827
-rect -3298 202667 240 202709
-rect -3298 202549 -2747 202667
-rect -2629 202549 240 202667
-rect -3298 202538 240 202549
-rect 291760 202827 295260 202838
-rect 291760 202709 294591 202827
-rect 294709 202709 295260 202827
-rect 291760 202667 295260 202709
-rect 291760 202549 294591 202667
-rect 294709 202549 295260 202667
-rect 291760 202538 295260 202549
-rect -2838 202537 -2538 202538
-rect 294500 202537 294800 202538
-rect -1918 201038 -1618 201039
-rect 293580 201038 293880 201039
-rect -2378 201027 240 201038
-rect -2378 200909 -1827 201027
-rect -1709 200909 240 201027
-rect -2378 200867 240 200909
-rect -2378 200749 -1827 200867
-rect -1709 200749 240 200867
-rect -2378 200738 240 200749
-rect 291760 201027 294340 201038
-rect 291760 200909 293671 201027
-rect 293789 200909 294340 201027
-rect 291760 200867 294340 200909
-rect 291760 200749 293671 200867
-rect 293789 200749 294340 200867
-rect 291760 200738 294340 200749
-rect -1918 200737 -1618 200738
-rect 293580 200737 293880 200738
+rect -4288 213638 -3988 213639
+rect 295950 213638 296250 213639
+rect -4288 213627 240 213638
+rect -4288 213509 -4197 213627
+rect -4079 213509 240 213627
+rect -4288 213467 240 213509
+rect -4288 213349 -4197 213467
+rect -4079 213349 240 213467
+rect -4288 213338 240 213349
+rect 291760 213627 296250 213638
+rect 291760 213509 296041 213627
+rect 296159 213509 296250 213627
+rect 291760 213467 296250 213509
+rect 291760 213349 296041 213467
+rect 296159 213349 296250 213467
+rect 291760 213338 296250 213349
+rect -4288 213337 -3988 213338
+rect 295950 213337 296250 213338
+rect -3348 211838 -3048 211839
+rect 295010 211838 295310 211839
+rect -3348 211827 240 211838
+rect -3348 211709 -3257 211827
+rect -3139 211709 240 211827
+rect -3348 211667 240 211709
+rect -3348 211549 -3257 211667
+rect -3139 211549 240 211667
+rect -3348 211538 240 211549
+rect 291760 211827 295310 211838
+rect 291760 211709 295101 211827
+rect 295219 211709 295310 211827
+rect 291760 211667 295310 211709
+rect 291760 211549 295101 211667
+rect 295219 211549 295310 211667
+rect 291760 211538 295310 211549
+rect -3348 211537 -3048 211538
+rect 295010 211537 295310 211538
+rect -2408 210038 -2108 210039
+rect 294070 210038 294370 210039
+rect -2408 210027 240 210038
+rect -2408 209909 -2317 210027
+rect -2199 209909 240 210027
+rect -2408 209867 240 209909
+rect -2408 209749 -2317 209867
+rect -2199 209749 240 209867
+rect -2408 209738 240 209749
+rect 291760 210027 294370 210038
+rect 291760 209909 294161 210027
+rect 294279 209909 294370 210027
+rect 291760 209867 294370 209909
+rect 291760 209749 294161 209867
+rect 294279 209749 294370 209867
+rect 291760 209738 294370 209749
+rect -2408 209737 -2108 209738
+rect 294070 209737 294370 209738
+rect -1468 208238 -1168 208239
+rect 293130 208238 293430 208239
+rect -1468 208227 240 208238
+rect -1468 208109 -1377 208227
+rect -1259 208109 240 208227
+rect -1468 208067 240 208109
+rect -1468 207949 -1377 208067
+rect -1259 207949 240 208067
+rect -1468 207938 240 207949
+rect 291760 208227 293430 208238
+rect 291760 208109 293221 208227
+rect 293339 208109 293430 208227
+rect 291760 208067 293430 208109
+rect 291760 207949 293221 208067
+rect 293339 207949 293430 208067
+rect 291760 207938 293430 207949
+rect -1468 207937 -1168 207938
+rect 293130 207937 293430 207938
+rect -3818 204638 -3518 204639
+rect 295480 204638 295780 204639
+rect -4288 204627 240 204638
+rect -4288 204509 -3727 204627
+rect -3609 204509 240 204627
+rect -4288 204467 240 204509
+rect -4288 204349 -3727 204467
+rect -3609 204349 240 204467
+rect -4288 204338 240 204349
+rect 291760 204627 296250 204638
+rect 291760 204509 295571 204627
+rect 295689 204509 296250 204627
+rect 291760 204467 296250 204509
+rect 291760 204349 295571 204467
+rect 295689 204349 296250 204467
+rect 291760 204338 296250 204349
+rect -3818 204337 -3518 204338
+rect 295480 204337 295780 204338
+rect -2878 202838 -2578 202839
+rect 294540 202838 294840 202839
+rect -3348 202827 240 202838
+rect -3348 202709 -2787 202827
+rect -2669 202709 240 202827
+rect -3348 202667 240 202709
+rect -3348 202549 -2787 202667
+rect -2669 202549 240 202667
+rect -3348 202538 240 202549
+rect 291760 202827 295310 202838
+rect 291760 202709 294631 202827
+rect 294749 202709 295310 202827
+rect 291760 202667 295310 202709
+rect 291760 202549 294631 202667
+rect 294749 202549 295310 202667
+rect 291760 202538 295310 202549
+rect -2878 202537 -2578 202538
+rect 294540 202537 294840 202538
+rect -1938 201038 -1638 201039
+rect 293600 201038 293900 201039
+rect -2408 201027 240 201038
+rect -2408 200909 -1847 201027
+rect -1729 200909 240 201027
+rect -2408 200867 240 200909
+rect -2408 200749 -1847 200867
+rect -1729 200749 240 200867
+rect -2408 200738 240 200749
+rect 291760 201027 294370 201038
+rect 291760 200909 293691 201027
+rect 293809 200909 294370 201027
+rect 291760 200867 294370 200909
+rect 291760 200749 293691 200867
+rect 293809 200749 294370 200867
+rect 291760 200738 294370 200749
+rect -1938 200737 -1638 200738
+rect 293600 200737 293900 200738
 rect -998 199238 -698 199239
 rect 292660 199238 292960 199239
-rect -1458 199227 240 199238
-rect -1458 199109 -907 199227
+rect -1468 199227 240 199238
+rect -1468 199109 -907 199227
 rect -789 199109 240 199227
-rect -1458 199067 240 199109
-rect -1458 198949 -907 199067
+rect -1468 199067 240 199109
+rect -1468 198949 -907 199067
 rect -789 198949 240 199067
-rect -1458 198938 240 198949
-rect 291760 199227 293420 199238
+rect -1468 198938 240 198949
+rect 291760 199227 293430 199238
 rect 291760 199109 292751 199227
-rect 292869 199109 293420 199227
-rect 291760 199067 293420 199109
+rect 292869 199109 293430 199227
+rect 291760 199067 293430 199109
 rect 291760 198949 292751 199067
-rect 292869 198949 293420 199067
-rect 291760 198938 293420 198949
+rect 292869 198949 293430 199067
+rect 291760 198938 293430 198949
 rect -998 198937 -698 198938
 rect 292660 198937 292960 198938
-rect -4218 195638 -3918 195639
-rect 295880 195638 296180 195639
-rect -4218 195627 240 195638
-rect -4218 195509 -4127 195627
-rect -4009 195509 240 195627
-rect -4218 195467 240 195509
-rect -4218 195349 -4127 195467
-rect -4009 195349 240 195467
-rect -4218 195338 240 195349
-rect 291760 195627 296180 195638
-rect 291760 195509 295971 195627
-rect 296089 195509 296180 195627
-rect 291760 195467 296180 195509
-rect 291760 195349 295971 195467
-rect 296089 195349 296180 195467
-rect 291760 195338 296180 195349
-rect -4218 195337 -3918 195338
-rect 295880 195337 296180 195338
-rect -3298 193838 -2998 193839
-rect 294960 193838 295260 193839
-rect -3298 193827 240 193838
-rect -3298 193709 -3207 193827
-rect -3089 193709 240 193827
-rect -3298 193667 240 193709
-rect -3298 193549 -3207 193667
-rect -3089 193549 240 193667
-rect -3298 193538 240 193549
-rect 291760 193827 295260 193838
-rect 291760 193709 295051 193827
-rect 295169 193709 295260 193827
-rect 291760 193667 295260 193709
-rect 291760 193549 295051 193667
-rect 295169 193549 295260 193667
-rect 291760 193538 295260 193549
-rect -3298 193537 -2998 193538
-rect 294960 193537 295260 193538
-rect -2378 192038 -2078 192039
-rect 294040 192038 294340 192039
-rect -2378 192027 240 192038
-rect -2378 191909 -2287 192027
-rect -2169 191909 240 192027
-rect -2378 191867 240 191909
-rect -2378 191749 -2287 191867
-rect -2169 191749 240 191867
-rect -2378 191738 240 191749
-rect 291760 192027 294340 192038
-rect 291760 191909 294131 192027
-rect 294249 191909 294340 192027
-rect 291760 191867 294340 191909
-rect 291760 191749 294131 191867
-rect 294249 191749 294340 191867
-rect 291760 191738 294340 191749
-rect -2378 191737 -2078 191738
-rect 294040 191737 294340 191738
-rect -1458 190238 -1158 190239
-rect 293120 190238 293420 190239
-rect -1458 190227 240 190238
-rect -1458 190109 -1367 190227
-rect -1249 190109 240 190227
-rect -1458 190067 240 190109
-rect -1458 189949 -1367 190067
-rect -1249 189949 240 190067
-rect -1458 189938 240 189949
-rect 291760 190227 293420 190238
-rect 291760 190109 293211 190227
-rect 293329 190109 293420 190227
-rect 291760 190067 293420 190109
-rect 291760 189949 293211 190067
-rect 293329 189949 293420 190067
-rect 291760 189938 293420 189949
-rect -1458 189937 -1158 189938
-rect 293120 189937 293420 189938
-rect -3758 186638 -3458 186639
-rect 295420 186638 295720 186639
-rect -4218 186627 240 186638
-rect -4218 186509 -3667 186627
-rect -3549 186509 240 186627
-rect -4218 186467 240 186509
-rect -4218 186349 -3667 186467
-rect -3549 186349 240 186467
-rect -4218 186338 240 186349
-rect 291760 186627 296180 186638
-rect 291760 186509 295511 186627
-rect 295629 186509 296180 186627
-rect 291760 186467 296180 186509
-rect 291760 186349 295511 186467
-rect 295629 186349 296180 186467
-rect 291760 186338 296180 186349
-rect -3758 186337 -3458 186338
-rect 295420 186337 295720 186338
-rect -2838 184838 -2538 184839
-rect 294500 184838 294800 184839
-rect -3298 184827 240 184838
-rect -3298 184709 -2747 184827
-rect -2629 184709 240 184827
-rect -3298 184667 240 184709
-rect -3298 184549 -2747 184667
-rect -2629 184549 240 184667
-rect -3298 184538 240 184549
-rect 291760 184827 295260 184838
-rect 291760 184709 294591 184827
-rect 294709 184709 295260 184827
-rect 291760 184667 295260 184709
-rect 291760 184549 294591 184667
-rect 294709 184549 295260 184667
-rect 291760 184538 295260 184549
-rect -2838 184537 -2538 184538
-rect 294500 184537 294800 184538
-rect -1918 183038 -1618 183039
-rect 293580 183038 293880 183039
-rect -2378 183027 240 183038
-rect -2378 182909 -1827 183027
-rect -1709 182909 240 183027
-rect -2378 182867 240 182909
-rect -2378 182749 -1827 182867
-rect -1709 182749 240 182867
-rect -2378 182738 240 182749
-rect 291760 183027 294340 183038
-rect 291760 182909 293671 183027
-rect 293789 182909 294340 183027
-rect 291760 182867 294340 182909
-rect 291760 182749 293671 182867
-rect 293789 182749 294340 182867
-rect 291760 182738 294340 182749
-rect -1918 182737 -1618 182738
-rect 293580 182737 293880 182738
+rect -4288 195638 -3988 195639
+rect 295950 195638 296250 195639
+rect -4288 195627 240 195638
+rect -4288 195509 -4197 195627
+rect -4079 195509 240 195627
+rect -4288 195467 240 195509
+rect -4288 195349 -4197 195467
+rect -4079 195349 240 195467
+rect -4288 195338 240 195349
+rect 291760 195627 296250 195638
+rect 291760 195509 296041 195627
+rect 296159 195509 296250 195627
+rect 291760 195467 296250 195509
+rect 291760 195349 296041 195467
+rect 296159 195349 296250 195467
+rect 291760 195338 296250 195349
+rect -4288 195337 -3988 195338
+rect 295950 195337 296250 195338
+rect -3348 193838 -3048 193839
+rect 295010 193838 295310 193839
+rect -3348 193827 240 193838
+rect -3348 193709 -3257 193827
+rect -3139 193709 240 193827
+rect -3348 193667 240 193709
+rect -3348 193549 -3257 193667
+rect -3139 193549 240 193667
+rect -3348 193538 240 193549
+rect 291760 193827 295310 193838
+rect 291760 193709 295101 193827
+rect 295219 193709 295310 193827
+rect 291760 193667 295310 193709
+rect 291760 193549 295101 193667
+rect 295219 193549 295310 193667
+rect 291760 193538 295310 193549
+rect -3348 193537 -3048 193538
+rect 295010 193537 295310 193538
+rect -2408 192038 -2108 192039
+rect 294070 192038 294370 192039
+rect -2408 192027 240 192038
+rect -2408 191909 -2317 192027
+rect -2199 191909 240 192027
+rect -2408 191867 240 191909
+rect -2408 191749 -2317 191867
+rect -2199 191749 240 191867
+rect -2408 191738 240 191749
+rect 291760 192027 294370 192038
+rect 291760 191909 294161 192027
+rect 294279 191909 294370 192027
+rect 291760 191867 294370 191909
+rect 291760 191749 294161 191867
+rect 294279 191749 294370 191867
+rect 291760 191738 294370 191749
+rect -2408 191737 -2108 191738
+rect 294070 191737 294370 191738
+rect -1468 190238 -1168 190239
+rect 293130 190238 293430 190239
+rect -1468 190227 240 190238
+rect -1468 190109 -1377 190227
+rect -1259 190109 240 190227
+rect -1468 190067 240 190109
+rect -1468 189949 -1377 190067
+rect -1259 189949 240 190067
+rect -1468 189938 240 189949
+rect 291760 190227 293430 190238
+rect 291760 190109 293221 190227
+rect 293339 190109 293430 190227
+rect 291760 190067 293430 190109
+rect 291760 189949 293221 190067
+rect 293339 189949 293430 190067
+rect 291760 189938 293430 189949
+rect -1468 189937 -1168 189938
+rect 293130 189937 293430 189938
+rect -3818 186638 -3518 186639
+rect 295480 186638 295780 186639
+rect -4288 186627 240 186638
+rect -4288 186509 -3727 186627
+rect -3609 186509 240 186627
+rect -4288 186467 240 186509
+rect -4288 186349 -3727 186467
+rect -3609 186349 240 186467
+rect -4288 186338 240 186349
+rect 291760 186627 296250 186638
+rect 291760 186509 295571 186627
+rect 295689 186509 296250 186627
+rect 291760 186467 296250 186509
+rect 291760 186349 295571 186467
+rect 295689 186349 296250 186467
+rect 291760 186338 296250 186349
+rect -3818 186337 -3518 186338
+rect 295480 186337 295780 186338
+rect -2878 184838 -2578 184839
+rect 294540 184838 294840 184839
+rect -3348 184827 240 184838
+rect -3348 184709 -2787 184827
+rect -2669 184709 240 184827
+rect -3348 184667 240 184709
+rect -3348 184549 -2787 184667
+rect -2669 184549 240 184667
+rect -3348 184538 240 184549
+rect 291760 184827 295310 184838
+rect 291760 184709 294631 184827
+rect 294749 184709 295310 184827
+rect 291760 184667 295310 184709
+rect 291760 184549 294631 184667
+rect 294749 184549 295310 184667
+rect 291760 184538 295310 184549
+rect -2878 184537 -2578 184538
+rect 294540 184537 294840 184538
+rect -1938 183038 -1638 183039
+rect 293600 183038 293900 183039
+rect -2408 183027 240 183038
+rect -2408 182909 -1847 183027
+rect -1729 182909 240 183027
+rect -2408 182867 240 182909
+rect -2408 182749 -1847 182867
+rect -1729 182749 240 182867
+rect -2408 182738 240 182749
+rect 291760 183027 294370 183038
+rect 291760 182909 293691 183027
+rect 293809 182909 294370 183027
+rect 291760 182867 294370 182909
+rect 291760 182749 293691 182867
+rect 293809 182749 294370 182867
+rect 291760 182738 294370 182749
+rect -1938 182737 -1638 182738
+rect 293600 182737 293900 182738
 rect -998 181238 -698 181239
 rect 292660 181238 292960 181239
-rect -1458 181227 240 181238
-rect -1458 181109 -907 181227
+rect -1468 181227 240 181238
+rect -1468 181109 -907 181227
 rect -789 181109 240 181227
-rect -1458 181067 240 181109
-rect -1458 180949 -907 181067
+rect -1468 181067 240 181109
+rect -1468 180949 -907 181067
 rect -789 180949 240 181067
-rect -1458 180938 240 180949
-rect 291760 181227 293420 181238
+rect -1468 180938 240 180949
+rect 291760 181227 293430 181238
 rect 291760 181109 292751 181227
-rect 292869 181109 293420 181227
-rect 291760 181067 293420 181109
+rect 292869 181109 293430 181227
+rect 291760 181067 293430 181109
 rect 291760 180949 292751 181067
-rect 292869 180949 293420 181067
-rect 291760 180938 293420 180949
+rect 292869 180949 293430 181067
+rect 291760 180938 293430 180949
 rect -998 180937 -698 180938
 rect 292660 180937 292960 180938
-rect -4218 177638 -3918 177639
-rect 295880 177638 296180 177639
-rect -4218 177627 240 177638
-rect -4218 177509 -4127 177627
-rect -4009 177509 240 177627
-rect -4218 177467 240 177509
-rect -4218 177349 -4127 177467
-rect -4009 177349 240 177467
-rect -4218 177338 240 177349
-rect 291760 177627 296180 177638
-rect 291760 177509 295971 177627
-rect 296089 177509 296180 177627
-rect 291760 177467 296180 177509
-rect 291760 177349 295971 177467
-rect 296089 177349 296180 177467
-rect 291760 177338 296180 177349
-rect -4218 177337 -3918 177338
-rect 295880 177337 296180 177338
-rect -3298 175838 -2998 175839
-rect 294960 175838 295260 175839
-rect -3298 175827 240 175838
-rect -3298 175709 -3207 175827
-rect -3089 175709 240 175827
-rect -3298 175667 240 175709
-rect -3298 175549 -3207 175667
-rect -3089 175549 240 175667
-rect -3298 175538 240 175549
-rect 291760 175827 295260 175838
-rect 291760 175709 295051 175827
-rect 295169 175709 295260 175827
-rect 291760 175667 295260 175709
-rect 291760 175549 295051 175667
-rect 295169 175549 295260 175667
-rect 291760 175538 295260 175549
-rect -3298 175537 -2998 175538
-rect 294960 175537 295260 175538
-rect -2378 174038 -2078 174039
-rect 294040 174038 294340 174039
-rect -2378 174027 240 174038
-rect -2378 173909 -2287 174027
-rect -2169 173909 240 174027
-rect -2378 173867 240 173909
-rect -2378 173749 -2287 173867
-rect -2169 173749 240 173867
-rect -2378 173738 240 173749
-rect 291760 174027 294340 174038
-rect 291760 173909 294131 174027
-rect 294249 173909 294340 174027
-rect 291760 173867 294340 173909
-rect 291760 173749 294131 173867
-rect 294249 173749 294340 173867
-rect 291760 173738 294340 173749
-rect -2378 173737 -2078 173738
-rect 294040 173737 294340 173738
-rect -1458 172238 -1158 172239
-rect 293120 172238 293420 172239
-rect -1458 172227 240 172238
-rect -1458 172109 -1367 172227
-rect -1249 172109 240 172227
-rect -1458 172067 240 172109
-rect -1458 171949 -1367 172067
-rect -1249 171949 240 172067
-rect -1458 171938 240 171949
-rect 291760 172227 293420 172238
-rect 291760 172109 293211 172227
-rect 293329 172109 293420 172227
-rect 291760 172067 293420 172109
-rect 291760 171949 293211 172067
-rect 293329 171949 293420 172067
-rect 291760 171938 293420 171949
-rect -1458 171937 -1158 171938
-rect 293120 171937 293420 171938
-rect -3758 168638 -3458 168639
-rect 295420 168638 295720 168639
-rect -4218 168627 240 168638
-rect -4218 168509 -3667 168627
-rect -3549 168509 240 168627
-rect -4218 168467 240 168509
-rect -4218 168349 -3667 168467
-rect -3549 168349 240 168467
-rect -4218 168338 240 168349
-rect 291760 168627 296180 168638
-rect 291760 168509 295511 168627
-rect 295629 168509 296180 168627
-rect 291760 168467 296180 168509
-rect 291760 168349 295511 168467
-rect 295629 168349 296180 168467
-rect 291760 168338 296180 168349
-rect -3758 168337 -3458 168338
-rect 295420 168337 295720 168338
-rect -2838 166838 -2538 166839
-rect 294500 166838 294800 166839
-rect -3298 166827 240 166838
-rect -3298 166709 -2747 166827
-rect -2629 166709 240 166827
-rect -3298 166667 240 166709
-rect -3298 166549 -2747 166667
-rect -2629 166549 240 166667
-rect -3298 166538 240 166549
-rect 291760 166827 295260 166838
-rect 291760 166709 294591 166827
-rect 294709 166709 295260 166827
-rect 291760 166667 295260 166709
-rect 291760 166549 294591 166667
-rect 294709 166549 295260 166667
-rect 291760 166538 295260 166549
-rect -2838 166537 -2538 166538
-rect 294500 166537 294800 166538
-rect -1918 165038 -1618 165039
-rect 293580 165038 293880 165039
-rect -2378 165027 240 165038
-rect -2378 164909 -1827 165027
-rect -1709 164909 240 165027
-rect -2378 164867 240 164909
-rect -2378 164749 -1827 164867
-rect -1709 164749 240 164867
-rect -2378 164738 240 164749
-rect 291760 165027 294340 165038
-rect 291760 164909 293671 165027
-rect 293789 164909 294340 165027
-rect 291760 164867 294340 164909
-rect 291760 164749 293671 164867
-rect 293789 164749 294340 164867
-rect 291760 164738 294340 164749
-rect -1918 164737 -1618 164738
-rect 293580 164737 293880 164738
+rect -4288 177638 -3988 177639
+rect 295950 177638 296250 177639
+rect -4288 177627 240 177638
+rect -4288 177509 -4197 177627
+rect -4079 177509 240 177627
+rect -4288 177467 240 177509
+rect -4288 177349 -4197 177467
+rect -4079 177349 240 177467
+rect -4288 177338 240 177349
+rect 291760 177627 296250 177638
+rect 291760 177509 296041 177627
+rect 296159 177509 296250 177627
+rect 291760 177467 296250 177509
+rect 291760 177349 296041 177467
+rect 296159 177349 296250 177467
+rect 291760 177338 296250 177349
+rect -4288 177337 -3988 177338
+rect 295950 177337 296250 177338
+rect -3348 175838 -3048 175839
+rect 295010 175838 295310 175839
+rect -3348 175827 240 175838
+rect -3348 175709 -3257 175827
+rect -3139 175709 240 175827
+rect -3348 175667 240 175709
+rect -3348 175549 -3257 175667
+rect -3139 175549 240 175667
+rect -3348 175538 240 175549
+rect 291760 175827 295310 175838
+rect 291760 175709 295101 175827
+rect 295219 175709 295310 175827
+rect 291760 175667 295310 175709
+rect 291760 175549 295101 175667
+rect 295219 175549 295310 175667
+rect 291760 175538 295310 175549
+rect -3348 175537 -3048 175538
+rect 295010 175537 295310 175538
+rect -2408 174038 -2108 174039
+rect 294070 174038 294370 174039
+rect -2408 174027 240 174038
+rect -2408 173909 -2317 174027
+rect -2199 173909 240 174027
+rect -2408 173867 240 173909
+rect -2408 173749 -2317 173867
+rect -2199 173749 240 173867
+rect -2408 173738 240 173749
+rect 291760 174027 294370 174038
+rect 291760 173909 294161 174027
+rect 294279 173909 294370 174027
+rect 291760 173867 294370 173909
+rect 291760 173749 294161 173867
+rect 294279 173749 294370 173867
+rect 291760 173738 294370 173749
+rect -2408 173737 -2108 173738
+rect 294070 173737 294370 173738
+rect -1468 172238 -1168 172239
+rect 293130 172238 293430 172239
+rect -1468 172227 240 172238
+rect -1468 172109 -1377 172227
+rect -1259 172109 240 172227
+rect -1468 172067 240 172109
+rect -1468 171949 -1377 172067
+rect -1259 171949 240 172067
+rect -1468 171938 240 171949
+rect 291760 172227 293430 172238
+rect 291760 172109 293221 172227
+rect 293339 172109 293430 172227
+rect 291760 172067 293430 172109
+rect 291760 171949 293221 172067
+rect 293339 171949 293430 172067
+rect 291760 171938 293430 171949
+rect -1468 171937 -1168 171938
+rect 293130 171937 293430 171938
+rect -3818 168638 -3518 168639
+rect 295480 168638 295780 168639
+rect -4288 168627 240 168638
+rect -4288 168509 -3727 168627
+rect -3609 168509 240 168627
+rect -4288 168467 240 168509
+rect -4288 168349 -3727 168467
+rect -3609 168349 240 168467
+rect -4288 168338 240 168349
+rect 291760 168627 296250 168638
+rect 291760 168509 295571 168627
+rect 295689 168509 296250 168627
+rect 291760 168467 296250 168509
+rect 291760 168349 295571 168467
+rect 295689 168349 296250 168467
+rect 291760 168338 296250 168349
+rect -3818 168337 -3518 168338
+rect 295480 168337 295780 168338
+rect -2878 166838 -2578 166839
+rect 294540 166838 294840 166839
+rect -3348 166827 240 166838
+rect -3348 166709 -2787 166827
+rect -2669 166709 240 166827
+rect -3348 166667 240 166709
+rect -3348 166549 -2787 166667
+rect -2669 166549 240 166667
+rect -3348 166538 240 166549
+rect 291760 166827 295310 166838
+rect 291760 166709 294631 166827
+rect 294749 166709 295310 166827
+rect 291760 166667 295310 166709
+rect 291760 166549 294631 166667
+rect 294749 166549 295310 166667
+rect 291760 166538 295310 166549
+rect -2878 166537 -2578 166538
+rect 294540 166537 294840 166538
+rect -1938 165038 -1638 165039
+rect 293600 165038 293900 165039
+rect -2408 165027 240 165038
+rect -2408 164909 -1847 165027
+rect -1729 164909 240 165027
+rect -2408 164867 240 164909
+rect -2408 164749 -1847 164867
+rect -1729 164749 240 164867
+rect -2408 164738 240 164749
+rect 291760 165027 294370 165038
+rect 291760 164909 293691 165027
+rect 293809 164909 294370 165027
+rect 291760 164867 294370 164909
+rect 291760 164749 293691 164867
+rect 293809 164749 294370 164867
+rect 291760 164738 294370 164749
+rect -1938 164737 -1638 164738
+rect 293600 164737 293900 164738
 rect -998 163238 -698 163239
 rect 292660 163238 292960 163239
-rect -1458 163227 240 163238
-rect -1458 163109 -907 163227
+rect -1468 163227 240 163238
+rect -1468 163109 -907 163227
 rect -789 163109 240 163227
-rect -1458 163067 240 163109
-rect -1458 162949 -907 163067
+rect -1468 163067 240 163109
+rect -1468 162949 -907 163067
 rect -789 162949 240 163067
-rect -1458 162938 240 162949
-rect 291760 163227 293420 163238
+rect -1468 162938 240 162949
+rect 291760 163227 293430 163238
 rect 291760 163109 292751 163227
-rect 292869 163109 293420 163227
-rect 291760 163067 293420 163109
+rect 292869 163109 293430 163227
+rect 291760 163067 293430 163109
 rect 291760 162949 292751 163067
-rect 292869 162949 293420 163067
-rect 291760 162938 293420 162949
+rect 292869 162949 293430 163067
+rect 291760 162938 293430 162949
 rect -998 162937 -698 162938
 rect 292660 162937 292960 162938
-rect -4218 159638 -3918 159639
-rect 295880 159638 296180 159639
-rect -4218 159627 240 159638
-rect -4218 159509 -4127 159627
-rect -4009 159509 240 159627
-rect -4218 159467 240 159509
-rect -4218 159349 -4127 159467
-rect -4009 159349 240 159467
-rect -4218 159338 240 159349
-rect 291760 159627 296180 159638
-rect 291760 159509 295971 159627
-rect 296089 159509 296180 159627
-rect 291760 159467 296180 159509
-rect 291760 159349 295971 159467
-rect 296089 159349 296180 159467
-rect 291760 159338 296180 159349
-rect -4218 159337 -3918 159338
-rect 295880 159337 296180 159338
-rect -3298 157838 -2998 157839
-rect 294960 157838 295260 157839
-rect -3298 157827 240 157838
-rect -3298 157709 -3207 157827
-rect -3089 157709 240 157827
-rect -3298 157667 240 157709
-rect -3298 157549 -3207 157667
-rect -3089 157549 240 157667
-rect -3298 157538 240 157549
-rect 291760 157827 295260 157838
-rect 291760 157709 295051 157827
-rect 295169 157709 295260 157827
-rect 291760 157667 295260 157709
-rect 291760 157549 295051 157667
-rect 295169 157549 295260 157667
-rect 291760 157538 295260 157549
-rect -3298 157537 -2998 157538
-rect 294960 157537 295260 157538
-rect -2378 156038 -2078 156039
-rect 294040 156038 294340 156039
-rect -2378 156027 240 156038
-rect -2378 155909 -2287 156027
-rect -2169 155909 240 156027
-rect -2378 155867 240 155909
-rect -2378 155749 -2287 155867
-rect -2169 155749 240 155867
-rect -2378 155738 240 155749
-rect 291760 156027 294340 156038
-rect 291760 155909 294131 156027
-rect 294249 155909 294340 156027
-rect 291760 155867 294340 155909
-rect 291760 155749 294131 155867
-rect 294249 155749 294340 155867
-rect 291760 155738 294340 155749
-rect -2378 155737 -2078 155738
-rect 294040 155737 294340 155738
-rect -1458 154238 -1158 154239
-rect 293120 154238 293420 154239
-rect -1458 154227 240 154238
-rect -1458 154109 -1367 154227
-rect -1249 154109 240 154227
-rect -1458 154067 240 154109
-rect -1458 153949 -1367 154067
-rect -1249 153949 240 154067
-rect -1458 153938 240 153949
-rect 291760 154227 293420 154238
-rect 291760 154109 293211 154227
-rect 293329 154109 293420 154227
-rect 291760 154067 293420 154109
-rect 291760 153949 293211 154067
-rect 293329 153949 293420 154067
-rect 291760 153938 293420 153949
-rect -1458 153937 -1158 153938
-rect 293120 153937 293420 153938
-rect -3758 150638 -3458 150639
-rect 295420 150638 295720 150639
-rect -4218 150627 240 150638
-rect -4218 150509 -3667 150627
-rect -3549 150509 240 150627
-rect -4218 150467 240 150509
-rect -4218 150349 -3667 150467
-rect -3549 150349 240 150467
-rect -4218 150338 240 150349
-rect 291760 150627 296180 150638
-rect 291760 150509 295511 150627
-rect 295629 150509 296180 150627
-rect 291760 150467 296180 150509
-rect 291760 150349 295511 150467
-rect 295629 150349 296180 150467
-rect 291760 150338 296180 150349
-rect -3758 150337 -3458 150338
-rect 295420 150337 295720 150338
-rect -2838 148838 -2538 148839
-rect 294500 148838 294800 148839
-rect -3298 148827 240 148838
-rect -3298 148709 -2747 148827
-rect -2629 148709 240 148827
-rect -3298 148667 240 148709
-rect -3298 148549 -2747 148667
-rect -2629 148549 240 148667
-rect -3298 148538 240 148549
-rect 291760 148827 295260 148838
-rect 291760 148709 294591 148827
-rect 294709 148709 295260 148827
-rect 291760 148667 295260 148709
-rect 291760 148549 294591 148667
-rect 294709 148549 295260 148667
-rect 291760 148538 295260 148549
-rect -2838 148537 -2538 148538
-rect 294500 148537 294800 148538
-rect -1918 147038 -1618 147039
-rect 293580 147038 293880 147039
-rect -2378 147027 240 147038
-rect -2378 146909 -1827 147027
-rect -1709 146909 240 147027
-rect -2378 146867 240 146909
-rect -2378 146749 -1827 146867
-rect -1709 146749 240 146867
-rect -2378 146738 240 146749
-rect 291760 147027 294340 147038
-rect 291760 146909 293671 147027
-rect 293789 146909 294340 147027
-rect 291760 146867 294340 146909
-rect 291760 146749 293671 146867
-rect 293789 146749 294340 146867
-rect 291760 146738 294340 146749
-rect -1918 146737 -1618 146738
-rect 293580 146737 293880 146738
+rect -4288 159638 -3988 159639
+rect 295950 159638 296250 159639
+rect -4288 159627 240 159638
+rect -4288 159509 -4197 159627
+rect -4079 159509 240 159627
+rect -4288 159467 240 159509
+rect -4288 159349 -4197 159467
+rect -4079 159349 240 159467
+rect -4288 159338 240 159349
+rect 291760 159627 296250 159638
+rect 291760 159509 296041 159627
+rect 296159 159509 296250 159627
+rect 291760 159467 296250 159509
+rect 291760 159349 296041 159467
+rect 296159 159349 296250 159467
+rect 291760 159338 296250 159349
+rect -4288 159337 -3988 159338
+rect 295950 159337 296250 159338
+rect -3348 157838 -3048 157839
+rect 295010 157838 295310 157839
+rect -3348 157827 240 157838
+rect -3348 157709 -3257 157827
+rect -3139 157709 240 157827
+rect -3348 157667 240 157709
+rect -3348 157549 -3257 157667
+rect -3139 157549 240 157667
+rect -3348 157538 240 157549
+rect 291760 157827 295310 157838
+rect 291760 157709 295101 157827
+rect 295219 157709 295310 157827
+rect 291760 157667 295310 157709
+rect 291760 157549 295101 157667
+rect 295219 157549 295310 157667
+rect 291760 157538 295310 157549
+rect -3348 157537 -3048 157538
+rect 295010 157537 295310 157538
+rect -2408 156038 -2108 156039
+rect 294070 156038 294370 156039
+rect -2408 156027 240 156038
+rect -2408 155909 -2317 156027
+rect -2199 155909 240 156027
+rect -2408 155867 240 155909
+rect -2408 155749 -2317 155867
+rect -2199 155749 240 155867
+rect -2408 155738 240 155749
+rect 291760 156027 294370 156038
+rect 291760 155909 294161 156027
+rect 294279 155909 294370 156027
+rect 291760 155867 294370 155909
+rect 291760 155749 294161 155867
+rect 294279 155749 294370 155867
+rect 291760 155738 294370 155749
+rect -2408 155737 -2108 155738
+rect 294070 155737 294370 155738
+rect -1468 154238 -1168 154239
+rect 293130 154238 293430 154239
+rect -1468 154227 240 154238
+rect -1468 154109 -1377 154227
+rect -1259 154109 240 154227
+rect -1468 154067 240 154109
+rect -1468 153949 -1377 154067
+rect -1259 153949 240 154067
+rect -1468 153938 240 153949
+rect 291760 154227 293430 154238
+rect 291760 154109 293221 154227
+rect 293339 154109 293430 154227
+rect 291760 154067 293430 154109
+rect 291760 153949 293221 154067
+rect 293339 153949 293430 154067
+rect 291760 153938 293430 153949
+rect -1468 153937 -1168 153938
+rect 293130 153937 293430 153938
+rect -3818 150638 -3518 150639
+rect 295480 150638 295780 150639
+rect -4288 150627 240 150638
+rect -4288 150509 -3727 150627
+rect -3609 150509 240 150627
+rect -4288 150467 240 150509
+rect -4288 150349 -3727 150467
+rect -3609 150349 240 150467
+rect -4288 150338 240 150349
+rect 291760 150627 296250 150638
+rect 291760 150509 295571 150627
+rect 295689 150509 296250 150627
+rect 291760 150467 296250 150509
+rect 291760 150349 295571 150467
+rect 295689 150349 296250 150467
+rect 291760 150338 296250 150349
+rect -3818 150337 -3518 150338
+rect 295480 150337 295780 150338
+rect -2878 148838 -2578 148839
+rect 294540 148838 294840 148839
+rect -3348 148827 240 148838
+rect -3348 148709 -2787 148827
+rect -2669 148709 240 148827
+rect -3348 148667 240 148709
+rect -3348 148549 -2787 148667
+rect -2669 148549 240 148667
+rect -3348 148538 240 148549
+rect 291760 148827 295310 148838
+rect 291760 148709 294631 148827
+rect 294749 148709 295310 148827
+rect 291760 148667 295310 148709
+rect 291760 148549 294631 148667
+rect 294749 148549 295310 148667
+rect 291760 148538 295310 148549
+rect -2878 148537 -2578 148538
+rect 294540 148537 294840 148538
+rect -1938 147038 -1638 147039
+rect 293600 147038 293900 147039
+rect -2408 147027 240 147038
+rect -2408 146909 -1847 147027
+rect -1729 146909 240 147027
+rect -2408 146867 240 146909
+rect -2408 146749 -1847 146867
+rect -1729 146749 240 146867
+rect -2408 146738 240 146749
+rect 291760 147027 294370 147038
+rect 291760 146909 293691 147027
+rect 293809 146909 294370 147027
+rect 291760 146867 294370 146909
+rect 291760 146749 293691 146867
+rect 293809 146749 294370 146867
+rect 291760 146738 294370 146749
+rect -1938 146737 -1638 146738
+rect 293600 146737 293900 146738
 rect -998 145238 -698 145239
 rect 292660 145238 292960 145239
-rect -1458 145227 240 145238
-rect -1458 145109 -907 145227
+rect -1468 145227 240 145238
+rect -1468 145109 -907 145227
 rect -789 145109 240 145227
-rect -1458 145067 240 145109
-rect -1458 144949 -907 145067
+rect -1468 145067 240 145109
+rect -1468 144949 -907 145067
 rect -789 144949 240 145067
-rect -1458 144938 240 144949
-rect 291760 145227 293420 145238
+rect -1468 144938 240 144949
+rect 291760 145227 293430 145238
 rect 291760 145109 292751 145227
-rect 292869 145109 293420 145227
-rect 291760 145067 293420 145109
+rect 292869 145109 293430 145227
+rect 291760 145067 293430 145109
 rect 291760 144949 292751 145067
-rect 292869 144949 293420 145067
-rect 291760 144938 293420 144949
+rect 292869 144949 293430 145067
+rect 291760 144938 293430 144949
 rect -998 144937 -698 144938
 rect 292660 144937 292960 144938
-rect -4218 141638 -3918 141639
-rect 295880 141638 296180 141639
-rect -4218 141627 240 141638
-rect -4218 141509 -4127 141627
-rect -4009 141509 240 141627
-rect -4218 141467 240 141509
-rect -4218 141349 -4127 141467
-rect -4009 141349 240 141467
-rect -4218 141338 240 141349
-rect 291760 141627 296180 141638
-rect 291760 141509 295971 141627
-rect 296089 141509 296180 141627
-rect 291760 141467 296180 141509
-rect 291760 141349 295971 141467
-rect 296089 141349 296180 141467
-rect 291760 141338 296180 141349
-rect -4218 141337 -3918 141338
-rect 295880 141337 296180 141338
-rect -3298 139838 -2998 139839
-rect 294960 139838 295260 139839
-rect -3298 139827 240 139838
-rect -3298 139709 -3207 139827
-rect -3089 139709 240 139827
-rect -3298 139667 240 139709
-rect -3298 139549 -3207 139667
-rect -3089 139549 240 139667
-rect -3298 139538 240 139549
-rect 291760 139827 295260 139838
-rect 291760 139709 295051 139827
-rect 295169 139709 295260 139827
-rect 291760 139667 295260 139709
-rect 291760 139549 295051 139667
-rect 295169 139549 295260 139667
-rect 291760 139538 295260 139549
-rect -3298 139537 -2998 139538
-rect 294960 139537 295260 139538
-rect -2378 138038 -2078 138039
-rect 294040 138038 294340 138039
-rect -2378 138027 240 138038
-rect -2378 137909 -2287 138027
-rect -2169 137909 240 138027
-rect -2378 137867 240 137909
-rect -2378 137749 -2287 137867
-rect -2169 137749 240 137867
-rect -2378 137738 240 137749
-rect 291760 138027 294340 138038
-rect 291760 137909 294131 138027
-rect 294249 137909 294340 138027
-rect 291760 137867 294340 137909
-rect 291760 137749 294131 137867
-rect 294249 137749 294340 137867
-rect 291760 137738 294340 137749
-rect -2378 137737 -2078 137738
-rect 294040 137737 294340 137738
-rect -1458 136238 -1158 136239
-rect 293120 136238 293420 136239
-rect -1458 136227 240 136238
-rect -1458 136109 -1367 136227
-rect -1249 136109 240 136227
-rect -1458 136067 240 136109
-rect -1458 135949 -1367 136067
-rect -1249 135949 240 136067
-rect -1458 135938 240 135949
-rect 291760 136227 293420 136238
-rect 291760 136109 293211 136227
-rect 293329 136109 293420 136227
-rect 291760 136067 293420 136109
-rect 291760 135949 293211 136067
-rect 293329 135949 293420 136067
-rect 291760 135938 293420 135949
-rect -1458 135937 -1158 135938
-rect 293120 135937 293420 135938
-rect -3758 132638 -3458 132639
-rect 295420 132638 295720 132639
-rect -4218 132627 240 132638
-rect -4218 132509 -3667 132627
-rect -3549 132509 240 132627
-rect -4218 132467 240 132509
-rect -4218 132349 -3667 132467
-rect -3549 132349 240 132467
-rect -4218 132338 240 132349
-rect 291760 132627 296180 132638
-rect 291760 132509 295511 132627
-rect 295629 132509 296180 132627
-rect 291760 132467 296180 132509
-rect 291760 132349 295511 132467
-rect 295629 132349 296180 132467
-rect 291760 132338 296180 132349
-rect -3758 132337 -3458 132338
-rect 295420 132337 295720 132338
-rect -2838 130838 -2538 130839
-rect 294500 130838 294800 130839
-rect -3298 130827 240 130838
-rect -3298 130709 -2747 130827
-rect -2629 130709 240 130827
-rect -3298 130667 240 130709
-rect -3298 130549 -2747 130667
-rect -2629 130549 240 130667
-rect -3298 130538 240 130549
-rect 291760 130827 295260 130838
-rect 291760 130709 294591 130827
-rect 294709 130709 295260 130827
-rect 291760 130667 295260 130709
-rect 291760 130549 294591 130667
-rect 294709 130549 295260 130667
-rect 291760 130538 295260 130549
-rect -2838 130537 -2538 130538
-rect 294500 130537 294800 130538
-rect -1918 129038 -1618 129039
-rect 293580 129038 293880 129039
-rect -2378 129027 240 129038
-rect -2378 128909 -1827 129027
-rect -1709 128909 240 129027
-rect -2378 128867 240 128909
-rect -2378 128749 -1827 128867
-rect -1709 128749 240 128867
-rect -2378 128738 240 128749
-rect 291760 129027 294340 129038
-rect 291760 128909 293671 129027
-rect 293789 128909 294340 129027
-rect 291760 128867 294340 128909
-rect 291760 128749 293671 128867
-rect 293789 128749 294340 128867
-rect 291760 128738 294340 128749
-rect -1918 128737 -1618 128738
-rect 293580 128737 293880 128738
+rect -4288 141638 -3988 141639
+rect 295950 141638 296250 141639
+rect -4288 141627 240 141638
+rect -4288 141509 -4197 141627
+rect -4079 141509 240 141627
+rect -4288 141467 240 141509
+rect -4288 141349 -4197 141467
+rect -4079 141349 240 141467
+rect -4288 141338 240 141349
+rect 291760 141627 296250 141638
+rect 291760 141509 296041 141627
+rect 296159 141509 296250 141627
+rect 291760 141467 296250 141509
+rect 291760 141349 296041 141467
+rect 296159 141349 296250 141467
+rect 291760 141338 296250 141349
+rect -4288 141337 -3988 141338
+rect 295950 141337 296250 141338
+rect -3348 139838 -3048 139839
+rect 295010 139838 295310 139839
+rect -3348 139827 240 139838
+rect -3348 139709 -3257 139827
+rect -3139 139709 240 139827
+rect -3348 139667 240 139709
+rect -3348 139549 -3257 139667
+rect -3139 139549 240 139667
+rect -3348 139538 240 139549
+rect 291760 139827 295310 139838
+rect 291760 139709 295101 139827
+rect 295219 139709 295310 139827
+rect 291760 139667 295310 139709
+rect 291760 139549 295101 139667
+rect 295219 139549 295310 139667
+rect 291760 139538 295310 139549
+rect -3348 139537 -3048 139538
+rect 295010 139537 295310 139538
+rect -2408 138038 -2108 138039
+rect 294070 138038 294370 138039
+rect -2408 138027 240 138038
+rect -2408 137909 -2317 138027
+rect -2199 137909 240 138027
+rect -2408 137867 240 137909
+rect -2408 137749 -2317 137867
+rect -2199 137749 240 137867
+rect -2408 137738 240 137749
+rect 291760 138027 294370 138038
+rect 291760 137909 294161 138027
+rect 294279 137909 294370 138027
+rect 291760 137867 294370 137909
+rect 291760 137749 294161 137867
+rect 294279 137749 294370 137867
+rect 291760 137738 294370 137749
+rect -2408 137737 -2108 137738
+rect 294070 137737 294370 137738
+rect -1468 136238 -1168 136239
+rect 293130 136238 293430 136239
+rect -1468 136227 240 136238
+rect -1468 136109 -1377 136227
+rect -1259 136109 240 136227
+rect -1468 136067 240 136109
+rect -1468 135949 -1377 136067
+rect -1259 135949 240 136067
+rect -1468 135938 240 135949
+rect 291760 136227 293430 136238
+rect 291760 136109 293221 136227
+rect 293339 136109 293430 136227
+rect 291760 136067 293430 136109
+rect 291760 135949 293221 136067
+rect 293339 135949 293430 136067
+rect 291760 135938 293430 135949
+rect -1468 135937 -1168 135938
+rect 293130 135937 293430 135938
+rect -3818 132638 -3518 132639
+rect 295480 132638 295780 132639
+rect -4288 132627 240 132638
+rect -4288 132509 -3727 132627
+rect -3609 132509 240 132627
+rect -4288 132467 240 132509
+rect -4288 132349 -3727 132467
+rect -3609 132349 240 132467
+rect -4288 132338 240 132349
+rect 291760 132627 296250 132638
+rect 291760 132509 295571 132627
+rect 295689 132509 296250 132627
+rect 291760 132467 296250 132509
+rect 291760 132349 295571 132467
+rect 295689 132349 296250 132467
+rect 291760 132338 296250 132349
+rect -3818 132337 -3518 132338
+rect 295480 132337 295780 132338
+rect -2878 130838 -2578 130839
+rect 294540 130838 294840 130839
+rect -3348 130827 240 130838
+rect -3348 130709 -2787 130827
+rect -2669 130709 240 130827
+rect -3348 130667 240 130709
+rect -3348 130549 -2787 130667
+rect -2669 130549 240 130667
+rect -3348 130538 240 130549
+rect 291760 130827 295310 130838
+rect 291760 130709 294631 130827
+rect 294749 130709 295310 130827
+rect 291760 130667 295310 130709
+rect 291760 130549 294631 130667
+rect 294749 130549 295310 130667
+rect 291760 130538 295310 130549
+rect -2878 130537 -2578 130538
+rect 294540 130537 294840 130538
+rect -1938 129038 -1638 129039
+rect 293600 129038 293900 129039
+rect -2408 129027 240 129038
+rect -2408 128909 -1847 129027
+rect -1729 128909 240 129027
+rect -2408 128867 240 128909
+rect -2408 128749 -1847 128867
+rect -1729 128749 240 128867
+rect -2408 128738 240 128749
+rect 291760 129027 294370 129038
+rect 291760 128909 293691 129027
+rect 293809 128909 294370 129027
+rect 291760 128867 294370 128909
+rect 291760 128749 293691 128867
+rect 293809 128749 294370 128867
+rect 291760 128738 294370 128749
+rect -1938 128737 -1638 128738
+rect 293600 128737 293900 128738
 rect -998 127238 -698 127239
 rect 292660 127238 292960 127239
-rect -1458 127227 240 127238
-rect -1458 127109 -907 127227
+rect -1468 127227 240 127238
+rect -1468 127109 -907 127227
 rect -789 127109 240 127227
-rect -1458 127067 240 127109
-rect -1458 126949 -907 127067
+rect -1468 127067 240 127109
+rect -1468 126949 -907 127067
 rect -789 126949 240 127067
-rect -1458 126938 240 126949
-rect 291760 127227 293420 127238
+rect -1468 126938 240 126949
+rect 291760 127227 293430 127238
 rect 291760 127109 292751 127227
-rect 292869 127109 293420 127227
-rect 291760 127067 293420 127109
+rect 292869 127109 293430 127227
+rect 291760 127067 293430 127109
 rect 291760 126949 292751 127067
-rect 292869 126949 293420 127067
-rect 291760 126938 293420 126949
+rect 292869 126949 293430 127067
+rect 291760 126938 293430 126949
 rect -998 126937 -698 126938
 rect 292660 126937 292960 126938
-rect -4218 123638 -3918 123639
-rect 295880 123638 296180 123639
-rect -4218 123627 240 123638
-rect -4218 123509 -4127 123627
-rect -4009 123509 240 123627
-rect -4218 123467 240 123509
-rect -4218 123349 -4127 123467
-rect -4009 123349 240 123467
-rect -4218 123338 240 123349
-rect 291760 123627 296180 123638
-rect 291760 123509 295971 123627
-rect 296089 123509 296180 123627
-rect 291760 123467 296180 123509
-rect 291760 123349 295971 123467
-rect 296089 123349 296180 123467
-rect 291760 123338 296180 123349
-rect -4218 123337 -3918 123338
-rect 295880 123337 296180 123338
-rect -3298 121838 -2998 121839
-rect 294960 121838 295260 121839
-rect -3298 121827 240 121838
-rect -3298 121709 -3207 121827
-rect -3089 121709 240 121827
-rect -3298 121667 240 121709
-rect -3298 121549 -3207 121667
-rect -3089 121549 240 121667
-rect -3298 121538 240 121549
-rect 291760 121827 295260 121838
-rect 291760 121709 295051 121827
-rect 295169 121709 295260 121827
-rect 291760 121667 295260 121709
-rect 291760 121549 295051 121667
-rect 295169 121549 295260 121667
-rect 291760 121538 295260 121549
-rect -3298 121537 -2998 121538
-rect 294960 121537 295260 121538
-rect -2378 120038 -2078 120039
-rect 294040 120038 294340 120039
-rect -2378 120027 240 120038
-rect -2378 119909 -2287 120027
-rect -2169 119909 240 120027
-rect -2378 119867 240 119909
-rect -2378 119749 -2287 119867
-rect -2169 119749 240 119867
-rect -2378 119738 240 119749
-rect 291760 120027 294340 120038
-rect 291760 119909 294131 120027
-rect 294249 119909 294340 120027
-rect 291760 119867 294340 119909
-rect 291760 119749 294131 119867
-rect 294249 119749 294340 119867
-rect 291760 119738 294340 119749
-rect -2378 119737 -2078 119738
-rect 294040 119737 294340 119738
-rect -1458 118238 -1158 118239
-rect 293120 118238 293420 118239
-rect -1458 118227 240 118238
-rect -1458 118109 -1367 118227
-rect -1249 118109 240 118227
-rect -1458 118067 240 118109
-rect -1458 117949 -1367 118067
-rect -1249 117949 240 118067
-rect -1458 117938 240 117949
-rect 291760 118227 293420 118238
-rect 291760 118109 293211 118227
-rect 293329 118109 293420 118227
-rect 291760 118067 293420 118109
-rect 291760 117949 293211 118067
-rect 293329 117949 293420 118067
-rect 291760 117938 293420 117949
-rect -1458 117937 -1158 117938
-rect 293120 117937 293420 117938
-rect -3758 114638 -3458 114639
-rect 295420 114638 295720 114639
-rect -4218 114627 240 114638
-rect -4218 114509 -3667 114627
-rect -3549 114509 240 114627
-rect -4218 114467 240 114509
-rect -4218 114349 -3667 114467
-rect -3549 114349 240 114467
-rect -4218 114338 240 114349
-rect 291760 114627 296180 114638
-rect 291760 114509 295511 114627
-rect 295629 114509 296180 114627
-rect 291760 114467 296180 114509
-rect 291760 114349 295511 114467
-rect 295629 114349 296180 114467
-rect 291760 114338 296180 114349
-rect -3758 114337 -3458 114338
-rect 295420 114337 295720 114338
-rect -2838 112838 -2538 112839
-rect 294500 112838 294800 112839
-rect -3298 112827 240 112838
-rect -3298 112709 -2747 112827
-rect -2629 112709 240 112827
-rect -3298 112667 240 112709
-rect -3298 112549 -2747 112667
-rect -2629 112549 240 112667
-rect -3298 112538 240 112549
-rect 291760 112827 295260 112838
-rect 291760 112709 294591 112827
-rect 294709 112709 295260 112827
-rect 291760 112667 295260 112709
-rect 291760 112549 294591 112667
-rect 294709 112549 295260 112667
-rect 291760 112538 295260 112549
-rect -2838 112537 -2538 112538
-rect 294500 112537 294800 112538
-rect -1918 111038 -1618 111039
-rect 293580 111038 293880 111039
-rect -2378 111027 240 111038
-rect -2378 110909 -1827 111027
-rect -1709 110909 240 111027
-rect -2378 110867 240 110909
-rect -2378 110749 -1827 110867
-rect -1709 110749 240 110867
-rect -2378 110738 240 110749
-rect 291760 111027 294340 111038
-rect 291760 110909 293671 111027
-rect 293789 110909 294340 111027
-rect 291760 110867 294340 110909
-rect 291760 110749 293671 110867
-rect 293789 110749 294340 110867
-rect 291760 110738 294340 110749
-rect -1918 110737 -1618 110738
-rect 293580 110737 293880 110738
+rect -4288 123638 -3988 123639
+rect 295950 123638 296250 123639
+rect -4288 123627 240 123638
+rect -4288 123509 -4197 123627
+rect -4079 123509 240 123627
+rect -4288 123467 240 123509
+rect -4288 123349 -4197 123467
+rect -4079 123349 240 123467
+rect -4288 123338 240 123349
+rect 291760 123627 296250 123638
+rect 291760 123509 296041 123627
+rect 296159 123509 296250 123627
+rect 291760 123467 296250 123509
+rect 291760 123349 296041 123467
+rect 296159 123349 296250 123467
+rect 291760 123338 296250 123349
+rect -4288 123337 -3988 123338
+rect 295950 123337 296250 123338
+rect -3348 121838 -3048 121839
+rect 295010 121838 295310 121839
+rect -3348 121827 240 121838
+rect -3348 121709 -3257 121827
+rect -3139 121709 240 121827
+rect -3348 121667 240 121709
+rect -3348 121549 -3257 121667
+rect -3139 121549 240 121667
+rect -3348 121538 240 121549
+rect 291760 121827 295310 121838
+rect 291760 121709 295101 121827
+rect 295219 121709 295310 121827
+rect 291760 121667 295310 121709
+rect 291760 121549 295101 121667
+rect 295219 121549 295310 121667
+rect 291760 121538 295310 121549
+rect -3348 121537 -3048 121538
+rect 295010 121537 295310 121538
+rect -2408 120038 -2108 120039
+rect 294070 120038 294370 120039
+rect -2408 120027 240 120038
+rect -2408 119909 -2317 120027
+rect -2199 119909 240 120027
+rect -2408 119867 240 119909
+rect -2408 119749 -2317 119867
+rect -2199 119749 240 119867
+rect -2408 119738 240 119749
+rect 291760 120027 294370 120038
+rect 291760 119909 294161 120027
+rect 294279 119909 294370 120027
+rect 291760 119867 294370 119909
+rect 291760 119749 294161 119867
+rect 294279 119749 294370 119867
+rect 291760 119738 294370 119749
+rect -2408 119737 -2108 119738
+rect 294070 119737 294370 119738
+rect -1468 118238 -1168 118239
+rect 293130 118238 293430 118239
+rect -1468 118227 240 118238
+rect -1468 118109 -1377 118227
+rect -1259 118109 240 118227
+rect -1468 118067 240 118109
+rect -1468 117949 -1377 118067
+rect -1259 117949 240 118067
+rect -1468 117938 240 117949
+rect 291760 118227 293430 118238
+rect 291760 118109 293221 118227
+rect 293339 118109 293430 118227
+rect 291760 118067 293430 118109
+rect 291760 117949 293221 118067
+rect 293339 117949 293430 118067
+rect 291760 117938 293430 117949
+rect -1468 117937 -1168 117938
+rect 293130 117937 293430 117938
+rect -3818 114638 -3518 114639
+rect 295480 114638 295780 114639
+rect -4288 114627 240 114638
+rect -4288 114509 -3727 114627
+rect -3609 114509 240 114627
+rect -4288 114467 240 114509
+rect -4288 114349 -3727 114467
+rect -3609 114349 240 114467
+rect -4288 114338 240 114349
+rect 291760 114627 296250 114638
+rect 291760 114509 295571 114627
+rect 295689 114509 296250 114627
+rect 291760 114467 296250 114509
+rect 291760 114349 295571 114467
+rect 295689 114349 296250 114467
+rect 291760 114338 296250 114349
+rect -3818 114337 -3518 114338
+rect 295480 114337 295780 114338
+rect -2878 112838 -2578 112839
+rect 294540 112838 294840 112839
+rect -3348 112827 240 112838
+rect -3348 112709 -2787 112827
+rect -2669 112709 240 112827
+rect -3348 112667 240 112709
+rect -3348 112549 -2787 112667
+rect -2669 112549 240 112667
+rect -3348 112538 240 112549
+rect 291760 112827 295310 112838
+rect 291760 112709 294631 112827
+rect 294749 112709 295310 112827
+rect 291760 112667 295310 112709
+rect 291760 112549 294631 112667
+rect 294749 112549 295310 112667
+rect 291760 112538 295310 112549
+rect -2878 112537 -2578 112538
+rect 294540 112537 294840 112538
+rect -1938 111038 -1638 111039
+rect 293600 111038 293900 111039
+rect -2408 111027 240 111038
+rect -2408 110909 -1847 111027
+rect -1729 110909 240 111027
+rect -2408 110867 240 110909
+rect -2408 110749 -1847 110867
+rect -1729 110749 240 110867
+rect -2408 110738 240 110749
+rect 291760 111027 294370 111038
+rect 291760 110909 293691 111027
+rect 293809 110909 294370 111027
+rect 291760 110867 294370 110909
+rect 291760 110749 293691 110867
+rect 293809 110749 294370 110867
+rect 291760 110738 294370 110749
+rect -1938 110737 -1638 110738
+rect 293600 110737 293900 110738
 rect -998 109238 -698 109239
 rect 292660 109238 292960 109239
-rect -1458 109227 240 109238
-rect -1458 109109 -907 109227
+rect -1468 109227 240 109238
+rect -1468 109109 -907 109227
 rect -789 109109 240 109227
-rect -1458 109067 240 109109
-rect -1458 108949 -907 109067
+rect -1468 109067 240 109109
+rect -1468 108949 -907 109067
 rect -789 108949 240 109067
-rect -1458 108938 240 108949
-rect 291760 109227 293420 109238
+rect -1468 108938 240 108949
+rect 291760 109227 293430 109238
 rect 291760 109109 292751 109227
-rect 292869 109109 293420 109227
-rect 291760 109067 293420 109109
+rect 292869 109109 293430 109227
+rect 291760 109067 293430 109109
 rect 291760 108949 292751 109067
-rect 292869 108949 293420 109067
-rect 291760 108938 293420 108949
+rect 292869 108949 293430 109067
+rect 291760 108938 293430 108949
 rect -998 108937 -698 108938
 rect 292660 108937 292960 108938
-rect -4218 105638 -3918 105639
-rect 295880 105638 296180 105639
-rect -4218 105627 240 105638
-rect -4218 105509 -4127 105627
-rect -4009 105509 240 105627
-rect -4218 105467 240 105509
-rect -4218 105349 -4127 105467
-rect -4009 105349 240 105467
-rect -4218 105338 240 105349
-rect 291760 105627 296180 105638
-rect 291760 105509 295971 105627
-rect 296089 105509 296180 105627
-rect 291760 105467 296180 105509
-rect 291760 105349 295971 105467
-rect 296089 105349 296180 105467
-rect 291760 105338 296180 105349
-rect -4218 105337 -3918 105338
-rect 295880 105337 296180 105338
-rect -3298 103838 -2998 103839
-rect 294960 103838 295260 103839
-rect -3298 103827 240 103838
-rect -3298 103709 -3207 103827
-rect -3089 103709 240 103827
-rect -3298 103667 240 103709
-rect -3298 103549 -3207 103667
-rect -3089 103549 240 103667
-rect -3298 103538 240 103549
-rect 291760 103827 295260 103838
-rect 291760 103709 295051 103827
-rect 295169 103709 295260 103827
-rect 291760 103667 295260 103709
-rect 291760 103549 295051 103667
-rect 295169 103549 295260 103667
-rect 291760 103538 295260 103549
-rect -3298 103537 -2998 103538
-rect 294960 103537 295260 103538
-rect -2378 102038 -2078 102039
-rect 294040 102038 294340 102039
-rect -2378 102027 240 102038
-rect -2378 101909 -2287 102027
-rect -2169 101909 240 102027
-rect -2378 101867 240 101909
-rect -2378 101749 -2287 101867
-rect -2169 101749 240 101867
-rect -2378 101738 240 101749
-rect 291760 102027 294340 102038
-rect 291760 101909 294131 102027
-rect 294249 101909 294340 102027
-rect 291760 101867 294340 101909
-rect 291760 101749 294131 101867
-rect 294249 101749 294340 101867
-rect 291760 101738 294340 101749
-rect -2378 101737 -2078 101738
-rect 294040 101737 294340 101738
-rect -1458 100238 -1158 100239
-rect 293120 100238 293420 100239
-rect -1458 100227 240 100238
-rect -1458 100109 -1367 100227
-rect -1249 100109 240 100227
-rect -1458 100067 240 100109
-rect -1458 99949 -1367 100067
-rect -1249 99949 240 100067
-rect -1458 99938 240 99949
-rect 291760 100227 293420 100238
-rect 291760 100109 293211 100227
-rect 293329 100109 293420 100227
-rect 291760 100067 293420 100109
-rect 291760 99949 293211 100067
-rect 293329 99949 293420 100067
-rect 291760 99938 293420 99949
-rect -1458 99937 -1158 99938
-rect 293120 99937 293420 99938
-rect -3758 96638 -3458 96639
-rect 295420 96638 295720 96639
-rect -4218 96627 240 96638
-rect -4218 96509 -3667 96627
-rect -3549 96509 240 96627
-rect -4218 96467 240 96509
-rect -4218 96349 -3667 96467
-rect -3549 96349 240 96467
-rect -4218 96338 240 96349
-rect 291760 96627 296180 96638
-rect 291760 96509 295511 96627
-rect 295629 96509 296180 96627
-rect 291760 96467 296180 96509
-rect 291760 96349 295511 96467
-rect 295629 96349 296180 96467
-rect 291760 96338 296180 96349
-rect -3758 96337 -3458 96338
-rect 295420 96337 295720 96338
-rect -2838 94838 -2538 94839
-rect 294500 94838 294800 94839
-rect -3298 94827 240 94838
-rect -3298 94709 -2747 94827
-rect -2629 94709 240 94827
-rect -3298 94667 240 94709
-rect -3298 94549 -2747 94667
-rect -2629 94549 240 94667
-rect -3298 94538 240 94549
-rect 291760 94827 295260 94838
-rect 291760 94709 294591 94827
-rect 294709 94709 295260 94827
-rect 291760 94667 295260 94709
-rect 291760 94549 294591 94667
-rect 294709 94549 295260 94667
-rect 291760 94538 295260 94549
-rect -2838 94537 -2538 94538
-rect 294500 94537 294800 94538
-rect -1918 93038 -1618 93039
-rect 293580 93038 293880 93039
-rect -2378 93027 240 93038
-rect -2378 92909 -1827 93027
-rect -1709 92909 240 93027
-rect -2378 92867 240 92909
-rect -2378 92749 -1827 92867
-rect -1709 92749 240 92867
-rect -2378 92738 240 92749
-rect 291760 93027 294340 93038
-rect 291760 92909 293671 93027
-rect 293789 92909 294340 93027
-rect 291760 92867 294340 92909
-rect 291760 92749 293671 92867
-rect 293789 92749 294340 92867
-rect 291760 92738 294340 92749
-rect -1918 92737 -1618 92738
-rect 293580 92737 293880 92738
+rect -4288 105638 -3988 105639
+rect 295950 105638 296250 105639
+rect -4288 105627 240 105638
+rect -4288 105509 -4197 105627
+rect -4079 105509 240 105627
+rect -4288 105467 240 105509
+rect -4288 105349 -4197 105467
+rect -4079 105349 240 105467
+rect -4288 105338 240 105349
+rect 291760 105627 296250 105638
+rect 291760 105509 296041 105627
+rect 296159 105509 296250 105627
+rect 291760 105467 296250 105509
+rect 291760 105349 296041 105467
+rect 296159 105349 296250 105467
+rect 291760 105338 296250 105349
+rect -4288 105337 -3988 105338
+rect 295950 105337 296250 105338
+rect -3348 103838 -3048 103839
+rect 295010 103838 295310 103839
+rect -3348 103827 240 103838
+rect -3348 103709 -3257 103827
+rect -3139 103709 240 103827
+rect -3348 103667 240 103709
+rect -3348 103549 -3257 103667
+rect -3139 103549 240 103667
+rect -3348 103538 240 103549
+rect 291760 103827 295310 103838
+rect 291760 103709 295101 103827
+rect 295219 103709 295310 103827
+rect 291760 103667 295310 103709
+rect 291760 103549 295101 103667
+rect 295219 103549 295310 103667
+rect 291760 103538 295310 103549
+rect -3348 103537 -3048 103538
+rect 295010 103537 295310 103538
+rect -2408 102038 -2108 102039
+rect 294070 102038 294370 102039
+rect -2408 102027 240 102038
+rect -2408 101909 -2317 102027
+rect -2199 101909 240 102027
+rect -2408 101867 240 101909
+rect -2408 101749 -2317 101867
+rect -2199 101749 240 101867
+rect -2408 101738 240 101749
+rect 291760 102027 294370 102038
+rect 291760 101909 294161 102027
+rect 294279 101909 294370 102027
+rect 291760 101867 294370 101909
+rect 291760 101749 294161 101867
+rect 294279 101749 294370 101867
+rect 291760 101738 294370 101749
+rect -2408 101737 -2108 101738
+rect 294070 101737 294370 101738
+rect -1468 100238 -1168 100239
+rect 293130 100238 293430 100239
+rect -1468 100227 240 100238
+rect -1468 100109 -1377 100227
+rect -1259 100109 240 100227
+rect -1468 100067 240 100109
+rect -1468 99949 -1377 100067
+rect -1259 99949 240 100067
+rect -1468 99938 240 99949
+rect 291760 100227 293430 100238
+rect 291760 100109 293221 100227
+rect 293339 100109 293430 100227
+rect 291760 100067 293430 100109
+rect 291760 99949 293221 100067
+rect 293339 99949 293430 100067
+rect 291760 99938 293430 99949
+rect -1468 99937 -1168 99938
+rect 293130 99937 293430 99938
+rect -3818 96638 -3518 96639
+rect 295480 96638 295780 96639
+rect -4288 96627 240 96638
+rect -4288 96509 -3727 96627
+rect -3609 96509 240 96627
+rect -4288 96467 240 96509
+rect -4288 96349 -3727 96467
+rect -3609 96349 240 96467
+rect -4288 96338 240 96349
+rect 291760 96627 296250 96638
+rect 291760 96509 295571 96627
+rect 295689 96509 296250 96627
+rect 291760 96467 296250 96509
+rect 291760 96349 295571 96467
+rect 295689 96349 296250 96467
+rect 291760 96338 296250 96349
+rect -3818 96337 -3518 96338
+rect 295480 96337 295780 96338
+rect -2878 94838 -2578 94839
+rect 294540 94838 294840 94839
+rect -3348 94827 240 94838
+rect -3348 94709 -2787 94827
+rect -2669 94709 240 94827
+rect -3348 94667 240 94709
+rect -3348 94549 -2787 94667
+rect -2669 94549 240 94667
+rect -3348 94538 240 94549
+rect 291760 94827 295310 94838
+rect 291760 94709 294631 94827
+rect 294749 94709 295310 94827
+rect 291760 94667 295310 94709
+rect 291760 94549 294631 94667
+rect 294749 94549 295310 94667
+rect 291760 94538 295310 94549
+rect -2878 94537 -2578 94538
+rect 294540 94537 294840 94538
+rect -1938 93038 -1638 93039
+rect 293600 93038 293900 93039
+rect -2408 93027 240 93038
+rect -2408 92909 -1847 93027
+rect -1729 92909 240 93027
+rect -2408 92867 240 92909
+rect -2408 92749 -1847 92867
+rect -1729 92749 240 92867
+rect -2408 92738 240 92749
+rect 291760 93027 294370 93038
+rect 291760 92909 293691 93027
+rect 293809 92909 294370 93027
+rect 291760 92867 294370 92909
+rect 291760 92749 293691 92867
+rect 293809 92749 294370 92867
+rect 291760 92738 294370 92749
+rect -1938 92737 -1638 92738
+rect 293600 92737 293900 92738
 rect -998 91238 -698 91239
 rect 292660 91238 292960 91239
-rect -1458 91227 240 91238
-rect -1458 91109 -907 91227
+rect -1468 91227 240 91238
+rect -1468 91109 -907 91227
 rect -789 91109 240 91227
-rect -1458 91067 240 91109
-rect -1458 90949 -907 91067
+rect -1468 91067 240 91109
+rect -1468 90949 -907 91067
 rect -789 90949 240 91067
-rect -1458 90938 240 90949
-rect 291760 91227 293420 91238
+rect -1468 90938 240 90949
+rect 291760 91227 293430 91238
 rect 291760 91109 292751 91227
-rect 292869 91109 293420 91227
-rect 291760 91067 293420 91109
+rect 292869 91109 293430 91227
+rect 291760 91067 293430 91109
 rect 291760 90949 292751 91067
-rect 292869 90949 293420 91067
-rect 291760 90938 293420 90949
+rect 292869 90949 293430 91067
+rect 291760 90938 293430 90949
 rect -998 90937 -698 90938
 rect 292660 90937 292960 90938
-rect -4218 87638 -3918 87639
-rect 295880 87638 296180 87639
-rect -4218 87627 240 87638
-rect -4218 87509 -4127 87627
-rect -4009 87509 240 87627
-rect -4218 87467 240 87509
-rect -4218 87349 -4127 87467
-rect -4009 87349 240 87467
-rect -4218 87338 240 87349
-rect 291760 87627 296180 87638
-rect 291760 87509 295971 87627
-rect 296089 87509 296180 87627
-rect 291760 87467 296180 87509
-rect 291760 87349 295971 87467
-rect 296089 87349 296180 87467
-rect 291760 87338 296180 87349
-rect -4218 87337 -3918 87338
-rect 295880 87337 296180 87338
-rect -3298 85838 -2998 85839
-rect 294960 85838 295260 85839
-rect -3298 85827 240 85838
-rect -3298 85709 -3207 85827
-rect -3089 85709 240 85827
-rect -3298 85667 240 85709
-rect -3298 85549 -3207 85667
-rect -3089 85549 240 85667
-rect -3298 85538 240 85549
-rect 291760 85827 295260 85838
-rect 291760 85709 295051 85827
-rect 295169 85709 295260 85827
-rect 291760 85667 295260 85709
-rect 291760 85549 295051 85667
-rect 295169 85549 295260 85667
-rect 291760 85538 295260 85549
-rect -3298 85537 -2998 85538
-rect 294960 85537 295260 85538
-rect -2378 84038 -2078 84039
-rect 294040 84038 294340 84039
-rect -2378 84027 240 84038
-rect -2378 83909 -2287 84027
-rect -2169 83909 240 84027
-rect -2378 83867 240 83909
-rect -2378 83749 -2287 83867
-rect -2169 83749 240 83867
-rect -2378 83738 240 83749
-rect 291760 84027 294340 84038
-rect 291760 83909 294131 84027
-rect 294249 83909 294340 84027
-rect 291760 83867 294340 83909
-rect 291760 83749 294131 83867
-rect 294249 83749 294340 83867
-rect 291760 83738 294340 83749
-rect -2378 83737 -2078 83738
-rect 294040 83737 294340 83738
-rect -1458 82238 -1158 82239
-rect 293120 82238 293420 82239
-rect -1458 82227 240 82238
-rect -1458 82109 -1367 82227
-rect -1249 82109 240 82227
-rect -1458 82067 240 82109
-rect -1458 81949 -1367 82067
-rect -1249 81949 240 82067
-rect -1458 81938 240 81949
-rect 291760 82227 293420 82238
-rect 291760 82109 293211 82227
-rect 293329 82109 293420 82227
-rect 291760 82067 293420 82109
-rect 291760 81949 293211 82067
-rect 293329 81949 293420 82067
-rect 291760 81938 293420 81949
-rect -1458 81937 -1158 81938
-rect 293120 81937 293420 81938
-rect -3758 78638 -3458 78639
-rect 295420 78638 295720 78639
-rect -4218 78627 240 78638
-rect -4218 78509 -3667 78627
-rect -3549 78509 240 78627
-rect -4218 78467 240 78509
-rect -4218 78349 -3667 78467
-rect -3549 78349 240 78467
-rect -4218 78338 240 78349
-rect 291760 78627 296180 78638
-rect 291760 78509 295511 78627
-rect 295629 78509 296180 78627
-rect 291760 78467 296180 78509
-rect 291760 78349 295511 78467
-rect 295629 78349 296180 78467
-rect 291760 78338 296180 78349
-rect -3758 78337 -3458 78338
-rect 295420 78337 295720 78338
-rect -2838 76838 -2538 76839
-rect 294500 76838 294800 76839
-rect -3298 76827 240 76838
-rect -3298 76709 -2747 76827
-rect -2629 76709 240 76827
-rect -3298 76667 240 76709
-rect -3298 76549 -2747 76667
-rect -2629 76549 240 76667
-rect -3298 76538 240 76549
-rect 291760 76827 295260 76838
-rect 291760 76709 294591 76827
-rect 294709 76709 295260 76827
-rect 291760 76667 295260 76709
-rect 291760 76549 294591 76667
-rect 294709 76549 295260 76667
-rect 291760 76538 295260 76549
-rect -2838 76537 -2538 76538
-rect 294500 76537 294800 76538
-rect -1918 75038 -1618 75039
-rect 293580 75038 293880 75039
-rect -2378 75027 240 75038
-rect -2378 74909 -1827 75027
-rect -1709 74909 240 75027
-rect -2378 74867 240 74909
-rect -2378 74749 -1827 74867
-rect -1709 74749 240 74867
-rect -2378 74738 240 74749
-rect 291760 75027 294340 75038
-rect 291760 74909 293671 75027
-rect 293789 74909 294340 75027
-rect 291760 74867 294340 74909
-rect 291760 74749 293671 74867
-rect 293789 74749 294340 74867
-rect 291760 74738 294340 74749
-rect -1918 74737 -1618 74738
-rect 293580 74737 293880 74738
+rect -4288 87638 -3988 87639
+rect 295950 87638 296250 87639
+rect -4288 87627 240 87638
+rect -4288 87509 -4197 87627
+rect -4079 87509 240 87627
+rect -4288 87467 240 87509
+rect -4288 87349 -4197 87467
+rect -4079 87349 240 87467
+rect -4288 87338 240 87349
+rect 291760 87627 296250 87638
+rect 291760 87509 296041 87627
+rect 296159 87509 296250 87627
+rect 291760 87467 296250 87509
+rect 291760 87349 296041 87467
+rect 296159 87349 296250 87467
+rect 291760 87338 296250 87349
+rect -4288 87337 -3988 87338
+rect 295950 87337 296250 87338
+rect -3348 85838 -3048 85839
+rect 295010 85838 295310 85839
+rect -3348 85827 240 85838
+rect -3348 85709 -3257 85827
+rect -3139 85709 240 85827
+rect -3348 85667 240 85709
+rect -3348 85549 -3257 85667
+rect -3139 85549 240 85667
+rect -3348 85538 240 85549
+rect 291760 85827 295310 85838
+rect 291760 85709 295101 85827
+rect 295219 85709 295310 85827
+rect 291760 85667 295310 85709
+rect 291760 85549 295101 85667
+rect 295219 85549 295310 85667
+rect 291760 85538 295310 85549
+rect -3348 85537 -3048 85538
+rect 295010 85537 295310 85538
+rect -2408 84038 -2108 84039
+rect 294070 84038 294370 84039
+rect -2408 84027 240 84038
+rect -2408 83909 -2317 84027
+rect -2199 83909 240 84027
+rect -2408 83867 240 83909
+rect -2408 83749 -2317 83867
+rect -2199 83749 240 83867
+rect -2408 83738 240 83749
+rect 291760 84027 294370 84038
+rect 291760 83909 294161 84027
+rect 294279 83909 294370 84027
+rect 291760 83867 294370 83909
+rect 291760 83749 294161 83867
+rect 294279 83749 294370 83867
+rect 291760 83738 294370 83749
+rect -2408 83737 -2108 83738
+rect 294070 83737 294370 83738
+rect -1468 82238 -1168 82239
+rect 293130 82238 293430 82239
+rect -1468 82227 240 82238
+rect -1468 82109 -1377 82227
+rect -1259 82109 240 82227
+rect -1468 82067 240 82109
+rect -1468 81949 -1377 82067
+rect -1259 81949 240 82067
+rect -1468 81938 240 81949
+rect 291760 82227 293430 82238
+rect 291760 82109 293221 82227
+rect 293339 82109 293430 82227
+rect 291760 82067 293430 82109
+rect 291760 81949 293221 82067
+rect 293339 81949 293430 82067
+rect 291760 81938 293430 81949
+rect -1468 81937 -1168 81938
+rect 293130 81937 293430 81938
+rect -3818 78638 -3518 78639
+rect 295480 78638 295780 78639
+rect -4288 78627 240 78638
+rect -4288 78509 -3727 78627
+rect -3609 78509 240 78627
+rect -4288 78467 240 78509
+rect -4288 78349 -3727 78467
+rect -3609 78349 240 78467
+rect -4288 78338 240 78349
+rect 291760 78627 296250 78638
+rect 291760 78509 295571 78627
+rect 295689 78509 296250 78627
+rect 291760 78467 296250 78509
+rect 291760 78349 295571 78467
+rect 295689 78349 296250 78467
+rect 291760 78338 296250 78349
+rect -3818 78337 -3518 78338
+rect 295480 78337 295780 78338
+rect -2878 76838 -2578 76839
+rect 294540 76838 294840 76839
+rect -3348 76827 240 76838
+rect -3348 76709 -2787 76827
+rect -2669 76709 240 76827
+rect -3348 76667 240 76709
+rect -3348 76549 -2787 76667
+rect -2669 76549 240 76667
+rect -3348 76538 240 76549
+rect 291760 76827 295310 76838
+rect 291760 76709 294631 76827
+rect 294749 76709 295310 76827
+rect 291760 76667 295310 76709
+rect 291760 76549 294631 76667
+rect 294749 76549 295310 76667
+rect 291760 76538 295310 76549
+rect -2878 76537 -2578 76538
+rect 294540 76537 294840 76538
+rect -1938 75038 -1638 75039
+rect 293600 75038 293900 75039
+rect -2408 75027 240 75038
+rect -2408 74909 -1847 75027
+rect -1729 74909 240 75027
+rect -2408 74867 240 74909
+rect -2408 74749 -1847 74867
+rect -1729 74749 240 74867
+rect -2408 74738 240 74749
+rect 291760 75027 294370 75038
+rect 291760 74909 293691 75027
+rect 293809 74909 294370 75027
+rect 291760 74867 294370 74909
+rect 291760 74749 293691 74867
+rect 293809 74749 294370 74867
+rect 291760 74738 294370 74749
+rect -1938 74737 -1638 74738
+rect 293600 74737 293900 74738
 rect -998 73238 -698 73239
 rect 292660 73238 292960 73239
-rect -1458 73227 240 73238
-rect -1458 73109 -907 73227
+rect -1468 73227 240 73238
+rect -1468 73109 -907 73227
 rect -789 73109 240 73227
-rect -1458 73067 240 73109
-rect -1458 72949 -907 73067
+rect -1468 73067 240 73109
+rect -1468 72949 -907 73067
 rect -789 72949 240 73067
-rect -1458 72938 240 72949
-rect 291760 73227 293420 73238
+rect -1468 72938 240 72949
+rect 291760 73227 293430 73238
 rect 291760 73109 292751 73227
-rect 292869 73109 293420 73227
-rect 291760 73067 293420 73109
+rect 292869 73109 293430 73227
+rect 291760 73067 293430 73109
 rect 291760 72949 292751 73067
-rect 292869 72949 293420 73067
-rect 291760 72938 293420 72949
+rect 292869 72949 293430 73067
+rect 291760 72938 293430 72949
 rect -998 72937 -698 72938
 rect 292660 72937 292960 72938
-rect -4218 69638 -3918 69639
-rect 295880 69638 296180 69639
-rect -4218 69627 240 69638
-rect -4218 69509 -4127 69627
-rect -4009 69509 240 69627
-rect -4218 69467 240 69509
-rect -4218 69349 -4127 69467
-rect -4009 69349 240 69467
-rect -4218 69338 240 69349
-rect 291760 69627 296180 69638
-rect 291760 69509 295971 69627
-rect 296089 69509 296180 69627
-rect 291760 69467 296180 69509
-rect 291760 69349 295971 69467
-rect 296089 69349 296180 69467
-rect 291760 69338 296180 69349
-rect -4218 69337 -3918 69338
-rect 295880 69337 296180 69338
-rect -3298 67838 -2998 67839
-rect 294960 67838 295260 67839
-rect -3298 67827 240 67838
-rect -3298 67709 -3207 67827
-rect -3089 67709 240 67827
-rect -3298 67667 240 67709
-rect -3298 67549 -3207 67667
-rect -3089 67549 240 67667
-rect -3298 67538 240 67549
-rect 291760 67827 295260 67838
-rect 291760 67709 295051 67827
-rect 295169 67709 295260 67827
-rect 291760 67667 295260 67709
-rect 291760 67549 295051 67667
-rect 295169 67549 295260 67667
-rect 291760 67538 295260 67549
-rect -3298 67537 -2998 67538
-rect 294960 67537 295260 67538
-rect -2378 66038 -2078 66039
-rect 294040 66038 294340 66039
-rect -2378 66027 240 66038
-rect -2378 65909 -2287 66027
-rect -2169 65909 240 66027
-rect -2378 65867 240 65909
-rect -2378 65749 -2287 65867
-rect -2169 65749 240 65867
-rect -2378 65738 240 65749
-rect 291760 66027 294340 66038
-rect 291760 65909 294131 66027
-rect 294249 65909 294340 66027
-rect 291760 65867 294340 65909
-rect 291760 65749 294131 65867
-rect 294249 65749 294340 65867
-rect 291760 65738 294340 65749
-rect -2378 65737 -2078 65738
-rect 294040 65737 294340 65738
-rect -1458 64238 -1158 64239
-rect 293120 64238 293420 64239
-rect -1458 64227 240 64238
-rect -1458 64109 -1367 64227
-rect -1249 64109 240 64227
-rect -1458 64067 240 64109
-rect -1458 63949 -1367 64067
-rect -1249 63949 240 64067
-rect -1458 63938 240 63949
-rect 291760 64227 293420 64238
-rect 291760 64109 293211 64227
-rect 293329 64109 293420 64227
-rect 291760 64067 293420 64109
-rect 291760 63949 293211 64067
-rect 293329 63949 293420 64067
-rect 291760 63938 293420 63949
-rect -1458 63937 -1158 63938
-rect 293120 63937 293420 63938
-rect -3758 60638 -3458 60639
-rect 295420 60638 295720 60639
-rect -4218 60627 240 60638
-rect -4218 60509 -3667 60627
-rect -3549 60509 240 60627
-rect -4218 60467 240 60509
-rect -4218 60349 -3667 60467
-rect -3549 60349 240 60467
-rect -4218 60338 240 60349
-rect 291760 60627 296180 60638
-rect 291760 60509 295511 60627
-rect 295629 60509 296180 60627
-rect 291760 60467 296180 60509
-rect 291760 60349 295511 60467
-rect 295629 60349 296180 60467
-rect 291760 60338 296180 60349
-rect -3758 60337 -3458 60338
-rect 295420 60337 295720 60338
-rect -2838 58838 -2538 58839
-rect 294500 58838 294800 58839
-rect -3298 58827 240 58838
-rect -3298 58709 -2747 58827
-rect -2629 58709 240 58827
-rect -3298 58667 240 58709
-rect -3298 58549 -2747 58667
-rect -2629 58549 240 58667
-rect -3298 58538 240 58549
-rect 291760 58827 295260 58838
-rect 291760 58709 294591 58827
-rect 294709 58709 295260 58827
-rect 291760 58667 295260 58709
-rect 291760 58549 294591 58667
-rect 294709 58549 295260 58667
-rect 291760 58538 295260 58549
-rect -2838 58537 -2538 58538
-rect 294500 58537 294800 58538
-rect -1918 57038 -1618 57039
-rect 293580 57038 293880 57039
-rect -2378 57027 240 57038
-rect -2378 56909 -1827 57027
-rect -1709 56909 240 57027
-rect -2378 56867 240 56909
-rect -2378 56749 -1827 56867
-rect -1709 56749 240 56867
-rect -2378 56738 240 56749
-rect 291760 57027 294340 57038
-rect 291760 56909 293671 57027
-rect 293789 56909 294340 57027
-rect 291760 56867 294340 56909
-rect 291760 56749 293671 56867
-rect 293789 56749 294340 56867
-rect 291760 56738 294340 56749
-rect -1918 56737 -1618 56738
-rect 293580 56737 293880 56738
+rect -4288 69638 -3988 69639
+rect 295950 69638 296250 69639
+rect -4288 69627 240 69638
+rect -4288 69509 -4197 69627
+rect -4079 69509 240 69627
+rect -4288 69467 240 69509
+rect -4288 69349 -4197 69467
+rect -4079 69349 240 69467
+rect -4288 69338 240 69349
+rect 291760 69627 296250 69638
+rect 291760 69509 296041 69627
+rect 296159 69509 296250 69627
+rect 291760 69467 296250 69509
+rect 291760 69349 296041 69467
+rect 296159 69349 296250 69467
+rect 291760 69338 296250 69349
+rect -4288 69337 -3988 69338
+rect 295950 69337 296250 69338
+rect -3348 67838 -3048 67839
+rect 295010 67838 295310 67839
+rect -3348 67827 240 67838
+rect -3348 67709 -3257 67827
+rect -3139 67709 240 67827
+rect -3348 67667 240 67709
+rect -3348 67549 -3257 67667
+rect -3139 67549 240 67667
+rect -3348 67538 240 67549
+rect 291760 67827 295310 67838
+rect 291760 67709 295101 67827
+rect 295219 67709 295310 67827
+rect 291760 67667 295310 67709
+rect 291760 67549 295101 67667
+rect 295219 67549 295310 67667
+rect 291760 67538 295310 67549
+rect -3348 67537 -3048 67538
+rect 295010 67537 295310 67538
+rect -2408 66038 -2108 66039
+rect 294070 66038 294370 66039
+rect -2408 66027 240 66038
+rect -2408 65909 -2317 66027
+rect -2199 65909 240 66027
+rect -2408 65867 240 65909
+rect -2408 65749 -2317 65867
+rect -2199 65749 240 65867
+rect -2408 65738 240 65749
+rect 291760 66027 294370 66038
+rect 291760 65909 294161 66027
+rect 294279 65909 294370 66027
+rect 291760 65867 294370 65909
+rect 291760 65749 294161 65867
+rect 294279 65749 294370 65867
+rect 291760 65738 294370 65749
+rect -2408 65737 -2108 65738
+rect 294070 65737 294370 65738
+rect -1468 64238 -1168 64239
+rect 293130 64238 293430 64239
+rect -1468 64227 240 64238
+rect -1468 64109 -1377 64227
+rect -1259 64109 240 64227
+rect -1468 64067 240 64109
+rect -1468 63949 -1377 64067
+rect -1259 63949 240 64067
+rect -1468 63938 240 63949
+rect 291760 64227 293430 64238
+rect 291760 64109 293221 64227
+rect 293339 64109 293430 64227
+rect 291760 64067 293430 64109
+rect 291760 63949 293221 64067
+rect 293339 63949 293430 64067
+rect 291760 63938 293430 63949
+rect -1468 63937 -1168 63938
+rect 293130 63937 293430 63938
+rect -3818 60638 -3518 60639
+rect 295480 60638 295780 60639
+rect -4288 60627 240 60638
+rect -4288 60509 -3727 60627
+rect -3609 60509 240 60627
+rect -4288 60467 240 60509
+rect -4288 60349 -3727 60467
+rect -3609 60349 240 60467
+rect -4288 60338 240 60349
+rect 291760 60627 296250 60638
+rect 291760 60509 295571 60627
+rect 295689 60509 296250 60627
+rect 291760 60467 296250 60509
+rect 291760 60349 295571 60467
+rect 295689 60349 296250 60467
+rect 291760 60338 296250 60349
+rect -3818 60337 -3518 60338
+rect 295480 60337 295780 60338
+rect -2878 58838 -2578 58839
+rect 294540 58838 294840 58839
+rect -3348 58827 240 58838
+rect -3348 58709 -2787 58827
+rect -2669 58709 240 58827
+rect -3348 58667 240 58709
+rect -3348 58549 -2787 58667
+rect -2669 58549 240 58667
+rect -3348 58538 240 58549
+rect 291760 58827 295310 58838
+rect 291760 58709 294631 58827
+rect 294749 58709 295310 58827
+rect 291760 58667 295310 58709
+rect 291760 58549 294631 58667
+rect 294749 58549 295310 58667
+rect 291760 58538 295310 58549
+rect -2878 58537 -2578 58538
+rect 294540 58537 294840 58538
+rect -1938 57038 -1638 57039
+rect 293600 57038 293900 57039
+rect -2408 57027 240 57038
+rect -2408 56909 -1847 57027
+rect -1729 56909 240 57027
+rect -2408 56867 240 56909
+rect -2408 56749 -1847 56867
+rect -1729 56749 240 56867
+rect -2408 56738 240 56749
+rect 291760 57027 294370 57038
+rect 291760 56909 293691 57027
+rect 293809 56909 294370 57027
+rect 291760 56867 294370 56909
+rect 291760 56749 293691 56867
+rect 293809 56749 294370 56867
+rect 291760 56738 294370 56749
+rect -1938 56737 -1638 56738
+rect 293600 56737 293900 56738
 rect -998 55238 -698 55239
 rect 292660 55238 292960 55239
-rect -1458 55227 240 55238
-rect -1458 55109 -907 55227
+rect -1468 55227 240 55238
+rect -1468 55109 -907 55227
 rect -789 55109 240 55227
-rect -1458 55067 240 55109
-rect -1458 54949 -907 55067
+rect -1468 55067 240 55109
+rect -1468 54949 -907 55067
 rect -789 54949 240 55067
-rect -1458 54938 240 54949
-rect 291760 55227 293420 55238
+rect -1468 54938 240 54949
+rect 291760 55227 293430 55238
 rect 291760 55109 292751 55227
-rect 292869 55109 293420 55227
-rect 291760 55067 293420 55109
+rect 292869 55109 293430 55227
+rect 291760 55067 293430 55109
 rect 291760 54949 292751 55067
-rect 292869 54949 293420 55067
-rect 291760 54938 293420 54949
+rect 292869 54949 293430 55067
+rect 291760 54938 293430 54949
 rect -998 54937 -698 54938
 rect 292660 54937 292960 54938
-rect -4218 51638 -3918 51639
-rect 295880 51638 296180 51639
-rect -4218 51627 240 51638
-rect -4218 51509 -4127 51627
-rect -4009 51509 240 51627
-rect -4218 51467 240 51509
-rect -4218 51349 -4127 51467
-rect -4009 51349 240 51467
-rect -4218 51338 240 51349
-rect 291760 51627 296180 51638
-rect 291760 51509 295971 51627
-rect 296089 51509 296180 51627
-rect 291760 51467 296180 51509
-rect 291760 51349 295971 51467
-rect 296089 51349 296180 51467
-rect 291760 51338 296180 51349
-rect -4218 51337 -3918 51338
-rect 295880 51337 296180 51338
-rect -3298 49838 -2998 49839
-rect 294960 49838 295260 49839
-rect -3298 49827 240 49838
-rect -3298 49709 -3207 49827
-rect -3089 49709 240 49827
-rect -3298 49667 240 49709
-rect -3298 49549 -3207 49667
-rect -3089 49549 240 49667
-rect -3298 49538 240 49549
-rect 291760 49827 295260 49838
-rect 291760 49709 295051 49827
-rect 295169 49709 295260 49827
-rect 291760 49667 295260 49709
-rect 291760 49549 295051 49667
-rect 295169 49549 295260 49667
-rect 291760 49538 295260 49549
-rect -3298 49537 -2998 49538
-rect 294960 49537 295260 49538
-rect -2378 48038 -2078 48039
-rect 294040 48038 294340 48039
-rect -2378 48027 240 48038
-rect -2378 47909 -2287 48027
-rect -2169 47909 240 48027
-rect -2378 47867 240 47909
-rect -2378 47749 -2287 47867
-rect -2169 47749 240 47867
-rect -2378 47738 240 47749
-rect 291760 48027 294340 48038
-rect 291760 47909 294131 48027
-rect 294249 47909 294340 48027
-rect 291760 47867 294340 47909
-rect 291760 47749 294131 47867
-rect 294249 47749 294340 47867
-rect 291760 47738 294340 47749
-rect -2378 47737 -2078 47738
-rect 294040 47737 294340 47738
-rect -1458 46238 -1158 46239
-rect 293120 46238 293420 46239
-rect -1458 46227 240 46238
-rect -1458 46109 -1367 46227
-rect -1249 46109 240 46227
-rect -1458 46067 240 46109
-rect -1458 45949 -1367 46067
-rect -1249 45949 240 46067
-rect -1458 45938 240 45949
-rect 291760 46227 293420 46238
-rect 291760 46109 293211 46227
-rect 293329 46109 293420 46227
-rect 291760 46067 293420 46109
-rect 291760 45949 293211 46067
-rect 293329 45949 293420 46067
-rect 291760 45938 293420 45949
-rect -1458 45937 -1158 45938
-rect 293120 45937 293420 45938
-rect -3758 42638 -3458 42639
-rect 295420 42638 295720 42639
-rect -4218 42627 240 42638
-rect -4218 42509 -3667 42627
-rect -3549 42509 240 42627
-rect -4218 42467 240 42509
-rect -4218 42349 -3667 42467
-rect -3549 42349 240 42467
-rect -4218 42338 240 42349
-rect 291760 42627 296180 42638
-rect 291760 42509 295511 42627
-rect 295629 42509 296180 42627
-rect 291760 42467 296180 42509
-rect 291760 42349 295511 42467
-rect 295629 42349 296180 42467
-rect 291760 42338 296180 42349
-rect -3758 42337 -3458 42338
-rect 295420 42337 295720 42338
-rect -2838 40838 -2538 40839
-rect 294500 40838 294800 40839
-rect -3298 40827 240 40838
-rect -3298 40709 -2747 40827
-rect -2629 40709 240 40827
-rect -3298 40667 240 40709
-rect -3298 40549 -2747 40667
-rect -2629 40549 240 40667
-rect -3298 40538 240 40549
-rect 291760 40827 295260 40838
-rect 291760 40709 294591 40827
-rect 294709 40709 295260 40827
-rect 291760 40667 295260 40709
-rect 291760 40549 294591 40667
-rect 294709 40549 295260 40667
-rect 291760 40538 295260 40549
-rect -2838 40537 -2538 40538
-rect 294500 40537 294800 40538
-rect -1918 39038 -1618 39039
-rect 293580 39038 293880 39039
-rect -2378 39027 240 39038
-rect -2378 38909 -1827 39027
-rect -1709 38909 240 39027
-rect -2378 38867 240 38909
-rect -2378 38749 -1827 38867
-rect -1709 38749 240 38867
-rect -2378 38738 240 38749
-rect 291760 39027 294340 39038
-rect 291760 38909 293671 39027
-rect 293789 38909 294340 39027
-rect 291760 38867 294340 38909
-rect 291760 38749 293671 38867
-rect 293789 38749 294340 38867
-rect 291760 38738 294340 38749
-rect -1918 38737 -1618 38738
-rect 293580 38737 293880 38738
+rect -4288 51638 -3988 51639
+rect 295950 51638 296250 51639
+rect -4288 51627 240 51638
+rect -4288 51509 -4197 51627
+rect -4079 51509 240 51627
+rect -4288 51467 240 51509
+rect -4288 51349 -4197 51467
+rect -4079 51349 240 51467
+rect -4288 51338 240 51349
+rect 291760 51627 296250 51638
+rect 291760 51509 296041 51627
+rect 296159 51509 296250 51627
+rect 291760 51467 296250 51509
+rect 291760 51349 296041 51467
+rect 296159 51349 296250 51467
+rect 291760 51338 296250 51349
+rect -4288 51337 -3988 51338
+rect 295950 51337 296250 51338
+rect -3348 49838 -3048 49839
+rect 295010 49838 295310 49839
+rect -3348 49827 240 49838
+rect -3348 49709 -3257 49827
+rect -3139 49709 240 49827
+rect -3348 49667 240 49709
+rect -3348 49549 -3257 49667
+rect -3139 49549 240 49667
+rect -3348 49538 240 49549
+rect 291760 49827 295310 49838
+rect 291760 49709 295101 49827
+rect 295219 49709 295310 49827
+rect 291760 49667 295310 49709
+rect 291760 49549 295101 49667
+rect 295219 49549 295310 49667
+rect 291760 49538 295310 49549
+rect -3348 49537 -3048 49538
+rect 295010 49537 295310 49538
+rect -2408 48038 -2108 48039
+rect 294070 48038 294370 48039
+rect -2408 48027 240 48038
+rect -2408 47909 -2317 48027
+rect -2199 47909 240 48027
+rect -2408 47867 240 47909
+rect -2408 47749 -2317 47867
+rect -2199 47749 240 47867
+rect -2408 47738 240 47749
+rect 291760 48027 294370 48038
+rect 291760 47909 294161 48027
+rect 294279 47909 294370 48027
+rect 291760 47867 294370 47909
+rect 291760 47749 294161 47867
+rect 294279 47749 294370 47867
+rect 291760 47738 294370 47749
+rect -2408 47737 -2108 47738
+rect 294070 47737 294370 47738
+rect -1468 46238 -1168 46239
+rect 293130 46238 293430 46239
+rect -1468 46227 240 46238
+rect -1468 46109 -1377 46227
+rect -1259 46109 240 46227
+rect -1468 46067 240 46109
+rect -1468 45949 -1377 46067
+rect -1259 45949 240 46067
+rect -1468 45938 240 45949
+rect 291760 46227 293430 46238
+rect 291760 46109 293221 46227
+rect 293339 46109 293430 46227
+rect 291760 46067 293430 46109
+rect 291760 45949 293221 46067
+rect 293339 45949 293430 46067
+rect 291760 45938 293430 45949
+rect -1468 45937 -1168 45938
+rect 293130 45937 293430 45938
+rect -3818 42638 -3518 42639
+rect 295480 42638 295780 42639
+rect -4288 42627 240 42638
+rect -4288 42509 -3727 42627
+rect -3609 42509 240 42627
+rect -4288 42467 240 42509
+rect -4288 42349 -3727 42467
+rect -3609 42349 240 42467
+rect -4288 42338 240 42349
+rect 291760 42627 296250 42638
+rect 291760 42509 295571 42627
+rect 295689 42509 296250 42627
+rect 291760 42467 296250 42509
+rect 291760 42349 295571 42467
+rect 295689 42349 296250 42467
+rect 291760 42338 296250 42349
+rect -3818 42337 -3518 42338
+rect 295480 42337 295780 42338
+rect -2878 40838 -2578 40839
+rect 294540 40838 294840 40839
+rect -3348 40827 240 40838
+rect -3348 40709 -2787 40827
+rect -2669 40709 240 40827
+rect -3348 40667 240 40709
+rect -3348 40549 -2787 40667
+rect -2669 40549 240 40667
+rect -3348 40538 240 40549
+rect 291760 40827 295310 40838
+rect 291760 40709 294631 40827
+rect 294749 40709 295310 40827
+rect 291760 40667 295310 40709
+rect 291760 40549 294631 40667
+rect 294749 40549 295310 40667
+rect 291760 40538 295310 40549
+rect -2878 40537 -2578 40538
+rect 294540 40537 294840 40538
+rect -1938 39038 -1638 39039
+rect 293600 39038 293900 39039
+rect -2408 39027 240 39038
+rect -2408 38909 -1847 39027
+rect -1729 38909 240 39027
+rect -2408 38867 240 38909
+rect -2408 38749 -1847 38867
+rect -1729 38749 240 38867
+rect -2408 38738 240 38749
+rect 291760 39027 294370 39038
+rect 291760 38909 293691 39027
+rect 293809 38909 294370 39027
+rect 291760 38867 294370 38909
+rect 291760 38749 293691 38867
+rect 293809 38749 294370 38867
+rect 291760 38738 294370 38749
+rect -1938 38737 -1638 38738
+rect 293600 38737 293900 38738
 rect -998 37238 -698 37239
 rect 292660 37238 292960 37239
-rect -1458 37227 240 37238
-rect -1458 37109 -907 37227
+rect -1468 37227 240 37238
+rect -1468 37109 -907 37227
 rect -789 37109 240 37227
-rect -1458 37067 240 37109
-rect -1458 36949 -907 37067
+rect -1468 37067 240 37109
+rect -1468 36949 -907 37067
 rect -789 36949 240 37067
-rect -1458 36938 240 36949
-rect 291760 37227 293420 37238
+rect -1468 36938 240 36949
+rect 291760 37227 293430 37238
 rect 291760 37109 292751 37227
-rect 292869 37109 293420 37227
-rect 291760 37067 293420 37109
+rect 292869 37109 293430 37227
+rect 291760 37067 293430 37109
 rect 291760 36949 292751 37067
-rect 292869 36949 293420 37067
-rect 291760 36938 293420 36949
+rect 292869 36949 293430 37067
+rect 291760 36938 293430 36949
 rect -998 36937 -698 36938
 rect 292660 36937 292960 36938
-rect -4218 33638 -3918 33639
-rect 295880 33638 296180 33639
-rect -4218 33627 240 33638
-rect -4218 33509 -4127 33627
-rect -4009 33509 240 33627
-rect -4218 33467 240 33509
-rect -4218 33349 -4127 33467
-rect -4009 33349 240 33467
-rect -4218 33338 240 33349
-rect 291760 33627 296180 33638
-rect 291760 33509 295971 33627
-rect 296089 33509 296180 33627
-rect 291760 33467 296180 33509
-rect 291760 33349 295971 33467
-rect 296089 33349 296180 33467
-rect 291760 33338 296180 33349
-rect -4218 33337 -3918 33338
-rect 295880 33337 296180 33338
-rect -3298 31838 -2998 31839
-rect 294960 31838 295260 31839
-rect -3298 31827 240 31838
-rect -3298 31709 -3207 31827
-rect -3089 31709 240 31827
-rect -3298 31667 240 31709
-rect -3298 31549 -3207 31667
-rect -3089 31549 240 31667
-rect -3298 31538 240 31549
-rect 291760 31827 295260 31838
-rect 291760 31709 295051 31827
-rect 295169 31709 295260 31827
-rect 291760 31667 295260 31709
-rect 291760 31549 295051 31667
-rect 295169 31549 295260 31667
-rect 291760 31538 295260 31549
-rect -3298 31537 -2998 31538
-rect 294960 31537 295260 31538
-rect -2378 30038 -2078 30039
-rect 294040 30038 294340 30039
-rect -2378 30027 240 30038
-rect -2378 29909 -2287 30027
-rect -2169 29909 240 30027
-rect -2378 29867 240 29909
-rect -2378 29749 -2287 29867
-rect -2169 29749 240 29867
-rect -2378 29738 240 29749
-rect 291760 30027 294340 30038
-rect 291760 29909 294131 30027
-rect 294249 29909 294340 30027
-rect 291760 29867 294340 29909
-rect 291760 29749 294131 29867
-rect 294249 29749 294340 29867
-rect 291760 29738 294340 29749
-rect -2378 29737 -2078 29738
-rect 294040 29737 294340 29738
-rect -1458 28238 -1158 28239
-rect 293120 28238 293420 28239
-rect -1458 28227 240 28238
-rect -1458 28109 -1367 28227
-rect -1249 28109 240 28227
-rect -1458 28067 240 28109
-rect -1458 27949 -1367 28067
-rect -1249 27949 240 28067
-rect -1458 27938 240 27949
-rect 291760 28227 293420 28238
-rect 291760 28109 293211 28227
-rect 293329 28109 293420 28227
-rect 291760 28067 293420 28109
-rect 291760 27949 293211 28067
-rect 293329 27949 293420 28067
-rect 291760 27938 293420 27949
-rect -1458 27937 -1158 27938
-rect 293120 27937 293420 27938
-rect -3758 24638 -3458 24639
-rect 295420 24638 295720 24639
-rect -4218 24627 240 24638
-rect -4218 24509 -3667 24627
-rect -3549 24509 240 24627
-rect -4218 24467 240 24509
-rect -4218 24349 -3667 24467
-rect -3549 24349 240 24467
-rect -4218 24338 240 24349
-rect 291760 24627 296180 24638
-rect 291760 24509 295511 24627
-rect 295629 24509 296180 24627
-rect 291760 24467 296180 24509
-rect 291760 24349 295511 24467
-rect 295629 24349 296180 24467
-rect 291760 24338 296180 24349
-rect -3758 24337 -3458 24338
-rect 295420 24337 295720 24338
-rect -2838 22838 -2538 22839
-rect 294500 22838 294800 22839
-rect -3298 22827 240 22838
-rect -3298 22709 -2747 22827
-rect -2629 22709 240 22827
-rect -3298 22667 240 22709
-rect -3298 22549 -2747 22667
-rect -2629 22549 240 22667
-rect -3298 22538 240 22549
-rect 291760 22827 295260 22838
-rect 291760 22709 294591 22827
-rect 294709 22709 295260 22827
-rect 291760 22667 295260 22709
-rect 291760 22549 294591 22667
-rect 294709 22549 295260 22667
-rect 291760 22538 295260 22549
-rect -2838 22537 -2538 22538
-rect 294500 22537 294800 22538
-rect -1918 21038 -1618 21039
-rect 293580 21038 293880 21039
-rect -2378 21027 240 21038
-rect -2378 20909 -1827 21027
-rect -1709 20909 240 21027
-rect -2378 20867 240 20909
-rect -2378 20749 -1827 20867
-rect -1709 20749 240 20867
-rect -2378 20738 240 20749
-rect 291760 21027 294340 21038
-rect 291760 20909 293671 21027
-rect 293789 20909 294340 21027
-rect 291760 20867 294340 20909
-rect 291760 20749 293671 20867
-rect 293789 20749 294340 20867
-rect 291760 20738 294340 20749
-rect -1918 20737 -1618 20738
-rect 293580 20737 293880 20738
+rect -4288 33638 -3988 33639
+rect 295950 33638 296250 33639
+rect -4288 33627 240 33638
+rect -4288 33509 -4197 33627
+rect -4079 33509 240 33627
+rect -4288 33467 240 33509
+rect -4288 33349 -4197 33467
+rect -4079 33349 240 33467
+rect -4288 33338 240 33349
+rect 291760 33627 296250 33638
+rect 291760 33509 296041 33627
+rect 296159 33509 296250 33627
+rect 291760 33467 296250 33509
+rect 291760 33349 296041 33467
+rect 296159 33349 296250 33467
+rect 291760 33338 296250 33349
+rect -4288 33337 -3988 33338
+rect 295950 33337 296250 33338
+rect -3348 31838 -3048 31839
+rect 295010 31838 295310 31839
+rect -3348 31827 240 31838
+rect -3348 31709 -3257 31827
+rect -3139 31709 240 31827
+rect -3348 31667 240 31709
+rect -3348 31549 -3257 31667
+rect -3139 31549 240 31667
+rect -3348 31538 240 31549
+rect 291760 31827 295310 31838
+rect 291760 31709 295101 31827
+rect 295219 31709 295310 31827
+rect 291760 31667 295310 31709
+rect 291760 31549 295101 31667
+rect 295219 31549 295310 31667
+rect 291760 31538 295310 31549
+rect -3348 31537 -3048 31538
+rect 295010 31537 295310 31538
+rect -2408 30038 -2108 30039
+rect 294070 30038 294370 30039
+rect -2408 30027 240 30038
+rect -2408 29909 -2317 30027
+rect -2199 29909 240 30027
+rect -2408 29867 240 29909
+rect -2408 29749 -2317 29867
+rect -2199 29749 240 29867
+rect -2408 29738 240 29749
+rect 291760 30027 294370 30038
+rect 291760 29909 294161 30027
+rect 294279 29909 294370 30027
+rect 291760 29867 294370 29909
+rect 291760 29749 294161 29867
+rect 294279 29749 294370 29867
+rect 291760 29738 294370 29749
+rect -2408 29737 -2108 29738
+rect 294070 29737 294370 29738
+rect -1468 28238 -1168 28239
+rect 293130 28238 293430 28239
+rect -1468 28227 240 28238
+rect -1468 28109 -1377 28227
+rect -1259 28109 240 28227
+rect -1468 28067 240 28109
+rect -1468 27949 -1377 28067
+rect -1259 27949 240 28067
+rect -1468 27938 240 27949
+rect 291760 28227 293430 28238
+rect 291760 28109 293221 28227
+rect 293339 28109 293430 28227
+rect 291760 28067 293430 28109
+rect 291760 27949 293221 28067
+rect 293339 27949 293430 28067
+rect 291760 27938 293430 27949
+rect -1468 27937 -1168 27938
+rect 293130 27937 293430 27938
+rect -3818 24638 -3518 24639
+rect 295480 24638 295780 24639
+rect -4288 24627 240 24638
+rect -4288 24509 -3727 24627
+rect -3609 24509 240 24627
+rect -4288 24467 240 24509
+rect -4288 24349 -3727 24467
+rect -3609 24349 240 24467
+rect -4288 24338 240 24349
+rect 291760 24627 296250 24638
+rect 291760 24509 295571 24627
+rect 295689 24509 296250 24627
+rect 291760 24467 296250 24509
+rect 291760 24349 295571 24467
+rect 295689 24349 296250 24467
+rect 291760 24338 296250 24349
+rect -3818 24337 -3518 24338
+rect 295480 24337 295780 24338
+rect -2878 22838 -2578 22839
+rect 294540 22838 294840 22839
+rect -3348 22827 240 22838
+rect -3348 22709 -2787 22827
+rect -2669 22709 240 22827
+rect -3348 22667 240 22709
+rect -3348 22549 -2787 22667
+rect -2669 22549 240 22667
+rect -3348 22538 240 22549
+rect 291760 22827 295310 22838
+rect 291760 22709 294631 22827
+rect 294749 22709 295310 22827
+rect 291760 22667 295310 22709
+rect 291760 22549 294631 22667
+rect 294749 22549 295310 22667
+rect 291760 22538 295310 22549
+rect -2878 22537 -2578 22538
+rect 294540 22537 294840 22538
+rect -1938 21038 -1638 21039
+rect 293600 21038 293900 21039
+rect -2408 21027 240 21038
+rect -2408 20909 -1847 21027
+rect -1729 20909 240 21027
+rect -2408 20867 240 20909
+rect -2408 20749 -1847 20867
+rect -1729 20749 240 20867
+rect -2408 20738 240 20749
+rect 291760 21027 294370 21038
+rect 291760 20909 293691 21027
+rect 293809 20909 294370 21027
+rect 291760 20867 294370 20909
+rect 291760 20749 293691 20867
+rect 293809 20749 294370 20867
+rect 291760 20738 294370 20749
+rect -1938 20737 -1638 20738
+rect 293600 20737 293900 20738
 rect -998 19238 -698 19239
 rect 292660 19238 292960 19239
-rect -1458 19227 240 19238
-rect -1458 19109 -907 19227
+rect -1468 19227 240 19238
+rect -1468 19109 -907 19227
 rect -789 19109 240 19227
-rect -1458 19067 240 19109
-rect -1458 18949 -907 19067
+rect -1468 19067 240 19109
+rect -1468 18949 -907 19067
 rect -789 18949 240 19067
-rect -1458 18938 240 18949
-rect 291760 19227 293420 19238
+rect -1468 18938 240 18949
+rect 291760 19227 293430 19238
 rect 291760 19109 292751 19227
-rect 292869 19109 293420 19227
-rect 291760 19067 293420 19109
+rect 292869 19109 293430 19227
+rect 291760 19067 293430 19109
 rect 291760 18949 292751 19067
-rect 292869 18949 293420 19067
-rect 291760 18938 293420 18949
+rect 292869 18949 293430 19067
+rect 291760 18938 293430 18949
 rect -998 18937 -698 18938
 rect 292660 18937 292960 18938
-rect -4218 15638 -3918 15639
-rect 295880 15638 296180 15639
-rect -4218 15627 240 15638
-rect -4218 15509 -4127 15627
-rect -4009 15509 240 15627
-rect -4218 15467 240 15509
-rect -4218 15349 -4127 15467
-rect -4009 15349 240 15467
-rect -4218 15338 240 15349
-rect 291760 15627 296180 15638
-rect 291760 15509 295971 15627
-rect 296089 15509 296180 15627
-rect 291760 15467 296180 15509
-rect 291760 15349 295971 15467
-rect 296089 15349 296180 15467
-rect 291760 15338 296180 15349
-rect -4218 15337 -3918 15338
-rect 295880 15337 296180 15338
-rect -3298 13838 -2998 13839
-rect 294960 13838 295260 13839
-rect -3298 13827 240 13838
-rect -3298 13709 -3207 13827
-rect -3089 13709 240 13827
-rect -3298 13667 240 13709
-rect -3298 13549 -3207 13667
-rect -3089 13549 240 13667
-rect -3298 13538 240 13549
-rect 291760 13827 295260 13838
-rect 291760 13709 295051 13827
-rect 295169 13709 295260 13827
-rect 291760 13667 295260 13709
-rect 291760 13549 295051 13667
-rect 295169 13549 295260 13667
-rect 291760 13538 295260 13549
-rect -3298 13537 -2998 13538
-rect 294960 13537 295260 13538
-rect -2378 12038 -2078 12039
-rect 294040 12038 294340 12039
-rect -2378 12027 240 12038
-rect -2378 11909 -2287 12027
-rect -2169 11909 240 12027
-rect -2378 11867 240 11909
-rect -2378 11749 -2287 11867
-rect -2169 11749 240 11867
-rect -2378 11738 240 11749
-rect 291760 12027 294340 12038
-rect 291760 11909 294131 12027
-rect 294249 11909 294340 12027
-rect 291760 11867 294340 11909
-rect 291760 11749 294131 11867
-rect 294249 11749 294340 11867
-rect 291760 11738 294340 11749
-rect -2378 11737 -2078 11738
-rect 294040 11737 294340 11738
-rect -1458 10238 -1158 10239
-rect 293120 10238 293420 10239
-rect -1458 10227 240 10238
-rect -1458 10109 -1367 10227
-rect -1249 10109 240 10227
-rect -1458 10067 240 10109
-rect -1458 9949 -1367 10067
-rect -1249 9949 240 10067
-rect -1458 9938 240 9949
-rect 291760 10227 293420 10238
-rect 291760 10109 293211 10227
-rect 293329 10109 293420 10227
-rect 291760 10067 293420 10109
-rect 291760 9949 293211 10067
-rect 293329 9949 293420 10067
-rect 291760 9938 293420 9949
-rect -1458 9937 -1158 9938
-rect 293120 9937 293420 9938
-rect -3758 6638 -3458 6639
-rect 295420 6638 295720 6639
-rect -4218 6627 240 6638
-rect -4218 6509 -3667 6627
-rect -3549 6509 240 6627
-rect -4218 6467 240 6509
-rect -4218 6349 -3667 6467
-rect -3549 6349 240 6467
-rect -4218 6338 240 6349
-rect 291760 6627 296180 6638
-rect 291760 6509 295511 6627
-rect 295629 6509 296180 6627
-rect 291760 6467 296180 6509
-rect 291760 6349 295511 6467
-rect 295629 6349 296180 6467
-rect 291760 6338 296180 6349
-rect -3758 6337 -3458 6338
-rect 295420 6337 295720 6338
-rect -2838 4838 -2538 4839
-rect 294500 4838 294800 4839
-rect -3298 4827 240 4838
-rect -3298 4709 -2747 4827
-rect -2629 4709 240 4827
-rect -3298 4667 240 4709
-rect -3298 4549 -2747 4667
-rect -2629 4549 240 4667
-rect -3298 4538 240 4549
-rect 291760 4827 295260 4838
-rect 291760 4709 294591 4827
-rect 294709 4709 295260 4827
-rect 291760 4667 295260 4709
-rect 291760 4549 294591 4667
-rect 294709 4549 295260 4667
-rect 291760 4538 295260 4549
-rect -2838 4537 -2538 4538
-rect 294500 4537 294800 4538
-rect -1918 3038 -1618 3039
-rect 293580 3038 293880 3039
-rect -2378 3027 240 3038
-rect -2378 2909 -1827 3027
-rect -1709 2909 240 3027
-rect -2378 2867 240 2909
-rect -2378 2749 -1827 2867
-rect -1709 2749 240 2867
-rect -2378 2738 240 2749
-rect 291760 3027 294340 3038
-rect 291760 2909 293671 3027
-rect 293789 2909 294340 3027
-rect 291760 2867 294340 2909
-rect 291760 2749 293671 2867
-rect 293789 2749 294340 2867
-rect 291760 2738 294340 2749
-rect -1918 2737 -1618 2738
-rect 293580 2737 293880 2738
+rect -4288 15638 -3988 15639
+rect 295950 15638 296250 15639
+rect -4288 15627 240 15638
+rect -4288 15509 -4197 15627
+rect -4079 15509 240 15627
+rect -4288 15467 240 15509
+rect -4288 15349 -4197 15467
+rect -4079 15349 240 15467
+rect -4288 15338 240 15349
+rect 291760 15627 296250 15638
+rect 291760 15509 296041 15627
+rect 296159 15509 296250 15627
+rect 291760 15467 296250 15509
+rect 291760 15349 296041 15467
+rect 296159 15349 296250 15467
+rect 291760 15338 296250 15349
+rect -4288 15337 -3988 15338
+rect 295950 15337 296250 15338
+rect -3348 13838 -3048 13839
+rect 295010 13838 295310 13839
+rect -3348 13827 240 13838
+rect -3348 13709 -3257 13827
+rect -3139 13709 240 13827
+rect -3348 13667 240 13709
+rect -3348 13549 -3257 13667
+rect -3139 13549 240 13667
+rect -3348 13538 240 13549
+rect 291760 13827 295310 13838
+rect 291760 13709 295101 13827
+rect 295219 13709 295310 13827
+rect 291760 13667 295310 13709
+rect 291760 13549 295101 13667
+rect 295219 13549 295310 13667
+rect 291760 13538 295310 13549
+rect -3348 13537 -3048 13538
+rect 295010 13537 295310 13538
+rect -2408 12038 -2108 12039
+rect 294070 12038 294370 12039
+rect -2408 12027 240 12038
+rect -2408 11909 -2317 12027
+rect -2199 11909 240 12027
+rect -2408 11867 240 11909
+rect -2408 11749 -2317 11867
+rect -2199 11749 240 11867
+rect -2408 11738 240 11749
+rect 291760 12027 294370 12038
+rect 291760 11909 294161 12027
+rect 294279 11909 294370 12027
+rect 291760 11867 294370 11909
+rect 291760 11749 294161 11867
+rect 294279 11749 294370 11867
+rect 291760 11738 294370 11749
+rect -2408 11737 -2108 11738
+rect 294070 11737 294370 11738
+rect -1468 10238 -1168 10239
+rect 293130 10238 293430 10239
+rect -1468 10227 240 10238
+rect -1468 10109 -1377 10227
+rect -1259 10109 240 10227
+rect -1468 10067 240 10109
+rect -1468 9949 -1377 10067
+rect -1259 9949 240 10067
+rect -1468 9938 240 9949
+rect 291760 10227 293430 10238
+rect 291760 10109 293221 10227
+rect 293339 10109 293430 10227
+rect 291760 10067 293430 10109
+rect 291760 9949 293221 10067
+rect 293339 9949 293430 10067
+rect 291760 9938 293430 9949
+rect -1468 9937 -1168 9938
+rect 293130 9937 293430 9938
+rect -3818 6638 -3518 6639
+rect 295480 6638 295780 6639
+rect -4288 6627 240 6638
+rect -4288 6509 -3727 6627
+rect -3609 6509 240 6627
+rect -4288 6467 240 6509
+rect -4288 6349 -3727 6467
+rect -3609 6349 240 6467
+rect -4288 6338 240 6349
+rect 291760 6627 296250 6638
+rect 291760 6509 295571 6627
+rect 295689 6509 296250 6627
+rect 291760 6467 296250 6509
+rect 291760 6349 295571 6467
+rect 295689 6349 296250 6467
+rect 291760 6338 296250 6349
+rect -3818 6337 -3518 6338
+rect 295480 6337 295780 6338
+rect -2878 4838 -2578 4839
+rect 294540 4838 294840 4839
+rect -3348 4827 240 4838
+rect -3348 4709 -2787 4827
+rect -2669 4709 240 4827
+rect -3348 4667 240 4709
+rect -3348 4549 -2787 4667
+rect -2669 4549 240 4667
+rect -3348 4538 240 4549
+rect 291760 4827 295310 4838
+rect 291760 4709 294631 4827
+rect 294749 4709 295310 4827
+rect 291760 4667 295310 4709
+rect 291760 4549 294631 4667
+rect 294749 4549 295310 4667
+rect 291760 4538 295310 4549
+rect -2878 4537 -2578 4538
+rect 294540 4537 294840 4538
+rect -1938 3038 -1638 3039
+rect 293600 3038 293900 3039
+rect -2408 3027 240 3038
+rect -2408 2909 -1847 3027
+rect -1729 2909 240 3027
+rect -2408 2867 240 2909
+rect -2408 2749 -1847 2867
+rect -1729 2749 240 2867
+rect -2408 2738 240 2749
+rect 291760 3027 294370 3038
+rect 291760 2909 293691 3027
+rect 293809 2909 294370 3027
+rect 291760 2867 294370 2909
+rect 291760 2749 293691 2867
+rect 293809 2749 294370 2867
+rect 291760 2738 294370 2749
+rect -1938 2737 -1638 2738
+rect 293600 2737 293900 2738
 rect -998 1238 -698 1239
 rect 292660 1238 292960 1239
-rect -1458 1227 240 1238
-rect -1458 1109 -907 1227
+rect -1468 1227 240 1238
+rect -1468 1109 -907 1227
 rect -789 1109 240 1227
-rect -1458 1067 240 1109
-rect -1458 949 -907 1067
+rect -1468 1067 240 1109
+rect -1468 949 -907 1067
 rect -789 949 240 1067
-rect -1458 938 240 949
-rect 291760 1227 293420 1238
+rect -1468 938 240 949
+rect 291760 1227 293430 1238
 rect 291760 1109 292751 1227
-rect 292869 1109 293420 1227
-rect 291760 1067 293420 1109
+rect 292869 1109 293430 1227
+rect 291760 1067 293430 1109
 rect 291760 949 292751 1067
-rect 292869 949 293420 1067
-rect 291760 938 293420 949
+rect 292869 949 293430 1067
+rect 291760 938 293430 949
 rect -998 937 -698 938
 rect 292660 937 292960 938
 rect -998 -162 -698 -161
@@ -9265,549 +9265,549 @@
 rect 270402 -463 270702 -462
 rect 288402 -463 288702 -462
 rect 292660 -463 292960 -462
-rect -1458 -622 -1158 -621
-rect 9402 -622 9702 -621
-rect 27402 -622 27702 -621
-rect 45402 -622 45702 -621
-rect 63402 -622 63702 -621
-rect 81402 -622 81702 -621
-rect 99402 -622 99702 -621
-rect 117402 -622 117702 -621
-rect 135402 -622 135702 -621
-rect 153402 -622 153702 -621
-rect 171402 -622 171702 -621
-rect 189402 -622 189702 -621
-rect 207402 -622 207702 -621
-rect 225402 -622 225702 -621
-rect 243402 -622 243702 -621
-rect 261402 -622 261702 -621
-rect 279402 -622 279702 -621
-rect 293120 -622 293420 -621
-rect -1458 -633 293420 -622
-rect -1458 -751 -1367 -633
-rect -1249 -751 9493 -633
-rect 9611 -751 27493 -633
-rect 27611 -751 45493 -633
-rect 45611 -751 63493 -633
-rect 63611 -751 81493 -633
-rect 81611 -751 99493 -633
-rect 99611 -751 117493 -633
-rect 117611 -751 135493 -633
-rect 135611 -751 153493 -633
-rect 153611 -751 171493 -633
-rect 171611 -751 189493 -633
-rect 189611 -751 207493 -633
-rect 207611 -751 225493 -633
-rect 225611 -751 243493 -633
-rect 243611 -751 261493 -633
-rect 261611 -751 279493 -633
-rect 279611 -751 293211 -633
-rect 293329 -751 293420 -633
-rect -1458 -793 293420 -751
-rect -1458 -911 -1367 -793
-rect -1249 -911 9493 -793
-rect 9611 -911 27493 -793
-rect 27611 -911 45493 -793
-rect 45611 -911 63493 -793
-rect 63611 -911 81493 -793
-rect 81611 -911 99493 -793
-rect 99611 -911 117493 -793
-rect 117611 -911 135493 -793
-rect 135611 -911 153493 -793
-rect 153611 -911 171493 -793
-rect 171611 -911 189493 -793
-rect 189611 -911 207493 -793
-rect 207611 -911 225493 -793
-rect 225611 -911 243493 -793
-rect 243611 -911 261493 -793
-rect 261611 -911 279493 -793
-rect 279611 -911 293211 -793
-rect 293329 -911 293420 -793
-rect -1458 -922 293420 -911
-rect -1458 -923 -1158 -922
-rect 9402 -923 9702 -922
-rect 27402 -923 27702 -922
-rect 45402 -923 45702 -922
-rect 63402 -923 63702 -922
-rect 81402 -923 81702 -922
-rect 99402 -923 99702 -922
-rect 117402 -923 117702 -922
-rect 135402 -923 135702 -922
-rect 153402 -923 153702 -922
-rect 171402 -923 171702 -922
-rect 189402 -923 189702 -922
-rect 207402 -923 207702 -922
-rect 225402 -923 225702 -922
-rect 243402 -923 243702 -922
-rect 261402 -923 261702 -922
-rect 279402 -923 279702 -922
-rect 293120 -923 293420 -922
-rect -1918 -1082 -1618 -1081
-rect 2202 -1082 2502 -1081
-rect 20202 -1082 20502 -1081
-rect 38202 -1082 38502 -1081
-rect 56202 -1082 56502 -1081
-rect 74202 -1082 74502 -1081
-rect 92202 -1082 92502 -1081
-rect 110202 -1082 110502 -1081
-rect 128202 -1082 128502 -1081
-rect 146202 -1082 146502 -1081
-rect 164202 -1082 164502 -1081
-rect 182202 -1082 182502 -1081
-rect 200202 -1082 200502 -1081
-rect 218202 -1082 218502 -1081
-rect 236202 -1082 236502 -1081
-rect 254202 -1082 254502 -1081
-rect 272202 -1082 272502 -1081
-rect 290202 -1082 290502 -1081
-rect 293580 -1082 293880 -1081
-rect -1918 -1093 293880 -1082
-rect -1918 -1211 -1827 -1093
-rect -1709 -1211 2293 -1093
-rect 2411 -1211 20293 -1093
-rect 20411 -1211 38293 -1093
-rect 38411 -1211 56293 -1093
-rect 56411 -1211 74293 -1093
-rect 74411 -1211 92293 -1093
-rect 92411 -1211 110293 -1093
-rect 110411 -1211 128293 -1093
-rect 128411 -1211 146293 -1093
-rect 146411 -1211 164293 -1093
-rect 164411 -1211 182293 -1093
-rect 182411 -1211 200293 -1093
-rect 200411 -1211 218293 -1093
-rect 218411 -1211 236293 -1093
-rect 236411 -1211 254293 -1093
-rect 254411 -1211 272293 -1093
-rect 272411 -1211 290293 -1093
-rect 290411 -1211 293671 -1093
-rect 293789 -1211 293880 -1093
-rect -1918 -1253 293880 -1211
-rect -1918 -1371 -1827 -1253
-rect -1709 -1371 2293 -1253
-rect 2411 -1371 20293 -1253
-rect 20411 -1371 38293 -1253
-rect 38411 -1371 56293 -1253
-rect 56411 -1371 74293 -1253
-rect 74411 -1371 92293 -1253
-rect 92411 -1371 110293 -1253
-rect 110411 -1371 128293 -1253
-rect 128411 -1371 146293 -1253
-rect 146411 -1371 164293 -1253
-rect 164411 -1371 182293 -1253
-rect 182411 -1371 200293 -1253
-rect 200411 -1371 218293 -1253
-rect 218411 -1371 236293 -1253
-rect 236411 -1371 254293 -1253
-rect 254411 -1371 272293 -1253
-rect 272411 -1371 290293 -1253
-rect 290411 -1371 293671 -1253
-rect 293789 -1371 293880 -1253
-rect -1918 -1382 293880 -1371
-rect -1918 -1383 -1618 -1382
-rect 2202 -1383 2502 -1382
-rect 20202 -1383 20502 -1382
-rect 38202 -1383 38502 -1382
-rect 56202 -1383 56502 -1382
-rect 74202 -1383 74502 -1382
-rect 92202 -1383 92502 -1382
-rect 110202 -1383 110502 -1382
-rect 128202 -1383 128502 -1382
-rect 146202 -1383 146502 -1382
-rect 164202 -1383 164502 -1382
-rect 182202 -1383 182502 -1382
-rect 200202 -1383 200502 -1382
-rect 218202 -1383 218502 -1382
-rect 236202 -1383 236502 -1382
-rect 254202 -1383 254502 -1382
-rect 272202 -1383 272502 -1382
-rect 290202 -1383 290502 -1382
-rect 293580 -1383 293880 -1382
-rect -2378 -1542 -2078 -1541
-rect 11202 -1542 11502 -1541
-rect 29202 -1542 29502 -1541
-rect 47202 -1542 47502 -1541
-rect 65202 -1542 65502 -1541
-rect 83202 -1542 83502 -1541
-rect 101202 -1542 101502 -1541
-rect 119202 -1542 119502 -1541
-rect 137202 -1542 137502 -1541
-rect 155202 -1542 155502 -1541
-rect 173202 -1542 173502 -1541
-rect 191202 -1542 191502 -1541
-rect 209202 -1542 209502 -1541
-rect 227202 -1542 227502 -1541
-rect 245202 -1542 245502 -1541
-rect 263202 -1542 263502 -1541
-rect 281202 -1542 281502 -1541
-rect 294040 -1542 294340 -1541
-rect -2378 -1553 294340 -1542
-rect -2378 -1671 -2287 -1553
-rect -2169 -1671 11293 -1553
-rect 11411 -1671 29293 -1553
-rect 29411 -1671 47293 -1553
-rect 47411 -1671 65293 -1553
-rect 65411 -1671 83293 -1553
-rect 83411 -1671 101293 -1553
-rect 101411 -1671 119293 -1553
-rect 119411 -1671 137293 -1553
-rect 137411 -1671 155293 -1553
-rect 155411 -1671 173293 -1553
-rect 173411 -1671 191293 -1553
-rect 191411 -1671 209293 -1553
-rect 209411 -1671 227293 -1553
-rect 227411 -1671 245293 -1553
-rect 245411 -1671 263293 -1553
-rect 263411 -1671 281293 -1553
-rect 281411 -1671 294131 -1553
-rect 294249 -1671 294340 -1553
-rect -2378 -1713 294340 -1671
-rect -2378 -1831 -2287 -1713
-rect -2169 -1831 11293 -1713
-rect 11411 -1831 29293 -1713
-rect 29411 -1831 47293 -1713
-rect 47411 -1831 65293 -1713
-rect 65411 -1831 83293 -1713
-rect 83411 -1831 101293 -1713
-rect 101411 -1831 119293 -1713
-rect 119411 -1831 137293 -1713
-rect 137411 -1831 155293 -1713
-rect 155411 -1831 173293 -1713
-rect 173411 -1831 191293 -1713
-rect 191411 -1831 209293 -1713
-rect 209411 -1831 227293 -1713
-rect 227411 -1831 245293 -1713
-rect 245411 -1831 263293 -1713
-rect 263411 -1831 281293 -1713
-rect 281411 -1831 294131 -1713
-rect 294249 -1831 294340 -1713
-rect -2378 -1842 294340 -1831
-rect -2378 -1843 -2078 -1842
-rect 11202 -1843 11502 -1842
-rect 29202 -1843 29502 -1842
-rect 47202 -1843 47502 -1842
-rect 65202 -1843 65502 -1842
-rect 83202 -1843 83502 -1842
-rect 101202 -1843 101502 -1842
-rect 119202 -1843 119502 -1842
-rect 137202 -1843 137502 -1842
-rect 155202 -1843 155502 -1842
-rect 173202 -1843 173502 -1842
-rect 191202 -1843 191502 -1842
-rect 209202 -1843 209502 -1842
-rect 227202 -1843 227502 -1842
-rect 245202 -1843 245502 -1842
-rect 263202 -1843 263502 -1842
-rect 281202 -1843 281502 -1842
-rect 294040 -1843 294340 -1842
-rect -2838 -2002 -2538 -2001
-rect 4002 -2002 4302 -2001
-rect 22002 -2002 22302 -2001
-rect 40002 -2002 40302 -2001
-rect 58002 -2002 58302 -2001
-rect 76002 -2002 76302 -2001
-rect 94002 -2002 94302 -2001
-rect 112002 -2002 112302 -2001
-rect 130002 -2002 130302 -2001
-rect 148002 -2002 148302 -2001
-rect 166002 -2002 166302 -2001
-rect 184002 -2002 184302 -2001
-rect 202002 -2002 202302 -2001
-rect 220002 -2002 220302 -2001
-rect 238002 -2002 238302 -2001
-rect 256002 -2002 256302 -2001
-rect 274002 -2002 274302 -2001
-rect 294500 -2002 294800 -2001
-rect -2838 -2013 294800 -2002
-rect -2838 -2131 -2747 -2013
-rect -2629 -2131 4093 -2013
-rect 4211 -2131 22093 -2013
-rect 22211 -2131 40093 -2013
-rect 40211 -2131 58093 -2013
-rect 58211 -2131 76093 -2013
-rect 76211 -2131 94093 -2013
-rect 94211 -2131 112093 -2013
-rect 112211 -2131 130093 -2013
-rect 130211 -2131 148093 -2013
-rect 148211 -2131 166093 -2013
-rect 166211 -2131 184093 -2013
-rect 184211 -2131 202093 -2013
-rect 202211 -2131 220093 -2013
-rect 220211 -2131 238093 -2013
-rect 238211 -2131 256093 -2013
-rect 256211 -2131 274093 -2013
-rect 274211 -2131 294591 -2013
-rect 294709 -2131 294800 -2013
-rect -2838 -2173 294800 -2131
-rect -2838 -2291 -2747 -2173
-rect -2629 -2291 4093 -2173
-rect 4211 -2291 22093 -2173
-rect 22211 -2291 40093 -2173
-rect 40211 -2291 58093 -2173
-rect 58211 -2291 76093 -2173
-rect 76211 -2291 94093 -2173
-rect 94211 -2291 112093 -2173
-rect 112211 -2291 130093 -2173
-rect 130211 -2291 148093 -2173
-rect 148211 -2291 166093 -2173
-rect 166211 -2291 184093 -2173
-rect 184211 -2291 202093 -2173
-rect 202211 -2291 220093 -2173
-rect 220211 -2291 238093 -2173
-rect 238211 -2291 256093 -2173
-rect 256211 -2291 274093 -2173
-rect 274211 -2291 294591 -2173
-rect 294709 -2291 294800 -2173
-rect -2838 -2302 294800 -2291
-rect -2838 -2303 -2538 -2302
-rect 4002 -2303 4302 -2302
-rect 22002 -2303 22302 -2302
-rect 40002 -2303 40302 -2302
-rect 58002 -2303 58302 -2302
-rect 76002 -2303 76302 -2302
-rect 94002 -2303 94302 -2302
-rect 112002 -2303 112302 -2302
-rect 130002 -2303 130302 -2302
-rect 148002 -2303 148302 -2302
-rect 166002 -2303 166302 -2302
-rect 184002 -2303 184302 -2302
-rect 202002 -2303 202302 -2302
-rect 220002 -2303 220302 -2302
-rect 238002 -2303 238302 -2302
-rect 256002 -2303 256302 -2302
-rect 274002 -2303 274302 -2302
-rect 294500 -2303 294800 -2302
-rect -3298 -2462 -2998 -2461
-rect 13002 -2462 13302 -2461
-rect 31002 -2462 31302 -2461
-rect 49002 -2462 49302 -2461
-rect 67002 -2462 67302 -2461
-rect 85002 -2462 85302 -2461
-rect 103002 -2462 103302 -2461
-rect 121002 -2462 121302 -2461
-rect 139002 -2462 139302 -2461
-rect 157002 -2462 157302 -2461
-rect 175002 -2462 175302 -2461
-rect 193002 -2462 193302 -2461
-rect 211002 -2462 211302 -2461
-rect 229002 -2462 229302 -2461
-rect 247002 -2462 247302 -2461
-rect 265002 -2462 265302 -2461
-rect 283002 -2462 283302 -2461
-rect 294960 -2462 295260 -2461
-rect -3298 -2473 295260 -2462
-rect -3298 -2591 -3207 -2473
-rect -3089 -2591 13093 -2473
-rect 13211 -2591 31093 -2473
-rect 31211 -2591 49093 -2473
-rect 49211 -2591 67093 -2473
-rect 67211 -2591 85093 -2473
-rect 85211 -2591 103093 -2473
-rect 103211 -2591 121093 -2473
-rect 121211 -2591 139093 -2473
-rect 139211 -2591 157093 -2473
-rect 157211 -2591 175093 -2473
-rect 175211 -2591 193093 -2473
-rect 193211 -2591 211093 -2473
-rect 211211 -2591 229093 -2473
-rect 229211 -2591 247093 -2473
-rect 247211 -2591 265093 -2473
-rect 265211 -2591 283093 -2473
-rect 283211 -2591 295051 -2473
-rect 295169 -2591 295260 -2473
-rect -3298 -2633 295260 -2591
-rect -3298 -2751 -3207 -2633
-rect -3089 -2751 13093 -2633
-rect 13211 -2751 31093 -2633
-rect 31211 -2751 49093 -2633
-rect 49211 -2751 67093 -2633
-rect 67211 -2751 85093 -2633
-rect 85211 -2751 103093 -2633
-rect 103211 -2751 121093 -2633
-rect 121211 -2751 139093 -2633
-rect 139211 -2751 157093 -2633
-rect 157211 -2751 175093 -2633
-rect 175211 -2751 193093 -2633
-rect 193211 -2751 211093 -2633
-rect 211211 -2751 229093 -2633
-rect 229211 -2751 247093 -2633
-rect 247211 -2751 265093 -2633
-rect 265211 -2751 283093 -2633
-rect 283211 -2751 295051 -2633
-rect 295169 -2751 295260 -2633
-rect -3298 -2762 295260 -2751
-rect -3298 -2763 -2998 -2762
-rect 13002 -2763 13302 -2762
-rect 31002 -2763 31302 -2762
-rect 49002 -2763 49302 -2762
-rect 67002 -2763 67302 -2762
-rect 85002 -2763 85302 -2762
-rect 103002 -2763 103302 -2762
-rect 121002 -2763 121302 -2762
-rect 139002 -2763 139302 -2762
-rect 157002 -2763 157302 -2762
-rect 175002 -2763 175302 -2762
-rect 193002 -2763 193302 -2762
-rect 211002 -2763 211302 -2762
-rect 229002 -2763 229302 -2762
-rect 247002 -2763 247302 -2762
-rect 265002 -2763 265302 -2762
-rect 283002 -2763 283302 -2762
-rect 294960 -2763 295260 -2762
-rect -3758 -2922 -3458 -2921
-rect 5802 -2922 6102 -2921
-rect 23802 -2922 24102 -2921
-rect 41802 -2922 42102 -2921
-rect 59802 -2922 60102 -2921
-rect 77802 -2922 78102 -2921
-rect 95802 -2922 96102 -2921
-rect 113802 -2922 114102 -2921
-rect 131802 -2922 132102 -2921
-rect 149802 -2922 150102 -2921
-rect 167802 -2922 168102 -2921
-rect 185802 -2922 186102 -2921
-rect 203802 -2922 204102 -2921
-rect 221802 -2922 222102 -2921
-rect 239802 -2922 240102 -2921
-rect 257802 -2922 258102 -2921
-rect 275802 -2922 276102 -2921
-rect 295420 -2922 295720 -2921
-rect -3758 -2933 295720 -2922
-rect -3758 -3051 -3667 -2933
-rect -3549 -3051 5893 -2933
-rect 6011 -3051 23893 -2933
-rect 24011 -3051 41893 -2933
-rect 42011 -3051 59893 -2933
-rect 60011 -3051 77893 -2933
-rect 78011 -3051 95893 -2933
-rect 96011 -3051 113893 -2933
-rect 114011 -3051 131893 -2933
-rect 132011 -3051 149893 -2933
-rect 150011 -3051 167893 -2933
-rect 168011 -3051 185893 -2933
-rect 186011 -3051 203893 -2933
-rect 204011 -3051 221893 -2933
-rect 222011 -3051 239893 -2933
-rect 240011 -3051 257893 -2933
-rect 258011 -3051 275893 -2933
-rect 276011 -3051 295511 -2933
-rect 295629 -3051 295720 -2933
-rect -3758 -3093 295720 -3051
-rect -3758 -3211 -3667 -3093
-rect -3549 -3211 5893 -3093
-rect 6011 -3211 23893 -3093
-rect 24011 -3211 41893 -3093
-rect 42011 -3211 59893 -3093
-rect 60011 -3211 77893 -3093
-rect 78011 -3211 95893 -3093
-rect 96011 -3211 113893 -3093
-rect 114011 -3211 131893 -3093
-rect 132011 -3211 149893 -3093
-rect 150011 -3211 167893 -3093
-rect 168011 -3211 185893 -3093
-rect 186011 -3211 203893 -3093
-rect 204011 -3211 221893 -3093
-rect 222011 -3211 239893 -3093
-rect 240011 -3211 257893 -3093
-rect 258011 -3211 275893 -3093
-rect 276011 -3211 295511 -3093
-rect 295629 -3211 295720 -3093
-rect -3758 -3222 295720 -3211
-rect -3758 -3223 -3458 -3222
-rect 5802 -3223 6102 -3222
-rect 23802 -3223 24102 -3222
-rect 41802 -3223 42102 -3222
-rect 59802 -3223 60102 -3222
-rect 77802 -3223 78102 -3222
-rect 95802 -3223 96102 -3222
-rect 113802 -3223 114102 -3222
-rect 131802 -3223 132102 -3222
-rect 149802 -3223 150102 -3222
-rect 167802 -3223 168102 -3222
-rect 185802 -3223 186102 -3222
-rect 203802 -3223 204102 -3222
-rect 221802 -3223 222102 -3222
-rect 239802 -3223 240102 -3222
-rect 257802 -3223 258102 -3222
-rect 275802 -3223 276102 -3222
-rect 295420 -3223 295720 -3222
-rect -4218 -3382 -3918 -3381
-rect 14802 -3382 15102 -3381
-rect 32802 -3382 33102 -3381
-rect 50802 -3382 51102 -3381
-rect 68802 -3382 69102 -3381
-rect 86802 -3382 87102 -3381
-rect 104802 -3382 105102 -3381
-rect 122802 -3382 123102 -3381
-rect 140802 -3382 141102 -3381
-rect 158802 -3382 159102 -3381
-rect 176802 -3382 177102 -3381
-rect 194802 -3382 195102 -3381
-rect 212802 -3382 213102 -3381
-rect 230802 -3382 231102 -3381
-rect 248802 -3382 249102 -3381
-rect 266802 -3382 267102 -3381
-rect 284802 -3382 285102 -3381
-rect 295880 -3382 296180 -3381
-rect -4218 -3393 296180 -3382
-rect -4218 -3511 -4127 -3393
-rect -4009 -3511 14893 -3393
-rect 15011 -3511 32893 -3393
-rect 33011 -3511 50893 -3393
-rect 51011 -3511 68893 -3393
-rect 69011 -3511 86893 -3393
-rect 87011 -3511 104893 -3393
-rect 105011 -3511 122893 -3393
-rect 123011 -3511 140893 -3393
-rect 141011 -3511 158893 -3393
-rect 159011 -3511 176893 -3393
-rect 177011 -3511 194893 -3393
-rect 195011 -3511 212893 -3393
-rect 213011 -3511 230893 -3393
-rect 231011 -3511 248893 -3393
-rect 249011 -3511 266893 -3393
-rect 267011 -3511 284893 -3393
-rect 285011 -3511 295971 -3393
-rect 296089 -3511 296180 -3393
-rect -4218 -3553 296180 -3511
-rect -4218 -3671 -4127 -3553
-rect -4009 -3671 14893 -3553
-rect 15011 -3671 32893 -3553
-rect 33011 -3671 50893 -3553
-rect 51011 -3671 68893 -3553
-rect 69011 -3671 86893 -3553
-rect 87011 -3671 104893 -3553
-rect 105011 -3671 122893 -3553
-rect 123011 -3671 140893 -3553
-rect 141011 -3671 158893 -3553
-rect 159011 -3671 176893 -3553
-rect 177011 -3671 194893 -3553
-rect 195011 -3671 212893 -3553
-rect 213011 -3671 230893 -3553
-rect 231011 -3671 248893 -3553
-rect 249011 -3671 266893 -3553
-rect 267011 -3671 284893 -3553
-rect 285011 -3671 295971 -3553
-rect 296089 -3671 296180 -3553
-rect -4218 -3682 296180 -3671
-rect -4218 -3683 -3918 -3682
-rect 14802 -3683 15102 -3682
-rect 32802 -3683 33102 -3682
-rect 50802 -3683 51102 -3682
-rect 68802 -3683 69102 -3682
-rect 86802 -3683 87102 -3682
-rect 104802 -3683 105102 -3682
-rect 122802 -3683 123102 -3682
-rect 140802 -3683 141102 -3682
-rect 158802 -3683 159102 -3682
-rect 176802 -3683 177102 -3682
-rect 194802 -3683 195102 -3682
-rect 212802 -3683 213102 -3682
-rect 230802 -3683 231102 -3682
-rect 248802 -3683 249102 -3682
-rect 266802 -3683 267102 -3682
-rect 284802 -3683 285102 -3682
-rect 295880 -3683 296180 -3682
+rect -1468 -632 -1168 -631
+rect 9402 -632 9702 -631
+rect 27402 -632 27702 -631
+rect 45402 -632 45702 -631
+rect 63402 -632 63702 -631
+rect 81402 -632 81702 -631
+rect 99402 -632 99702 -631
+rect 117402 -632 117702 -631
+rect 135402 -632 135702 -631
+rect 153402 -632 153702 -631
+rect 171402 -632 171702 -631
+rect 189402 -632 189702 -631
+rect 207402 -632 207702 -631
+rect 225402 -632 225702 -631
+rect 243402 -632 243702 -631
+rect 261402 -632 261702 -631
+rect 279402 -632 279702 -631
+rect 293130 -632 293430 -631
+rect -1468 -643 293430 -632
+rect -1468 -761 -1377 -643
+rect -1259 -761 9493 -643
+rect 9611 -761 27493 -643
+rect 27611 -761 45493 -643
+rect 45611 -761 63493 -643
+rect 63611 -761 81493 -643
+rect 81611 -761 99493 -643
+rect 99611 -761 117493 -643
+rect 117611 -761 135493 -643
+rect 135611 -761 153493 -643
+rect 153611 -761 171493 -643
+rect 171611 -761 189493 -643
+rect 189611 -761 207493 -643
+rect 207611 -761 225493 -643
+rect 225611 -761 243493 -643
+rect 243611 -761 261493 -643
+rect 261611 -761 279493 -643
+rect 279611 -761 293221 -643
+rect 293339 -761 293430 -643
+rect -1468 -803 293430 -761
+rect -1468 -921 -1377 -803
+rect -1259 -921 9493 -803
+rect 9611 -921 27493 -803
+rect 27611 -921 45493 -803
+rect 45611 -921 63493 -803
+rect 63611 -921 81493 -803
+rect 81611 -921 99493 -803
+rect 99611 -921 117493 -803
+rect 117611 -921 135493 -803
+rect 135611 -921 153493 -803
+rect 153611 -921 171493 -803
+rect 171611 -921 189493 -803
+rect 189611 -921 207493 -803
+rect 207611 -921 225493 -803
+rect 225611 -921 243493 -803
+rect 243611 -921 261493 -803
+rect 261611 -921 279493 -803
+rect 279611 -921 293221 -803
+rect 293339 -921 293430 -803
+rect -1468 -932 293430 -921
+rect -1468 -933 -1168 -932
+rect 9402 -933 9702 -932
+rect 27402 -933 27702 -932
+rect 45402 -933 45702 -932
+rect 63402 -933 63702 -932
+rect 81402 -933 81702 -932
+rect 99402 -933 99702 -932
+rect 117402 -933 117702 -932
+rect 135402 -933 135702 -932
+rect 153402 -933 153702 -932
+rect 171402 -933 171702 -932
+rect 189402 -933 189702 -932
+rect 207402 -933 207702 -932
+rect 225402 -933 225702 -932
+rect 243402 -933 243702 -932
+rect 261402 -933 261702 -932
+rect 279402 -933 279702 -932
+rect 293130 -933 293430 -932
+rect -1938 -1102 -1638 -1101
+rect 2202 -1102 2502 -1101
+rect 20202 -1102 20502 -1101
+rect 38202 -1102 38502 -1101
+rect 56202 -1102 56502 -1101
+rect 74202 -1102 74502 -1101
+rect 92202 -1102 92502 -1101
+rect 110202 -1102 110502 -1101
+rect 128202 -1102 128502 -1101
+rect 146202 -1102 146502 -1101
+rect 164202 -1102 164502 -1101
+rect 182202 -1102 182502 -1101
+rect 200202 -1102 200502 -1101
+rect 218202 -1102 218502 -1101
+rect 236202 -1102 236502 -1101
+rect 254202 -1102 254502 -1101
+rect 272202 -1102 272502 -1101
+rect 290202 -1102 290502 -1101
+rect 293600 -1102 293900 -1101
+rect -1938 -1113 293900 -1102
+rect -1938 -1231 -1847 -1113
+rect -1729 -1231 2293 -1113
+rect 2411 -1231 20293 -1113
+rect 20411 -1231 38293 -1113
+rect 38411 -1231 56293 -1113
+rect 56411 -1231 74293 -1113
+rect 74411 -1231 92293 -1113
+rect 92411 -1231 110293 -1113
+rect 110411 -1231 128293 -1113
+rect 128411 -1231 146293 -1113
+rect 146411 -1231 164293 -1113
+rect 164411 -1231 182293 -1113
+rect 182411 -1231 200293 -1113
+rect 200411 -1231 218293 -1113
+rect 218411 -1231 236293 -1113
+rect 236411 -1231 254293 -1113
+rect 254411 -1231 272293 -1113
+rect 272411 -1231 290293 -1113
+rect 290411 -1231 293691 -1113
+rect 293809 -1231 293900 -1113
+rect -1938 -1273 293900 -1231
+rect -1938 -1391 -1847 -1273
+rect -1729 -1391 2293 -1273
+rect 2411 -1391 20293 -1273
+rect 20411 -1391 38293 -1273
+rect 38411 -1391 56293 -1273
+rect 56411 -1391 74293 -1273
+rect 74411 -1391 92293 -1273
+rect 92411 -1391 110293 -1273
+rect 110411 -1391 128293 -1273
+rect 128411 -1391 146293 -1273
+rect 146411 -1391 164293 -1273
+rect 164411 -1391 182293 -1273
+rect 182411 -1391 200293 -1273
+rect 200411 -1391 218293 -1273
+rect 218411 -1391 236293 -1273
+rect 236411 -1391 254293 -1273
+rect 254411 -1391 272293 -1273
+rect 272411 -1391 290293 -1273
+rect 290411 -1391 293691 -1273
+rect 293809 -1391 293900 -1273
+rect -1938 -1402 293900 -1391
+rect -1938 -1403 -1638 -1402
+rect 2202 -1403 2502 -1402
+rect 20202 -1403 20502 -1402
+rect 38202 -1403 38502 -1402
+rect 56202 -1403 56502 -1402
+rect 74202 -1403 74502 -1402
+rect 92202 -1403 92502 -1402
+rect 110202 -1403 110502 -1402
+rect 128202 -1403 128502 -1402
+rect 146202 -1403 146502 -1402
+rect 164202 -1403 164502 -1402
+rect 182202 -1403 182502 -1402
+rect 200202 -1403 200502 -1402
+rect 218202 -1403 218502 -1402
+rect 236202 -1403 236502 -1402
+rect 254202 -1403 254502 -1402
+rect 272202 -1403 272502 -1402
+rect 290202 -1403 290502 -1402
+rect 293600 -1403 293900 -1402
+rect -2408 -1572 -2108 -1571
+rect 11202 -1572 11502 -1571
+rect 29202 -1572 29502 -1571
+rect 47202 -1572 47502 -1571
+rect 65202 -1572 65502 -1571
+rect 83202 -1572 83502 -1571
+rect 101202 -1572 101502 -1571
+rect 119202 -1572 119502 -1571
+rect 137202 -1572 137502 -1571
+rect 155202 -1572 155502 -1571
+rect 173202 -1572 173502 -1571
+rect 191202 -1572 191502 -1571
+rect 209202 -1572 209502 -1571
+rect 227202 -1572 227502 -1571
+rect 245202 -1572 245502 -1571
+rect 263202 -1572 263502 -1571
+rect 281202 -1572 281502 -1571
+rect 294070 -1572 294370 -1571
+rect -2408 -1583 294370 -1572
+rect -2408 -1701 -2317 -1583
+rect -2199 -1701 11293 -1583
+rect 11411 -1701 29293 -1583
+rect 29411 -1701 47293 -1583
+rect 47411 -1701 65293 -1583
+rect 65411 -1701 83293 -1583
+rect 83411 -1701 101293 -1583
+rect 101411 -1701 119293 -1583
+rect 119411 -1701 137293 -1583
+rect 137411 -1701 155293 -1583
+rect 155411 -1701 173293 -1583
+rect 173411 -1701 191293 -1583
+rect 191411 -1701 209293 -1583
+rect 209411 -1701 227293 -1583
+rect 227411 -1701 245293 -1583
+rect 245411 -1701 263293 -1583
+rect 263411 -1701 281293 -1583
+rect 281411 -1701 294161 -1583
+rect 294279 -1701 294370 -1583
+rect -2408 -1743 294370 -1701
+rect -2408 -1861 -2317 -1743
+rect -2199 -1861 11293 -1743
+rect 11411 -1861 29293 -1743
+rect 29411 -1861 47293 -1743
+rect 47411 -1861 65293 -1743
+rect 65411 -1861 83293 -1743
+rect 83411 -1861 101293 -1743
+rect 101411 -1861 119293 -1743
+rect 119411 -1861 137293 -1743
+rect 137411 -1861 155293 -1743
+rect 155411 -1861 173293 -1743
+rect 173411 -1861 191293 -1743
+rect 191411 -1861 209293 -1743
+rect 209411 -1861 227293 -1743
+rect 227411 -1861 245293 -1743
+rect 245411 -1861 263293 -1743
+rect 263411 -1861 281293 -1743
+rect 281411 -1861 294161 -1743
+rect 294279 -1861 294370 -1743
+rect -2408 -1872 294370 -1861
+rect -2408 -1873 -2108 -1872
+rect 11202 -1873 11502 -1872
+rect 29202 -1873 29502 -1872
+rect 47202 -1873 47502 -1872
+rect 65202 -1873 65502 -1872
+rect 83202 -1873 83502 -1872
+rect 101202 -1873 101502 -1872
+rect 119202 -1873 119502 -1872
+rect 137202 -1873 137502 -1872
+rect 155202 -1873 155502 -1872
+rect 173202 -1873 173502 -1872
+rect 191202 -1873 191502 -1872
+rect 209202 -1873 209502 -1872
+rect 227202 -1873 227502 -1872
+rect 245202 -1873 245502 -1872
+rect 263202 -1873 263502 -1872
+rect 281202 -1873 281502 -1872
+rect 294070 -1873 294370 -1872
+rect -2878 -2042 -2578 -2041
+rect 4002 -2042 4302 -2041
+rect 22002 -2042 22302 -2041
+rect 40002 -2042 40302 -2041
+rect 58002 -2042 58302 -2041
+rect 76002 -2042 76302 -2041
+rect 94002 -2042 94302 -2041
+rect 112002 -2042 112302 -2041
+rect 130002 -2042 130302 -2041
+rect 148002 -2042 148302 -2041
+rect 166002 -2042 166302 -2041
+rect 184002 -2042 184302 -2041
+rect 202002 -2042 202302 -2041
+rect 220002 -2042 220302 -2041
+rect 238002 -2042 238302 -2041
+rect 256002 -2042 256302 -2041
+rect 274002 -2042 274302 -2041
+rect 294540 -2042 294840 -2041
+rect -2878 -2053 294840 -2042
+rect -2878 -2171 -2787 -2053
+rect -2669 -2171 4093 -2053
+rect 4211 -2171 22093 -2053
+rect 22211 -2171 40093 -2053
+rect 40211 -2171 58093 -2053
+rect 58211 -2171 76093 -2053
+rect 76211 -2171 94093 -2053
+rect 94211 -2171 112093 -2053
+rect 112211 -2171 130093 -2053
+rect 130211 -2171 148093 -2053
+rect 148211 -2171 166093 -2053
+rect 166211 -2171 184093 -2053
+rect 184211 -2171 202093 -2053
+rect 202211 -2171 220093 -2053
+rect 220211 -2171 238093 -2053
+rect 238211 -2171 256093 -2053
+rect 256211 -2171 274093 -2053
+rect 274211 -2171 294631 -2053
+rect 294749 -2171 294840 -2053
+rect -2878 -2213 294840 -2171
+rect -2878 -2331 -2787 -2213
+rect -2669 -2331 4093 -2213
+rect 4211 -2331 22093 -2213
+rect 22211 -2331 40093 -2213
+rect 40211 -2331 58093 -2213
+rect 58211 -2331 76093 -2213
+rect 76211 -2331 94093 -2213
+rect 94211 -2331 112093 -2213
+rect 112211 -2331 130093 -2213
+rect 130211 -2331 148093 -2213
+rect 148211 -2331 166093 -2213
+rect 166211 -2331 184093 -2213
+rect 184211 -2331 202093 -2213
+rect 202211 -2331 220093 -2213
+rect 220211 -2331 238093 -2213
+rect 238211 -2331 256093 -2213
+rect 256211 -2331 274093 -2213
+rect 274211 -2331 294631 -2213
+rect 294749 -2331 294840 -2213
+rect -2878 -2342 294840 -2331
+rect -2878 -2343 -2578 -2342
+rect 4002 -2343 4302 -2342
+rect 22002 -2343 22302 -2342
+rect 40002 -2343 40302 -2342
+rect 58002 -2343 58302 -2342
+rect 76002 -2343 76302 -2342
+rect 94002 -2343 94302 -2342
+rect 112002 -2343 112302 -2342
+rect 130002 -2343 130302 -2342
+rect 148002 -2343 148302 -2342
+rect 166002 -2343 166302 -2342
+rect 184002 -2343 184302 -2342
+rect 202002 -2343 202302 -2342
+rect 220002 -2343 220302 -2342
+rect 238002 -2343 238302 -2342
+rect 256002 -2343 256302 -2342
+rect 274002 -2343 274302 -2342
+rect 294540 -2343 294840 -2342
+rect -3348 -2512 -3048 -2511
+rect 13002 -2512 13302 -2511
+rect 31002 -2512 31302 -2511
+rect 49002 -2512 49302 -2511
+rect 67002 -2512 67302 -2511
+rect 85002 -2512 85302 -2511
+rect 103002 -2512 103302 -2511
+rect 121002 -2512 121302 -2511
+rect 139002 -2512 139302 -2511
+rect 157002 -2512 157302 -2511
+rect 175002 -2512 175302 -2511
+rect 193002 -2512 193302 -2511
+rect 211002 -2512 211302 -2511
+rect 229002 -2512 229302 -2511
+rect 247002 -2512 247302 -2511
+rect 265002 -2512 265302 -2511
+rect 283002 -2512 283302 -2511
+rect 295010 -2512 295310 -2511
+rect -3348 -2523 295310 -2512
+rect -3348 -2641 -3257 -2523
+rect -3139 -2641 13093 -2523
+rect 13211 -2641 31093 -2523
+rect 31211 -2641 49093 -2523
+rect 49211 -2641 67093 -2523
+rect 67211 -2641 85093 -2523
+rect 85211 -2641 103093 -2523
+rect 103211 -2641 121093 -2523
+rect 121211 -2641 139093 -2523
+rect 139211 -2641 157093 -2523
+rect 157211 -2641 175093 -2523
+rect 175211 -2641 193093 -2523
+rect 193211 -2641 211093 -2523
+rect 211211 -2641 229093 -2523
+rect 229211 -2641 247093 -2523
+rect 247211 -2641 265093 -2523
+rect 265211 -2641 283093 -2523
+rect 283211 -2641 295101 -2523
+rect 295219 -2641 295310 -2523
+rect -3348 -2683 295310 -2641
+rect -3348 -2801 -3257 -2683
+rect -3139 -2801 13093 -2683
+rect 13211 -2801 31093 -2683
+rect 31211 -2801 49093 -2683
+rect 49211 -2801 67093 -2683
+rect 67211 -2801 85093 -2683
+rect 85211 -2801 103093 -2683
+rect 103211 -2801 121093 -2683
+rect 121211 -2801 139093 -2683
+rect 139211 -2801 157093 -2683
+rect 157211 -2801 175093 -2683
+rect 175211 -2801 193093 -2683
+rect 193211 -2801 211093 -2683
+rect 211211 -2801 229093 -2683
+rect 229211 -2801 247093 -2683
+rect 247211 -2801 265093 -2683
+rect 265211 -2801 283093 -2683
+rect 283211 -2801 295101 -2683
+rect 295219 -2801 295310 -2683
+rect -3348 -2812 295310 -2801
+rect -3348 -2813 -3048 -2812
+rect 13002 -2813 13302 -2812
+rect 31002 -2813 31302 -2812
+rect 49002 -2813 49302 -2812
+rect 67002 -2813 67302 -2812
+rect 85002 -2813 85302 -2812
+rect 103002 -2813 103302 -2812
+rect 121002 -2813 121302 -2812
+rect 139002 -2813 139302 -2812
+rect 157002 -2813 157302 -2812
+rect 175002 -2813 175302 -2812
+rect 193002 -2813 193302 -2812
+rect 211002 -2813 211302 -2812
+rect 229002 -2813 229302 -2812
+rect 247002 -2813 247302 -2812
+rect 265002 -2813 265302 -2812
+rect 283002 -2813 283302 -2812
+rect 295010 -2813 295310 -2812
+rect -3818 -2982 -3518 -2981
+rect 5802 -2982 6102 -2981
+rect 23802 -2982 24102 -2981
+rect 41802 -2982 42102 -2981
+rect 59802 -2982 60102 -2981
+rect 77802 -2982 78102 -2981
+rect 95802 -2982 96102 -2981
+rect 113802 -2982 114102 -2981
+rect 131802 -2982 132102 -2981
+rect 149802 -2982 150102 -2981
+rect 167802 -2982 168102 -2981
+rect 185802 -2982 186102 -2981
+rect 203802 -2982 204102 -2981
+rect 221802 -2982 222102 -2981
+rect 239802 -2982 240102 -2981
+rect 257802 -2982 258102 -2981
+rect 275802 -2982 276102 -2981
+rect 295480 -2982 295780 -2981
+rect -3818 -2993 295780 -2982
+rect -3818 -3111 -3727 -2993
+rect -3609 -3111 5893 -2993
+rect 6011 -3111 23893 -2993
+rect 24011 -3111 41893 -2993
+rect 42011 -3111 59893 -2993
+rect 60011 -3111 77893 -2993
+rect 78011 -3111 95893 -2993
+rect 96011 -3111 113893 -2993
+rect 114011 -3111 131893 -2993
+rect 132011 -3111 149893 -2993
+rect 150011 -3111 167893 -2993
+rect 168011 -3111 185893 -2993
+rect 186011 -3111 203893 -2993
+rect 204011 -3111 221893 -2993
+rect 222011 -3111 239893 -2993
+rect 240011 -3111 257893 -2993
+rect 258011 -3111 275893 -2993
+rect 276011 -3111 295571 -2993
+rect 295689 -3111 295780 -2993
+rect -3818 -3153 295780 -3111
+rect -3818 -3271 -3727 -3153
+rect -3609 -3271 5893 -3153
+rect 6011 -3271 23893 -3153
+rect 24011 -3271 41893 -3153
+rect 42011 -3271 59893 -3153
+rect 60011 -3271 77893 -3153
+rect 78011 -3271 95893 -3153
+rect 96011 -3271 113893 -3153
+rect 114011 -3271 131893 -3153
+rect 132011 -3271 149893 -3153
+rect 150011 -3271 167893 -3153
+rect 168011 -3271 185893 -3153
+rect 186011 -3271 203893 -3153
+rect 204011 -3271 221893 -3153
+rect 222011 -3271 239893 -3153
+rect 240011 -3271 257893 -3153
+rect 258011 -3271 275893 -3153
+rect 276011 -3271 295571 -3153
+rect 295689 -3271 295780 -3153
+rect -3818 -3282 295780 -3271
+rect -3818 -3283 -3518 -3282
+rect 5802 -3283 6102 -3282
+rect 23802 -3283 24102 -3282
+rect 41802 -3283 42102 -3282
+rect 59802 -3283 60102 -3282
+rect 77802 -3283 78102 -3282
+rect 95802 -3283 96102 -3282
+rect 113802 -3283 114102 -3282
+rect 131802 -3283 132102 -3282
+rect 149802 -3283 150102 -3282
+rect 167802 -3283 168102 -3282
+rect 185802 -3283 186102 -3282
+rect 203802 -3283 204102 -3282
+rect 221802 -3283 222102 -3282
+rect 239802 -3283 240102 -3282
+rect 257802 -3283 258102 -3282
+rect 275802 -3283 276102 -3282
+rect 295480 -3283 295780 -3282
+rect -4288 -3452 -3988 -3451
+rect 14802 -3452 15102 -3451
+rect 32802 -3452 33102 -3451
+rect 50802 -3452 51102 -3451
+rect 68802 -3452 69102 -3451
+rect 86802 -3452 87102 -3451
+rect 104802 -3452 105102 -3451
+rect 122802 -3452 123102 -3451
+rect 140802 -3452 141102 -3451
+rect 158802 -3452 159102 -3451
+rect 176802 -3452 177102 -3451
+rect 194802 -3452 195102 -3451
+rect 212802 -3452 213102 -3451
+rect 230802 -3452 231102 -3451
+rect 248802 -3452 249102 -3451
+rect 266802 -3452 267102 -3451
+rect 284802 -3452 285102 -3451
+rect 295950 -3452 296250 -3451
+rect -4288 -3463 296250 -3452
+rect -4288 -3581 -4197 -3463
+rect -4079 -3581 14893 -3463
+rect 15011 -3581 32893 -3463
+rect 33011 -3581 50893 -3463
+rect 51011 -3581 68893 -3463
+rect 69011 -3581 86893 -3463
+rect 87011 -3581 104893 -3463
+rect 105011 -3581 122893 -3463
+rect 123011 -3581 140893 -3463
+rect 141011 -3581 158893 -3463
+rect 159011 -3581 176893 -3463
+rect 177011 -3581 194893 -3463
+rect 195011 -3581 212893 -3463
+rect 213011 -3581 230893 -3463
+rect 231011 -3581 248893 -3463
+rect 249011 -3581 266893 -3463
+rect 267011 -3581 284893 -3463
+rect 285011 -3581 296041 -3463
+rect 296159 -3581 296250 -3463
+rect -4288 -3623 296250 -3581
+rect -4288 -3741 -4197 -3623
+rect -4079 -3741 14893 -3623
+rect 15011 -3741 32893 -3623
+rect 33011 -3741 50893 -3623
+rect 51011 -3741 68893 -3623
+rect 69011 -3741 86893 -3623
+rect 87011 -3741 104893 -3623
+rect 105011 -3741 122893 -3623
+rect 123011 -3741 140893 -3623
+rect 141011 -3741 158893 -3623
+rect 159011 -3741 176893 -3623
+rect 177011 -3741 194893 -3623
+rect 195011 -3741 212893 -3623
+rect 213011 -3741 230893 -3623
+rect 231011 -3741 248893 -3623
+rect 249011 -3741 266893 -3623
+rect 267011 -3741 284893 -3623
+rect 285011 -3741 296041 -3623
+rect 296159 -3741 296250 -3623
+rect -4288 -3752 296250 -3741
+rect -4288 -3753 -3988 -3752
+rect 14802 -3753 15102 -3752
+rect 32802 -3753 33102 -3752
+rect 50802 -3753 51102 -3752
+rect 68802 -3753 69102 -3752
+rect 86802 -3753 87102 -3752
+rect 104802 -3753 105102 -3752
+rect 122802 -3753 123102 -3752
+rect 140802 -3753 141102 -3752
+rect 158802 -3753 159102 -3752
+rect 176802 -3753 177102 -3752
+rect 194802 -3753 195102 -3752
+rect 212802 -3753 213102 -3752
+rect 230802 -3753 231102 -3752
+rect 248802 -3753 249102 -3752
+rect 266802 -3753 267102 -3752
+rect 284802 -3753 285102 -3752
+rect 295950 -3753 296250 -3752
 << labels >>
 rlabel metal3 s 291760 2898 292480 3018 4 analog_io[0]
 port 1 nsew
@@ -11081,25 +11081,1217 @@
 port 635 nsew
 rlabel metal2 s 3215 -480 3271 240 4 wbs_we_i
 port 636 nsew
+rlabel metal4 s 288402 351760 288702 352900 4 vccd1
+port 637 nsew
+rlabel metal4 s 270402 351760 270702 352900 4 vccd1
+port 637 nsew
+rlabel metal4 s 252402 351760 252702 352900 4 vccd1
+port 637 nsew
+rlabel metal4 s 234402 351760 234702 352900 4 vccd1
+port 637 nsew
+rlabel metal4 s 216402 351760 216702 352900 4 vccd1
+port 637 nsew
+rlabel metal4 s 198402 351760 198702 352900 4 vccd1
+port 637 nsew
+rlabel metal4 s 180402 351760 180702 352900 4 vccd1
+port 637 nsew
+rlabel metal4 s 162402 351760 162702 352900 4 vccd1
+port 637 nsew
+rlabel metal4 s 144402 351760 144702 352900 4 vccd1
+port 637 nsew
+rlabel metal4 s 126402 351760 126702 352900 4 vccd1
+port 637 nsew
+rlabel metal4 s 108402 351760 108702 352900 4 vccd1
+port 637 nsew
+rlabel metal4 s 90402 351760 90702 352900 4 vccd1
+port 637 nsew
+rlabel metal4 s 72402 351760 72702 352900 4 vccd1
+port 637 nsew
+rlabel metal4 s 54402 351760 54702 352900 4 vccd1
+port 637 nsew
+rlabel metal4 s 36402 351760 36702 352900 4 vccd1
+port 637 nsew
+rlabel metal4 s 18402 351760 18702 352900 4 vccd1
+port 637 nsew
+rlabel metal4 s 402 351760 702 352900 4 vccd1
+port 637 nsew
+rlabel metal4 s 292660 -462 292960 352430 4 vccd1
+port 637 nsew
+rlabel metal4 s -998 -462 -698 352430 4 vccd1
+port 637 nsew
+rlabel metal4 s 288402 -932 288702 240 4 vccd1
+port 637 nsew
+rlabel metal4 s 270402 -932 270702 240 4 vccd1
+port 637 nsew
+rlabel metal4 s 252402 -932 252702 240 4 vccd1
+port 637 nsew
+rlabel metal4 s 234402 -932 234702 240 4 vccd1
+port 637 nsew
+rlabel metal4 s 216402 -932 216702 240 4 vccd1
+port 637 nsew
+rlabel metal4 s 198402 -932 198702 240 4 vccd1
+port 637 nsew
+rlabel metal4 s 180402 -932 180702 240 4 vccd1
+port 637 nsew
+rlabel metal4 s 162402 -932 162702 240 4 vccd1
+port 637 nsew
+rlabel metal4 s 144402 -932 144702 240 4 vccd1
+port 637 nsew
+rlabel metal4 s 126402 -932 126702 240 4 vccd1
+port 637 nsew
+rlabel metal4 s 108402 -932 108702 240 4 vccd1
+port 637 nsew
+rlabel metal4 s 90402 -932 90702 240 4 vccd1
+port 637 nsew
+rlabel metal4 s 72402 -932 72702 240 4 vccd1
+port 637 nsew
+rlabel metal4 s 54402 -932 54702 240 4 vccd1
+port 637 nsew
+rlabel metal4 s 36402 -932 36702 240 4 vccd1
+port 637 nsew
+rlabel metal4 s 18402 -932 18702 240 4 vccd1
+port 637 nsew
+rlabel metal4 s 402 -932 702 240 4 vccd1
+port 637 nsew
+rlabel metal5 s -998 352130 292960 352430 4 vccd1
+port 637 nsew
+rlabel metal5 s 291760 342938 293430 343238 4 vccd1
+port 637 nsew
+rlabel metal5 s -1468 342938 240 343238 4 vccd1
+port 637 nsew
+rlabel metal5 s 291760 324938 293430 325238 4 vccd1
+port 637 nsew
+rlabel metal5 s -1468 324938 240 325238 4 vccd1
+port 637 nsew
+rlabel metal5 s 291760 306938 293430 307238 4 vccd1
+port 637 nsew
+rlabel metal5 s -1468 306938 240 307238 4 vccd1
+port 637 nsew
+rlabel metal5 s 291760 288938 293430 289238 4 vccd1
+port 637 nsew
+rlabel metal5 s -1468 288938 240 289238 4 vccd1
+port 637 nsew
+rlabel metal5 s 291760 270938 293430 271238 4 vccd1
+port 637 nsew
+rlabel metal5 s -1468 270938 240 271238 4 vccd1
+port 637 nsew
+rlabel metal5 s 291760 252938 293430 253238 4 vccd1
+port 637 nsew
+rlabel metal5 s -1468 252938 240 253238 4 vccd1
+port 637 nsew
+rlabel metal5 s 291760 234938 293430 235238 4 vccd1
+port 637 nsew
+rlabel metal5 s -1468 234938 240 235238 4 vccd1
+port 637 nsew
+rlabel metal5 s 291760 216938 293430 217238 4 vccd1
+port 637 nsew
+rlabel metal5 s -1468 216938 240 217238 4 vccd1
+port 637 nsew
+rlabel metal5 s 291760 198938 293430 199238 4 vccd1
+port 637 nsew
+rlabel metal5 s -1468 198938 240 199238 4 vccd1
+port 637 nsew
+rlabel metal5 s 291760 180938 293430 181238 4 vccd1
+port 637 nsew
+rlabel metal5 s -1468 180938 240 181238 4 vccd1
+port 637 nsew
+rlabel metal5 s 291760 162938 293430 163238 4 vccd1
+port 637 nsew
+rlabel metal5 s -1468 162938 240 163238 4 vccd1
+port 637 nsew
+rlabel metal5 s 291760 144938 293430 145238 4 vccd1
+port 637 nsew
+rlabel metal5 s -1468 144938 240 145238 4 vccd1
+port 637 nsew
+rlabel metal5 s 291760 126938 293430 127238 4 vccd1
+port 637 nsew
+rlabel metal5 s -1468 126938 240 127238 4 vccd1
+port 637 nsew
+rlabel metal5 s 291760 108938 293430 109238 4 vccd1
+port 637 nsew
+rlabel metal5 s -1468 108938 240 109238 4 vccd1
+port 637 nsew
+rlabel metal5 s 291760 90938 293430 91238 4 vccd1
+port 637 nsew
+rlabel metal5 s -1468 90938 240 91238 4 vccd1
+port 637 nsew
+rlabel metal5 s 291760 72938 293430 73238 4 vccd1
+port 637 nsew
+rlabel metal5 s -1468 72938 240 73238 4 vccd1
+port 637 nsew
+rlabel metal5 s 291760 54938 293430 55238 4 vccd1
+port 637 nsew
+rlabel metal5 s -1468 54938 240 55238 4 vccd1
+port 637 nsew
+rlabel metal5 s 291760 36938 293430 37238 4 vccd1
+port 637 nsew
+rlabel metal5 s -1468 36938 240 37238 4 vccd1
+port 637 nsew
+rlabel metal5 s 291760 18938 293430 19238 4 vccd1
+port 637 nsew
+rlabel metal5 s -1468 18938 240 19238 4 vccd1
+port 637 nsew
+rlabel metal5 s 291760 938 293430 1238 4 vccd1
+port 637 nsew
+rlabel metal5 s -1468 938 240 1238 4 vccd1
+port 637 nsew
 rlabel metal5 s -998 -462 292960 -162 4 vccd1
 port 637 nsew
-rlabel metal5 s -1458 -922 293420 -622 4 vssd1
+rlabel metal4 s 293130 -932 293430 352900 4 vssd1
 port 638 nsew
-rlabel metal5 s -1918 -1382 293880 -1082 4 vccd2
+rlabel metal4 s 279402 351760 279702 352900 4 vssd1
+port 638 nsew
+rlabel metal4 s 261402 351760 261702 352900 4 vssd1
+port 638 nsew
+rlabel metal4 s 243402 351760 243702 352900 4 vssd1
+port 638 nsew
+rlabel metal4 s 225402 351760 225702 352900 4 vssd1
+port 638 nsew
+rlabel metal4 s 207402 351760 207702 352900 4 vssd1
+port 638 nsew
+rlabel metal4 s 189402 351760 189702 352900 4 vssd1
+port 638 nsew
+rlabel metal4 s 171402 351760 171702 352900 4 vssd1
+port 638 nsew
+rlabel metal4 s 153402 351760 153702 352900 4 vssd1
+port 638 nsew
+rlabel metal4 s 135402 351760 135702 352900 4 vssd1
+port 638 nsew
+rlabel metal4 s 117402 351760 117702 352900 4 vssd1
+port 638 nsew
+rlabel metal4 s 99402 351760 99702 352900 4 vssd1
+port 638 nsew
+rlabel metal4 s 81402 351760 81702 352900 4 vssd1
+port 638 nsew
+rlabel metal4 s 63402 351760 63702 352900 4 vssd1
+port 638 nsew
+rlabel metal4 s 45402 351760 45702 352900 4 vssd1
+port 638 nsew
+rlabel metal4 s 27402 351760 27702 352900 4 vssd1
+port 638 nsew
+rlabel metal4 s 9402 351760 9702 352900 4 vssd1
+port 638 nsew
+rlabel metal4 s -1468 -932 -1168 352900 4 vssd1
+port 638 nsew
+rlabel metal4 s 279402 -932 279702 240 4 vssd1
+port 638 nsew
+rlabel metal4 s 261402 -932 261702 240 4 vssd1
+port 638 nsew
+rlabel metal4 s 243402 -932 243702 240 4 vssd1
+port 638 nsew
+rlabel metal4 s 225402 -932 225702 240 4 vssd1
+port 638 nsew
+rlabel metal4 s 207402 -932 207702 240 4 vssd1
+port 638 nsew
+rlabel metal4 s 189402 -932 189702 240 4 vssd1
+port 638 nsew
+rlabel metal4 s 171402 -932 171702 240 4 vssd1
+port 638 nsew
+rlabel metal4 s 153402 -932 153702 240 4 vssd1
+port 638 nsew
+rlabel metal4 s 135402 -932 135702 240 4 vssd1
+port 638 nsew
+rlabel metal4 s 117402 -932 117702 240 4 vssd1
+port 638 nsew
+rlabel metal4 s 99402 -932 99702 240 4 vssd1
+port 638 nsew
+rlabel metal4 s 81402 -932 81702 240 4 vssd1
+port 638 nsew
+rlabel metal4 s 63402 -932 63702 240 4 vssd1
+port 638 nsew
+rlabel metal4 s 45402 -932 45702 240 4 vssd1
+port 638 nsew
+rlabel metal4 s 27402 -932 27702 240 4 vssd1
+port 638 nsew
+rlabel metal4 s 9402 -932 9702 240 4 vssd1
+port 638 nsew
+rlabel metal5 s -1468 352600 293430 352900 4 vssd1
+port 638 nsew
+rlabel metal5 s 291760 333938 293430 334238 4 vssd1
+port 638 nsew
+rlabel metal5 s -1468 333938 240 334238 4 vssd1
+port 638 nsew
+rlabel metal5 s 291760 315938 293430 316238 4 vssd1
+port 638 nsew
+rlabel metal5 s -1468 315938 240 316238 4 vssd1
+port 638 nsew
+rlabel metal5 s 291760 297938 293430 298238 4 vssd1
+port 638 nsew
+rlabel metal5 s -1468 297938 240 298238 4 vssd1
+port 638 nsew
+rlabel metal5 s 291760 279938 293430 280238 4 vssd1
+port 638 nsew
+rlabel metal5 s -1468 279938 240 280238 4 vssd1
+port 638 nsew
+rlabel metal5 s 291760 261938 293430 262238 4 vssd1
+port 638 nsew
+rlabel metal5 s -1468 261938 240 262238 4 vssd1
+port 638 nsew
+rlabel metal5 s 291760 243938 293430 244238 4 vssd1
+port 638 nsew
+rlabel metal5 s -1468 243938 240 244238 4 vssd1
+port 638 nsew
+rlabel metal5 s 291760 225938 293430 226238 4 vssd1
+port 638 nsew
+rlabel metal5 s -1468 225938 240 226238 4 vssd1
+port 638 nsew
+rlabel metal5 s 291760 207938 293430 208238 4 vssd1
+port 638 nsew
+rlabel metal5 s -1468 207938 240 208238 4 vssd1
+port 638 nsew
+rlabel metal5 s 291760 189938 293430 190238 4 vssd1
+port 638 nsew
+rlabel metal5 s -1468 189938 240 190238 4 vssd1
+port 638 nsew
+rlabel metal5 s 291760 171938 293430 172238 4 vssd1
+port 638 nsew
+rlabel metal5 s -1468 171938 240 172238 4 vssd1
+port 638 nsew
+rlabel metal5 s 291760 153938 293430 154238 4 vssd1
+port 638 nsew
+rlabel metal5 s -1468 153938 240 154238 4 vssd1
+port 638 nsew
+rlabel metal5 s 291760 135938 293430 136238 4 vssd1
+port 638 nsew
+rlabel metal5 s -1468 135938 240 136238 4 vssd1
+port 638 nsew
+rlabel metal5 s 291760 117938 293430 118238 4 vssd1
+port 638 nsew
+rlabel metal5 s -1468 117938 240 118238 4 vssd1
+port 638 nsew
+rlabel metal5 s 291760 99938 293430 100238 4 vssd1
+port 638 nsew
+rlabel metal5 s -1468 99938 240 100238 4 vssd1
+port 638 nsew
+rlabel metal5 s 291760 81938 293430 82238 4 vssd1
+port 638 nsew
+rlabel metal5 s -1468 81938 240 82238 4 vssd1
+port 638 nsew
+rlabel metal5 s 291760 63938 293430 64238 4 vssd1
+port 638 nsew
+rlabel metal5 s -1468 63938 240 64238 4 vssd1
+port 638 nsew
+rlabel metal5 s 291760 45938 293430 46238 4 vssd1
+port 638 nsew
+rlabel metal5 s -1468 45938 240 46238 4 vssd1
+port 638 nsew
+rlabel metal5 s 291760 27938 293430 28238 4 vssd1
+port 638 nsew
+rlabel metal5 s -1468 27938 240 28238 4 vssd1
+port 638 nsew
+rlabel metal5 s 291760 9938 293430 10238 4 vssd1
+port 638 nsew
+rlabel metal5 s -1468 9938 240 10238 4 vssd1
+port 638 nsew
+rlabel metal5 s -1468 -932 293430 -632 4 vssd1
+port 638 nsew
+rlabel metal4 s 290202 351760 290502 353840 4 vccd2
 port 639 nsew
-rlabel metal5 s -2378 -1842 294340 -1542 4 vssd2
+rlabel metal4 s 272202 351760 272502 353840 4 vccd2
+port 639 nsew
+rlabel metal4 s 254202 351760 254502 353840 4 vccd2
+port 639 nsew
+rlabel metal4 s 236202 351760 236502 353840 4 vccd2
+port 639 nsew
+rlabel metal4 s 218202 351760 218502 353840 4 vccd2
+port 639 nsew
+rlabel metal4 s 200202 351760 200502 353840 4 vccd2
+port 639 nsew
+rlabel metal4 s 182202 351760 182502 353840 4 vccd2
+port 639 nsew
+rlabel metal4 s 164202 351760 164502 353840 4 vccd2
+port 639 nsew
+rlabel metal4 s 146202 351760 146502 353840 4 vccd2
+port 639 nsew
+rlabel metal4 s 128202 351760 128502 353840 4 vccd2
+port 639 nsew
+rlabel metal4 s 110202 351760 110502 353840 4 vccd2
+port 639 nsew
+rlabel metal4 s 92202 351760 92502 353840 4 vccd2
+port 639 nsew
+rlabel metal4 s 74202 351760 74502 353840 4 vccd2
+port 639 nsew
+rlabel metal4 s 56202 351760 56502 353840 4 vccd2
+port 639 nsew
+rlabel metal4 s 38202 351760 38502 353840 4 vccd2
+port 639 nsew
+rlabel metal4 s 20202 351760 20502 353840 4 vccd2
+port 639 nsew
+rlabel metal4 s 2202 351760 2502 353840 4 vccd2
+port 639 nsew
+rlabel metal4 s 293600 -1402 293900 353370 4 vccd2
+port 639 nsew
+rlabel metal4 s -1938 -1402 -1638 353370 4 vccd2
+port 639 nsew
+rlabel metal4 s 290202 -1872 290502 240 4 vccd2
+port 639 nsew
+rlabel metal4 s 272202 -1872 272502 240 4 vccd2
+port 639 nsew
+rlabel metal4 s 254202 -1872 254502 240 4 vccd2
+port 639 nsew
+rlabel metal4 s 236202 -1872 236502 240 4 vccd2
+port 639 nsew
+rlabel metal4 s 218202 -1872 218502 240 4 vccd2
+port 639 nsew
+rlabel metal4 s 200202 -1872 200502 240 4 vccd2
+port 639 nsew
+rlabel metal4 s 182202 -1872 182502 240 4 vccd2
+port 639 nsew
+rlabel metal4 s 164202 -1872 164502 240 4 vccd2
+port 639 nsew
+rlabel metal4 s 146202 -1872 146502 240 4 vccd2
+port 639 nsew
+rlabel metal4 s 128202 -1872 128502 240 4 vccd2
+port 639 nsew
+rlabel metal4 s 110202 -1872 110502 240 4 vccd2
+port 639 nsew
+rlabel metal4 s 92202 -1872 92502 240 4 vccd2
+port 639 nsew
+rlabel metal4 s 74202 -1872 74502 240 4 vccd2
+port 639 nsew
+rlabel metal4 s 56202 -1872 56502 240 4 vccd2
+port 639 nsew
+rlabel metal4 s 38202 -1872 38502 240 4 vccd2
+port 639 nsew
+rlabel metal4 s 20202 -1872 20502 240 4 vccd2
+port 639 nsew
+rlabel metal4 s 2202 -1872 2502 240 4 vccd2
+port 639 nsew
+rlabel metal5 s -1938 353070 293900 353370 4 vccd2
+port 639 nsew
+rlabel metal5 s 291760 344738 294370 345038 4 vccd2
+port 639 nsew
+rlabel metal5 s -2408 344738 240 345038 4 vccd2
+port 639 nsew
+rlabel metal5 s 291760 326738 294370 327038 4 vccd2
+port 639 nsew
+rlabel metal5 s -2408 326738 240 327038 4 vccd2
+port 639 nsew
+rlabel metal5 s 291760 308738 294370 309038 4 vccd2
+port 639 nsew
+rlabel metal5 s -2408 308738 240 309038 4 vccd2
+port 639 nsew
+rlabel metal5 s 291760 290738 294370 291038 4 vccd2
+port 639 nsew
+rlabel metal5 s -2408 290738 240 291038 4 vccd2
+port 639 nsew
+rlabel metal5 s 291760 272738 294370 273038 4 vccd2
+port 639 nsew
+rlabel metal5 s -2408 272738 240 273038 4 vccd2
+port 639 nsew
+rlabel metal5 s 291760 254738 294370 255038 4 vccd2
+port 639 nsew
+rlabel metal5 s -2408 254738 240 255038 4 vccd2
+port 639 nsew
+rlabel metal5 s 291760 236738 294370 237038 4 vccd2
+port 639 nsew
+rlabel metal5 s -2408 236738 240 237038 4 vccd2
+port 639 nsew
+rlabel metal5 s 291760 218738 294370 219038 4 vccd2
+port 639 nsew
+rlabel metal5 s -2408 218738 240 219038 4 vccd2
+port 639 nsew
+rlabel metal5 s 291760 200738 294370 201038 4 vccd2
+port 639 nsew
+rlabel metal5 s -2408 200738 240 201038 4 vccd2
+port 639 nsew
+rlabel metal5 s 291760 182738 294370 183038 4 vccd2
+port 639 nsew
+rlabel metal5 s -2408 182738 240 183038 4 vccd2
+port 639 nsew
+rlabel metal5 s 291760 164738 294370 165038 4 vccd2
+port 639 nsew
+rlabel metal5 s -2408 164738 240 165038 4 vccd2
+port 639 nsew
+rlabel metal5 s 291760 146738 294370 147038 4 vccd2
+port 639 nsew
+rlabel metal5 s -2408 146738 240 147038 4 vccd2
+port 639 nsew
+rlabel metal5 s 291760 128738 294370 129038 4 vccd2
+port 639 nsew
+rlabel metal5 s -2408 128738 240 129038 4 vccd2
+port 639 nsew
+rlabel metal5 s 291760 110738 294370 111038 4 vccd2
+port 639 nsew
+rlabel metal5 s -2408 110738 240 111038 4 vccd2
+port 639 nsew
+rlabel metal5 s 291760 92738 294370 93038 4 vccd2
+port 639 nsew
+rlabel metal5 s -2408 92738 240 93038 4 vccd2
+port 639 nsew
+rlabel metal5 s 291760 74738 294370 75038 4 vccd2
+port 639 nsew
+rlabel metal5 s -2408 74738 240 75038 4 vccd2
+port 639 nsew
+rlabel metal5 s 291760 56738 294370 57038 4 vccd2
+port 639 nsew
+rlabel metal5 s -2408 56738 240 57038 4 vccd2
+port 639 nsew
+rlabel metal5 s 291760 38738 294370 39038 4 vccd2
+port 639 nsew
+rlabel metal5 s -2408 38738 240 39038 4 vccd2
+port 639 nsew
+rlabel metal5 s 291760 20738 294370 21038 4 vccd2
+port 639 nsew
+rlabel metal5 s -2408 20738 240 21038 4 vccd2
+port 639 nsew
+rlabel metal5 s 291760 2738 294370 3038 4 vccd2
+port 639 nsew
+rlabel metal5 s -2408 2738 240 3038 4 vccd2
+port 639 nsew
+rlabel metal5 s -1938 -1402 293900 -1102 4 vccd2
+port 639 nsew
+rlabel metal4 s 294070 -1872 294370 353840 4 vssd2
 port 640 nsew
-rlabel metal5 s -2838 -2302 294800 -2002 4 vdda1
+rlabel metal4 s 281202 351760 281502 353840 4 vssd2
+port 640 nsew
+rlabel metal4 s 263202 351760 263502 353840 4 vssd2
+port 640 nsew
+rlabel metal4 s 245202 351760 245502 353840 4 vssd2
+port 640 nsew
+rlabel metal4 s 227202 351760 227502 353840 4 vssd2
+port 640 nsew
+rlabel metal4 s 209202 351760 209502 353840 4 vssd2
+port 640 nsew
+rlabel metal4 s 191202 351760 191502 353840 4 vssd2
+port 640 nsew
+rlabel metal4 s 173202 351760 173502 353840 4 vssd2
+port 640 nsew
+rlabel metal4 s 155202 351760 155502 353840 4 vssd2
+port 640 nsew
+rlabel metal4 s 137202 351760 137502 353840 4 vssd2
+port 640 nsew
+rlabel metal4 s 119202 351760 119502 353840 4 vssd2
+port 640 nsew
+rlabel metal4 s 101202 351760 101502 353840 4 vssd2
+port 640 nsew
+rlabel metal4 s 83202 351760 83502 353840 4 vssd2
+port 640 nsew
+rlabel metal4 s 65202 351760 65502 353840 4 vssd2
+port 640 nsew
+rlabel metal4 s 47202 351760 47502 353840 4 vssd2
+port 640 nsew
+rlabel metal4 s 29202 351760 29502 353840 4 vssd2
+port 640 nsew
+rlabel metal4 s 11202 351760 11502 353840 4 vssd2
+port 640 nsew
+rlabel metal4 s -2408 -1872 -2108 353840 4 vssd2
+port 640 nsew
+rlabel metal4 s 281202 -1872 281502 240 4 vssd2
+port 640 nsew
+rlabel metal4 s 263202 -1872 263502 240 4 vssd2
+port 640 nsew
+rlabel metal4 s 245202 -1872 245502 240 4 vssd2
+port 640 nsew
+rlabel metal4 s 227202 -1872 227502 240 4 vssd2
+port 640 nsew
+rlabel metal4 s 209202 -1872 209502 240 4 vssd2
+port 640 nsew
+rlabel metal4 s 191202 -1872 191502 240 4 vssd2
+port 640 nsew
+rlabel metal4 s 173202 -1872 173502 240 4 vssd2
+port 640 nsew
+rlabel metal4 s 155202 -1872 155502 240 4 vssd2
+port 640 nsew
+rlabel metal4 s 137202 -1872 137502 240 4 vssd2
+port 640 nsew
+rlabel metal4 s 119202 -1872 119502 240 4 vssd2
+port 640 nsew
+rlabel metal4 s 101202 -1872 101502 240 4 vssd2
+port 640 nsew
+rlabel metal4 s 83202 -1872 83502 240 4 vssd2
+port 640 nsew
+rlabel metal4 s 65202 -1872 65502 240 4 vssd2
+port 640 nsew
+rlabel metal4 s 47202 -1872 47502 240 4 vssd2
+port 640 nsew
+rlabel metal4 s 29202 -1872 29502 240 4 vssd2
+port 640 nsew
+rlabel metal4 s 11202 -1872 11502 240 4 vssd2
+port 640 nsew
+rlabel metal5 s -2408 353540 294370 353840 4 vssd2
+port 640 nsew
+rlabel metal5 s 291760 335738 294370 336038 4 vssd2
+port 640 nsew
+rlabel metal5 s -2408 335738 240 336038 4 vssd2
+port 640 nsew
+rlabel metal5 s 291760 317738 294370 318038 4 vssd2
+port 640 nsew
+rlabel metal5 s -2408 317738 240 318038 4 vssd2
+port 640 nsew
+rlabel metal5 s 291760 299738 294370 300038 4 vssd2
+port 640 nsew
+rlabel metal5 s -2408 299738 240 300038 4 vssd2
+port 640 nsew
+rlabel metal5 s 291760 281738 294370 282038 4 vssd2
+port 640 nsew
+rlabel metal5 s -2408 281738 240 282038 4 vssd2
+port 640 nsew
+rlabel metal5 s 291760 263738 294370 264038 4 vssd2
+port 640 nsew
+rlabel metal5 s -2408 263738 240 264038 4 vssd2
+port 640 nsew
+rlabel metal5 s 291760 245738 294370 246038 4 vssd2
+port 640 nsew
+rlabel metal5 s -2408 245738 240 246038 4 vssd2
+port 640 nsew
+rlabel metal5 s 291760 227738 294370 228038 4 vssd2
+port 640 nsew
+rlabel metal5 s -2408 227738 240 228038 4 vssd2
+port 640 nsew
+rlabel metal5 s 291760 209738 294370 210038 4 vssd2
+port 640 nsew
+rlabel metal5 s -2408 209738 240 210038 4 vssd2
+port 640 nsew
+rlabel metal5 s 291760 191738 294370 192038 4 vssd2
+port 640 nsew
+rlabel metal5 s -2408 191738 240 192038 4 vssd2
+port 640 nsew
+rlabel metal5 s 291760 173738 294370 174038 4 vssd2
+port 640 nsew
+rlabel metal5 s -2408 173738 240 174038 4 vssd2
+port 640 nsew
+rlabel metal5 s 291760 155738 294370 156038 4 vssd2
+port 640 nsew
+rlabel metal5 s -2408 155738 240 156038 4 vssd2
+port 640 nsew
+rlabel metal5 s 291760 137738 294370 138038 4 vssd2
+port 640 nsew
+rlabel metal5 s -2408 137738 240 138038 4 vssd2
+port 640 nsew
+rlabel metal5 s 291760 119738 294370 120038 4 vssd2
+port 640 nsew
+rlabel metal5 s -2408 119738 240 120038 4 vssd2
+port 640 nsew
+rlabel metal5 s 291760 101738 294370 102038 4 vssd2
+port 640 nsew
+rlabel metal5 s -2408 101738 240 102038 4 vssd2
+port 640 nsew
+rlabel metal5 s 291760 83738 294370 84038 4 vssd2
+port 640 nsew
+rlabel metal5 s -2408 83738 240 84038 4 vssd2
+port 640 nsew
+rlabel metal5 s 291760 65738 294370 66038 4 vssd2
+port 640 nsew
+rlabel metal5 s -2408 65738 240 66038 4 vssd2
+port 640 nsew
+rlabel metal5 s 291760 47738 294370 48038 4 vssd2
+port 640 nsew
+rlabel metal5 s -2408 47738 240 48038 4 vssd2
+port 640 nsew
+rlabel metal5 s 291760 29738 294370 30038 4 vssd2
+port 640 nsew
+rlabel metal5 s -2408 29738 240 30038 4 vssd2
+port 640 nsew
+rlabel metal5 s 291760 11738 294370 12038 4 vssd2
+port 640 nsew
+rlabel metal5 s -2408 11738 240 12038 4 vssd2
+port 640 nsew
+rlabel metal5 s -2408 -1872 294370 -1572 4 vssd2
+port 640 nsew
+rlabel metal4 s 274002 351760 274302 354780 4 vdda1
 port 641 nsew
-rlabel metal5 s -3298 -2762 295260 -2462 4 vssa1
+rlabel metal4 s 256002 351760 256302 354780 4 vdda1
+port 641 nsew
+rlabel metal4 s 238002 351760 238302 354780 4 vdda1
+port 641 nsew
+rlabel metal4 s 220002 351760 220302 354780 4 vdda1
+port 641 nsew
+rlabel metal4 s 202002 351760 202302 354780 4 vdda1
+port 641 nsew
+rlabel metal4 s 184002 351760 184302 354780 4 vdda1
+port 641 nsew
+rlabel metal4 s 166002 351760 166302 354780 4 vdda1
+port 641 nsew
+rlabel metal4 s 148002 351760 148302 354780 4 vdda1
+port 641 nsew
+rlabel metal4 s 130002 351760 130302 354780 4 vdda1
+port 641 nsew
+rlabel metal4 s 112002 351760 112302 354780 4 vdda1
+port 641 nsew
+rlabel metal4 s 94002 351760 94302 354780 4 vdda1
+port 641 nsew
+rlabel metal4 s 76002 351760 76302 354780 4 vdda1
+port 641 nsew
+rlabel metal4 s 58002 351760 58302 354780 4 vdda1
+port 641 nsew
+rlabel metal4 s 40002 351760 40302 354780 4 vdda1
+port 641 nsew
+rlabel metal4 s 22002 351760 22302 354780 4 vdda1
+port 641 nsew
+rlabel metal4 s 4002 351760 4302 354780 4 vdda1
+port 641 nsew
+rlabel metal4 s 294540 -2342 294840 354310 4 vdda1
+port 641 nsew
+rlabel metal4 s -2878 -2342 -2578 354310 4 vdda1
+port 641 nsew
+rlabel metal4 s 274002 -2812 274302 240 4 vdda1
+port 641 nsew
+rlabel metal4 s 256002 -2812 256302 240 4 vdda1
+port 641 nsew
+rlabel metal4 s 238002 -2812 238302 240 4 vdda1
+port 641 nsew
+rlabel metal4 s 220002 -2812 220302 240 4 vdda1
+port 641 nsew
+rlabel metal4 s 202002 -2812 202302 240 4 vdda1
+port 641 nsew
+rlabel metal4 s 184002 -2812 184302 240 4 vdda1
+port 641 nsew
+rlabel metal4 s 166002 -2812 166302 240 4 vdda1
+port 641 nsew
+rlabel metal4 s 148002 -2812 148302 240 4 vdda1
+port 641 nsew
+rlabel metal4 s 130002 -2812 130302 240 4 vdda1
+port 641 nsew
+rlabel metal4 s 112002 -2812 112302 240 4 vdda1
+port 641 nsew
+rlabel metal4 s 94002 -2812 94302 240 4 vdda1
+port 641 nsew
+rlabel metal4 s 76002 -2812 76302 240 4 vdda1
+port 641 nsew
+rlabel metal4 s 58002 -2812 58302 240 4 vdda1
+port 641 nsew
+rlabel metal4 s 40002 -2812 40302 240 4 vdda1
+port 641 nsew
+rlabel metal4 s 22002 -2812 22302 240 4 vdda1
+port 641 nsew
+rlabel metal4 s 4002 -2812 4302 240 4 vdda1
+port 641 nsew
+rlabel metal5 s -2878 354010 294840 354310 4 vdda1
+port 641 nsew
+rlabel metal5 s 291760 346538 295310 346838 4 vdda1
+port 641 nsew
+rlabel metal5 s -3348 346538 240 346838 4 vdda1
+port 641 nsew
+rlabel metal5 s 291760 328538 295310 328838 4 vdda1
+port 641 nsew
+rlabel metal5 s -3348 328538 240 328838 4 vdda1
+port 641 nsew
+rlabel metal5 s 291760 310538 295310 310838 4 vdda1
+port 641 nsew
+rlabel metal5 s -3348 310538 240 310838 4 vdda1
+port 641 nsew
+rlabel metal5 s 291760 292538 295310 292838 4 vdda1
+port 641 nsew
+rlabel metal5 s -3348 292538 240 292838 4 vdda1
+port 641 nsew
+rlabel metal5 s 291760 274538 295310 274838 4 vdda1
+port 641 nsew
+rlabel metal5 s -3348 274538 240 274838 4 vdda1
+port 641 nsew
+rlabel metal5 s 291760 256538 295310 256838 4 vdda1
+port 641 nsew
+rlabel metal5 s -3348 256538 240 256838 4 vdda1
+port 641 nsew
+rlabel metal5 s 291760 238538 295310 238838 4 vdda1
+port 641 nsew
+rlabel metal5 s -3348 238538 240 238838 4 vdda1
+port 641 nsew
+rlabel metal5 s 291760 220538 295310 220838 4 vdda1
+port 641 nsew
+rlabel metal5 s -3348 220538 240 220838 4 vdda1
+port 641 nsew
+rlabel metal5 s 291760 202538 295310 202838 4 vdda1
+port 641 nsew
+rlabel metal5 s -3348 202538 240 202838 4 vdda1
+port 641 nsew
+rlabel metal5 s 291760 184538 295310 184838 4 vdda1
+port 641 nsew
+rlabel metal5 s -3348 184538 240 184838 4 vdda1
+port 641 nsew
+rlabel metal5 s 291760 166538 295310 166838 4 vdda1
+port 641 nsew
+rlabel metal5 s -3348 166538 240 166838 4 vdda1
+port 641 nsew
+rlabel metal5 s 291760 148538 295310 148838 4 vdda1
+port 641 nsew
+rlabel metal5 s -3348 148538 240 148838 4 vdda1
+port 641 nsew
+rlabel metal5 s 291760 130538 295310 130838 4 vdda1
+port 641 nsew
+rlabel metal5 s -3348 130538 240 130838 4 vdda1
+port 641 nsew
+rlabel metal5 s 291760 112538 295310 112838 4 vdda1
+port 641 nsew
+rlabel metal5 s -3348 112538 240 112838 4 vdda1
+port 641 nsew
+rlabel metal5 s 291760 94538 295310 94838 4 vdda1
+port 641 nsew
+rlabel metal5 s -3348 94538 240 94838 4 vdda1
+port 641 nsew
+rlabel metal5 s 291760 76538 295310 76838 4 vdda1
+port 641 nsew
+rlabel metal5 s -3348 76538 240 76838 4 vdda1
+port 641 nsew
+rlabel metal5 s 291760 58538 295310 58838 4 vdda1
+port 641 nsew
+rlabel metal5 s -3348 58538 240 58838 4 vdda1
+port 641 nsew
+rlabel metal5 s 291760 40538 295310 40838 4 vdda1
+port 641 nsew
+rlabel metal5 s -3348 40538 240 40838 4 vdda1
+port 641 nsew
+rlabel metal5 s 291760 22538 295310 22838 4 vdda1
+port 641 nsew
+rlabel metal5 s -3348 22538 240 22838 4 vdda1
+port 641 nsew
+rlabel metal5 s 291760 4538 295310 4838 4 vdda1
+port 641 nsew
+rlabel metal5 s -3348 4538 240 4838 4 vdda1
+port 641 nsew
+rlabel metal5 s -2878 -2342 294840 -2042 4 vdda1
+port 641 nsew
+rlabel metal4 s 295010 -2812 295310 354780 4 vssa1
 port 642 nsew
-rlabel metal5 s -3758 -3222 295720 -2922 4 vdda2
+rlabel metal4 s 283002 351760 283302 354780 4 vssa1
+port 642 nsew
+rlabel metal4 s 265002 351760 265302 354780 4 vssa1
+port 642 nsew
+rlabel metal4 s 247002 351760 247302 354780 4 vssa1
+port 642 nsew
+rlabel metal4 s 229002 351760 229302 354780 4 vssa1
+port 642 nsew
+rlabel metal4 s 211002 351760 211302 354780 4 vssa1
+port 642 nsew
+rlabel metal4 s 193002 351760 193302 354780 4 vssa1
+port 642 nsew
+rlabel metal4 s 175002 351760 175302 354780 4 vssa1
+port 642 nsew
+rlabel metal4 s 157002 351760 157302 354780 4 vssa1
+port 642 nsew
+rlabel metal4 s 139002 351760 139302 354780 4 vssa1
+port 642 nsew
+rlabel metal4 s 121002 351760 121302 354780 4 vssa1
+port 642 nsew
+rlabel metal4 s 103002 351760 103302 354780 4 vssa1
+port 642 nsew
+rlabel metal4 s 85002 351760 85302 354780 4 vssa1
+port 642 nsew
+rlabel metal4 s 67002 351760 67302 354780 4 vssa1
+port 642 nsew
+rlabel metal4 s 49002 351760 49302 354780 4 vssa1
+port 642 nsew
+rlabel metal4 s 31002 351760 31302 354780 4 vssa1
+port 642 nsew
+rlabel metal4 s 13002 351760 13302 354780 4 vssa1
+port 642 nsew
+rlabel metal4 s -3348 -2812 -3048 354780 4 vssa1
+port 642 nsew
+rlabel metal4 s 283002 -2812 283302 240 4 vssa1
+port 642 nsew
+rlabel metal4 s 265002 -2812 265302 240 4 vssa1
+port 642 nsew
+rlabel metal4 s 247002 -2812 247302 240 4 vssa1
+port 642 nsew
+rlabel metal4 s 229002 -2812 229302 240 4 vssa1
+port 642 nsew
+rlabel metal4 s 211002 -2812 211302 240 4 vssa1
+port 642 nsew
+rlabel metal4 s 193002 -2812 193302 240 4 vssa1
+port 642 nsew
+rlabel metal4 s 175002 -2812 175302 240 4 vssa1
+port 642 nsew
+rlabel metal4 s 157002 -2812 157302 240 4 vssa1
+port 642 nsew
+rlabel metal4 s 139002 -2812 139302 240 4 vssa1
+port 642 nsew
+rlabel metal4 s 121002 -2812 121302 240 4 vssa1
+port 642 nsew
+rlabel metal4 s 103002 -2812 103302 240 4 vssa1
+port 642 nsew
+rlabel metal4 s 85002 -2812 85302 240 4 vssa1
+port 642 nsew
+rlabel metal4 s 67002 -2812 67302 240 4 vssa1
+port 642 nsew
+rlabel metal4 s 49002 -2812 49302 240 4 vssa1
+port 642 nsew
+rlabel metal4 s 31002 -2812 31302 240 4 vssa1
+port 642 nsew
+rlabel metal4 s 13002 -2812 13302 240 4 vssa1
+port 642 nsew
+rlabel metal5 s -3348 354480 295310 354780 4 vssa1
+port 642 nsew
+rlabel metal5 s 291760 337538 295310 337838 4 vssa1
+port 642 nsew
+rlabel metal5 s -3348 337538 240 337838 4 vssa1
+port 642 nsew
+rlabel metal5 s 291760 319538 295310 319838 4 vssa1
+port 642 nsew
+rlabel metal5 s -3348 319538 240 319838 4 vssa1
+port 642 nsew
+rlabel metal5 s 291760 301538 295310 301838 4 vssa1
+port 642 nsew
+rlabel metal5 s -3348 301538 240 301838 4 vssa1
+port 642 nsew
+rlabel metal5 s 291760 283538 295310 283838 4 vssa1
+port 642 nsew
+rlabel metal5 s -3348 283538 240 283838 4 vssa1
+port 642 nsew
+rlabel metal5 s 291760 265538 295310 265838 4 vssa1
+port 642 nsew
+rlabel metal5 s -3348 265538 240 265838 4 vssa1
+port 642 nsew
+rlabel metal5 s 291760 247538 295310 247838 4 vssa1
+port 642 nsew
+rlabel metal5 s -3348 247538 240 247838 4 vssa1
+port 642 nsew
+rlabel metal5 s 291760 229538 295310 229838 4 vssa1
+port 642 nsew
+rlabel metal5 s -3348 229538 240 229838 4 vssa1
+port 642 nsew
+rlabel metal5 s 291760 211538 295310 211838 4 vssa1
+port 642 nsew
+rlabel metal5 s -3348 211538 240 211838 4 vssa1
+port 642 nsew
+rlabel metal5 s 291760 193538 295310 193838 4 vssa1
+port 642 nsew
+rlabel metal5 s -3348 193538 240 193838 4 vssa1
+port 642 nsew
+rlabel metal5 s 291760 175538 295310 175838 4 vssa1
+port 642 nsew
+rlabel metal5 s -3348 175538 240 175838 4 vssa1
+port 642 nsew
+rlabel metal5 s 291760 157538 295310 157838 4 vssa1
+port 642 nsew
+rlabel metal5 s -3348 157538 240 157838 4 vssa1
+port 642 nsew
+rlabel metal5 s 291760 139538 295310 139838 4 vssa1
+port 642 nsew
+rlabel metal5 s -3348 139538 240 139838 4 vssa1
+port 642 nsew
+rlabel metal5 s 291760 121538 295310 121838 4 vssa1
+port 642 nsew
+rlabel metal5 s -3348 121538 240 121838 4 vssa1
+port 642 nsew
+rlabel metal5 s 291760 103538 295310 103838 4 vssa1
+port 642 nsew
+rlabel metal5 s -3348 103538 240 103838 4 vssa1
+port 642 nsew
+rlabel metal5 s 291760 85538 295310 85838 4 vssa1
+port 642 nsew
+rlabel metal5 s -3348 85538 240 85838 4 vssa1
+port 642 nsew
+rlabel metal5 s 291760 67538 295310 67838 4 vssa1
+port 642 nsew
+rlabel metal5 s -3348 67538 240 67838 4 vssa1
+port 642 nsew
+rlabel metal5 s 291760 49538 295310 49838 4 vssa1
+port 642 nsew
+rlabel metal5 s -3348 49538 240 49838 4 vssa1
+port 642 nsew
+rlabel metal5 s 291760 31538 295310 31838 4 vssa1
+port 642 nsew
+rlabel metal5 s -3348 31538 240 31838 4 vssa1
+port 642 nsew
+rlabel metal5 s 291760 13538 295310 13838 4 vssa1
+port 642 nsew
+rlabel metal5 s -3348 13538 240 13838 4 vssa1
+port 642 nsew
+rlabel metal5 s -3348 -2812 295310 -2512 4 vssa1
+port 642 nsew
+rlabel metal4 s 275802 351760 276102 355720 4 vdda2
 port 643 nsew
-rlabel metal5 s -4218 -3682 296180 -3382 4 vssa2
+rlabel metal4 s 257802 351760 258102 355720 4 vdda2
+port 643 nsew
+rlabel metal4 s 239802 351760 240102 355720 4 vdda2
+port 643 nsew
+rlabel metal4 s 221802 351760 222102 355720 4 vdda2
+port 643 nsew
+rlabel metal4 s 203802 351760 204102 355720 4 vdda2
+port 643 nsew
+rlabel metal4 s 185802 351760 186102 355720 4 vdda2
+port 643 nsew
+rlabel metal4 s 167802 351760 168102 355720 4 vdda2
+port 643 nsew
+rlabel metal4 s 149802 351760 150102 355720 4 vdda2
+port 643 nsew
+rlabel metal4 s 131802 351760 132102 355720 4 vdda2
+port 643 nsew
+rlabel metal4 s 113802 351760 114102 355720 4 vdda2
+port 643 nsew
+rlabel metal4 s 95802 351760 96102 355720 4 vdda2
+port 643 nsew
+rlabel metal4 s 77802 351760 78102 355720 4 vdda2
+port 643 nsew
+rlabel metal4 s 59802 351760 60102 355720 4 vdda2
+port 643 nsew
+rlabel metal4 s 41802 351760 42102 355720 4 vdda2
+port 643 nsew
+rlabel metal4 s 23802 351760 24102 355720 4 vdda2
+port 643 nsew
+rlabel metal4 s 5802 351760 6102 355720 4 vdda2
+port 643 nsew
+rlabel metal4 s 295480 -3282 295780 355250 4 vdda2
+port 643 nsew
+rlabel metal4 s -3818 -3282 -3518 355250 4 vdda2
+port 643 nsew
+rlabel metal4 s 275802 -3752 276102 240 4 vdda2
+port 643 nsew
+rlabel metal4 s 257802 -3752 258102 240 4 vdda2
+port 643 nsew
+rlabel metal4 s 239802 -3752 240102 240 4 vdda2
+port 643 nsew
+rlabel metal4 s 221802 -3752 222102 240 4 vdda2
+port 643 nsew
+rlabel metal4 s 203802 -3752 204102 240 4 vdda2
+port 643 nsew
+rlabel metal4 s 185802 -3752 186102 240 4 vdda2
+port 643 nsew
+rlabel metal4 s 167802 -3752 168102 240 4 vdda2
+port 643 nsew
+rlabel metal4 s 149802 -3752 150102 240 4 vdda2
+port 643 nsew
+rlabel metal4 s 131802 -3752 132102 240 4 vdda2
+port 643 nsew
+rlabel metal4 s 113802 -3752 114102 240 4 vdda2
+port 643 nsew
+rlabel metal4 s 95802 -3752 96102 240 4 vdda2
+port 643 nsew
+rlabel metal4 s 77802 -3752 78102 240 4 vdda2
+port 643 nsew
+rlabel metal4 s 59802 -3752 60102 240 4 vdda2
+port 643 nsew
+rlabel metal4 s 41802 -3752 42102 240 4 vdda2
+port 643 nsew
+rlabel metal4 s 23802 -3752 24102 240 4 vdda2
+port 643 nsew
+rlabel metal4 s 5802 -3752 6102 240 4 vdda2
+port 643 nsew
+rlabel metal5 s -3818 354950 295780 355250 4 vdda2
+port 643 nsew
+rlabel metal5 s 291760 348338 296250 348638 4 vdda2
+port 643 nsew
+rlabel metal5 s -4288 348338 240 348638 4 vdda2
+port 643 nsew
+rlabel metal5 s 291760 330338 296250 330638 4 vdda2
+port 643 nsew
+rlabel metal5 s -4288 330338 240 330638 4 vdda2
+port 643 nsew
+rlabel metal5 s 291760 312338 296250 312638 4 vdda2
+port 643 nsew
+rlabel metal5 s -4288 312338 240 312638 4 vdda2
+port 643 nsew
+rlabel metal5 s 291760 294338 296250 294638 4 vdda2
+port 643 nsew
+rlabel metal5 s -4288 294338 240 294638 4 vdda2
+port 643 nsew
+rlabel metal5 s 291760 276338 296250 276638 4 vdda2
+port 643 nsew
+rlabel metal5 s -4288 276338 240 276638 4 vdda2
+port 643 nsew
+rlabel metal5 s 291760 258338 296250 258638 4 vdda2
+port 643 nsew
+rlabel metal5 s -4288 258338 240 258638 4 vdda2
+port 643 nsew
+rlabel metal5 s 291760 240338 296250 240638 4 vdda2
+port 643 nsew
+rlabel metal5 s -4288 240338 240 240638 4 vdda2
+port 643 nsew
+rlabel metal5 s 291760 222338 296250 222638 4 vdda2
+port 643 nsew
+rlabel metal5 s -4288 222338 240 222638 4 vdda2
+port 643 nsew
+rlabel metal5 s 291760 204338 296250 204638 4 vdda2
+port 643 nsew
+rlabel metal5 s -4288 204338 240 204638 4 vdda2
+port 643 nsew
+rlabel metal5 s 291760 186338 296250 186638 4 vdda2
+port 643 nsew
+rlabel metal5 s -4288 186338 240 186638 4 vdda2
+port 643 nsew
+rlabel metal5 s 291760 168338 296250 168638 4 vdda2
+port 643 nsew
+rlabel metal5 s -4288 168338 240 168638 4 vdda2
+port 643 nsew
+rlabel metal5 s 291760 150338 296250 150638 4 vdda2
+port 643 nsew
+rlabel metal5 s -4288 150338 240 150638 4 vdda2
+port 643 nsew
+rlabel metal5 s 291760 132338 296250 132638 4 vdda2
+port 643 nsew
+rlabel metal5 s -4288 132338 240 132638 4 vdda2
+port 643 nsew
+rlabel metal5 s 291760 114338 296250 114638 4 vdda2
+port 643 nsew
+rlabel metal5 s -4288 114338 240 114638 4 vdda2
+port 643 nsew
+rlabel metal5 s 291760 96338 296250 96638 4 vdda2
+port 643 nsew
+rlabel metal5 s -4288 96338 240 96638 4 vdda2
+port 643 nsew
+rlabel metal5 s 291760 78338 296250 78638 4 vdda2
+port 643 nsew
+rlabel metal5 s -4288 78338 240 78638 4 vdda2
+port 643 nsew
+rlabel metal5 s 291760 60338 296250 60638 4 vdda2
+port 643 nsew
+rlabel metal5 s -4288 60338 240 60638 4 vdda2
+port 643 nsew
+rlabel metal5 s 291760 42338 296250 42638 4 vdda2
+port 643 nsew
+rlabel metal5 s -4288 42338 240 42638 4 vdda2
+port 643 nsew
+rlabel metal5 s 291760 24338 296250 24638 4 vdda2
+port 643 nsew
+rlabel metal5 s -4288 24338 240 24638 4 vdda2
+port 643 nsew
+rlabel metal5 s 291760 6338 296250 6638 4 vdda2
+port 643 nsew
+rlabel metal5 s -4288 6338 240 6638 4 vdda2
+port 643 nsew
+rlabel metal5 s -3818 -3282 295780 -2982 4 vdda2
+port 643 nsew
+rlabel metal4 s 295950 -3752 296250 355720 4 vssa2
+port 644 nsew
+rlabel metal4 s 284802 351760 285102 355720 4 vssa2
+port 644 nsew
+rlabel metal4 s 266802 351760 267102 355720 4 vssa2
+port 644 nsew
+rlabel metal4 s 248802 351760 249102 355720 4 vssa2
+port 644 nsew
+rlabel metal4 s 230802 351760 231102 355720 4 vssa2
+port 644 nsew
+rlabel metal4 s 212802 351760 213102 355720 4 vssa2
+port 644 nsew
+rlabel metal4 s 194802 351760 195102 355720 4 vssa2
+port 644 nsew
+rlabel metal4 s 176802 351760 177102 355720 4 vssa2
+port 644 nsew
+rlabel metal4 s 158802 351760 159102 355720 4 vssa2
+port 644 nsew
+rlabel metal4 s 140802 351760 141102 355720 4 vssa2
+port 644 nsew
+rlabel metal4 s 122802 351760 123102 355720 4 vssa2
+port 644 nsew
+rlabel metal4 s 104802 351760 105102 355720 4 vssa2
+port 644 nsew
+rlabel metal4 s 86802 351760 87102 355720 4 vssa2
+port 644 nsew
+rlabel metal4 s 68802 351760 69102 355720 4 vssa2
+port 644 nsew
+rlabel metal4 s 50802 351760 51102 355720 4 vssa2
+port 644 nsew
+rlabel metal4 s 32802 351760 33102 355720 4 vssa2
+port 644 nsew
+rlabel metal4 s 14802 351760 15102 355720 4 vssa2
+port 644 nsew
+rlabel metal4 s -4288 -3752 -3988 355720 4 vssa2
+port 644 nsew
+rlabel metal4 s 284802 -3752 285102 240 4 vssa2
+port 644 nsew
+rlabel metal4 s 266802 -3752 267102 240 4 vssa2
+port 644 nsew
+rlabel metal4 s 248802 -3752 249102 240 4 vssa2
+port 644 nsew
+rlabel metal4 s 230802 -3752 231102 240 4 vssa2
+port 644 nsew
+rlabel metal4 s 212802 -3752 213102 240 4 vssa2
+port 644 nsew
+rlabel metal4 s 194802 -3752 195102 240 4 vssa2
+port 644 nsew
+rlabel metal4 s 176802 -3752 177102 240 4 vssa2
+port 644 nsew
+rlabel metal4 s 158802 -3752 159102 240 4 vssa2
+port 644 nsew
+rlabel metal4 s 140802 -3752 141102 240 4 vssa2
+port 644 nsew
+rlabel metal4 s 122802 -3752 123102 240 4 vssa2
+port 644 nsew
+rlabel metal4 s 104802 -3752 105102 240 4 vssa2
+port 644 nsew
+rlabel metal4 s 86802 -3752 87102 240 4 vssa2
+port 644 nsew
+rlabel metal4 s 68802 -3752 69102 240 4 vssa2
+port 644 nsew
+rlabel metal4 s 50802 -3752 51102 240 4 vssa2
+port 644 nsew
+rlabel metal4 s 32802 -3752 33102 240 4 vssa2
+port 644 nsew
+rlabel metal4 s 14802 -3752 15102 240 4 vssa2
+port 644 nsew
+rlabel metal5 s -4288 355420 296250 355720 4 vssa2
+port 644 nsew
+rlabel metal5 s 291760 339338 296250 339638 4 vssa2
+port 644 nsew
+rlabel metal5 s -4288 339338 240 339638 4 vssa2
+port 644 nsew
+rlabel metal5 s 291760 321338 296250 321638 4 vssa2
+port 644 nsew
+rlabel metal5 s -4288 321338 240 321638 4 vssa2
+port 644 nsew
+rlabel metal5 s 291760 303338 296250 303638 4 vssa2
+port 644 nsew
+rlabel metal5 s -4288 303338 240 303638 4 vssa2
+port 644 nsew
+rlabel metal5 s 291760 285338 296250 285638 4 vssa2
+port 644 nsew
+rlabel metal5 s -4288 285338 240 285638 4 vssa2
+port 644 nsew
+rlabel metal5 s 291760 267338 296250 267638 4 vssa2
+port 644 nsew
+rlabel metal5 s -4288 267338 240 267638 4 vssa2
+port 644 nsew
+rlabel metal5 s 291760 249338 296250 249638 4 vssa2
+port 644 nsew
+rlabel metal5 s -4288 249338 240 249638 4 vssa2
+port 644 nsew
+rlabel metal5 s 291760 231338 296250 231638 4 vssa2
+port 644 nsew
+rlabel metal5 s -4288 231338 240 231638 4 vssa2
+port 644 nsew
+rlabel metal5 s 291760 213338 296250 213638 4 vssa2
+port 644 nsew
+rlabel metal5 s -4288 213338 240 213638 4 vssa2
+port 644 nsew
+rlabel metal5 s 291760 195338 296250 195638 4 vssa2
+port 644 nsew
+rlabel metal5 s -4288 195338 240 195638 4 vssa2
+port 644 nsew
+rlabel metal5 s 291760 177338 296250 177638 4 vssa2
+port 644 nsew
+rlabel metal5 s -4288 177338 240 177638 4 vssa2
+port 644 nsew
+rlabel metal5 s 291760 159338 296250 159638 4 vssa2
+port 644 nsew
+rlabel metal5 s -4288 159338 240 159638 4 vssa2
+port 644 nsew
+rlabel metal5 s 291760 141338 296250 141638 4 vssa2
+port 644 nsew
+rlabel metal5 s -4288 141338 240 141638 4 vssa2
+port 644 nsew
+rlabel metal5 s 291760 123338 296250 123638 4 vssa2
+port 644 nsew
+rlabel metal5 s -4288 123338 240 123638 4 vssa2
+port 644 nsew
+rlabel metal5 s 291760 105338 296250 105638 4 vssa2
+port 644 nsew
+rlabel metal5 s -4288 105338 240 105638 4 vssa2
+port 644 nsew
+rlabel metal5 s 291760 87338 296250 87638 4 vssa2
+port 644 nsew
+rlabel metal5 s -4288 87338 240 87638 4 vssa2
+port 644 nsew
+rlabel metal5 s 291760 69338 296250 69638 4 vssa2
+port 644 nsew
+rlabel metal5 s -4288 69338 240 69638 4 vssa2
+port 644 nsew
+rlabel metal5 s 291760 51338 296250 51638 4 vssa2
+port 644 nsew
+rlabel metal5 s -4288 51338 240 51638 4 vssa2
+port 644 nsew
+rlabel metal5 s 291760 33338 296250 33638 4 vssa2
+port 644 nsew
+rlabel metal5 s -4288 33338 240 33638 4 vssa2
+port 644 nsew
+rlabel metal5 s 291760 15338 296250 15638 4 vssa2
+port 644 nsew
+rlabel metal5 s -4288 15338 240 15638 4 vssa2
+port 644 nsew
+rlabel metal5 s -4288 -3752 296250 -3452 4 vssa2
 port 644 nsew
 << properties >>
 string FIXED_BBOX 0 0 292000 352000
 string GDS_FILE /project/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/results/magic/user_project_wrapper.gds
-string GDS_END 306838
+string GDS_END 370014
 string GDS_START 130
 << end >>
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/results/magic/user_project_wrapper.obstructed.lef b/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/results/magic/user_project_wrapper.obstructed.lef
index a7f7cd8..810404e 100644
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/results/magic/user_project_wrapper.obstructed.lef
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/results/magic/user_project_wrapper.obstructed.lef
@@ -4464,40 +4464,40 @@
     PORT
       LAYER met4 ;
         RECT -9.980000 -4.620000 -6.980000 3524.300000 ;
-        RECT 4.020000 3520.400000 7.020000 3528.900000 ;
-        RECT 184.020000 3520.400000 187.020000 3528.900000 ;
-        RECT 364.020000 3520.400000 367.020000 3528.900000 ;
-        RECT 544.020000 3520.400000 547.020000 3528.900000 ;
-        RECT 724.020000 3520.400000 727.020000 3528.900000 ;
-        RECT 904.020000 3520.400000 907.020000 3528.900000 ;
-        RECT 1084.020000 3520.400000 1087.020000 3528.900000 ;
-        RECT 1264.020000 3520.400000 1267.020000 3528.900000 ;
-        RECT 1444.020000 3520.400000 1447.020000 3528.900000 ;
-        RECT 1624.020000 3520.400000 1627.020000 3528.900000 ;
-        RECT 1804.020000 3520.400000 1807.020000 3528.900000 ;
-        RECT 1984.020000 3520.400000 1987.020000 3528.900000 ;
-        RECT 2164.020000 3520.400000 2167.020000 3528.900000 ;
-        RECT 2344.020000 3520.400000 2347.020000 3528.900000 ;
-        RECT 2524.020000 3520.400000 2527.020000 3528.900000 ;
-        RECT 2704.020000 3520.400000 2707.020000 3528.900000 ;
-        RECT 2884.020000 3520.400000 2887.020000 3528.900000 ;
-        RECT 4.020000 -9.220000 7.020000 -0.400000 ;
-        RECT 184.020000 -9.220000 187.020000 -0.400000 ;
-        RECT 364.020000 -9.220000 367.020000 -0.400000 ;
-        RECT 544.020000 -9.220000 547.020000 -0.400000 ;
-        RECT 724.020000 -9.220000 727.020000 -0.400000 ;
-        RECT 904.020000 -9.220000 907.020000 -0.400000 ;
-        RECT 1084.020000 -9.220000 1087.020000 -0.400000 ;
-        RECT 1264.020000 -9.220000 1267.020000 -0.400000 ;
-        RECT 1444.020000 -9.220000 1447.020000 -0.400000 ;
-        RECT 1624.020000 -9.220000 1627.020000 -0.400000 ;
-        RECT 1804.020000 -9.220000 1807.020000 -0.400000 ;
-        RECT 1984.020000 -9.220000 1987.020000 -0.400000 ;
-        RECT 2164.020000 -9.220000 2167.020000 -0.400000 ;
-        RECT 2344.020000 -9.220000 2347.020000 -0.400000 ;
-        RECT 2524.020000 -9.220000 2527.020000 -0.400000 ;
-        RECT 2704.020000 -9.220000 2707.020000 -0.400000 ;
-        RECT 2884.020000 -9.220000 2887.020000 -0.400000 ;
+        RECT 4.020000 3520.400000 7.020000 3529.000000 ;
+        RECT 184.020000 3520.400000 187.020000 3529.000000 ;
+        RECT 364.020000 3520.400000 367.020000 3529.000000 ;
+        RECT 544.020000 3520.400000 547.020000 3529.000000 ;
+        RECT 724.020000 3520.400000 727.020000 3529.000000 ;
+        RECT 904.020000 3520.400000 907.020000 3529.000000 ;
+        RECT 1084.020000 3520.400000 1087.020000 3529.000000 ;
+        RECT 1264.020000 3520.400000 1267.020000 3529.000000 ;
+        RECT 1444.020000 3520.400000 1447.020000 3529.000000 ;
+        RECT 1624.020000 3520.400000 1627.020000 3529.000000 ;
+        RECT 1804.020000 3520.400000 1807.020000 3529.000000 ;
+        RECT 1984.020000 3520.400000 1987.020000 3529.000000 ;
+        RECT 2164.020000 3520.400000 2167.020000 3529.000000 ;
+        RECT 2344.020000 3520.400000 2347.020000 3529.000000 ;
+        RECT 2524.020000 3520.400000 2527.020000 3529.000000 ;
+        RECT 2704.020000 3520.400000 2707.020000 3529.000000 ;
+        RECT 2884.020000 3520.400000 2887.020000 3529.000000 ;
+        RECT 4.020000 -9.320000 7.020000 -0.400000 ;
+        RECT 184.020000 -9.320000 187.020000 -0.400000 ;
+        RECT 364.020000 -9.320000 367.020000 -0.400000 ;
+        RECT 544.020000 -9.320000 547.020000 -0.400000 ;
+        RECT 724.020000 -9.320000 727.020000 -0.400000 ;
+        RECT 904.020000 -9.320000 907.020000 -0.400000 ;
+        RECT 1084.020000 -9.320000 1087.020000 -0.400000 ;
+        RECT 1264.020000 -9.320000 1267.020000 -0.400000 ;
+        RECT 1444.020000 -9.320000 1447.020000 -0.400000 ;
+        RECT 1624.020000 -9.320000 1627.020000 -0.400000 ;
+        RECT 1804.020000 -9.320000 1807.020000 -0.400000 ;
+        RECT 1984.020000 -9.320000 1987.020000 -0.400000 ;
+        RECT 2164.020000 -9.320000 2167.020000 -0.400000 ;
+        RECT 2344.020000 -9.320000 2347.020000 -0.400000 ;
+        RECT 2524.020000 -9.320000 2527.020000 -0.400000 ;
+        RECT 2704.020000 -9.320000 2707.020000 -0.400000 ;
+        RECT 2884.020000 -9.320000 2887.020000 -0.400000 ;
         RECT 2926.600000 -4.620000 2929.600000 3524.300000 ;
       LAYER M4M5_PR_C ;
         RECT -9.070000 3523.010000 -7.890000 3524.190000 ;
@@ -4698,122 +4698,122 @@
         RECT 2926.600000 3521.290000 2929.600000 3521.300000 ;
         RECT -9.980000 3432.380000 -6.980000 3432.390000 ;
         RECT 2926.600000 3432.380000 2929.600000 3432.390000 ;
-        RECT -14.580000 3429.380000 -0.400000 3432.380000 ;
-        RECT 2920.400000 3429.380000 2934.200000 3432.380000 ;
+        RECT -14.680000 3429.380000 -0.400000 3432.380000 ;
+        RECT 2920.400000 3429.380000 2934.300000 3432.380000 ;
         RECT -9.980000 3429.370000 -6.980000 3429.380000 ;
         RECT 2926.600000 3429.370000 2929.600000 3429.380000 ;
         RECT -9.980000 3252.380000 -6.980000 3252.390000 ;
         RECT 2926.600000 3252.380000 2929.600000 3252.390000 ;
-        RECT -14.580000 3249.380000 -0.400000 3252.380000 ;
-        RECT 2920.400000 3249.380000 2934.200000 3252.380000 ;
+        RECT -14.680000 3249.380000 -0.400000 3252.380000 ;
+        RECT 2920.400000 3249.380000 2934.300000 3252.380000 ;
         RECT -9.980000 3249.370000 -6.980000 3249.380000 ;
         RECT 2926.600000 3249.370000 2929.600000 3249.380000 ;
         RECT -9.980000 3072.380000 -6.980000 3072.390000 ;
         RECT 2926.600000 3072.380000 2929.600000 3072.390000 ;
-        RECT -14.580000 3069.380000 -0.400000 3072.380000 ;
-        RECT 2920.400000 3069.380000 2934.200000 3072.380000 ;
+        RECT -14.680000 3069.380000 -0.400000 3072.380000 ;
+        RECT 2920.400000 3069.380000 2934.300000 3072.380000 ;
         RECT -9.980000 3069.370000 -6.980000 3069.380000 ;
         RECT 2926.600000 3069.370000 2929.600000 3069.380000 ;
         RECT -9.980000 2892.380000 -6.980000 2892.390000 ;
         RECT 2926.600000 2892.380000 2929.600000 2892.390000 ;
-        RECT -14.580000 2889.380000 -0.400000 2892.380000 ;
-        RECT 2920.400000 2889.380000 2934.200000 2892.380000 ;
+        RECT -14.680000 2889.380000 -0.400000 2892.380000 ;
+        RECT 2920.400000 2889.380000 2934.300000 2892.380000 ;
         RECT -9.980000 2889.370000 -6.980000 2889.380000 ;
         RECT 2926.600000 2889.370000 2929.600000 2889.380000 ;
         RECT -9.980000 2712.380000 -6.980000 2712.390000 ;
         RECT 2926.600000 2712.380000 2929.600000 2712.390000 ;
-        RECT -14.580000 2709.380000 -0.400000 2712.380000 ;
-        RECT 2920.400000 2709.380000 2934.200000 2712.380000 ;
+        RECT -14.680000 2709.380000 -0.400000 2712.380000 ;
+        RECT 2920.400000 2709.380000 2934.300000 2712.380000 ;
         RECT -9.980000 2709.370000 -6.980000 2709.380000 ;
         RECT 2926.600000 2709.370000 2929.600000 2709.380000 ;
         RECT -9.980000 2532.380000 -6.980000 2532.390000 ;
         RECT 2926.600000 2532.380000 2929.600000 2532.390000 ;
-        RECT -14.580000 2529.380000 -0.400000 2532.380000 ;
-        RECT 2920.400000 2529.380000 2934.200000 2532.380000 ;
+        RECT -14.680000 2529.380000 -0.400000 2532.380000 ;
+        RECT 2920.400000 2529.380000 2934.300000 2532.380000 ;
         RECT -9.980000 2529.370000 -6.980000 2529.380000 ;
         RECT 2926.600000 2529.370000 2929.600000 2529.380000 ;
         RECT -9.980000 2352.380000 -6.980000 2352.390000 ;
         RECT 2926.600000 2352.380000 2929.600000 2352.390000 ;
-        RECT -14.580000 2349.380000 -0.400000 2352.380000 ;
-        RECT 2920.400000 2349.380000 2934.200000 2352.380000 ;
+        RECT -14.680000 2349.380000 -0.400000 2352.380000 ;
+        RECT 2920.400000 2349.380000 2934.300000 2352.380000 ;
         RECT -9.980000 2349.370000 -6.980000 2349.380000 ;
         RECT 2926.600000 2349.370000 2929.600000 2349.380000 ;
         RECT -9.980000 2172.380000 -6.980000 2172.390000 ;
         RECT 2926.600000 2172.380000 2929.600000 2172.390000 ;
-        RECT -14.580000 2169.380000 -0.400000 2172.380000 ;
-        RECT 2920.400000 2169.380000 2934.200000 2172.380000 ;
+        RECT -14.680000 2169.380000 -0.400000 2172.380000 ;
+        RECT 2920.400000 2169.380000 2934.300000 2172.380000 ;
         RECT -9.980000 2169.370000 -6.980000 2169.380000 ;
         RECT 2926.600000 2169.370000 2929.600000 2169.380000 ;
         RECT -9.980000 1992.380000 -6.980000 1992.390000 ;
         RECT 2926.600000 1992.380000 2929.600000 1992.390000 ;
-        RECT -14.580000 1989.380000 -0.400000 1992.380000 ;
-        RECT 2920.400000 1989.380000 2934.200000 1992.380000 ;
+        RECT -14.680000 1989.380000 -0.400000 1992.380000 ;
+        RECT 2920.400000 1989.380000 2934.300000 1992.380000 ;
         RECT -9.980000 1989.370000 -6.980000 1989.380000 ;
         RECT 2926.600000 1989.370000 2929.600000 1989.380000 ;
         RECT -9.980000 1812.380000 -6.980000 1812.390000 ;
         RECT 2926.600000 1812.380000 2929.600000 1812.390000 ;
-        RECT -14.580000 1809.380000 -0.400000 1812.380000 ;
-        RECT 2920.400000 1809.380000 2934.200000 1812.380000 ;
+        RECT -14.680000 1809.380000 -0.400000 1812.380000 ;
+        RECT 2920.400000 1809.380000 2934.300000 1812.380000 ;
         RECT -9.980000 1809.370000 -6.980000 1809.380000 ;
         RECT 2926.600000 1809.370000 2929.600000 1809.380000 ;
         RECT -9.980000 1632.380000 -6.980000 1632.390000 ;
         RECT 2926.600000 1632.380000 2929.600000 1632.390000 ;
-        RECT -14.580000 1629.380000 -0.400000 1632.380000 ;
-        RECT 2920.400000 1629.380000 2934.200000 1632.380000 ;
+        RECT -14.680000 1629.380000 -0.400000 1632.380000 ;
+        RECT 2920.400000 1629.380000 2934.300000 1632.380000 ;
         RECT -9.980000 1629.370000 -6.980000 1629.380000 ;
         RECT 2926.600000 1629.370000 2929.600000 1629.380000 ;
         RECT -9.980000 1452.380000 -6.980000 1452.390000 ;
         RECT 2926.600000 1452.380000 2929.600000 1452.390000 ;
-        RECT -14.580000 1449.380000 -0.400000 1452.380000 ;
-        RECT 2920.400000 1449.380000 2934.200000 1452.380000 ;
+        RECT -14.680000 1449.380000 -0.400000 1452.380000 ;
+        RECT 2920.400000 1449.380000 2934.300000 1452.380000 ;
         RECT -9.980000 1449.370000 -6.980000 1449.380000 ;
         RECT 2926.600000 1449.370000 2929.600000 1449.380000 ;
         RECT -9.980000 1272.380000 -6.980000 1272.390000 ;
         RECT 2926.600000 1272.380000 2929.600000 1272.390000 ;
-        RECT -14.580000 1269.380000 -0.400000 1272.380000 ;
-        RECT 2920.400000 1269.380000 2934.200000 1272.380000 ;
+        RECT -14.680000 1269.380000 -0.400000 1272.380000 ;
+        RECT 2920.400000 1269.380000 2934.300000 1272.380000 ;
         RECT -9.980000 1269.370000 -6.980000 1269.380000 ;
         RECT 2926.600000 1269.370000 2929.600000 1269.380000 ;
         RECT -9.980000 1092.380000 -6.980000 1092.390000 ;
         RECT 2926.600000 1092.380000 2929.600000 1092.390000 ;
-        RECT -14.580000 1089.380000 -0.400000 1092.380000 ;
-        RECT 2920.400000 1089.380000 2934.200000 1092.380000 ;
+        RECT -14.680000 1089.380000 -0.400000 1092.380000 ;
+        RECT 2920.400000 1089.380000 2934.300000 1092.380000 ;
         RECT -9.980000 1089.370000 -6.980000 1089.380000 ;
         RECT 2926.600000 1089.370000 2929.600000 1089.380000 ;
         RECT -9.980000 912.380000 -6.980000 912.390000 ;
         RECT 2926.600000 912.380000 2929.600000 912.390000 ;
-        RECT -14.580000 909.380000 -0.400000 912.380000 ;
-        RECT 2920.400000 909.380000 2934.200000 912.380000 ;
+        RECT -14.680000 909.380000 -0.400000 912.380000 ;
+        RECT 2920.400000 909.380000 2934.300000 912.380000 ;
         RECT -9.980000 909.370000 -6.980000 909.380000 ;
         RECT 2926.600000 909.370000 2929.600000 909.380000 ;
         RECT -9.980000 732.380000 -6.980000 732.390000 ;
         RECT 2926.600000 732.380000 2929.600000 732.390000 ;
-        RECT -14.580000 729.380000 -0.400000 732.380000 ;
-        RECT 2920.400000 729.380000 2934.200000 732.380000 ;
+        RECT -14.680000 729.380000 -0.400000 732.380000 ;
+        RECT 2920.400000 729.380000 2934.300000 732.380000 ;
         RECT -9.980000 729.370000 -6.980000 729.380000 ;
         RECT 2926.600000 729.370000 2929.600000 729.380000 ;
         RECT -9.980000 552.380000 -6.980000 552.390000 ;
         RECT 2926.600000 552.380000 2929.600000 552.390000 ;
-        RECT -14.580000 549.380000 -0.400000 552.380000 ;
-        RECT 2920.400000 549.380000 2934.200000 552.380000 ;
+        RECT -14.680000 549.380000 -0.400000 552.380000 ;
+        RECT 2920.400000 549.380000 2934.300000 552.380000 ;
         RECT -9.980000 549.370000 -6.980000 549.380000 ;
         RECT 2926.600000 549.370000 2929.600000 549.380000 ;
         RECT -9.980000 372.380000 -6.980000 372.390000 ;
         RECT 2926.600000 372.380000 2929.600000 372.390000 ;
-        RECT -14.580000 369.380000 -0.400000 372.380000 ;
-        RECT 2920.400000 369.380000 2934.200000 372.380000 ;
+        RECT -14.680000 369.380000 -0.400000 372.380000 ;
+        RECT 2920.400000 369.380000 2934.300000 372.380000 ;
         RECT -9.980000 369.370000 -6.980000 369.380000 ;
         RECT 2926.600000 369.370000 2929.600000 369.380000 ;
         RECT -9.980000 192.380000 -6.980000 192.390000 ;
         RECT 2926.600000 192.380000 2929.600000 192.390000 ;
-        RECT -14.580000 189.380000 -0.400000 192.380000 ;
-        RECT 2920.400000 189.380000 2934.200000 192.380000 ;
+        RECT -14.680000 189.380000 -0.400000 192.380000 ;
+        RECT 2920.400000 189.380000 2934.300000 192.380000 ;
         RECT -9.980000 189.370000 -6.980000 189.380000 ;
         RECT 2926.600000 189.370000 2929.600000 189.380000 ;
         RECT -9.980000 12.380000 -6.980000 12.390000 ;
         RECT 2926.600000 12.380000 2929.600000 12.390000 ;
-        RECT -14.580000 9.380000 -0.400000 12.380000 ;
-        RECT 2920.400000 9.380000 2934.200000 12.380000 ;
+        RECT -14.680000 9.380000 -0.400000 12.380000 ;
+        RECT 2920.400000 9.380000 2934.300000 12.380000 ;
         RECT -9.980000 9.370000 -6.980000 9.380000 ;
         RECT 2926.600000 9.370000 2929.600000 9.380000 ;
         RECT -9.980000 -1.620000 -6.980000 -1.610000 ;
@@ -4861,2686 +4861,2686 @@
     DIRECTION INPUT ;
     PORT
       LAYER met4 ;
-        RECT -14.580000 -9.220000 -11.580000 3528.900000 ;
-        RECT 94.020000 3520.400000 97.020000 3528.900000 ;
-        RECT 274.020000 3520.400000 277.020000 3528.900000 ;
-        RECT 454.020000 3520.400000 457.020000 3528.900000 ;
-        RECT 634.020000 3520.400000 637.020000 3528.900000 ;
-        RECT 814.020000 3520.400000 817.020000 3528.900000 ;
-        RECT 994.020000 3520.400000 997.020000 3528.900000 ;
-        RECT 1174.020000 3520.400000 1177.020000 3528.900000 ;
-        RECT 1354.020000 3520.400000 1357.020000 3528.900000 ;
-        RECT 1534.020000 3520.400000 1537.020000 3528.900000 ;
-        RECT 1714.020000 3520.400000 1717.020000 3528.900000 ;
-        RECT 1894.020000 3520.400000 1897.020000 3528.900000 ;
-        RECT 2074.020000 3520.400000 2077.020000 3528.900000 ;
-        RECT 2254.020000 3520.400000 2257.020000 3528.900000 ;
-        RECT 2434.020000 3520.400000 2437.020000 3528.900000 ;
-        RECT 2614.020000 3520.400000 2617.020000 3528.900000 ;
-        RECT 2794.020000 3520.400000 2797.020000 3528.900000 ;
-        RECT 94.020000 -9.220000 97.020000 -0.400000 ;
-        RECT 274.020000 -9.220000 277.020000 -0.400000 ;
-        RECT 454.020000 -9.220000 457.020000 -0.400000 ;
-        RECT 634.020000 -9.220000 637.020000 -0.400000 ;
-        RECT 814.020000 -9.220000 817.020000 -0.400000 ;
-        RECT 994.020000 -9.220000 997.020000 -0.400000 ;
-        RECT 1174.020000 -9.220000 1177.020000 -0.400000 ;
-        RECT 1354.020000 -9.220000 1357.020000 -0.400000 ;
-        RECT 1534.020000 -9.220000 1537.020000 -0.400000 ;
-        RECT 1714.020000 -9.220000 1717.020000 -0.400000 ;
-        RECT 1894.020000 -9.220000 1897.020000 -0.400000 ;
-        RECT 2074.020000 -9.220000 2077.020000 -0.400000 ;
-        RECT 2254.020000 -9.220000 2257.020000 -0.400000 ;
-        RECT 2434.020000 -9.220000 2437.020000 -0.400000 ;
-        RECT 2614.020000 -9.220000 2617.020000 -0.400000 ;
-        RECT 2794.020000 -9.220000 2797.020000 -0.400000 ;
-        RECT 2931.200000 -9.220000 2934.200000 3528.900000 ;
+        RECT -14.680000 -9.320000 -11.680000 3529.000000 ;
+        RECT 94.020000 3520.400000 97.020000 3529.000000 ;
+        RECT 274.020000 3520.400000 277.020000 3529.000000 ;
+        RECT 454.020000 3520.400000 457.020000 3529.000000 ;
+        RECT 634.020000 3520.400000 637.020000 3529.000000 ;
+        RECT 814.020000 3520.400000 817.020000 3529.000000 ;
+        RECT 994.020000 3520.400000 997.020000 3529.000000 ;
+        RECT 1174.020000 3520.400000 1177.020000 3529.000000 ;
+        RECT 1354.020000 3520.400000 1357.020000 3529.000000 ;
+        RECT 1534.020000 3520.400000 1537.020000 3529.000000 ;
+        RECT 1714.020000 3520.400000 1717.020000 3529.000000 ;
+        RECT 1894.020000 3520.400000 1897.020000 3529.000000 ;
+        RECT 2074.020000 3520.400000 2077.020000 3529.000000 ;
+        RECT 2254.020000 3520.400000 2257.020000 3529.000000 ;
+        RECT 2434.020000 3520.400000 2437.020000 3529.000000 ;
+        RECT 2614.020000 3520.400000 2617.020000 3529.000000 ;
+        RECT 2794.020000 3520.400000 2797.020000 3529.000000 ;
+        RECT 94.020000 -9.320000 97.020000 -0.400000 ;
+        RECT 274.020000 -9.320000 277.020000 -0.400000 ;
+        RECT 454.020000 -9.320000 457.020000 -0.400000 ;
+        RECT 634.020000 -9.320000 637.020000 -0.400000 ;
+        RECT 814.020000 -9.320000 817.020000 -0.400000 ;
+        RECT 994.020000 -9.320000 997.020000 -0.400000 ;
+        RECT 1174.020000 -9.320000 1177.020000 -0.400000 ;
+        RECT 1354.020000 -9.320000 1357.020000 -0.400000 ;
+        RECT 1534.020000 -9.320000 1537.020000 -0.400000 ;
+        RECT 1714.020000 -9.320000 1717.020000 -0.400000 ;
+        RECT 1894.020000 -9.320000 1897.020000 -0.400000 ;
+        RECT 2074.020000 -9.320000 2077.020000 -0.400000 ;
+        RECT 2254.020000 -9.320000 2257.020000 -0.400000 ;
+        RECT 2434.020000 -9.320000 2437.020000 -0.400000 ;
+        RECT 2614.020000 -9.320000 2617.020000 -0.400000 ;
+        RECT 2794.020000 -9.320000 2797.020000 -0.400000 ;
+        RECT 2931.300000 -9.320000 2934.300000 3529.000000 ;
       LAYER M4M5_PR_C ;
-        RECT -13.670000 3527.610000 -12.490000 3528.790000 ;
-        RECT -13.670000 3526.010000 -12.490000 3527.190000 ;
-        RECT 94.930000 3527.610000 96.110000 3528.790000 ;
-        RECT 94.930000 3526.010000 96.110000 3527.190000 ;
-        RECT 274.930000 3527.610000 276.110000 3528.790000 ;
-        RECT 274.930000 3526.010000 276.110000 3527.190000 ;
-        RECT 454.930000 3527.610000 456.110000 3528.790000 ;
-        RECT 454.930000 3526.010000 456.110000 3527.190000 ;
-        RECT 634.930000 3527.610000 636.110000 3528.790000 ;
-        RECT 634.930000 3526.010000 636.110000 3527.190000 ;
-        RECT 814.930000 3527.610000 816.110000 3528.790000 ;
-        RECT 814.930000 3526.010000 816.110000 3527.190000 ;
-        RECT 994.930000 3527.610000 996.110000 3528.790000 ;
-        RECT 994.930000 3526.010000 996.110000 3527.190000 ;
-        RECT 1174.930000 3527.610000 1176.110000 3528.790000 ;
-        RECT 1174.930000 3526.010000 1176.110000 3527.190000 ;
-        RECT 1354.930000 3527.610000 1356.110000 3528.790000 ;
-        RECT 1354.930000 3526.010000 1356.110000 3527.190000 ;
-        RECT 1534.930000 3527.610000 1536.110000 3528.790000 ;
-        RECT 1534.930000 3526.010000 1536.110000 3527.190000 ;
-        RECT 1714.930000 3527.610000 1716.110000 3528.790000 ;
-        RECT 1714.930000 3526.010000 1716.110000 3527.190000 ;
-        RECT 1894.930000 3527.610000 1896.110000 3528.790000 ;
-        RECT 1894.930000 3526.010000 1896.110000 3527.190000 ;
-        RECT 2074.930000 3527.610000 2076.110000 3528.790000 ;
-        RECT 2074.930000 3526.010000 2076.110000 3527.190000 ;
-        RECT 2254.930000 3527.610000 2256.110000 3528.790000 ;
-        RECT 2254.930000 3526.010000 2256.110000 3527.190000 ;
-        RECT 2434.930000 3527.610000 2436.110000 3528.790000 ;
-        RECT 2434.930000 3526.010000 2436.110000 3527.190000 ;
-        RECT 2614.930000 3527.610000 2616.110000 3528.790000 ;
-        RECT 2614.930000 3526.010000 2616.110000 3527.190000 ;
-        RECT 2794.930000 3527.610000 2796.110000 3528.790000 ;
-        RECT 2794.930000 3526.010000 2796.110000 3527.190000 ;
-        RECT 2932.110000 3527.610000 2933.290000 3528.790000 ;
-        RECT 2932.110000 3526.010000 2933.290000 3527.190000 ;
-        RECT -13.670000 3341.090000 -12.490000 3342.270000 ;
-        RECT -13.670000 3339.490000 -12.490000 3340.670000 ;
-        RECT -13.670000 3161.090000 -12.490000 3162.270000 ;
-        RECT -13.670000 3159.490000 -12.490000 3160.670000 ;
-        RECT -13.670000 2981.090000 -12.490000 2982.270000 ;
-        RECT -13.670000 2979.490000 -12.490000 2980.670000 ;
-        RECT -13.670000 2801.090000 -12.490000 2802.270000 ;
-        RECT -13.670000 2799.490000 -12.490000 2800.670000 ;
-        RECT -13.670000 2621.090000 -12.490000 2622.270000 ;
-        RECT -13.670000 2619.490000 -12.490000 2620.670000 ;
-        RECT -13.670000 2441.090000 -12.490000 2442.270000 ;
-        RECT -13.670000 2439.490000 -12.490000 2440.670000 ;
-        RECT -13.670000 2261.090000 -12.490000 2262.270000 ;
-        RECT -13.670000 2259.490000 -12.490000 2260.670000 ;
-        RECT -13.670000 2081.090000 -12.490000 2082.270000 ;
-        RECT -13.670000 2079.490000 -12.490000 2080.670000 ;
-        RECT -13.670000 1901.090000 -12.490000 1902.270000 ;
-        RECT -13.670000 1899.490000 -12.490000 1900.670000 ;
-        RECT -13.670000 1721.090000 -12.490000 1722.270000 ;
-        RECT -13.670000 1719.490000 -12.490000 1720.670000 ;
-        RECT -13.670000 1541.090000 -12.490000 1542.270000 ;
-        RECT -13.670000 1539.490000 -12.490000 1540.670000 ;
-        RECT -13.670000 1361.090000 -12.490000 1362.270000 ;
-        RECT -13.670000 1359.490000 -12.490000 1360.670000 ;
-        RECT -13.670000 1181.090000 -12.490000 1182.270000 ;
-        RECT -13.670000 1179.490000 -12.490000 1180.670000 ;
-        RECT -13.670000 1001.090000 -12.490000 1002.270000 ;
-        RECT -13.670000 999.490000 -12.490000 1000.670000 ;
-        RECT -13.670000 821.090000 -12.490000 822.270000 ;
-        RECT -13.670000 819.490000 -12.490000 820.670000 ;
-        RECT -13.670000 641.090000 -12.490000 642.270000 ;
-        RECT -13.670000 639.490000 -12.490000 640.670000 ;
-        RECT -13.670000 461.090000 -12.490000 462.270000 ;
-        RECT -13.670000 459.490000 -12.490000 460.670000 ;
-        RECT -13.670000 281.090000 -12.490000 282.270000 ;
-        RECT -13.670000 279.490000 -12.490000 280.670000 ;
-        RECT -13.670000 101.090000 -12.490000 102.270000 ;
-        RECT -13.670000 99.490000 -12.490000 100.670000 ;
-        RECT 2932.110000 3341.090000 2933.290000 3342.270000 ;
-        RECT 2932.110000 3339.490000 2933.290000 3340.670000 ;
-        RECT 2932.110000 3161.090000 2933.290000 3162.270000 ;
-        RECT 2932.110000 3159.490000 2933.290000 3160.670000 ;
-        RECT 2932.110000 2981.090000 2933.290000 2982.270000 ;
-        RECT 2932.110000 2979.490000 2933.290000 2980.670000 ;
-        RECT 2932.110000 2801.090000 2933.290000 2802.270000 ;
-        RECT 2932.110000 2799.490000 2933.290000 2800.670000 ;
-        RECT 2932.110000 2621.090000 2933.290000 2622.270000 ;
-        RECT 2932.110000 2619.490000 2933.290000 2620.670000 ;
-        RECT 2932.110000 2441.090000 2933.290000 2442.270000 ;
-        RECT 2932.110000 2439.490000 2933.290000 2440.670000 ;
-        RECT 2932.110000 2261.090000 2933.290000 2262.270000 ;
-        RECT 2932.110000 2259.490000 2933.290000 2260.670000 ;
-        RECT 2932.110000 2081.090000 2933.290000 2082.270000 ;
-        RECT 2932.110000 2079.490000 2933.290000 2080.670000 ;
-        RECT 2932.110000 1901.090000 2933.290000 1902.270000 ;
-        RECT 2932.110000 1899.490000 2933.290000 1900.670000 ;
-        RECT 2932.110000 1721.090000 2933.290000 1722.270000 ;
-        RECT 2932.110000 1719.490000 2933.290000 1720.670000 ;
-        RECT 2932.110000 1541.090000 2933.290000 1542.270000 ;
-        RECT 2932.110000 1539.490000 2933.290000 1540.670000 ;
-        RECT 2932.110000 1361.090000 2933.290000 1362.270000 ;
-        RECT 2932.110000 1359.490000 2933.290000 1360.670000 ;
-        RECT 2932.110000 1181.090000 2933.290000 1182.270000 ;
-        RECT 2932.110000 1179.490000 2933.290000 1180.670000 ;
-        RECT 2932.110000 1001.090000 2933.290000 1002.270000 ;
-        RECT 2932.110000 999.490000 2933.290000 1000.670000 ;
-        RECT 2932.110000 821.090000 2933.290000 822.270000 ;
-        RECT 2932.110000 819.490000 2933.290000 820.670000 ;
-        RECT 2932.110000 641.090000 2933.290000 642.270000 ;
-        RECT 2932.110000 639.490000 2933.290000 640.670000 ;
-        RECT 2932.110000 461.090000 2933.290000 462.270000 ;
-        RECT 2932.110000 459.490000 2933.290000 460.670000 ;
-        RECT 2932.110000 281.090000 2933.290000 282.270000 ;
-        RECT 2932.110000 279.490000 2933.290000 280.670000 ;
-        RECT 2932.110000 101.090000 2933.290000 102.270000 ;
-        RECT 2932.110000 99.490000 2933.290000 100.670000 ;
-        RECT -13.670000 -7.510000 -12.490000 -6.330000 ;
-        RECT -13.670000 -9.110000 -12.490000 -7.930000 ;
-        RECT 94.930000 -7.510000 96.110000 -6.330000 ;
-        RECT 94.930000 -9.110000 96.110000 -7.930000 ;
-        RECT 274.930000 -7.510000 276.110000 -6.330000 ;
-        RECT 274.930000 -9.110000 276.110000 -7.930000 ;
-        RECT 454.930000 -7.510000 456.110000 -6.330000 ;
-        RECT 454.930000 -9.110000 456.110000 -7.930000 ;
-        RECT 634.930000 -7.510000 636.110000 -6.330000 ;
-        RECT 634.930000 -9.110000 636.110000 -7.930000 ;
-        RECT 814.930000 -7.510000 816.110000 -6.330000 ;
-        RECT 814.930000 -9.110000 816.110000 -7.930000 ;
-        RECT 994.930000 -7.510000 996.110000 -6.330000 ;
-        RECT 994.930000 -9.110000 996.110000 -7.930000 ;
-        RECT 1174.930000 -7.510000 1176.110000 -6.330000 ;
-        RECT 1174.930000 -9.110000 1176.110000 -7.930000 ;
-        RECT 1354.930000 -7.510000 1356.110000 -6.330000 ;
-        RECT 1354.930000 -9.110000 1356.110000 -7.930000 ;
-        RECT 1534.930000 -7.510000 1536.110000 -6.330000 ;
-        RECT 1534.930000 -9.110000 1536.110000 -7.930000 ;
-        RECT 1714.930000 -7.510000 1716.110000 -6.330000 ;
-        RECT 1714.930000 -9.110000 1716.110000 -7.930000 ;
-        RECT 1894.930000 -7.510000 1896.110000 -6.330000 ;
-        RECT 1894.930000 -9.110000 1896.110000 -7.930000 ;
-        RECT 2074.930000 -7.510000 2076.110000 -6.330000 ;
-        RECT 2074.930000 -9.110000 2076.110000 -7.930000 ;
-        RECT 2254.930000 -7.510000 2256.110000 -6.330000 ;
-        RECT 2254.930000 -9.110000 2256.110000 -7.930000 ;
-        RECT 2434.930000 -7.510000 2436.110000 -6.330000 ;
-        RECT 2434.930000 -9.110000 2436.110000 -7.930000 ;
-        RECT 2614.930000 -7.510000 2616.110000 -6.330000 ;
-        RECT 2614.930000 -9.110000 2616.110000 -7.930000 ;
-        RECT 2794.930000 -7.510000 2796.110000 -6.330000 ;
-        RECT 2794.930000 -9.110000 2796.110000 -7.930000 ;
-        RECT 2932.110000 -7.510000 2933.290000 -6.330000 ;
-        RECT 2932.110000 -9.110000 2933.290000 -7.930000 ;
+        RECT -13.770000 3527.710000 -12.590000 3528.890000 ;
+        RECT -13.770000 3526.110000 -12.590000 3527.290000 ;
+        RECT 94.930000 3527.710000 96.110000 3528.890000 ;
+        RECT 94.930000 3526.110000 96.110000 3527.290000 ;
+        RECT 274.930000 3527.710000 276.110000 3528.890000 ;
+        RECT 274.930000 3526.110000 276.110000 3527.290000 ;
+        RECT 454.930000 3527.710000 456.110000 3528.890000 ;
+        RECT 454.930000 3526.110000 456.110000 3527.290000 ;
+        RECT 634.930000 3527.710000 636.110000 3528.890000 ;
+        RECT 634.930000 3526.110000 636.110000 3527.290000 ;
+        RECT 814.930000 3527.710000 816.110000 3528.890000 ;
+        RECT 814.930000 3526.110000 816.110000 3527.290000 ;
+        RECT 994.930000 3527.710000 996.110000 3528.890000 ;
+        RECT 994.930000 3526.110000 996.110000 3527.290000 ;
+        RECT 1174.930000 3527.710000 1176.110000 3528.890000 ;
+        RECT 1174.930000 3526.110000 1176.110000 3527.290000 ;
+        RECT 1354.930000 3527.710000 1356.110000 3528.890000 ;
+        RECT 1354.930000 3526.110000 1356.110000 3527.290000 ;
+        RECT 1534.930000 3527.710000 1536.110000 3528.890000 ;
+        RECT 1534.930000 3526.110000 1536.110000 3527.290000 ;
+        RECT 1714.930000 3527.710000 1716.110000 3528.890000 ;
+        RECT 1714.930000 3526.110000 1716.110000 3527.290000 ;
+        RECT 1894.930000 3527.710000 1896.110000 3528.890000 ;
+        RECT 1894.930000 3526.110000 1896.110000 3527.290000 ;
+        RECT 2074.930000 3527.710000 2076.110000 3528.890000 ;
+        RECT 2074.930000 3526.110000 2076.110000 3527.290000 ;
+        RECT 2254.930000 3527.710000 2256.110000 3528.890000 ;
+        RECT 2254.930000 3526.110000 2256.110000 3527.290000 ;
+        RECT 2434.930000 3527.710000 2436.110000 3528.890000 ;
+        RECT 2434.930000 3526.110000 2436.110000 3527.290000 ;
+        RECT 2614.930000 3527.710000 2616.110000 3528.890000 ;
+        RECT 2614.930000 3526.110000 2616.110000 3527.290000 ;
+        RECT 2794.930000 3527.710000 2796.110000 3528.890000 ;
+        RECT 2794.930000 3526.110000 2796.110000 3527.290000 ;
+        RECT 2932.210000 3527.710000 2933.390000 3528.890000 ;
+        RECT 2932.210000 3526.110000 2933.390000 3527.290000 ;
+        RECT -13.770000 3341.090000 -12.590000 3342.270000 ;
+        RECT -13.770000 3339.490000 -12.590000 3340.670000 ;
+        RECT -13.770000 3161.090000 -12.590000 3162.270000 ;
+        RECT -13.770000 3159.490000 -12.590000 3160.670000 ;
+        RECT -13.770000 2981.090000 -12.590000 2982.270000 ;
+        RECT -13.770000 2979.490000 -12.590000 2980.670000 ;
+        RECT -13.770000 2801.090000 -12.590000 2802.270000 ;
+        RECT -13.770000 2799.490000 -12.590000 2800.670000 ;
+        RECT -13.770000 2621.090000 -12.590000 2622.270000 ;
+        RECT -13.770000 2619.490000 -12.590000 2620.670000 ;
+        RECT -13.770000 2441.090000 -12.590000 2442.270000 ;
+        RECT -13.770000 2439.490000 -12.590000 2440.670000 ;
+        RECT -13.770000 2261.090000 -12.590000 2262.270000 ;
+        RECT -13.770000 2259.490000 -12.590000 2260.670000 ;
+        RECT -13.770000 2081.090000 -12.590000 2082.270000 ;
+        RECT -13.770000 2079.490000 -12.590000 2080.670000 ;
+        RECT -13.770000 1901.090000 -12.590000 1902.270000 ;
+        RECT -13.770000 1899.490000 -12.590000 1900.670000 ;
+        RECT -13.770000 1721.090000 -12.590000 1722.270000 ;
+        RECT -13.770000 1719.490000 -12.590000 1720.670000 ;
+        RECT -13.770000 1541.090000 -12.590000 1542.270000 ;
+        RECT -13.770000 1539.490000 -12.590000 1540.670000 ;
+        RECT -13.770000 1361.090000 -12.590000 1362.270000 ;
+        RECT -13.770000 1359.490000 -12.590000 1360.670000 ;
+        RECT -13.770000 1181.090000 -12.590000 1182.270000 ;
+        RECT -13.770000 1179.490000 -12.590000 1180.670000 ;
+        RECT -13.770000 1001.090000 -12.590000 1002.270000 ;
+        RECT -13.770000 999.490000 -12.590000 1000.670000 ;
+        RECT -13.770000 821.090000 -12.590000 822.270000 ;
+        RECT -13.770000 819.490000 -12.590000 820.670000 ;
+        RECT -13.770000 641.090000 -12.590000 642.270000 ;
+        RECT -13.770000 639.490000 -12.590000 640.670000 ;
+        RECT -13.770000 461.090000 -12.590000 462.270000 ;
+        RECT -13.770000 459.490000 -12.590000 460.670000 ;
+        RECT -13.770000 281.090000 -12.590000 282.270000 ;
+        RECT -13.770000 279.490000 -12.590000 280.670000 ;
+        RECT -13.770000 101.090000 -12.590000 102.270000 ;
+        RECT -13.770000 99.490000 -12.590000 100.670000 ;
+        RECT 2932.210000 3341.090000 2933.390000 3342.270000 ;
+        RECT 2932.210000 3339.490000 2933.390000 3340.670000 ;
+        RECT 2932.210000 3161.090000 2933.390000 3162.270000 ;
+        RECT 2932.210000 3159.490000 2933.390000 3160.670000 ;
+        RECT 2932.210000 2981.090000 2933.390000 2982.270000 ;
+        RECT 2932.210000 2979.490000 2933.390000 2980.670000 ;
+        RECT 2932.210000 2801.090000 2933.390000 2802.270000 ;
+        RECT 2932.210000 2799.490000 2933.390000 2800.670000 ;
+        RECT 2932.210000 2621.090000 2933.390000 2622.270000 ;
+        RECT 2932.210000 2619.490000 2933.390000 2620.670000 ;
+        RECT 2932.210000 2441.090000 2933.390000 2442.270000 ;
+        RECT 2932.210000 2439.490000 2933.390000 2440.670000 ;
+        RECT 2932.210000 2261.090000 2933.390000 2262.270000 ;
+        RECT 2932.210000 2259.490000 2933.390000 2260.670000 ;
+        RECT 2932.210000 2081.090000 2933.390000 2082.270000 ;
+        RECT 2932.210000 2079.490000 2933.390000 2080.670000 ;
+        RECT 2932.210000 1901.090000 2933.390000 1902.270000 ;
+        RECT 2932.210000 1899.490000 2933.390000 1900.670000 ;
+        RECT 2932.210000 1721.090000 2933.390000 1722.270000 ;
+        RECT 2932.210000 1719.490000 2933.390000 1720.670000 ;
+        RECT 2932.210000 1541.090000 2933.390000 1542.270000 ;
+        RECT 2932.210000 1539.490000 2933.390000 1540.670000 ;
+        RECT 2932.210000 1361.090000 2933.390000 1362.270000 ;
+        RECT 2932.210000 1359.490000 2933.390000 1360.670000 ;
+        RECT 2932.210000 1181.090000 2933.390000 1182.270000 ;
+        RECT 2932.210000 1179.490000 2933.390000 1180.670000 ;
+        RECT 2932.210000 1001.090000 2933.390000 1002.270000 ;
+        RECT 2932.210000 999.490000 2933.390000 1000.670000 ;
+        RECT 2932.210000 821.090000 2933.390000 822.270000 ;
+        RECT 2932.210000 819.490000 2933.390000 820.670000 ;
+        RECT 2932.210000 641.090000 2933.390000 642.270000 ;
+        RECT 2932.210000 639.490000 2933.390000 640.670000 ;
+        RECT 2932.210000 461.090000 2933.390000 462.270000 ;
+        RECT 2932.210000 459.490000 2933.390000 460.670000 ;
+        RECT 2932.210000 281.090000 2933.390000 282.270000 ;
+        RECT 2932.210000 279.490000 2933.390000 280.670000 ;
+        RECT 2932.210000 101.090000 2933.390000 102.270000 ;
+        RECT 2932.210000 99.490000 2933.390000 100.670000 ;
+        RECT -13.770000 -7.610000 -12.590000 -6.430000 ;
+        RECT -13.770000 -9.210000 -12.590000 -8.030000 ;
+        RECT 94.930000 -7.610000 96.110000 -6.430000 ;
+        RECT 94.930000 -9.210000 96.110000 -8.030000 ;
+        RECT 274.930000 -7.610000 276.110000 -6.430000 ;
+        RECT 274.930000 -9.210000 276.110000 -8.030000 ;
+        RECT 454.930000 -7.610000 456.110000 -6.430000 ;
+        RECT 454.930000 -9.210000 456.110000 -8.030000 ;
+        RECT 634.930000 -7.610000 636.110000 -6.430000 ;
+        RECT 634.930000 -9.210000 636.110000 -8.030000 ;
+        RECT 814.930000 -7.610000 816.110000 -6.430000 ;
+        RECT 814.930000 -9.210000 816.110000 -8.030000 ;
+        RECT 994.930000 -7.610000 996.110000 -6.430000 ;
+        RECT 994.930000 -9.210000 996.110000 -8.030000 ;
+        RECT 1174.930000 -7.610000 1176.110000 -6.430000 ;
+        RECT 1174.930000 -9.210000 1176.110000 -8.030000 ;
+        RECT 1354.930000 -7.610000 1356.110000 -6.430000 ;
+        RECT 1354.930000 -9.210000 1356.110000 -8.030000 ;
+        RECT 1534.930000 -7.610000 1536.110000 -6.430000 ;
+        RECT 1534.930000 -9.210000 1536.110000 -8.030000 ;
+        RECT 1714.930000 -7.610000 1716.110000 -6.430000 ;
+        RECT 1714.930000 -9.210000 1716.110000 -8.030000 ;
+        RECT 1894.930000 -7.610000 1896.110000 -6.430000 ;
+        RECT 1894.930000 -9.210000 1896.110000 -8.030000 ;
+        RECT 2074.930000 -7.610000 2076.110000 -6.430000 ;
+        RECT 2074.930000 -9.210000 2076.110000 -8.030000 ;
+        RECT 2254.930000 -7.610000 2256.110000 -6.430000 ;
+        RECT 2254.930000 -9.210000 2256.110000 -8.030000 ;
+        RECT 2434.930000 -7.610000 2436.110000 -6.430000 ;
+        RECT 2434.930000 -9.210000 2436.110000 -8.030000 ;
+        RECT 2614.930000 -7.610000 2616.110000 -6.430000 ;
+        RECT 2614.930000 -9.210000 2616.110000 -8.030000 ;
+        RECT 2794.930000 -7.610000 2796.110000 -6.430000 ;
+        RECT 2794.930000 -9.210000 2796.110000 -8.030000 ;
+        RECT 2932.210000 -7.610000 2933.390000 -6.430000 ;
+        RECT 2932.210000 -9.210000 2933.390000 -8.030000 ;
       LAYER met5 ;
-        RECT -14.580000 3528.900000 -11.580000 3528.910000 ;
-        RECT 94.020000 3528.900000 97.020000 3528.910000 ;
-        RECT 274.020000 3528.900000 277.020000 3528.910000 ;
-        RECT 454.020000 3528.900000 457.020000 3528.910000 ;
-        RECT 634.020000 3528.900000 637.020000 3528.910000 ;
-        RECT 814.020000 3528.900000 817.020000 3528.910000 ;
-        RECT 994.020000 3528.900000 997.020000 3528.910000 ;
-        RECT 1174.020000 3528.900000 1177.020000 3528.910000 ;
-        RECT 1354.020000 3528.900000 1357.020000 3528.910000 ;
-        RECT 1534.020000 3528.900000 1537.020000 3528.910000 ;
-        RECT 1714.020000 3528.900000 1717.020000 3528.910000 ;
-        RECT 1894.020000 3528.900000 1897.020000 3528.910000 ;
-        RECT 2074.020000 3528.900000 2077.020000 3528.910000 ;
-        RECT 2254.020000 3528.900000 2257.020000 3528.910000 ;
-        RECT 2434.020000 3528.900000 2437.020000 3528.910000 ;
-        RECT 2614.020000 3528.900000 2617.020000 3528.910000 ;
-        RECT 2794.020000 3528.900000 2797.020000 3528.910000 ;
-        RECT 2931.200000 3528.900000 2934.200000 3528.910000 ;
-        RECT -14.580000 3525.900000 2934.200000 3528.900000 ;
-        RECT -14.580000 3525.890000 -11.580000 3525.900000 ;
-        RECT 94.020000 3525.890000 97.020000 3525.900000 ;
-        RECT 274.020000 3525.890000 277.020000 3525.900000 ;
-        RECT 454.020000 3525.890000 457.020000 3525.900000 ;
-        RECT 634.020000 3525.890000 637.020000 3525.900000 ;
-        RECT 814.020000 3525.890000 817.020000 3525.900000 ;
-        RECT 994.020000 3525.890000 997.020000 3525.900000 ;
-        RECT 1174.020000 3525.890000 1177.020000 3525.900000 ;
-        RECT 1354.020000 3525.890000 1357.020000 3525.900000 ;
-        RECT 1534.020000 3525.890000 1537.020000 3525.900000 ;
-        RECT 1714.020000 3525.890000 1717.020000 3525.900000 ;
-        RECT 1894.020000 3525.890000 1897.020000 3525.900000 ;
-        RECT 2074.020000 3525.890000 2077.020000 3525.900000 ;
-        RECT 2254.020000 3525.890000 2257.020000 3525.900000 ;
-        RECT 2434.020000 3525.890000 2437.020000 3525.900000 ;
-        RECT 2614.020000 3525.890000 2617.020000 3525.900000 ;
-        RECT 2794.020000 3525.890000 2797.020000 3525.900000 ;
-        RECT 2931.200000 3525.890000 2934.200000 3525.900000 ;
-        RECT -14.580000 3342.380000 -11.580000 3342.390000 ;
-        RECT 2931.200000 3342.380000 2934.200000 3342.390000 ;
-        RECT -14.580000 3339.380000 -0.400000 3342.380000 ;
-        RECT 2920.400000 3339.380000 2934.200000 3342.380000 ;
-        RECT -14.580000 3339.370000 -11.580000 3339.380000 ;
-        RECT 2931.200000 3339.370000 2934.200000 3339.380000 ;
-        RECT -14.580000 3162.380000 -11.580000 3162.390000 ;
-        RECT 2931.200000 3162.380000 2934.200000 3162.390000 ;
-        RECT -14.580000 3159.380000 -0.400000 3162.380000 ;
-        RECT 2920.400000 3159.380000 2934.200000 3162.380000 ;
-        RECT -14.580000 3159.370000 -11.580000 3159.380000 ;
-        RECT 2931.200000 3159.370000 2934.200000 3159.380000 ;
-        RECT -14.580000 2982.380000 -11.580000 2982.390000 ;
-        RECT 2931.200000 2982.380000 2934.200000 2982.390000 ;
-        RECT -14.580000 2979.380000 -0.400000 2982.380000 ;
-        RECT 2920.400000 2979.380000 2934.200000 2982.380000 ;
-        RECT -14.580000 2979.370000 -11.580000 2979.380000 ;
-        RECT 2931.200000 2979.370000 2934.200000 2979.380000 ;
-        RECT -14.580000 2802.380000 -11.580000 2802.390000 ;
-        RECT 2931.200000 2802.380000 2934.200000 2802.390000 ;
-        RECT -14.580000 2799.380000 -0.400000 2802.380000 ;
-        RECT 2920.400000 2799.380000 2934.200000 2802.380000 ;
-        RECT -14.580000 2799.370000 -11.580000 2799.380000 ;
-        RECT 2931.200000 2799.370000 2934.200000 2799.380000 ;
-        RECT -14.580000 2622.380000 -11.580000 2622.390000 ;
-        RECT 2931.200000 2622.380000 2934.200000 2622.390000 ;
-        RECT -14.580000 2619.380000 -0.400000 2622.380000 ;
-        RECT 2920.400000 2619.380000 2934.200000 2622.380000 ;
-        RECT -14.580000 2619.370000 -11.580000 2619.380000 ;
-        RECT 2931.200000 2619.370000 2934.200000 2619.380000 ;
-        RECT -14.580000 2442.380000 -11.580000 2442.390000 ;
-        RECT 2931.200000 2442.380000 2934.200000 2442.390000 ;
-        RECT -14.580000 2439.380000 -0.400000 2442.380000 ;
-        RECT 2920.400000 2439.380000 2934.200000 2442.380000 ;
-        RECT -14.580000 2439.370000 -11.580000 2439.380000 ;
-        RECT 2931.200000 2439.370000 2934.200000 2439.380000 ;
-        RECT -14.580000 2262.380000 -11.580000 2262.390000 ;
-        RECT 2931.200000 2262.380000 2934.200000 2262.390000 ;
-        RECT -14.580000 2259.380000 -0.400000 2262.380000 ;
-        RECT 2920.400000 2259.380000 2934.200000 2262.380000 ;
-        RECT -14.580000 2259.370000 -11.580000 2259.380000 ;
-        RECT 2931.200000 2259.370000 2934.200000 2259.380000 ;
-        RECT -14.580000 2082.380000 -11.580000 2082.390000 ;
-        RECT 2931.200000 2082.380000 2934.200000 2082.390000 ;
-        RECT -14.580000 2079.380000 -0.400000 2082.380000 ;
-        RECT 2920.400000 2079.380000 2934.200000 2082.380000 ;
-        RECT -14.580000 2079.370000 -11.580000 2079.380000 ;
-        RECT 2931.200000 2079.370000 2934.200000 2079.380000 ;
-        RECT -14.580000 1902.380000 -11.580000 1902.390000 ;
-        RECT 2931.200000 1902.380000 2934.200000 1902.390000 ;
-        RECT -14.580000 1899.380000 -0.400000 1902.380000 ;
-        RECT 2920.400000 1899.380000 2934.200000 1902.380000 ;
-        RECT -14.580000 1899.370000 -11.580000 1899.380000 ;
-        RECT 2931.200000 1899.370000 2934.200000 1899.380000 ;
-        RECT -14.580000 1722.380000 -11.580000 1722.390000 ;
-        RECT 2931.200000 1722.380000 2934.200000 1722.390000 ;
-        RECT -14.580000 1719.380000 -0.400000 1722.380000 ;
-        RECT 2920.400000 1719.380000 2934.200000 1722.380000 ;
-        RECT -14.580000 1719.370000 -11.580000 1719.380000 ;
-        RECT 2931.200000 1719.370000 2934.200000 1719.380000 ;
-        RECT -14.580000 1542.380000 -11.580000 1542.390000 ;
-        RECT 2931.200000 1542.380000 2934.200000 1542.390000 ;
-        RECT -14.580000 1539.380000 -0.400000 1542.380000 ;
-        RECT 2920.400000 1539.380000 2934.200000 1542.380000 ;
-        RECT -14.580000 1539.370000 -11.580000 1539.380000 ;
-        RECT 2931.200000 1539.370000 2934.200000 1539.380000 ;
-        RECT -14.580000 1362.380000 -11.580000 1362.390000 ;
-        RECT 2931.200000 1362.380000 2934.200000 1362.390000 ;
-        RECT -14.580000 1359.380000 -0.400000 1362.380000 ;
-        RECT 2920.400000 1359.380000 2934.200000 1362.380000 ;
-        RECT -14.580000 1359.370000 -11.580000 1359.380000 ;
-        RECT 2931.200000 1359.370000 2934.200000 1359.380000 ;
-        RECT -14.580000 1182.380000 -11.580000 1182.390000 ;
-        RECT 2931.200000 1182.380000 2934.200000 1182.390000 ;
-        RECT -14.580000 1179.380000 -0.400000 1182.380000 ;
-        RECT 2920.400000 1179.380000 2934.200000 1182.380000 ;
-        RECT -14.580000 1179.370000 -11.580000 1179.380000 ;
-        RECT 2931.200000 1179.370000 2934.200000 1179.380000 ;
-        RECT -14.580000 1002.380000 -11.580000 1002.390000 ;
-        RECT 2931.200000 1002.380000 2934.200000 1002.390000 ;
-        RECT -14.580000 999.380000 -0.400000 1002.380000 ;
-        RECT 2920.400000 999.380000 2934.200000 1002.380000 ;
-        RECT -14.580000 999.370000 -11.580000 999.380000 ;
-        RECT 2931.200000 999.370000 2934.200000 999.380000 ;
-        RECT -14.580000 822.380000 -11.580000 822.390000 ;
-        RECT 2931.200000 822.380000 2934.200000 822.390000 ;
-        RECT -14.580000 819.380000 -0.400000 822.380000 ;
-        RECT 2920.400000 819.380000 2934.200000 822.380000 ;
-        RECT -14.580000 819.370000 -11.580000 819.380000 ;
-        RECT 2931.200000 819.370000 2934.200000 819.380000 ;
-        RECT -14.580000 642.380000 -11.580000 642.390000 ;
-        RECT 2931.200000 642.380000 2934.200000 642.390000 ;
-        RECT -14.580000 639.380000 -0.400000 642.380000 ;
-        RECT 2920.400000 639.380000 2934.200000 642.380000 ;
-        RECT -14.580000 639.370000 -11.580000 639.380000 ;
-        RECT 2931.200000 639.370000 2934.200000 639.380000 ;
-        RECT -14.580000 462.380000 -11.580000 462.390000 ;
-        RECT 2931.200000 462.380000 2934.200000 462.390000 ;
-        RECT -14.580000 459.380000 -0.400000 462.380000 ;
-        RECT 2920.400000 459.380000 2934.200000 462.380000 ;
-        RECT -14.580000 459.370000 -11.580000 459.380000 ;
-        RECT 2931.200000 459.370000 2934.200000 459.380000 ;
-        RECT -14.580000 282.380000 -11.580000 282.390000 ;
-        RECT 2931.200000 282.380000 2934.200000 282.390000 ;
-        RECT -14.580000 279.380000 -0.400000 282.380000 ;
-        RECT 2920.400000 279.380000 2934.200000 282.380000 ;
-        RECT -14.580000 279.370000 -11.580000 279.380000 ;
-        RECT 2931.200000 279.370000 2934.200000 279.380000 ;
-        RECT -14.580000 102.380000 -11.580000 102.390000 ;
-        RECT 2931.200000 102.380000 2934.200000 102.390000 ;
-        RECT -14.580000 99.380000 -0.400000 102.380000 ;
-        RECT 2920.400000 99.380000 2934.200000 102.380000 ;
-        RECT -14.580000 99.370000 -11.580000 99.380000 ;
-        RECT 2931.200000 99.370000 2934.200000 99.380000 ;
-        RECT -14.580000 -6.220000 -11.580000 -6.210000 ;
-        RECT 94.020000 -6.220000 97.020000 -6.210000 ;
-        RECT 274.020000 -6.220000 277.020000 -6.210000 ;
-        RECT 454.020000 -6.220000 457.020000 -6.210000 ;
-        RECT 634.020000 -6.220000 637.020000 -6.210000 ;
-        RECT 814.020000 -6.220000 817.020000 -6.210000 ;
-        RECT 994.020000 -6.220000 997.020000 -6.210000 ;
-        RECT 1174.020000 -6.220000 1177.020000 -6.210000 ;
-        RECT 1354.020000 -6.220000 1357.020000 -6.210000 ;
-        RECT 1534.020000 -6.220000 1537.020000 -6.210000 ;
-        RECT 1714.020000 -6.220000 1717.020000 -6.210000 ;
-        RECT 1894.020000 -6.220000 1897.020000 -6.210000 ;
-        RECT 2074.020000 -6.220000 2077.020000 -6.210000 ;
-        RECT 2254.020000 -6.220000 2257.020000 -6.210000 ;
-        RECT 2434.020000 -6.220000 2437.020000 -6.210000 ;
-        RECT 2614.020000 -6.220000 2617.020000 -6.210000 ;
-        RECT 2794.020000 -6.220000 2797.020000 -6.210000 ;
-        RECT 2931.200000 -6.220000 2934.200000 -6.210000 ;
-        RECT -14.580000 -9.220000 2934.200000 -6.220000 ;
-        RECT -14.580000 -9.230000 -11.580000 -9.220000 ;
-        RECT 94.020000 -9.230000 97.020000 -9.220000 ;
-        RECT 274.020000 -9.230000 277.020000 -9.220000 ;
-        RECT 454.020000 -9.230000 457.020000 -9.220000 ;
-        RECT 634.020000 -9.230000 637.020000 -9.220000 ;
-        RECT 814.020000 -9.230000 817.020000 -9.220000 ;
-        RECT 994.020000 -9.230000 997.020000 -9.220000 ;
-        RECT 1174.020000 -9.230000 1177.020000 -9.220000 ;
-        RECT 1354.020000 -9.230000 1357.020000 -9.220000 ;
-        RECT 1534.020000 -9.230000 1537.020000 -9.220000 ;
-        RECT 1714.020000 -9.230000 1717.020000 -9.220000 ;
-        RECT 1894.020000 -9.230000 1897.020000 -9.220000 ;
-        RECT 2074.020000 -9.230000 2077.020000 -9.220000 ;
-        RECT 2254.020000 -9.230000 2257.020000 -9.220000 ;
-        RECT 2434.020000 -9.230000 2437.020000 -9.220000 ;
-        RECT 2614.020000 -9.230000 2617.020000 -9.220000 ;
-        RECT 2794.020000 -9.230000 2797.020000 -9.220000 ;
-        RECT 2931.200000 -9.230000 2934.200000 -9.220000 ;
+        RECT -14.680000 3529.000000 -11.680000 3529.010000 ;
+        RECT 94.020000 3529.000000 97.020000 3529.010000 ;
+        RECT 274.020000 3529.000000 277.020000 3529.010000 ;
+        RECT 454.020000 3529.000000 457.020000 3529.010000 ;
+        RECT 634.020000 3529.000000 637.020000 3529.010000 ;
+        RECT 814.020000 3529.000000 817.020000 3529.010000 ;
+        RECT 994.020000 3529.000000 997.020000 3529.010000 ;
+        RECT 1174.020000 3529.000000 1177.020000 3529.010000 ;
+        RECT 1354.020000 3529.000000 1357.020000 3529.010000 ;
+        RECT 1534.020000 3529.000000 1537.020000 3529.010000 ;
+        RECT 1714.020000 3529.000000 1717.020000 3529.010000 ;
+        RECT 1894.020000 3529.000000 1897.020000 3529.010000 ;
+        RECT 2074.020000 3529.000000 2077.020000 3529.010000 ;
+        RECT 2254.020000 3529.000000 2257.020000 3529.010000 ;
+        RECT 2434.020000 3529.000000 2437.020000 3529.010000 ;
+        RECT 2614.020000 3529.000000 2617.020000 3529.010000 ;
+        RECT 2794.020000 3529.000000 2797.020000 3529.010000 ;
+        RECT 2931.300000 3529.000000 2934.300000 3529.010000 ;
+        RECT -14.680000 3526.000000 2934.300000 3529.000000 ;
+        RECT -14.680000 3525.990000 -11.680000 3526.000000 ;
+        RECT 94.020000 3525.990000 97.020000 3526.000000 ;
+        RECT 274.020000 3525.990000 277.020000 3526.000000 ;
+        RECT 454.020000 3525.990000 457.020000 3526.000000 ;
+        RECT 634.020000 3525.990000 637.020000 3526.000000 ;
+        RECT 814.020000 3525.990000 817.020000 3526.000000 ;
+        RECT 994.020000 3525.990000 997.020000 3526.000000 ;
+        RECT 1174.020000 3525.990000 1177.020000 3526.000000 ;
+        RECT 1354.020000 3525.990000 1357.020000 3526.000000 ;
+        RECT 1534.020000 3525.990000 1537.020000 3526.000000 ;
+        RECT 1714.020000 3525.990000 1717.020000 3526.000000 ;
+        RECT 1894.020000 3525.990000 1897.020000 3526.000000 ;
+        RECT 2074.020000 3525.990000 2077.020000 3526.000000 ;
+        RECT 2254.020000 3525.990000 2257.020000 3526.000000 ;
+        RECT 2434.020000 3525.990000 2437.020000 3526.000000 ;
+        RECT 2614.020000 3525.990000 2617.020000 3526.000000 ;
+        RECT 2794.020000 3525.990000 2797.020000 3526.000000 ;
+        RECT 2931.300000 3525.990000 2934.300000 3526.000000 ;
+        RECT -14.680000 3342.380000 -11.680000 3342.390000 ;
+        RECT 2931.300000 3342.380000 2934.300000 3342.390000 ;
+        RECT -14.680000 3339.380000 -0.400000 3342.380000 ;
+        RECT 2920.400000 3339.380000 2934.300000 3342.380000 ;
+        RECT -14.680000 3339.370000 -11.680000 3339.380000 ;
+        RECT 2931.300000 3339.370000 2934.300000 3339.380000 ;
+        RECT -14.680000 3162.380000 -11.680000 3162.390000 ;
+        RECT 2931.300000 3162.380000 2934.300000 3162.390000 ;
+        RECT -14.680000 3159.380000 -0.400000 3162.380000 ;
+        RECT 2920.400000 3159.380000 2934.300000 3162.380000 ;
+        RECT -14.680000 3159.370000 -11.680000 3159.380000 ;
+        RECT 2931.300000 3159.370000 2934.300000 3159.380000 ;
+        RECT -14.680000 2982.380000 -11.680000 2982.390000 ;
+        RECT 2931.300000 2982.380000 2934.300000 2982.390000 ;
+        RECT -14.680000 2979.380000 -0.400000 2982.380000 ;
+        RECT 2920.400000 2979.380000 2934.300000 2982.380000 ;
+        RECT -14.680000 2979.370000 -11.680000 2979.380000 ;
+        RECT 2931.300000 2979.370000 2934.300000 2979.380000 ;
+        RECT -14.680000 2802.380000 -11.680000 2802.390000 ;
+        RECT 2931.300000 2802.380000 2934.300000 2802.390000 ;
+        RECT -14.680000 2799.380000 -0.400000 2802.380000 ;
+        RECT 2920.400000 2799.380000 2934.300000 2802.380000 ;
+        RECT -14.680000 2799.370000 -11.680000 2799.380000 ;
+        RECT 2931.300000 2799.370000 2934.300000 2799.380000 ;
+        RECT -14.680000 2622.380000 -11.680000 2622.390000 ;
+        RECT 2931.300000 2622.380000 2934.300000 2622.390000 ;
+        RECT -14.680000 2619.380000 -0.400000 2622.380000 ;
+        RECT 2920.400000 2619.380000 2934.300000 2622.380000 ;
+        RECT -14.680000 2619.370000 -11.680000 2619.380000 ;
+        RECT 2931.300000 2619.370000 2934.300000 2619.380000 ;
+        RECT -14.680000 2442.380000 -11.680000 2442.390000 ;
+        RECT 2931.300000 2442.380000 2934.300000 2442.390000 ;
+        RECT -14.680000 2439.380000 -0.400000 2442.380000 ;
+        RECT 2920.400000 2439.380000 2934.300000 2442.380000 ;
+        RECT -14.680000 2439.370000 -11.680000 2439.380000 ;
+        RECT 2931.300000 2439.370000 2934.300000 2439.380000 ;
+        RECT -14.680000 2262.380000 -11.680000 2262.390000 ;
+        RECT 2931.300000 2262.380000 2934.300000 2262.390000 ;
+        RECT -14.680000 2259.380000 -0.400000 2262.380000 ;
+        RECT 2920.400000 2259.380000 2934.300000 2262.380000 ;
+        RECT -14.680000 2259.370000 -11.680000 2259.380000 ;
+        RECT 2931.300000 2259.370000 2934.300000 2259.380000 ;
+        RECT -14.680000 2082.380000 -11.680000 2082.390000 ;
+        RECT 2931.300000 2082.380000 2934.300000 2082.390000 ;
+        RECT -14.680000 2079.380000 -0.400000 2082.380000 ;
+        RECT 2920.400000 2079.380000 2934.300000 2082.380000 ;
+        RECT -14.680000 2079.370000 -11.680000 2079.380000 ;
+        RECT 2931.300000 2079.370000 2934.300000 2079.380000 ;
+        RECT -14.680000 1902.380000 -11.680000 1902.390000 ;
+        RECT 2931.300000 1902.380000 2934.300000 1902.390000 ;
+        RECT -14.680000 1899.380000 -0.400000 1902.380000 ;
+        RECT 2920.400000 1899.380000 2934.300000 1902.380000 ;
+        RECT -14.680000 1899.370000 -11.680000 1899.380000 ;
+        RECT 2931.300000 1899.370000 2934.300000 1899.380000 ;
+        RECT -14.680000 1722.380000 -11.680000 1722.390000 ;
+        RECT 2931.300000 1722.380000 2934.300000 1722.390000 ;
+        RECT -14.680000 1719.380000 -0.400000 1722.380000 ;
+        RECT 2920.400000 1719.380000 2934.300000 1722.380000 ;
+        RECT -14.680000 1719.370000 -11.680000 1719.380000 ;
+        RECT 2931.300000 1719.370000 2934.300000 1719.380000 ;
+        RECT -14.680000 1542.380000 -11.680000 1542.390000 ;
+        RECT 2931.300000 1542.380000 2934.300000 1542.390000 ;
+        RECT -14.680000 1539.380000 -0.400000 1542.380000 ;
+        RECT 2920.400000 1539.380000 2934.300000 1542.380000 ;
+        RECT -14.680000 1539.370000 -11.680000 1539.380000 ;
+        RECT 2931.300000 1539.370000 2934.300000 1539.380000 ;
+        RECT -14.680000 1362.380000 -11.680000 1362.390000 ;
+        RECT 2931.300000 1362.380000 2934.300000 1362.390000 ;
+        RECT -14.680000 1359.380000 -0.400000 1362.380000 ;
+        RECT 2920.400000 1359.380000 2934.300000 1362.380000 ;
+        RECT -14.680000 1359.370000 -11.680000 1359.380000 ;
+        RECT 2931.300000 1359.370000 2934.300000 1359.380000 ;
+        RECT -14.680000 1182.380000 -11.680000 1182.390000 ;
+        RECT 2931.300000 1182.380000 2934.300000 1182.390000 ;
+        RECT -14.680000 1179.380000 -0.400000 1182.380000 ;
+        RECT 2920.400000 1179.380000 2934.300000 1182.380000 ;
+        RECT -14.680000 1179.370000 -11.680000 1179.380000 ;
+        RECT 2931.300000 1179.370000 2934.300000 1179.380000 ;
+        RECT -14.680000 1002.380000 -11.680000 1002.390000 ;
+        RECT 2931.300000 1002.380000 2934.300000 1002.390000 ;
+        RECT -14.680000 999.380000 -0.400000 1002.380000 ;
+        RECT 2920.400000 999.380000 2934.300000 1002.380000 ;
+        RECT -14.680000 999.370000 -11.680000 999.380000 ;
+        RECT 2931.300000 999.370000 2934.300000 999.380000 ;
+        RECT -14.680000 822.380000 -11.680000 822.390000 ;
+        RECT 2931.300000 822.380000 2934.300000 822.390000 ;
+        RECT -14.680000 819.380000 -0.400000 822.380000 ;
+        RECT 2920.400000 819.380000 2934.300000 822.380000 ;
+        RECT -14.680000 819.370000 -11.680000 819.380000 ;
+        RECT 2931.300000 819.370000 2934.300000 819.380000 ;
+        RECT -14.680000 642.380000 -11.680000 642.390000 ;
+        RECT 2931.300000 642.380000 2934.300000 642.390000 ;
+        RECT -14.680000 639.380000 -0.400000 642.380000 ;
+        RECT 2920.400000 639.380000 2934.300000 642.380000 ;
+        RECT -14.680000 639.370000 -11.680000 639.380000 ;
+        RECT 2931.300000 639.370000 2934.300000 639.380000 ;
+        RECT -14.680000 462.380000 -11.680000 462.390000 ;
+        RECT 2931.300000 462.380000 2934.300000 462.390000 ;
+        RECT -14.680000 459.380000 -0.400000 462.380000 ;
+        RECT 2920.400000 459.380000 2934.300000 462.380000 ;
+        RECT -14.680000 459.370000 -11.680000 459.380000 ;
+        RECT 2931.300000 459.370000 2934.300000 459.380000 ;
+        RECT -14.680000 282.380000 -11.680000 282.390000 ;
+        RECT 2931.300000 282.380000 2934.300000 282.390000 ;
+        RECT -14.680000 279.380000 -0.400000 282.380000 ;
+        RECT 2920.400000 279.380000 2934.300000 282.380000 ;
+        RECT -14.680000 279.370000 -11.680000 279.380000 ;
+        RECT 2931.300000 279.370000 2934.300000 279.380000 ;
+        RECT -14.680000 102.380000 -11.680000 102.390000 ;
+        RECT 2931.300000 102.380000 2934.300000 102.390000 ;
+        RECT -14.680000 99.380000 -0.400000 102.380000 ;
+        RECT 2920.400000 99.380000 2934.300000 102.380000 ;
+        RECT -14.680000 99.370000 -11.680000 99.380000 ;
+        RECT 2931.300000 99.370000 2934.300000 99.380000 ;
+        RECT -14.680000 -6.320000 -11.680000 -6.310000 ;
+        RECT 94.020000 -6.320000 97.020000 -6.310000 ;
+        RECT 274.020000 -6.320000 277.020000 -6.310000 ;
+        RECT 454.020000 -6.320000 457.020000 -6.310000 ;
+        RECT 634.020000 -6.320000 637.020000 -6.310000 ;
+        RECT 814.020000 -6.320000 817.020000 -6.310000 ;
+        RECT 994.020000 -6.320000 997.020000 -6.310000 ;
+        RECT 1174.020000 -6.320000 1177.020000 -6.310000 ;
+        RECT 1354.020000 -6.320000 1357.020000 -6.310000 ;
+        RECT 1534.020000 -6.320000 1537.020000 -6.310000 ;
+        RECT 1714.020000 -6.320000 1717.020000 -6.310000 ;
+        RECT 1894.020000 -6.320000 1897.020000 -6.310000 ;
+        RECT 2074.020000 -6.320000 2077.020000 -6.310000 ;
+        RECT 2254.020000 -6.320000 2257.020000 -6.310000 ;
+        RECT 2434.020000 -6.320000 2437.020000 -6.310000 ;
+        RECT 2614.020000 -6.320000 2617.020000 -6.310000 ;
+        RECT 2794.020000 -6.320000 2797.020000 -6.310000 ;
+        RECT 2931.300000 -6.320000 2934.300000 -6.310000 ;
+        RECT -14.680000 -9.320000 2934.300000 -6.320000 ;
+        RECT -14.680000 -9.330000 -11.680000 -9.320000 ;
+        RECT 94.020000 -9.330000 97.020000 -9.320000 ;
+        RECT 274.020000 -9.330000 277.020000 -9.320000 ;
+        RECT 454.020000 -9.330000 457.020000 -9.320000 ;
+        RECT 634.020000 -9.330000 637.020000 -9.320000 ;
+        RECT 814.020000 -9.330000 817.020000 -9.320000 ;
+        RECT 994.020000 -9.330000 997.020000 -9.320000 ;
+        RECT 1174.020000 -9.330000 1177.020000 -9.320000 ;
+        RECT 1354.020000 -9.330000 1357.020000 -9.320000 ;
+        RECT 1534.020000 -9.330000 1537.020000 -9.320000 ;
+        RECT 1714.020000 -9.330000 1717.020000 -9.320000 ;
+        RECT 1894.020000 -9.330000 1897.020000 -9.320000 ;
+        RECT 2074.020000 -9.330000 2077.020000 -9.320000 ;
+        RECT 2254.020000 -9.330000 2257.020000 -9.320000 ;
+        RECT 2434.020000 -9.330000 2437.020000 -9.320000 ;
+        RECT 2614.020000 -9.330000 2617.020000 -9.320000 ;
+        RECT 2794.020000 -9.330000 2797.020000 -9.320000 ;
+        RECT 2931.300000 -9.330000 2934.300000 -9.320000 ;
     END
   END vssd1
   PIN vccd2
     DIRECTION INPUT ;
     PORT
       LAYER met4 ;
-        RECT -19.180000 -13.820000 -16.180000 3533.500000 ;
-        RECT 22.020000 3520.400000 25.020000 3538.100000 ;
-        RECT 202.020000 3520.400000 205.020000 3538.100000 ;
-        RECT 382.020000 3520.400000 385.020000 3538.100000 ;
-        RECT 562.020000 3520.400000 565.020000 3538.100000 ;
-        RECT 742.020000 3520.400000 745.020000 3538.100000 ;
-        RECT 922.020000 3520.400000 925.020000 3538.100000 ;
-        RECT 1102.020000 3520.400000 1105.020000 3538.100000 ;
-        RECT 1282.020000 3520.400000 1285.020000 3538.100000 ;
-        RECT 1462.020000 3520.400000 1465.020000 3538.100000 ;
-        RECT 1642.020000 3520.400000 1645.020000 3538.100000 ;
-        RECT 1822.020000 3520.400000 1825.020000 3538.100000 ;
-        RECT 2002.020000 3520.400000 2005.020000 3538.100000 ;
-        RECT 2182.020000 3520.400000 2185.020000 3538.100000 ;
-        RECT 2362.020000 3520.400000 2365.020000 3538.100000 ;
-        RECT 2542.020000 3520.400000 2545.020000 3538.100000 ;
-        RECT 2722.020000 3520.400000 2725.020000 3538.100000 ;
-        RECT 2902.020000 3520.400000 2905.020000 3538.100000 ;
-        RECT 22.020000 -18.420000 25.020000 -0.400000 ;
-        RECT 202.020000 -18.420000 205.020000 -0.400000 ;
-        RECT 382.020000 -18.420000 385.020000 -0.400000 ;
-        RECT 562.020000 -18.420000 565.020000 -0.400000 ;
-        RECT 742.020000 -18.420000 745.020000 -0.400000 ;
-        RECT 922.020000 -18.420000 925.020000 -0.400000 ;
-        RECT 1102.020000 -18.420000 1105.020000 -0.400000 ;
-        RECT 1282.020000 -18.420000 1285.020000 -0.400000 ;
-        RECT 1462.020000 -18.420000 1465.020000 -0.400000 ;
-        RECT 1642.020000 -18.420000 1645.020000 -0.400000 ;
-        RECT 1822.020000 -18.420000 1825.020000 -0.400000 ;
-        RECT 2002.020000 -18.420000 2005.020000 -0.400000 ;
-        RECT 2182.020000 -18.420000 2185.020000 -0.400000 ;
-        RECT 2362.020000 -18.420000 2365.020000 -0.400000 ;
-        RECT 2542.020000 -18.420000 2545.020000 -0.400000 ;
-        RECT 2722.020000 -18.420000 2725.020000 -0.400000 ;
-        RECT 2902.020000 -18.420000 2905.020000 -0.400000 ;
-        RECT 2935.800000 -13.820000 2938.800000 3533.500000 ;
+        RECT -19.380000 -14.020000 -16.380000 3533.700000 ;
+        RECT 22.020000 3520.400000 25.020000 3538.400000 ;
+        RECT 202.020000 3520.400000 205.020000 3538.400000 ;
+        RECT 382.020000 3520.400000 385.020000 3538.400000 ;
+        RECT 562.020000 3520.400000 565.020000 3538.400000 ;
+        RECT 742.020000 3520.400000 745.020000 3538.400000 ;
+        RECT 922.020000 3520.400000 925.020000 3538.400000 ;
+        RECT 1102.020000 3520.400000 1105.020000 3538.400000 ;
+        RECT 1282.020000 3520.400000 1285.020000 3538.400000 ;
+        RECT 1462.020000 3520.400000 1465.020000 3538.400000 ;
+        RECT 1642.020000 3520.400000 1645.020000 3538.400000 ;
+        RECT 1822.020000 3520.400000 1825.020000 3538.400000 ;
+        RECT 2002.020000 3520.400000 2005.020000 3538.400000 ;
+        RECT 2182.020000 3520.400000 2185.020000 3538.400000 ;
+        RECT 2362.020000 3520.400000 2365.020000 3538.400000 ;
+        RECT 2542.020000 3520.400000 2545.020000 3538.400000 ;
+        RECT 2722.020000 3520.400000 2725.020000 3538.400000 ;
+        RECT 2902.020000 3520.400000 2905.020000 3538.400000 ;
+        RECT 22.020000 -18.720000 25.020000 -0.400000 ;
+        RECT 202.020000 -18.720000 205.020000 -0.400000 ;
+        RECT 382.020000 -18.720000 385.020000 -0.400000 ;
+        RECT 562.020000 -18.720000 565.020000 -0.400000 ;
+        RECT 742.020000 -18.720000 745.020000 -0.400000 ;
+        RECT 922.020000 -18.720000 925.020000 -0.400000 ;
+        RECT 1102.020000 -18.720000 1105.020000 -0.400000 ;
+        RECT 1282.020000 -18.720000 1285.020000 -0.400000 ;
+        RECT 1462.020000 -18.720000 1465.020000 -0.400000 ;
+        RECT 1642.020000 -18.720000 1645.020000 -0.400000 ;
+        RECT 1822.020000 -18.720000 1825.020000 -0.400000 ;
+        RECT 2002.020000 -18.720000 2005.020000 -0.400000 ;
+        RECT 2182.020000 -18.720000 2185.020000 -0.400000 ;
+        RECT 2362.020000 -18.720000 2365.020000 -0.400000 ;
+        RECT 2542.020000 -18.720000 2545.020000 -0.400000 ;
+        RECT 2722.020000 -18.720000 2725.020000 -0.400000 ;
+        RECT 2902.020000 -18.720000 2905.020000 -0.400000 ;
+        RECT 2936.000000 -14.020000 2939.000000 3533.700000 ;
       LAYER M4M5_PR_C ;
-        RECT -18.270000 3532.210000 -17.090000 3533.390000 ;
-        RECT -18.270000 3530.610000 -17.090000 3531.790000 ;
-        RECT 22.930000 3532.210000 24.110000 3533.390000 ;
-        RECT 22.930000 3530.610000 24.110000 3531.790000 ;
-        RECT 202.930000 3532.210000 204.110000 3533.390000 ;
-        RECT 202.930000 3530.610000 204.110000 3531.790000 ;
-        RECT 382.930000 3532.210000 384.110000 3533.390000 ;
-        RECT 382.930000 3530.610000 384.110000 3531.790000 ;
-        RECT 562.930000 3532.210000 564.110000 3533.390000 ;
-        RECT 562.930000 3530.610000 564.110000 3531.790000 ;
-        RECT 742.930000 3532.210000 744.110000 3533.390000 ;
-        RECT 742.930000 3530.610000 744.110000 3531.790000 ;
-        RECT 922.930000 3532.210000 924.110000 3533.390000 ;
-        RECT 922.930000 3530.610000 924.110000 3531.790000 ;
-        RECT 1102.930000 3532.210000 1104.110000 3533.390000 ;
-        RECT 1102.930000 3530.610000 1104.110000 3531.790000 ;
-        RECT 1282.930000 3532.210000 1284.110000 3533.390000 ;
-        RECT 1282.930000 3530.610000 1284.110000 3531.790000 ;
-        RECT 1462.930000 3532.210000 1464.110000 3533.390000 ;
-        RECT 1462.930000 3530.610000 1464.110000 3531.790000 ;
-        RECT 1642.930000 3532.210000 1644.110000 3533.390000 ;
-        RECT 1642.930000 3530.610000 1644.110000 3531.790000 ;
-        RECT 1822.930000 3532.210000 1824.110000 3533.390000 ;
-        RECT 1822.930000 3530.610000 1824.110000 3531.790000 ;
-        RECT 2002.930000 3532.210000 2004.110000 3533.390000 ;
-        RECT 2002.930000 3530.610000 2004.110000 3531.790000 ;
-        RECT 2182.930000 3532.210000 2184.110000 3533.390000 ;
-        RECT 2182.930000 3530.610000 2184.110000 3531.790000 ;
-        RECT 2362.930000 3532.210000 2364.110000 3533.390000 ;
-        RECT 2362.930000 3530.610000 2364.110000 3531.790000 ;
-        RECT 2542.930000 3532.210000 2544.110000 3533.390000 ;
-        RECT 2542.930000 3530.610000 2544.110000 3531.790000 ;
-        RECT 2722.930000 3532.210000 2724.110000 3533.390000 ;
-        RECT 2722.930000 3530.610000 2724.110000 3531.790000 ;
-        RECT 2902.930000 3532.210000 2904.110000 3533.390000 ;
-        RECT 2902.930000 3530.610000 2904.110000 3531.790000 ;
-        RECT 2936.710000 3532.210000 2937.890000 3533.390000 ;
-        RECT 2936.710000 3530.610000 2937.890000 3531.790000 ;
-        RECT -18.270000 3449.090000 -17.090000 3450.270000 ;
-        RECT -18.270000 3447.490000 -17.090000 3448.670000 ;
-        RECT -18.270000 3269.090000 -17.090000 3270.270000 ;
-        RECT -18.270000 3267.490000 -17.090000 3268.670000 ;
-        RECT -18.270000 3089.090000 -17.090000 3090.270000 ;
-        RECT -18.270000 3087.490000 -17.090000 3088.670000 ;
-        RECT -18.270000 2909.090000 -17.090000 2910.270000 ;
-        RECT -18.270000 2907.490000 -17.090000 2908.670000 ;
-        RECT -18.270000 2729.090000 -17.090000 2730.270000 ;
-        RECT -18.270000 2727.490000 -17.090000 2728.670000 ;
-        RECT -18.270000 2549.090000 -17.090000 2550.270000 ;
-        RECT -18.270000 2547.490000 -17.090000 2548.670000 ;
-        RECT -18.270000 2369.090000 -17.090000 2370.270000 ;
-        RECT -18.270000 2367.490000 -17.090000 2368.670000 ;
-        RECT -18.270000 2189.090000 -17.090000 2190.270000 ;
-        RECT -18.270000 2187.490000 -17.090000 2188.670000 ;
-        RECT -18.270000 2009.090000 -17.090000 2010.270000 ;
-        RECT -18.270000 2007.490000 -17.090000 2008.670000 ;
-        RECT -18.270000 1829.090000 -17.090000 1830.270000 ;
-        RECT -18.270000 1827.490000 -17.090000 1828.670000 ;
-        RECT -18.270000 1649.090000 -17.090000 1650.270000 ;
-        RECT -18.270000 1647.490000 -17.090000 1648.670000 ;
-        RECT -18.270000 1469.090000 -17.090000 1470.270000 ;
-        RECT -18.270000 1467.490000 -17.090000 1468.670000 ;
-        RECT -18.270000 1289.090000 -17.090000 1290.270000 ;
-        RECT -18.270000 1287.490000 -17.090000 1288.670000 ;
-        RECT -18.270000 1109.090000 -17.090000 1110.270000 ;
-        RECT -18.270000 1107.490000 -17.090000 1108.670000 ;
-        RECT -18.270000 929.090000 -17.090000 930.270000 ;
-        RECT -18.270000 927.490000 -17.090000 928.670000 ;
-        RECT -18.270000 749.090000 -17.090000 750.270000 ;
-        RECT -18.270000 747.490000 -17.090000 748.670000 ;
-        RECT -18.270000 569.090000 -17.090000 570.270000 ;
-        RECT -18.270000 567.490000 -17.090000 568.670000 ;
-        RECT -18.270000 389.090000 -17.090000 390.270000 ;
-        RECT -18.270000 387.490000 -17.090000 388.670000 ;
-        RECT -18.270000 209.090000 -17.090000 210.270000 ;
-        RECT -18.270000 207.490000 -17.090000 208.670000 ;
-        RECT -18.270000 29.090000 -17.090000 30.270000 ;
-        RECT -18.270000 27.490000 -17.090000 28.670000 ;
-        RECT 2936.710000 3449.090000 2937.890000 3450.270000 ;
-        RECT 2936.710000 3447.490000 2937.890000 3448.670000 ;
-        RECT 2936.710000 3269.090000 2937.890000 3270.270000 ;
-        RECT 2936.710000 3267.490000 2937.890000 3268.670000 ;
-        RECT 2936.710000 3089.090000 2937.890000 3090.270000 ;
-        RECT 2936.710000 3087.490000 2937.890000 3088.670000 ;
-        RECT 2936.710000 2909.090000 2937.890000 2910.270000 ;
-        RECT 2936.710000 2907.490000 2937.890000 2908.670000 ;
-        RECT 2936.710000 2729.090000 2937.890000 2730.270000 ;
-        RECT 2936.710000 2727.490000 2937.890000 2728.670000 ;
-        RECT 2936.710000 2549.090000 2937.890000 2550.270000 ;
-        RECT 2936.710000 2547.490000 2937.890000 2548.670000 ;
-        RECT 2936.710000 2369.090000 2937.890000 2370.270000 ;
-        RECT 2936.710000 2367.490000 2937.890000 2368.670000 ;
-        RECT 2936.710000 2189.090000 2937.890000 2190.270000 ;
-        RECT 2936.710000 2187.490000 2937.890000 2188.670000 ;
-        RECT 2936.710000 2009.090000 2937.890000 2010.270000 ;
-        RECT 2936.710000 2007.490000 2937.890000 2008.670000 ;
-        RECT 2936.710000 1829.090000 2937.890000 1830.270000 ;
-        RECT 2936.710000 1827.490000 2937.890000 1828.670000 ;
-        RECT 2936.710000 1649.090000 2937.890000 1650.270000 ;
-        RECT 2936.710000 1647.490000 2937.890000 1648.670000 ;
-        RECT 2936.710000 1469.090000 2937.890000 1470.270000 ;
-        RECT 2936.710000 1467.490000 2937.890000 1468.670000 ;
-        RECT 2936.710000 1289.090000 2937.890000 1290.270000 ;
-        RECT 2936.710000 1287.490000 2937.890000 1288.670000 ;
-        RECT 2936.710000 1109.090000 2937.890000 1110.270000 ;
-        RECT 2936.710000 1107.490000 2937.890000 1108.670000 ;
-        RECT 2936.710000 929.090000 2937.890000 930.270000 ;
-        RECT 2936.710000 927.490000 2937.890000 928.670000 ;
-        RECT 2936.710000 749.090000 2937.890000 750.270000 ;
-        RECT 2936.710000 747.490000 2937.890000 748.670000 ;
-        RECT 2936.710000 569.090000 2937.890000 570.270000 ;
-        RECT 2936.710000 567.490000 2937.890000 568.670000 ;
-        RECT 2936.710000 389.090000 2937.890000 390.270000 ;
-        RECT 2936.710000 387.490000 2937.890000 388.670000 ;
-        RECT 2936.710000 209.090000 2937.890000 210.270000 ;
-        RECT 2936.710000 207.490000 2937.890000 208.670000 ;
-        RECT 2936.710000 29.090000 2937.890000 30.270000 ;
-        RECT 2936.710000 27.490000 2937.890000 28.670000 ;
-        RECT -18.270000 -12.110000 -17.090000 -10.930000 ;
-        RECT -18.270000 -13.710000 -17.090000 -12.530000 ;
-        RECT 22.930000 -12.110000 24.110000 -10.930000 ;
-        RECT 22.930000 -13.710000 24.110000 -12.530000 ;
-        RECT 202.930000 -12.110000 204.110000 -10.930000 ;
-        RECT 202.930000 -13.710000 204.110000 -12.530000 ;
-        RECT 382.930000 -12.110000 384.110000 -10.930000 ;
-        RECT 382.930000 -13.710000 384.110000 -12.530000 ;
-        RECT 562.930000 -12.110000 564.110000 -10.930000 ;
-        RECT 562.930000 -13.710000 564.110000 -12.530000 ;
-        RECT 742.930000 -12.110000 744.110000 -10.930000 ;
-        RECT 742.930000 -13.710000 744.110000 -12.530000 ;
-        RECT 922.930000 -12.110000 924.110000 -10.930000 ;
-        RECT 922.930000 -13.710000 924.110000 -12.530000 ;
-        RECT 1102.930000 -12.110000 1104.110000 -10.930000 ;
-        RECT 1102.930000 -13.710000 1104.110000 -12.530000 ;
-        RECT 1282.930000 -12.110000 1284.110000 -10.930000 ;
-        RECT 1282.930000 -13.710000 1284.110000 -12.530000 ;
-        RECT 1462.930000 -12.110000 1464.110000 -10.930000 ;
-        RECT 1462.930000 -13.710000 1464.110000 -12.530000 ;
-        RECT 1642.930000 -12.110000 1644.110000 -10.930000 ;
-        RECT 1642.930000 -13.710000 1644.110000 -12.530000 ;
-        RECT 1822.930000 -12.110000 1824.110000 -10.930000 ;
-        RECT 1822.930000 -13.710000 1824.110000 -12.530000 ;
-        RECT 2002.930000 -12.110000 2004.110000 -10.930000 ;
-        RECT 2002.930000 -13.710000 2004.110000 -12.530000 ;
-        RECT 2182.930000 -12.110000 2184.110000 -10.930000 ;
-        RECT 2182.930000 -13.710000 2184.110000 -12.530000 ;
-        RECT 2362.930000 -12.110000 2364.110000 -10.930000 ;
-        RECT 2362.930000 -13.710000 2364.110000 -12.530000 ;
-        RECT 2542.930000 -12.110000 2544.110000 -10.930000 ;
-        RECT 2542.930000 -13.710000 2544.110000 -12.530000 ;
-        RECT 2722.930000 -12.110000 2724.110000 -10.930000 ;
-        RECT 2722.930000 -13.710000 2724.110000 -12.530000 ;
-        RECT 2902.930000 -12.110000 2904.110000 -10.930000 ;
-        RECT 2902.930000 -13.710000 2904.110000 -12.530000 ;
-        RECT 2936.710000 -12.110000 2937.890000 -10.930000 ;
-        RECT 2936.710000 -13.710000 2937.890000 -12.530000 ;
+        RECT -18.470000 3532.410000 -17.290000 3533.590000 ;
+        RECT -18.470000 3530.810000 -17.290000 3531.990000 ;
+        RECT 22.930000 3532.410000 24.110000 3533.590000 ;
+        RECT 22.930000 3530.810000 24.110000 3531.990000 ;
+        RECT 202.930000 3532.410000 204.110000 3533.590000 ;
+        RECT 202.930000 3530.810000 204.110000 3531.990000 ;
+        RECT 382.930000 3532.410000 384.110000 3533.590000 ;
+        RECT 382.930000 3530.810000 384.110000 3531.990000 ;
+        RECT 562.930000 3532.410000 564.110000 3533.590000 ;
+        RECT 562.930000 3530.810000 564.110000 3531.990000 ;
+        RECT 742.930000 3532.410000 744.110000 3533.590000 ;
+        RECT 742.930000 3530.810000 744.110000 3531.990000 ;
+        RECT 922.930000 3532.410000 924.110000 3533.590000 ;
+        RECT 922.930000 3530.810000 924.110000 3531.990000 ;
+        RECT 1102.930000 3532.410000 1104.110000 3533.590000 ;
+        RECT 1102.930000 3530.810000 1104.110000 3531.990000 ;
+        RECT 1282.930000 3532.410000 1284.110000 3533.590000 ;
+        RECT 1282.930000 3530.810000 1284.110000 3531.990000 ;
+        RECT 1462.930000 3532.410000 1464.110000 3533.590000 ;
+        RECT 1462.930000 3530.810000 1464.110000 3531.990000 ;
+        RECT 1642.930000 3532.410000 1644.110000 3533.590000 ;
+        RECT 1642.930000 3530.810000 1644.110000 3531.990000 ;
+        RECT 1822.930000 3532.410000 1824.110000 3533.590000 ;
+        RECT 1822.930000 3530.810000 1824.110000 3531.990000 ;
+        RECT 2002.930000 3532.410000 2004.110000 3533.590000 ;
+        RECT 2002.930000 3530.810000 2004.110000 3531.990000 ;
+        RECT 2182.930000 3532.410000 2184.110000 3533.590000 ;
+        RECT 2182.930000 3530.810000 2184.110000 3531.990000 ;
+        RECT 2362.930000 3532.410000 2364.110000 3533.590000 ;
+        RECT 2362.930000 3530.810000 2364.110000 3531.990000 ;
+        RECT 2542.930000 3532.410000 2544.110000 3533.590000 ;
+        RECT 2542.930000 3530.810000 2544.110000 3531.990000 ;
+        RECT 2722.930000 3532.410000 2724.110000 3533.590000 ;
+        RECT 2722.930000 3530.810000 2724.110000 3531.990000 ;
+        RECT 2902.930000 3532.410000 2904.110000 3533.590000 ;
+        RECT 2902.930000 3530.810000 2904.110000 3531.990000 ;
+        RECT 2936.910000 3532.410000 2938.090000 3533.590000 ;
+        RECT 2936.910000 3530.810000 2938.090000 3531.990000 ;
+        RECT -18.470000 3449.090000 -17.290000 3450.270000 ;
+        RECT -18.470000 3447.490000 -17.290000 3448.670000 ;
+        RECT -18.470000 3269.090000 -17.290000 3270.270000 ;
+        RECT -18.470000 3267.490000 -17.290000 3268.670000 ;
+        RECT -18.470000 3089.090000 -17.290000 3090.270000 ;
+        RECT -18.470000 3087.490000 -17.290000 3088.670000 ;
+        RECT -18.470000 2909.090000 -17.290000 2910.270000 ;
+        RECT -18.470000 2907.490000 -17.290000 2908.670000 ;
+        RECT -18.470000 2729.090000 -17.290000 2730.270000 ;
+        RECT -18.470000 2727.490000 -17.290000 2728.670000 ;
+        RECT -18.470000 2549.090000 -17.290000 2550.270000 ;
+        RECT -18.470000 2547.490000 -17.290000 2548.670000 ;
+        RECT -18.470000 2369.090000 -17.290000 2370.270000 ;
+        RECT -18.470000 2367.490000 -17.290000 2368.670000 ;
+        RECT -18.470000 2189.090000 -17.290000 2190.270000 ;
+        RECT -18.470000 2187.490000 -17.290000 2188.670000 ;
+        RECT -18.470000 2009.090000 -17.290000 2010.270000 ;
+        RECT -18.470000 2007.490000 -17.290000 2008.670000 ;
+        RECT -18.470000 1829.090000 -17.290000 1830.270000 ;
+        RECT -18.470000 1827.490000 -17.290000 1828.670000 ;
+        RECT -18.470000 1649.090000 -17.290000 1650.270000 ;
+        RECT -18.470000 1647.490000 -17.290000 1648.670000 ;
+        RECT -18.470000 1469.090000 -17.290000 1470.270000 ;
+        RECT -18.470000 1467.490000 -17.290000 1468.670000 ;
+        RECT -18.470000 1289.090000 -17.290000 1290.270000 ;
+        RECT -18.470000 1287.490000 -17.290000 1288.670000 ;
+        RECT -18.470000 1109.090000 -17.290000 1110.270000 ;
+        RECT -18.470000 1107.490000 -17.290000 1108.670000 ;
+        RECT -18.470000 929.090000 -17.290000 930.270000 ;
+        RECT -18.470000 927.490000 -17.290000 928.670000 ;
+        RECT -18.470000 749.090000 -17.290000 750.270000 ;
+        RECT -18.470000 747.490000 -17.290000 748.670000 ;
+        RECT -18.470000 569.090000 -17.290000 570.270000 ;
+        RECT -18.470000 567.490000 -17.290000 568.670000 ;
+        RECT -18.470000 389.090000 -17.290000 390.270000 ;
+        RECT -18.470000 387.490000 -17.290000 388.670000 ;
+        RECT -18.470000 209.090000 -17.290000 210.270000 ;
+        RECT -18.470000 207.490000 -17.290000 208.670000 ;
+        RECT -18.470000 29.090000 -17.290000 30.270000 ;
+        RECT -18.470000 27.490000 -17.290000 28.670000 ;
+        RECT 2936.910000 3449.090000 2938.090000 3450.270000 ;
+        RECT 2936.910000 3447.490000 2938.090000 3448.670000 ;
+        RECT 2936.910000 3269.090000 2938.090000 3270.270000 ;
+        RECT 2936.910000 3267.490000 2938.090000 3268.670000 ;
+        RECT 2936.910000 3089.090000 2938.090000 3090.270000 ;
+        RECT 2936.910000 3087.490000 2938.090000 3088.670000 ;
+        RECT 2936.910000 2909.090000 2938.090000 2910.270000 ;
+        RECT 2936.910000 2907.490000 2938.090000 2908.670000 ;
+        RECT 2936.910000 2729.090000 2938.090000 2730.270000 ;
+        RECT 2936.910000 2727.490000 2938.090000 2728.670000 ;
+        RECT 2936.910000 2549.090000 2938.090000 2550.270000 ;
+        RECT 2936.910000 2547.490000 2938.090000 2548.670000 ;
+        RECT 2936.910000 2369.090000 2938.090000 2370.270000 ;
+        RECT 2936.910000 2367.490000 2938.090000 2368.670000 ;
+        RECT 2936.910000 2189.090000 2938.090000 2190.270000 ;
+        RECT 2936.910000 2187.490000 2938.090000 2188.670000 ;
+        RECT 2936.910000 2009.090000 2938.090000 2010.270000 ;
+        RECT 2936.910000 2007.490000 2938.090000 2008.670000 ;
+        RECT 2936.910000 1829.090000 2938.090000 1830.270000 ;
+        RECT 2936.910000 1827.490000 2938.090000 1828.670000 ;
+        RECT 2936.910000 1649.090000 2938.090000 1650.270000 ;
+        RECT 2936.910000 1647.490000 2938.090000 1648.670000 ;
+        RECT 2936.910000 1469.090000 2938.090000 1470.270000 ;
+        RECT 2936.910000 1467.490000 2938.090000 1468.670000 ;
+        RECT 2936.910000 1289.090000 2938.090000 1290.270000 ;
+        RECT 2936.910000 1287.490000 2938.090000 1288.670000 ;
+        RECT 2936.910000 1109.090000 2938.090000 1110.270000 ;
+        RECT 2936.910000 1107.490000 2938.090000 1108.670000 ;
+        RECT 2936.910000 929.090000 2938.090000 930.270000 ;
+        RECT 2936.910000 927.490000 2938.090000 928.670000 ;
+        RECT 2936.910000 749.090000 2938.090000 750.270000 ;
+        RECT 2936.910000 747.490000 2938.090000 748.670000 ;
+        RECT 2936.910000 569.090000 2938.090000 570.270000 ;
+        RECT 2936.910000 567.490000 2938.090000 568.670000 ;
+        RECT 2936.910000 389.090000 2938.090000 390.270000 ;
+        RECT 2936.910000 387.490000 2938.090000 388.670000 ;
+        RECT 2936.910000 209.090000 2938.090000 210.270000 ;
+        RECT 2936.910000 207.490000 2938.090000 208.670000 ;
+        RECT 2936.910000 29.090000 2938.090000 30.270000 ;
+        RECT 2936.910000 27.490000 2938.090000 28.670000 ;
+        RECT -18.470000 -12.310000 -17.290000 -11.130000 ;
+        RECT -18.470000 -13.910000 -17.290000 -12.730000 ;
+        RECT 22.930000 -12.310000 24.110000 -11.130000 ;
+        RECT 22.930000 -13.910000 24.110000 -12.730000 ;
+        RECT 202.930000 -12.310000 204.110000 -11.130000 ;
+        RECT 202.930000 -13.910000 204.110000 -12.730000 ;
+        RECT 382.930000 -12.310000 384.110000 -11.130000 ;
+        RECT 382.930000 -13.910000 384.110000 -12.730000 ;
+        RECT 562.930000 -12.310000 564.110000 -11.130000 ;
+        RECT 562.930000 -13.910000 564.110000 -12.730000 ;
+        RECT 742.930000 -12.310000 744.110000 -11.130000 ;
+        RECT 742.930000 -13.910000 744.110000 -12.730000 ;
+        RECT 922.930000 -12.310000 924.110000 -11.130000 ;
+        RECT 922.930000 -13.910000 924.110000 -12.730000 ;
+        RECT 1102.930000 -12.310000 1104.110000 -11.130000 ;
+        RECT 1102.930000 -13.910000 1104.110000 -12.730000 ;
+        RECT 1282.930000 -12.310000 1284.110000 -11.130000 ;
+        RECT 1282.930000 -13.910000 1284.110000 -12.730000 ;
+        RECT 1462.930000 -12.310000 1464.110000 -11.130000 ;
+        RECT 1462.930000 -13.910000 1464.110000 -12.730000 ;
+        RECT 1642.930000 -12.310000 1644.110000 -11.130000 ;
+        RECT 1642.930000 -13.910000 1644.110000 -12.730000 ;
+        RECT 1822.930000 -12.310000 1824.110000 -11.130000 ;
+        RECT 1822.930000 -13.910000 1824.110000 -12.730000 ;
+        RECT 2002.930000 -12.310000 2004.110000 -11.130000 ;
+        RECT 2002.930000 -13.910000 2004.110000 -12.730000 ;
+        RECT 2182.930000 -12.310000 2184.110000 -11.130000 ;
+        RECT 2182.930000 -13.910000 2184.110000 -12.730000 ;
+        RECT 2362.930000 -12.310000 2364.110000 -11.130000 ;
+        RECT 2362.930000 -13.910000 2364.110000 -12.730000 ;
+        RECT 2542.930000 -12.310000 2544.110000 -11.130000 ;
+        RECT 2542.930000 -13.910000 2544.110000 -12.730000 ;
+        RECT 2722.930000 -12.310000 2724.110000 -11.130000 ;
+        RECT 2722.930000 -13.910000 2724.110000 -12.730000 ;
+        RECT 2902.930000 -12.310000 2904.110000 -11.130000 ;
+        RECT 2902.930000 -13.910000 2904.110000 -12.730000 ;
+        RECT 2936.910000 -12.310000 2938.090000 -11.130000 ;
+        RECT 2936.910000 -13.910000 2938.090000 -12.730000 ;
       LAYER met5 ;
-        RECT -19.180000 3533.500000 -16.180000 3533.510000 ;
-        RECT 22.020000 3533.500000 25.020000 3533.510000 ;
-        RECT 202.020000 3533.500000 205.020000 3533.510000 ;
-        RECT 382.020000 3533.500000 385.020000 3533.510000 ;
-        RECT 562.020000 3533.500000 565.020000 3533.510000 ;
-        RECT 742.020000 3533.500000 745.020000 3533.510000 ;
-        RECT 922.020000 3533.500000 925.020000 3533.510000 ;
-        RECT 1102.020000 3533.500000 1105.020000 3533.510000 ;
-        RECT 1282.020000 3533.500000 1285.020000 3533.510000 ;
-        RECT 1462.020000 3533.500000 1465.020000 3533.510000 ;
-        RECT 1642.020000 3533.500000 1645.020000 3533.510000 ;
-        RECT 1822.020000 3533.500000 1825.020000 3533.510000 ;
-        RECT 2002.020000 3533.500000 2005.020000 3533.510000 ;
-        RECT 2182.020000 3533.500000 2185.020000 3533.510000 ;
-        RECT 2362.020000 3533.500000 2365.020000 3533.510000 ;
-        RECT 2542.020000 3533.500000 2545.020000 3533.510000 ;
-        RECT 2722.020000 3533.500000 2725.020000 3533.510000 ;
-        RECT 2902.020000 3533.500000 2905.020000 3533.510000 ;
-        RECT 2935.800000 3533.500000 2938.800000 3533.510000 ;
-        RECT -19.180000 3530.500000 2938.800000 3533.500000 ;
-        RECT -19.180000 3530.490000 -16.180000 3530.500000 ;
-        RECT 22.020000 3530.490000 25.020000 3530.500000 ;
-        RECT 202.020000 3530.490000 205.020000 3530.500000 ;
-        RECT 382.020000 3530.490000 385.020000 3530.500000 ;
-        RECT 562.020000 3530.490000 565.020000 3530.500000 ;
-        RECT 742.020000 3530.490000 745.020000 3530.500000 ;
-        RECT 922.020000 3530.490000 925.020000 3530.500000 ;
-        RECT 1102.020000 3530.490000 1105.020000 3530.500000 ;
-        RECT 1282.020000 3530.490000 1285.020000 3530.500000 ;
-        RECT 1462.020000 3530.490000 1465.020000 3530.500000 ;
-        RECT 1642.020000 3530.490000 1645.020000 3530.500000 ;
-        RECT 1822.020000 3530.490000 1825.020000 3530.500000 ;
-        RECT 2002.020000 3530.490000 2005.020000 3530.500000 ;
-        RECT 2182.020000 3530.490000 2185.020000 3530.500000 ;
-        RECT 2362.020000 3530.490000 2365.020000 3530.500000 ;
-        RECT 2542.020000 3530.490000 2545.020000 3530.500000 ;
-        RECT 2722.020000 3530.490000 2725.020000 3530.500000 ;
-        RECT 2902.020000 3530.490000 2905.020000 3530.500000 ;
-        RECT 2935.800000 3530.490000 2938.800000 3530.500000 ;
-        RECT -19.180000 3450.380000 -16.180000 3450.390000 ;
-        RECT 2935.800000 3450.380000 2938.800000 3450.390000 ;
-        RECT -23.780000 3447.380000 -0.400000 3450.380000 ;
-        RECT 2920.400000 3447.380000 2943.400000 3450.380000 ;
-        RECT -19.180000 3447.370000 -16.180000 3447.380000 ;
-        RECT 2935.800000 3447.370000 2938.800000 3447.380000 ;
-        RECT -19.180000 3270.380000 -16.180000 3270.390000 ;
-        RECT 2935.800000 3270.380000 2938.800000 3270.390000 ;
-        RECT -23.780000 3267.380000 -0.400000 3270.380000 ;
-        RECT 2920.400000 3267.380000 2943.400000 3270.380000 ;
-        RECT -19.180000 3267.370000 -16.180000 3267.380000 ;
-        RECT 2935.800000 3267.370000 2938.800000 3267.380000 ;
-        RECT -19.180000 3090.380000 -16.180000 3090.390000 ;
-        RECT 2935.800000 3090.380000 2938.800000 3090.390000 ;
-        RECT -23.780000 3087.380000 -0.400000 3090.380000 ;
-        RECT 2920.400000 3087.380000 2943.400000 3090.380000 ;
-        RECT -19.180000 3087.370000 -16.180000 3087.380000 ;
-        RECT 2935.800000 3087.370000 2938.800000 3087.380000 ;
-        RECT -19.180000 2910.380000 -16.180000 2910.390000 ;
-        RECT 2935.800000 2910.380000 2938.800000 2910.390000 ;
-        RECT -23.780000 2907.380000 -0.400000 2910.380000 ;
-        RECT 2920.400000 2907.380000 2943.400000 2910.380000 ;
-        RECT -19.180000 2907.370000 -16.180000 2907.380000 ;
-        RECT 2935.800000 2907.370000 2938.800000 2907.380000 ;
-        RECT -19.180000 2730.380000 -16.180000 2730.390000 ;
-        RECT 2935.800000 2730.380000 2938.800000 2730.390000 ;
-        RECT -23.780000 2727.380000 -0.400000 2730.380000 ;
-        RECT 2920.400000 2727.380000 2943.400000 2730.380000 ;
-        RECT -19.180000 2727.370000 -16.180000 2727.380000 ;
-        RECT 2935.800000 2727.370000 2938.800000 2727.380000 ;
-        RECT -19.180000 2550.380000 -16.180000 2550.390000 ;
-        RECT 2935.800000 2550.380000 2938.800000 2550.390000 ;
-        RECT -23.780000 2547.380000 -0.400000 2550.380000 ;
-        RECT 2920.400000 2547.380000 2943.400000 2550.380000 ;
-        RECT -19.180000 2547.370000 -16.180000 2547.380000 ;
-        RECT 2935.800000 2547.370000 2938.800000 2547.380000 ;
-        RECT -19.180000 2370.380000 -16.180000 2370.390000 ;
-        RECT 2935.800000 2370.380000 2938.800000 2370.390000 ;
-        RECT -23.780000 2367.380000 -0.400000 2370.380000 ;
-        RECT 2920.400000 2367.380000 2943.400000 2370.380000 ;
-        RECT -19.180000 2367.370000 -16.180000 2367.380000 ;
-        RECT 2935.800000 2367.370000 2938.800000 2367.380000 ;
-        RECT -19.180000 2190.380000 -16.180000 2190.390000 ;
-        RECT 2935.800000 2190.380000 2938.800000 2190.390000 ;
-        RECT -23.780000 2187.380000 -0.400000 2190.380000 ;
-        RECT 2920.400000 2187.380000 2943.400000 2190.380000 ;
-        RECT -19.180000 2187.370000 -16.180000 2187.380000 ;
-        RECT 2935.800000 2187.370000 2938.800000 2187.380000 ;
-        RECT -19.180000 2010.380000 -16.180000 2010.390000 ;
-        RECT 2935.800000 2010.380000 2938.800000 2010.390000 ;
-        RECT -23.780000 2007.380000 -0.400000 2010.380000 ;
-        RECT 2920.400000 2007.380000 2943.400000 2010.380000 ;
-        RECT -19.180000 2007.370000 -16.180000 2007.380000 ;
-        RECT 2935.800000 2007.370000 2938.800000 2007.380000 ;
-        RECT -19.180000 1830.380000 -16.180000 1830.390000 ;
-        RECT 2935.800000 1830.380000 2938.800000 1830.390000 ;
-        RECT -23.780000 1827.380000 -0.400000 1830.380000 ;
-        RECT 2920.400000 1827.380000 2943.400000 1830.380000 ;
-        RECT -19.180000 1827.370000 -16.180000 1827.380000 ;
-        RECT 2935.800000 1827.370000 2938.800000 1827.380000 ;
-        RECT -19.180000 1650.380000 -16.180000 1650.390000 ;
-        RECT 2935.800000 1650.380000 2938.800000 1650.390000 ;
-        RECT -23.780000 1647.380000 -0.400000 1650.380000 ;
-        RECT 2920.400000 1647.380000 2943.400000 1650.380000 ;
-        RECT -19.180000 1647.370000 -16.180000 1647.380000 ;
-        RECT 2935.800000 1647.370000 2938.800000 1647.380000 ;
-        RECT -19.180000 1470.380000 -16.180000 1470.390000 ;
-        RECT 2935.800000 1470.380000 2938.800000 1470.390000 ;
-        RECT -23.780000 1467.380000 -0.400000 1470.380000 ;
-        RECT 2920.400000 1467.380000 2943.400000 1470.380000 ;
-        RECT -19.180000 1467.370000 -16.180000 1467.380000 ;
-        RECT 2935.800000 1467.370000 2938.800000 1467.380000 ;
-        RECT -19.180000 1290.380000 -16.180000 1290.390000 ;
-        RECT 2935.800000 1290.380000 2938.800000 1290.390000 ;
-        RECT -23.780000 1287.380000 -0.400000 1290.380000 ;
-        RECT 2920.400000 1287.380000 2943.400000 1290.380000 ;
-        RECT -19.180000 1287.370000 -16.180000 1287.380000 ;
-        RECT 2935.800000 1287.370000 2938.800000 1287.380000 ;
-        RECT -19.180000 1110.380000 -16.180000 1110.390000 ;
-        RECT 2935.800000 1110.380000 2938.800000 1110.390000 ;
-        RECT -23.780000 1107.380000 -0.400000 1110.380000 ;
-        RECT 2920.400000 1107.380000 2943.400000 1110.380000 ;
-        RECT -19.180000 1107.370000 -16.180000 1107.380000 ;
-        RECT 2935.800000 1107.370000 2938.800000 1107.380000 ;
-        RECT -19.180000 930.380000 -16.180000 930.390000 ;
-        RECT 2935.800000 930.380000 2938.800000 930.390000 ;
-        RECT -23.780000 927.380000 -0.400000 930.380000 ;
-        RECT 2920.400000 927.380000 2943.400000 930.380000 ;
-        RECT -19.180000 927.370000 -16.180000 927.380000 ;
-        RECT 2935.800000 927.370000 2938.800000 927.380000 ;
-        RECT -19.180000 750.380000 -16.180000 750.390000 ;
-        RECT 2935.800000 750.380000 2938.800000 750.390000 ;
-        RECT -23.780000 747.380000 -0.400000 750.380000 ;
-        RECT 2920.400000 747.380000 2943.400000 750.380000 ;
-        RECT -19.180000 747.370000 -16.180000 747.380000 ;
-        RECT 2935.800000 747.370000 2938.800000 747.380000 ;
-        RECT -19.180000 570.380000 -16.180000 570.390000 ;
-        RECT 2935.800000 570.380000 2938.800000 570.390000 ;
-        RECT -23.780000 567.380000 -0.400000 570.380000 ;
-        RECT 2920.400000 567.380000 2943.400000 570.380000 ;
-        RECT -19.180000 567.370000 -16.180000 567.380000 ;
-        RECT 2935.800000 567.370000 2938.800000 567.380000 ;
-        RECT -19.180000 390.380000 -16.180000 390.390000 ;
-        RECT 2935.800000 390.380000 2938.800000 390.390000 ;
-        RECT -23.780000 387.380000 -0.400000 390.380000 ;
-        RECT 2920.400000 387.380000 2943.400000 390.380000 ;
-        RECT -19.180000 387.370000 -16.180000 387.380000 ;
-        RECT 2935.800000 387.370000 2938.800000 387.380000 ;
-        RECT -19.180000 210.380000 -16.180000 210.390000 ;
-        RECT 2935.800000 210.380000 2938.800000 210.390000 ;
-        RECT -23.780000 207.380000 -0.400000 210.380000 ;
-        RECT 2920.400000 207.380000 2943.400000 210.380000 ;
-        RECT -19.180000 207.370000 -16.180000 207.380000 ;
-        RECT 2935.800000 207.370000 2938.800000 207.380000 ;
-        RECT -19.180000 30.380000 -16.180000 30.390000 ;
-        RECT 2935.800000 30.380000 2938.800000 30.390000 ;
-        RECT -23.780000 27.380000 -0.400000 30.380000 ;
-        RECT 2920.400000 27.380000 2943.400000 30.380000 ;
-        RECT -19.180000 27.370000 -16.180000 27.380000 ;
-        RECT 2935.800000 27.370000 2938.800000 27.380000 ;
-        RECT -19.180000 -10.820000 -16.180000 -10.810000 ;
-        RECT 22.020000 -10.820000 25.020000 -10.810000 ;
-        RECT 202.020000 -10.820000 205.020000 -10.810000 ;
-        RECT 382.020000 -10.820000 385.020000 -10.810000 ;
-        RECT 562.020000 -10.820000 565.020000 -10.810000 ;
-        RECT 742.020000 -10.820000 745.020000 -10.810000 ;
-        RECT 922.020000 -10.820000 925.020000 -10.810000 ;
-        RECT 1102.020000 -10.820000 1105.020000 -10.810000 ;
-        RECT 1282.020000 -10.820000 1285.020000 -10.810000 ;
-        RECT 1462.020000 -10.820000 1465.020000 -10.810000 ;
-        RECT 1642.020000 -10.820000 1645.020000 -10.810000 ;
-        RECT 1822.020000 -10.820000 1825.020000 -10.810000 ;
-        RECT 2002.020000 -10.820000 2005.020000 -10.810000 ;
-        RECT 2182.020000 -10.820000 2185.020000 -10.810000 ;
-        RECT 2362.020000 -10.820000 2365.020000 -10.810000 ;
-        RECT 2542.020000 -10.820000 2545.020000 -10.810000 ;
-        RECT 2722.020000 -10.820000 2725.020000 -10.810000 ;
-        RECT 2902.020000 -10.820000 2905.020000 -10.810000 ;
-        RECT 2935.800000 -10.820000 2938.800000 -10.810000 ;
-        RECT -19.180000 -13.820000 2938.800000 -10.820000 ;
-        RECT -19.180000 -13.830000 -16.180000 -13.820000 ;
-        RECT 22.020000 -13.830000 25.020000 -13.820000 ;
-        RECT 202.020000 -13.830000 205.020000 -13.820000 ;
-        RECT 382.020000 -13.830000 385.020000 -13.820000 ;
-        RECT 562.020000 -13.830000 565.020000 -13.820000 ;
-        RECT 742.020000 -13.830000 745.020000 -13.820000 ;
-        RECT 922.020000 -13.830000 925.020000 -13.820000 ;
-        RECT 1102.020000 -13.830000 1105.020000 -13.820000 ;
-        RECT 1282.020000 -13.830000 1285.020000 -13.820000 ;
-        RECT 1462.020000 -13.830000 1465.020000 -13.820000 ;
-        RECT 1642.020000 -13.830000 1645.020000 -13.820000 ;
-        RECT 1822.020000 -13.830000 1825.020000 -13.820000 ;
-        RECT 2002.020000 -13.830000 2005.020000 -13.820000 ;
-        RECT 2182.020000 -13.830000 2185.020000 -13.820000 ;
-        RECT 2362.020000 -13.830000 2365.020000 -13.820000 ;
-        RECT 2542.020000 -13.830000 2545.020000 -13.820000 ;
-        RECT 2722.020000 -13.830000 2725.020000 -13.820000 ;
-        RECT 2902.020000 -13.830000 2905.020000 -13.820000 ;
-        RECT 2935.800000 -13.830000 2938.800000 -13.820000 ;
+        RECT -19.380000 3533.700000 -16.380000 3533.710000 ;
+        RECT 22.020000 3533.700000 25.020000 3533.710000 ;
+        RECT 202.020000 3533.700000 205.020000 3533.710000 ;
+        RECT 382.020000 3533.700000 385.020000 3533.710000 ;
+        RECT 562.020000 3533.700000 565.020000 3533.710000 ;
+        RECT 742.020000 3533.700000 745.020000 3533.710000 ;
+        RECT 922.020000 3533.700000 925.020000 3533.710000 ;
+        RECT 1102.020000 3533.700000 1105.020000 3533.710000 ;
+        RECT 1282.020000 3533.700000 1285.020000 3533.710000 ;
+        RECT 1462.020000 3533.700000 1465.020000 3533.710000 ;
+        RECT 1642.020000 3533.700000 1645.020000 3533.710000 ;
+        RECT 1822.020000 3533.700000 1825.020000 3533.710000 ;
+        RECT 2002.020000 3533.700000 2005.020000 3533.710000 ;
+        RECT 2182.020000 3533.700000 2185.020000 3533.710000 ;
+        RECT 2362.020000 3533.700000 2365.020000 3533.710000 ;
+        RECT 2542.020000 3533.700000 2545.020000 3533.710000 ;
+        RECT 2722.020000 3533.700000 2725.020000 3533.710000 ;
+        RECT 2902.020000 3533.700000 2905.020000 3533.710000 ;
+        RECT 2936.000000 3533.700000 2939.000000 3533.710000 ;
+        RECT -19.380000 3530.700000 2939.000000 3533.700000 ;
+        RECT -19.380000 3530.690000 -16.380000 3530.700000 ;
+        RECT 22.020000 3530.690000 25.020000 3530.700000 ;
+        RECT 202.020000 3530.690000 205.020000 3530.700000 ;
+        RECT 382.020000 3530.690000 385.020000 3530.700000 ;
+        RECT 562.020000 3530.690000 565.020000 3530.700000 ;
+        RECT 742.020000 3530.690000 745.020000 3530.700000 ;
+        RECT 922.020000 3530.690000 925.020000 3530.700000 ;
+        RECT 1102.020000 3530.690000 1105.020000 3530.700000 ;
+        RECT 1282.020000 3530.690000 1285.020000 3530.700000 ;
+        RECT 1462.020000 3530.690000 1465.020000 3530.700000 ;
+        RECT 1642.020000 3530.690000 1645.020000 3530.700000 ;
+        RECT 1822.020000 3530.690000 1825.020000 3530.700000 ;
+        RECT 2002.020000 3530.690000 2005.020000 3530.700000 ;
+        RECT 2182.020000 3530.690000 2185.020000 3530.700000 ;
+        RECT 2362.020000 3530.690000 2365.020000 3530.700000 ;
+        RECT 2542.020000 3530.690000 2545.020000 3530.700000 ;
+        RECT 2722.020000 3530.690000 2725.020000 3530.700000 ;
+        RECT 2902.020000 3530.690000 2905.020000 3530.700000 ;
+        RECT 2936.000000 3530.690000 2939.000000 3530.700000 ;
+        RECT -19.380000 3450.380000 -16.380000 3450.390000 ;
+        RECT 2936.000000 3450.380000 2939.000000 3450.390000 ;
+        RECT -24.080000 3447.380000 -0.400000 3450.380000 ;
+        RECT 2920.400000 3447.380000 2943.700000 3450.380000 ;
+        RECT -19.380000 3447.370000 -16.380000 3447.380000 ;
+        RECT 2936.000000 3447.370000 2939.000000 3447.380000 ;
+        RECT -19.380000 3270.380000 -16.380000 3270.390000 ;
+        RECT 2936.000000 3270.380000 2939.000000 3270.390000 ;
+        RECT -24.080000 3267.380000 -0.400000 3270.380000 ;
+        RECT 2920.400000 3267.380000 2943.700000 3270.380000 ;
+        RECT -19.380000 3267.370000 -16.380000 3267.380000 ;
+        RECT 2936.000000 3267.370000 2939.000000 3267.380000 ;
+        RECT -19.380000 3090.380000 -16.380000 3090.390000 ;
+        RECT 2936.000000 3090.380000 2939.000000 3090.390000 ;
+        RECT -24.080000 3087.380000 -0.400000 3090.380000 ;
+        RECT 2920.400000 3087.380000 2943.700000 3090.380000 ;
+        RECT -19.380000 3087.370000 -16.380000 3087.380000 ;
+        RECT 2936.000000 3087.370000 2939.000000 3087.380000 ;
+        RECT -19.380000 2910.380000 -16.380000 2910.390000 ;
+        RECT 2936.000000 2910.380000 2939.000000 2910.390000 ;
+        RECT -24.080000 2907.380000 -0.400000 2910.380000 ;
+        RECT 2920.400000 2907.380000 2943.700000 2910.380000 ;
+        RECT -19.380000 2907.370000 -16.380000 2907.380000 ;
+        RECT 2936.000000 2907.370000 2939.000000 2907.380000 ;
+        RECT -19.380000 2730.380000 -16.380000 2730.390000 ;
+        RECT 2936.000000 2730.380000 2939.000000 2730.390000 ;
+        RECT -24.080000 2727.380000 -0.400000 2730.380000 ;
+        RECT 2920.400000 2727.380000 2943.700000 2730.380000 ;
+        RECT -19.380000 2727.370000 -16.380000 2727.380000 ;
+        RECT 2936.000000 2727.370000 2939.000000 2727.380000 ;
+        RECT -19.380000 2550.380000 -16.380000 2550.390000 ;
+        RECT 2936.000000 2550.380000 2939.000000 2550.390000 ;
+        RECT -24.080000 2547.380000 -0.400000 2550.380000 ;
+        RECT 2920.400000 2547.380000 2943.700000 2550.380000 ;
+        RECT -19.380000 2547.370000 -16.380000 2547.380000 ;
+        RECT 2936.000000 2547.370000 2939.000000 2547.380000 ;
+        RECT -19.380000 2370.380000 -16.380000 2370.390000 ;
+        RECT 2936.000000 2370.380000 2939.000000 2370.390000 ;
+        RECT -24.080000 2367.380000 -0.400000 2370.380000 ;
+        RECT 2920.400000 2367.380000 2943.700000 2370.380000 ;
+        RECT -19.380000 2367.370000 -16.380000 2367.380000 ;
+        RECT 2936.000000 2367.370000 2939.000000 2367.380000 ;
+        RECT -19.380000 2190.380000 -16.380000 2190.390000 ;
+        RECT 2936.000000 2190.380000 2939.000000 2190.390000 ;
+        RECT -24.080000 2187.380000 -0.400000 2190.380000 ;
+        RECT 2920.400000 2187.380000 2943.700000 2190.380000 ;
+        RECT -19.380000 2187.370000 -16.380000 2187.380000 ;
+        RECT 2936.000000 2187.370000 2939.000000 2187.380000 ;
+        RECT -19.380000 2010.380000 -16.380000 2010.390000 ;
+        RECT 2936.000000 2010.380000 2939.000000 2010.390000 ;
+        RECT -24.080000 2007.380000 -0.400000 2010.380000 ;
+        RECT 2920.400000 2007.380000 2943.700000 2010.380000 ;
+        RECT -19.380000 2007.370000 -16.380000 2007.380000 ;
+        RECT 2936.000000 2007.370000 2939.000000 2007.380000 ;
+        RECT -19.380000 1830.380000 -16.380000 1830.390000 ;
+        RECT 2936.000000 1830.380000 2939.000000 1830.390000 ;
+        RECT -24.080000 1827.380000 -0.400000 1830.380000 ;
+        RECT 2920.400000 1827.380000 2943.700000 1830.380000 ;
+        RECT -19.380000 1827.370000 -16.380000 1827.380000 ;
+        RECT 2936.000000 1827.370000 2939.000000 1827.380000 ;
+        RECT -19.380000 1650.380000 -16.380000 1650.390000 ;
+        RECT 2936.000000 1650.380000 2939.000000 1650.390000 ;
+        RECT -24.080000 1647.380000 -0.400000 1650.380000 ;
+        RECT 2920.400000 1647.380000 2943.700000 1650.380000 ;
+        RECT -19.380000 1647.370000 -16.380000 1647.380000 ;
+        RECT 2936.000000 1647.370000 2939.000000 1647.380000 ;
+        RECT -19.380000 1470.380000 -16.380000 1470.390000 ;
+        RECT 2936.000000 1470.380000 2939.000000 1470.390000 ;
+        RECT -24.080000 1467.380000 -0.400000 1470.380000 ;
+        RECT 2920.400000 1467.380000 2943.700000 1470.380000 ;
+        RECT -19.380000 1467.370000 -16.380000 1467.380000 ;
+        RECT 2936.000000 1467.370000 2939.000000 1467.380000 ;
+        RECT -19.380000 1290.380000 -16.380000 1290.390000 ;
+        RECT 2936.000000 1290.380000 2939.000000 1290.390000 ;
+        RECT -24.080000 1287.380000 -0.400000 1290.380000 ;
+        RECT 2920.400000 1287.380000 2943.700000 1290.380000 ;
+        RECT -19.380000 1287.370000 -16.380000 1287.380000 ;
+        RECT 2936.000000 1287.370000 2939.000000 1287.380000 ;
+        RECT -19.380000 1110.380000 -16.380000 1110.390000 ;
+        RECT 2936.000000 1110.380000 2939.000000 1110.390000 ;
+        RECT -24.080000 1107.380000 -0.400000 1110.380000 ;
+        RECT 2920.400000 1107.380000 2943.700000 1110.380000 ;
+        RECT -19.380000 1107.370000 -16.380000 1107.380000 ;
+        RECT 2936.000000 1107.370000 2939.000000 1107.380000 ;
+        RECT -19.380000 930.380000 -16.380000 930.390000 ;
+        RECT 2936.000000 930.380000 2939.000000 930.390000 ;
+        RECT -24.080000 927.380000 -0.400000 930.380000 ;
+        RECT 2920.400000 927.380000 2943.700000 930.380000 ;
+        RECT -19.380000 927.370000 -16.380000 927.380000 ;
+        RECT 2936.000000 927.370000 2939.000000 927.380000 ;
+        RECT -19.380000 750.380000 -16.380000 750.390000 ;
+        RECT 2936.000000 750.380000 2939.000000 750.390000 ;
+        RECT -24.080000 747.380000 -0.400000 750.380000 ;
+        RECT 2920.400000 747.380000 2943.700000 750.380000 ;
+        RECT -19.380000 747.370000 -16.380000 747.380000 ;
+        RECT 2936.000000 747.370000 2939.000000 747.380000 ;
+        RECT -19.380000 570.380000 -16.380000 570.390000 ;
+        RECT 2936.000000 570.380000 2939.000000 570.390000 ;
+        RECT -24.080000 567.380000 -0.400000 570.380000 ;
+        RECT 2920.400000 567.380000 2943.700000 570.380000 ;
+        RECT -19.380000 567.370000 -16.380000 567.380000 ;
+        RECT 2936.000000 567.370000 2939.000000 567.380000 ;
+        RECT -19.380000 390.380000 -16.380000 390.390000 ;
+        RECT 2936.000000 390.380000 2939.000000 390.390000 ;
+        RECT -24.080000 387.380000 -0.400000 390.380000 ;
+        RECT 2920.400000 387.380000 2943.700000 390.380000 ;
+        RECT -19.380000 387.370000 -16.380000 387.380000 ;
+        RECT 2936.000000 387.370000 2939.000000 387.380000 ;
+        RECT -19.380000 210.380000 -16.380000 210.390000 ;
+        RECT 2936.000000 210.380000 2939.000000 210.390000 ;
+        RECT -24.080000 207.380000 -0.400000 210.380000 ;
+        RECT 2920.400000 207.380000 2943.700000 210.380000 ;
+        RECT -19.380000 207.370000 -16.380000 207.380000 ;
+        RECT 2936.000000 207.370000 2939.000000 207.380000 ;
+        RECT -19.380000 30.380000 -16.380000 30.390000 ;
+        RECT 2936.000000 30.380000 2939.000000 30.390000 ;
+        RECT -24.080000 27.380000 -0.400000 30.380000 ;
+        RECT 2920.400000 27.380000 2943.700000 30.380000 ;
+        RECT -19.380000 27.370000 -16.380000 27.380000 ;
+        RECT 2936.000000 27.370000 2939.000000 27.380000 ;
+        RECT -19.380000 -11.020000 -16.380000 -11.010000 ;
+        RECT 22.020000 -11.020000 25.020000 -11.010000 ;
+        RECT 202.020000 -11.020000 205.020000 -11.010000 ;
+        RECT 382.020000 -11.020000 385.020000 -11.010000 ;
+        RECT 562.020000 -11.020000 565.020000 -11.010000 ;
+        RECT 742.020000 -11.020000 745.020000 -11.010000 ;
+        RECT 922.020000 -11.020000 925.020000 -11.010000 ;
+        RECT 1102.020000 -11.020000 1105.020000 -11.010000 ;
+        RECT 1282.020000 -11.020000 1285.020000 -11.010000 ;
+        RECT 1462.020000 -11.020000 1465.020000 -11.010000 ;
+        RECT 1642.020000 -11.020000 1645.020000 -11.010000 ;
+        RECT 1822.020000 -11.020000 1825.020000 -11.010000 ;
+        RECT 2002.020000 -11.020000 2005.020000 -11.010000 ;
+        RECT 2182.020000 -11.020000 2185.020000 -11.010000 ;
+        RECT 2362.020000 -11.020000 2365.020000 -11.010000 ;
+        RECT 2542.020000 -11.020000 2545.020000 -11.010000 ;
+        RECT 2722.020000 -11.020000 2725.020000 -11.010000 ;
+        RECT 2902.020000 -11.020000 2905.020000 -11.010000 ;
+        RECT 2936.000000 -11.020000 2939.000000 -11.010000 ;
+        RECT -19.380000 -14.020000 2939.000000 -11.020000 ;
+        RECT -19.380000 -14.030000 -16.380000 -14.020000 ;
+        RECT 22.020000 -14.030000 25.020000 -14.020000 ;
+        RECT 202.020000 -14.030000 205.020000 -14.020000 ;
+        RECT 382.020000 -14.030000 385.020000 -14.020000 ;
+        RECT 562.020000 -14.030000 565.020000 -14.020000 ;
+        RECT 742.020000 -14.030000 745.020000 -14.020000 ;
+        RECT 922.020000 -14.030000 925.020000 -14.020000 ;
+        RECT 1102.020000 -14.030000 1105.020000 -14.020000 ;
+        RECT 1282.020000 -14.030000 1285.020000 -14.020000 ;
+        RECT 1462.020000 -14.030000 1465.020000 -14.020000 ;
+        RECT 1642.020000 -14.030000 1645.020000 -14.020000 ;
+        RECT 1822.020000 -14.030000 1825.020000 -14.020000 ;
+        RECT 2002.020000 -14.030000 2005.020000 -14.020000 ;
+        RECT 2182.020000 -14.030000 2185.020000 -14.020000 ;
+        RECT 2362.020000 -14.030000 2365.020000 -14.020000 ;
+        RECT 2542.020000 -14.030000 2545.020000 -14.020000 ;
+        RECT 2722.020000 -14.030000 2725.020000 -14.020000 ;
+        RECT 2902.020000 -14.030000 2905.020000 -14.020000 ;
+        RECT 2936.000000 -14.030000 2939.000000 -14.020000 ;
     END
   END vccd2
   PIN vssd2
     DIRECTION INPUT ;
     PORT
       LAYER met4 ;
-        RECT -23.780000 -18.420000 -20.780000 3538.100000 ;
-        RECT 112.020000 3520.400000 115.020000 3538.100000 ;
-        RECT 292.020000 3520.400000 295.020000 3538.100000 ;
-        RECT 472.020000 3520.400000 475.020000 3538.100000 ;
-        RECT 652.020000 3520.400000 655.020000 3538.100000 ;
-        RECT 832.020000 3520.400000 835.020000 3538.100000 ;
-        RECT 1012.020000 3520.400000 1015.020000 3538.100000 ;
-        RECT 1192.020000 3520.400000 1195.020000 3538.100000 ;
-        RECT 1372.020000 3520.400000 1375.020000 3538.100000 ;
-        RECT 1552.020000 3520.400000 1555.020000 3538.100000 ;
-        RECT 1732.020000 3520.400000 1735.020000 3538.100000 ;
-        RECT 1912.020000 3520.400000 1915.020000 3538.100000 ;
-        RECT 2092.020000 3520.400000 2095.020000 3538.100000 ;
-        RECT 2272.020000 3520.400000 2275.020000 3538.100000 ;
-        RECT 2452.020000 3520.400000 2455.020000 3538.100000 ;
-        RECT 2632.020000 3520.400000 2635.020000 3538.100000 ;
-        RECT 2812.020000 3520.400000 2815.020000 3538.100000 ;
-        RECT 112.020000 -18.420000 115.020000 -0.400000 ;
-        RECT 292.020000 -18.420000 295.020000 -0.400000 ;
-        RECT 472.020000 -18.420000 475.020000 -0.400000 ;
-        RECT 652.020000 -18.420000 655.020000 -0.400000 ;
-        RECT 832.020000 -18.420000 835.020000 -0.400000 ;
-        RECT 1012.020000 -18.420000 1015.020000 -0.400000 ;
-        RECT 1192.020000 -18.420000 1195.020000 -0.400000 ;
-        RECT 1372.020000 -18.420000 1375.020000 -0.400000 ;
-        RECT 1552.020000 -18.420000 1555.020000 -0.400000 ;
-        RECT 1732.020000 -18.420000 1735.020000 -0.400000 ;
-        RECT 1912.020000 -18.420000 1915.020000 -0.400000 ;
-        RECT 2092.020000 -18.420000 2095.020000 -0.400000 ;
-        RECT 2272.020000 -18.420000 2275.020000 -0.400000 ;
-        RECT 2452.020000 -18.420000 2455.020000 -0.400000 ;
-        RECT 2632.020000 -18.420000 2635.020000 -0.400000 ;
-        RECT 2812.020000 -18.420000 2815.020000 -0.400000 ;
-        RECT 2940.400000 -18.420000 2943.400000 3538.100000 ;
+        RECT -24.080000 -18.720000 -21.080000 3538.400000 ;
+        RECT 112.020000 3520.400000 115.020000 3538.400000 ;
+        RECT 292.020000 3520.400000 295.020000 3538.400000 ;
+        RECT 472.020000 3520.400000 475.020000 3538.400000 ;
+        RECT 652.020000 3520.400000 655.020000 3538.400000 ;
+        RECT 832.020000 3520.400000 835.020000 3538.400000 ;
+        RECT 1012.020000 3520.400000 1015.020000 3538.400000 ;
+        RECT 1192.020000 3520.400000 1195.020000 3538.400000 ;
+        RECT 1372.020000 3520.400000 1375.020000 3538.400000 ;
+        RECT 1552.020000 3520.400000 1555.020000 3538.400000 ;
+        RECT 1732.020000 3520.400000 1735.020000 3538.400000 ;
+        RECT 1912.020000 3520.400000 1915.020000 3538.400000 ;
+        RECT 2092.020000 3520.400000 2095.020000 3538.400000 ;
+        RECT 2272.020000 3520.400000 2275.020000 3538.400000 ;
+        RECT 2452.020000 3520.400000 2455.020000 3538.400000 ;
+        RECT 2632.020000 3520.400000 2635.020000 3538.400000 ;
+        RECT 2812.020000 3520.400000 2815.020000 3538.400000 ;
+        RECT 112.020000 -18.720000 115.020000 -0.400000 ;
+        RECT 292.020000 -18.720000 295.020000 -0.400000 ;
+        RECT 472.020000 -18.720000 475.020000 -0.400000 ;
+        RECT 652.020000 -18.720000 655.020000 -0.400000 ;
+        RECT 832.020000 -18.720000 835.020000 -0.400000 ;
+        RECT 1012.020000 -18.720000 1015.020000 -0.400000 ;
+        RECT 1192.020000 -18.720000 1195.020000 -0.400000 ;
+        RECT 1372.020000 -18.720000 1375.020000 -0.400000 ;
+        RECT 1552.020000 -18.720000 1555.020000 -0.400000 ;
+        RECT 1732.020000 -18.720000 1735.020000 -0.400000 ;
+        RECT 1912.020000 -18.720000 1915.020000 -0.400000 ;
+        RECT 2092.020000 -18.720000 2095.020000 -0.400000 ;
+        RECT 2272.020000 -18.720000 2275.020000 -0.400000 ;
+        RECT 2452.020000 -18.720000 2455.020000 -0.400000 ;
+        RECT 2632.020000 -18.720000 2635.020000 -0.400000 ;
+        RECT 2812.020000 -18.720000 2815.020000 -0.400000 ;
+        RECT 2940.700000 -18.720000 2943.700000 3538.400000 ;
       LAYER M4M5_PR_C ;
-        RECT -22.870000 3536.810000 -21.690000 3537.990000 ;
-        RECT -22.870000 3535.210000 -21.690000 3536.390000 ;
-        RECT 112.930000 3536.810000 114.110000 3537.990000 ;
-        RECT 112.930000 3535.210000 114.110000 3536.390000 ;
-        RECT 292.930000 3536.810000 294.110000 3537.990000 ;
-        RECT 292.930000 3535.210000 294.110000 3536.390000 ;
-        RECT 472.930000 3536.810000 474.110000 3537.990000 ;
-        RECT 472.930000 3535.210000 474.110000 3536.390000 ;
-        RECT 652.930000 3536.810000 654.110000 3537.990000 ;
-        RECT 652.930000 3535.210000 654.110000 3536.390000 ;
-        RECT 832.930000 3536.810000 834.110000 3537.990000 ;
-        RECT 832.930000 3535.210000 834.110000 3536.390000 ;
-        RECT 1012.930000 3536.810000 1014.110000 3537.990000 ;
-        RECT 1012.930000 3535.210000 1014.110000 3536.390000 ;
-        RECT 1192.930000 3536.810000 1194.110000 3537.990000 ;
-        RECT 1192.930000 3535.210000 1194.110000 3536.390000 ;
-        RECT 1372.930000 3536.810000 1374.110000 3537.990000 ;
-        RECT 1372.930000 3535.210000 1374.110000 3536.390000 ;
-        RECT 1552.930000 3536.810000 1554.110000 3537.990000 ;
-        RECT 1552.930000 3535.210000 1554.110000 3536.390000 ;
-        RECT 1732.930000 3536.810000 1734.110000 3537.990000 ;
-        RECT 1732.930000 3535.210000 1734.110000 3536.390000 ;
-        RECT 1912.930000 3536.810000 1914.110000 3537.990000 ;
-        RECT 1912.930000 3535.210000 1914.110000 3536.390000 ;
-        RECT 2092.930000 3536.810000 2094.110000 3537.990000 ;
-        RECT 2092.930000 3535.210000 2094.110000 3536.390000 ;
-        RECT 2272.930000 3536.810000 2274.110000 3537.990000 ;
-        RECT 2272.930000 3535.210000 2274.110000 3536.390000 ;
-        RECT 2452.930000 3536.810000 2454.110000 3537.990000 ;
-        RECT 2452.930000 3535.210000 2454.110000 3536.390000 ;
-        RECT 2632.930000 3536.810000 2634.110000 3537.990000 ;
-        RECT 2632.930000 3535.210000 2634.110000 3536.390000 ;
-        RECT 2812.930000 3536.810000 2814.110000 3537.990000 ;
-        RECT 2812.930000 3535.210000 2814.110000 3536.390000 ;
-        RECT 2941.310000 3536.810000 2942.490000 3537.990000 ;
-        RECT 2941.310000 3535.210000 2942.490000 3536.390000 ;
-        RECT -22.870000 3359.090000 -21.690000 3360.270000 ;
-        RECT -22.870000 3357.490000 -21.690000 3358.670000 ;
-        RECT -22.870000 3179.090000 -21.690000 3180.270000 ;
-        RECT -22.870000 3177.490000 -21.690000 3178.670000 ;
-        RECT -22.870000 2999.090000 -21.690000 3000.270000 ;
-        RECT -22.870000 2997.490000 -21.690000 2998.670000 ;
-        RECT -22.870000 2819.090000 -21.690000 2820.270000 ;
-        RECT -22.870000 2817.490000 -21.690000 2818.670000 ;
-        RECT -22.870000 2639.090000 -21.690000 2640.270000 ;
-        RECT -22.870000 2637.490000 -21.690000 2638.670000 ;
-        RECT -22.870000 2459.090000 -21.690000 2460.270000 ;
-        RECT -22.870000 2457.490000 -21.690000 2458.670000 ;
-        RECT -22.870000 2279.090000 -21.690000 2280.270000 ;
-        RECT -22.870000 2277.490000 -21.690000 2278.670000 ;
-        RECT -22.870000 2099.090000 -21.690000 2100.270000 ;
-        RECT -22.870000 2097.490000 -21.690000 2098.670000 ;
-        RECT -22.870000 1919.090000 -21.690000 1920.270000 ;
-        RECT -22.870000 1917.490000 -21.690000 1918.670000 ;
-        RECT -22.870000 1739.090000 -21.690000 1740.270000 ;
-        RECT -22.870000 1737.490000 -21.690000 1738.670000 ;
-        RECT -22.870000 1559.090000 -21.690000 1560.270000 ;
-        RECT -22.870000 1557.490000 -21.690000 1558.670000 ;
-        RECT -22.870000 1379.090000 -21.690000 1380.270000 ;
-        RECT -22.870000 1377.490000 -21.690000 1378.670000 ;
-        RECT -22.870000 1199.090000 -21.690000 1200.270000 ;
-        RECT -22.870000 1197.490000 -21.690000 1198.670000 ;
-        RECT -22.870000 1019.090000 -21.690000 1020.270000 ;
-        RECT -22.870000 1017.490000 -21.690000 1018.670000 ;
-        RECT -22.870000 839.090000 -21.690000 840.270000 ;
-        RECT -22.870000 837.490000 -21.690000 838.670000 ;
-        RECT -22.870000 659.090000 -21.690000 660.270000 ;
-        RECT -22.870000 657.490000 -21.690000 658.670000 ;
-        RECT -22.870000 479.090000 -21.690000 480.270000 ;
-        RECT -22.870000 477.490000 -21.690000 478.670000 ;
-        RECT -22.870000 299.090000 -21.690000 300.270000 ;
-        RECT -22.870000 297.490000 -21.690000 298.670000 ;
-        RECT -22.870000 119.090000 -21.690000 120.270000 ;
-        RECT -22.870000 117.490000 -21.690000 118.670000 ;
-        RECT 2941.310000 3359.090000 2942.490000 3360.270000 ;
-        RECT 2941.310000 3357.490000 2942.490000 3358.670000 ;
-        RECT 2941.310000 3179.090000 2942.490000 3180.270000 ;
-        RECT 2941.310000 3177.490000 2942.490000 3178.670000 ;
-        RECT 2941.310000 2999.090000 2942.490000 3000.270000 ;
-        RECT 2941.310000 2997.490000 2942.490000 2998.670000 ;
-        RECT 2941.310000 2819.090000 2942.490000 2820.270000 ;
-        RECT 2941.310000 2817.490000 2942.490000 2818.670000 ;
-        RECT 2941.310000 2639.090000 2942.490000 2640.270000 ;
-        RECT 2941.310000 2637.490000 2942.490000 2638.670000 ;
-        RECT 2941.310000 2459.090000 2942.490000 2460.270000 ;
-        RECT 2941.310000 2457.490000 2942.490000 2458.670000 ;
-        RECT 2941.310000 2279.090000 2942.490000 2280.270000 ;
-        RECT 2941.310000 2277.490000 2942.490000 2278.670000 ;
-        RECT 2941.310000 2099.090000 2942.490000 2100.270000 ;
-        RECT 2941.310000 2097.490000 2942.490000 2098.670000 ;
-        RECT 2941.310000 1919.090000 2942.490000 1920.270000 ;
-        RECT 2941.310000 1917.490000 2942.490000 1918.670000 ;
-        RECT 2941.310000 1739.090000 2942.490000 1740.270000 ;
-        RECT 2941.310000 1737.490000 2942.490000 1738.670000 ;
-        RECT 2941.310000 1559.090000 2942.490000 1560.270000 ;
-        RECT 2941.310000 1557.490000 2942.490000 1558.670000 ;
-        RECT 2941.310000 1379.090000 2942.490000 1380.270000 ;
-        RECT 2941.310000 1377.490000 2942.490000 1378.670000 ;
-        RECT 2941.310000 1199.090000 2942.490000 1200.270000 ;
-        RECT 2941.310000 1197.490000 2942.490000 1198.670000 ;
-        RECT 2941.310000 1019.090000 2942.490000 1020.270000 ;
-        RECT 2941.310000 1017.490000 2942.490000 1018.670000 ;
-        RECT 2941.310000 839.090000 2942.490000 840.270000 ;
-        RECT 2941.310000 837.490000 2942.490000 838.670000 ;
-        RECT 2941.310000 659.090000 2942.490000 660.270000 ;
-        RECT 2941.310000 657.490000 2942.490000 658.670000 ;
-        RECT 2941.310000 479.090000 2942.490000 480.270000 ;
-        RECT 2941.310000 477.490000 2942.490000 478.670000 ;
-        RECT 2941.310000 299.090000 2942.490000 300.270000 ;
-        RECT 2941.310000 297.490000 2942.490000 298.670000 ;
-        RECT 2941.310000 119.090000 2942.490000 120.270000 ;
-        RECT 2941.310000 117.490000 2942.490000 118.670000 ;
-        RECT -22.870000 -16.710000 -21.690000 -15.530000 ;
-        RECT -22.870000 -18.310000 -21.690000 -17.130000 ;
-        RECT 112.930000 -16.710000 114.110000 -15.530000 ;
-        RECT 112.930000 -18.310000 114.110000 -17.130000 ;
-        RECT 292.930000 -16.710000 294.110000 -15.530000 ;
-        RECT 292.930000 -18.310000 294.110000 -17.130000 ;
-        RECT 472.930000 -16.710000 474.110000 -15.530000 ;
-        RECT 472.930000 -18.310000 474.110000 -17.130000 ;
-        RECT 652.930000 -16.710000 654.110000 -15.530000 ;
-        RECT 652.930000 -18.310000 654.110000 -17.130000 ;
-        RECT 832.930000 -16.710000 834.110000 -15.530000 ;
-        RECT 832.930000 -18.310000 834.110000 -17.130000 ;
-        RECT 1012.930000 -16.710000 1014.110000 -15.530000 ;
-        RECT 1012.930000 -18.310000 1014.110000 -17.130000 ;
-        RECT 1192.930000 -16.710000 1194.110000 -15.530000 ;
-        RECT 1192.930000 -18.310000 1194.110000 -17.130000 ;
-        RECT 1372.930000 -16.710000 1374.110000 -15.530000 ;
-        RECT 1372.930000 -18.310000 1374.110000 -17.130000 ;
-        RECT 1552.930000 -16.710000 1554.110000 -15.530000 ;
-        RECT 1552.930000 -18.310000 1554.110000 -17.130000 ;
-        RECT 1732.930000 -16.710000 1734.110000 -15.530000 ;
-        RECT 1732.930000 -18.310000 1734.110000 -17.130000 ;
-        RECT 1912.930000 -16.710000 1914.110000 -15.530000 ;
-        RECT 1912.930000 -18.310000 1914.110000 -17.130000 ;
-        RECT 2092.930000 -16.710000 2094.110000 -15.530000 ;
-        RECT 2092.930000 -18.310000 2094.110000 -17.130000 ;
-        RECT 2272.930000 -16.710000 2274.110000 -15.530000 ;
-        RECT 2272.930000 -18.310000 2274.110000 -17.130000 ;
-        RECT 2452.930000 -16.710000 2454.110000 -15.530000 ;
-        RECT 2452.930000 -18.310000 2454.110000 -17.130000 ;
-        RECT 2632.930000 -16.710000 2634.110000 -15.530000 ;
-        RECT 2632.930000 -18.310000 2634.110000 -17.130000 ;
-        RECT 2812.930000 -16.710000 2814.110000 -15.530000 ;
-        RECT 2812.930000 -18.310000 2814.110000 -17.130000 ;
-        RECT 2941.310000 -16.710000 2942.490000 -15.530000 ;
-        RECT 2941.310000 -18.310000 2942.490000 -17.130000 ;
+        RECT -23.170000 3537.110000 -21.990000 3538.290000 ;
+        RECT -23.170000 3535.510000 -21.990000 3536.690000 ;
+        RECT 112.930000 3537.110000 114.110000 3538.290000 ;
+        RECT 112.930000 3535.510000 114.110000 3536.690000 ;
+        RECT 292.930000 3537.110000 294.110000 3538.290000 ;
+        RECT 292.930000 3535.510000 294.110000 3536.690000 ;
+        RECT 472.930000 3537.110000 474.110000 3538.290000 ;
+        RECT 472.930000 3535.510000 474.110000 3536.690000 ;
+        RECT 652.930000 3537.110000 654.110000 3538.290000 ;
+        RECT 652.930000 3535.510000 654.110000 3536.690000 ;
+        RECT 832.930000 3537.110000 834.110000 3538.290000 ;
+        RECT 832.930000 3535.510000 834.110000 3536.690000 ;
+        RECT 1012.930000 3537.110000 1014.110000 3538.290000 ;
+        RECT 1012.930000 3535.510000 1014.110000 3536.690000 ;
+        RECT 1192.930000 3537.110000 1194.110000 3538.290000 ;
+        RECT 1192.930000 3535.510000 1194.110000 3536.690000 ;
+        RECT 1372.930000 3537.110000 1374.110000 3538.290000 ;
+        RECT 1372.930000 3535.510000 1374.110000 3536.690000 ;
+        RECT 1552.930000 3537.110000 1554.110000 3538.290000 ;
+        RECT 1552.930000 3535.510000 1554.110000 3536.690000 ;
+        RECT 1732.930000 3537.110000 1734.110000 3538.290000 ;
+        RECT 1732.930000 3535.510000 1734.110000 3536.690000 ;
+        RECT 1912.930000 3537.110000 1914.110000 3538.290000 ;
+        RECT 1912.930000 3535.510000 1914.110000 3536.690000 ;
+        RECT 2092.930000 3537.110000 2094.110000 3538.290000 ;
+        RECT 2092.930000 3535.510000 2094.110000 3536.690000 ;
+        RECT 2272.930000 3537.110000 2274.110000 3538.290000 ;
+        RECT 2272.930000 3535.510000 2274.110000 3536.690000 ;
+        RECT 2452.930000 3537.110000 2454.110000 3538.290000 ;
+        RECT 2452.930000 3535.510000 2454.110000 3536.690000 ;
+        RECT 2632.930000 3537.110000 2634.110000 3538.290000 ;
+        RECT 2632.930000 3535.510000 2634.110000 3536.690000 ;
+        RECT 2812.930000 3537.110000 2814.110000 3538.290000 ;
+        RECT 2812.930000 3535.510000 2814.110000 3536.690000 ;
+        RECT 2941.610000 3537.110000 2942.790000 3538.290000 ;
+        RECT 2941.610000 3535.510000 2942.790000 3536.690000 ;
+        RECT -23.170000 3359.090000 -21.990000 3360.270000 ;
+        RECT -23.170000 3357.490000 -21.990000 3358.670000 ;
+        RECT -23.170000 3179.090000 -21.990000 3180.270000 ;
+        RECT -23.170000 3177.490000 -21.990000 3178.670000 ;
+        RECT -23.170000 2999.090000 -21.990000 3000.270000 ;
+        RECT -23.170000 2997.490000 -21.990000 2998.670000 ;
+        RECT -23.170000 2819.090000 -21.990000 2820.270000 ;
+        RECT -23.170000 2817.490000 -21.990000 2818.670000 ;
+        RECT -23.170000 2639.090000 -21.990000 2640.270000 ;
+        RECT -23.170000 2637.490000 -21.990000 2638.670000 ;
+        RECT -23.170000 2459.090000 -21.990000 2460.270000 ;
+        RECT -23.170000 2457.490000 -21.990000 2458.670000 ;
+        RECT -23.170000 2279.090000 -21.990000 2280.270000 ;
+        RECT -23.170000 2277.490000 -21.990000 2278.670000 ;
+        RECT -23.170000 2099.090000 -21.990000 2100.270000 ;
+        RECT -23.170000 2097.490000 -21.990000 2098.670000 ;
+        RECT -23.170000 1919.090000 -21.990000 1920.270000 ;
+        RECT -23.170000 1917.490000 -21.990000 1918.670000 ;
+        RECT -23.170000 1739.090000 -21.990000 1740.270000 ;
+        RECT -23.170000 1737.490000 -21.990000 1738.670000 ;
+        RECT -23.170000 1559.090000 -21.990000 1560.270000 ;
+        RECT -23.170000 1557.490000 -21.990000 1558.670000 ;
+        RECT -23.170000 1379.090000 -21.990000 1380.270000 ;
+        RECT -23.170000 1377.490000 -21.990000 1378.670000 ;
+        RECT -23.170000 1199.090000 -21.990000 1200.270000 ;
+        RECT -23.170000 1197.490000 -21.990000 1198.670000 ;
+        RECT -23.170000 1019.090000 -21.990000 1020.270000 ;
+        RECT -23.170000 1017.490000 -21.990000 1018.670000 ;
+        RECT -23.170000 839.090000 -21.990000 840.270000 ;
+        RECT -23.170000 837.490000 -21.990000 838.670000 ;
+        RECT -23.170000 659.090000 -21.990000 660.270000 ;
+        RECT -23.170000 657.490000 -21.990000 658.670000 ;
+        RECT -23.170000 479.090000 -21.990000 480.270000 ;
+        RECT -23.170000 477.490000 -21.990000 478.670000 ;
+        RECT -23.170000 299.090000 -21.990000 300.270000 ;
+        RECT -23.170000 297.490000 -21.990000 298.670000 ;
+        RECT -23.170000 119.090000 -21.990000 120.270000 ;
+        RECT -23.170000 117.490000 -21.990000 118.670000 ;
+        RECT 2941.610000 3359.090000 2942.790000 3360.270000 ;
+        RECT 2941.610000 3357.490000 2942.790000 3358.670000 ;
+        RECT 2941.610000 3179.090000 2942.790000 3180.270000 ;
+        RECT 2941.610000 3177.490000 2942.790000 3178.670000 ;
+        RECT 2941.610000 2999.090000 2942.790000 3000.270000 ;
+        RECT 2941.610000 2997.490000 2942.790000 2998.670000 ;
+        RECT 2941.610000 2819.090000 2942.790000 2820.270000 ;
+        RECT 2941.610000 2817.490000 2942.790000 2818.670000 ;
+        RECT 2941.610000 2639.090000 2942.790000 2640.270000 ;
+        RECT 2941.610000 2637.490000 2942.790000 2638.670000 ;
+        RECT 2941.610000 2459.090000 2942.790000 2460.270000 ;
+        RECT 2941.610000 2457.490000 2942.790000 2458.670000 ;
+        RECT 2941.610000 2279.090000 2942.790000 2280.270000 ;
+        RECT 2941.610000 2277.490000 2942.790000 2278.670000 ;
+        RECT 2941.610000 2099.090000 2942.790000 2100.270000 ;
+        RECT 2941.610000 2097.490000 2942.790000 2098.670000 ;
+        RECT 2941.610000 1919.090000 2942.790000 1920.270000 ;
+        RECT 2941.610000 1917.490000 2942.790000 1918.670000 ;
+        RECT 2941.610000 1739.090000 2942.790000 1740.270000 ;
+        RECT 2941.610000 1737.490000 2942.790000 1738.670000 ;
+        RECT 2941.610000 1559.090000 2942.790000 1560.270000 ;
+        RECT 2941.610000 1557.490000 2942.790000 1558.670000 ;
+        RECT 2941.610000 1379.090000 2942.790000 1380.270000 ;
+        RECT 2941.610000 1377.490000 2942.790000 1378.670000 ;
+        RECT 2941.610000 1199.090000 2942.790000 1200.270000 ;
+        RECT 2941.610000 1197.490000 2942.790000 1198.670000 ;
+        RECT 2941.610000 1019.090000 2942.790000 1020.270000 ;
+        RECT 2941.610000 1017.490000 2942.790000 1018.670000 ;
+        RECT 2941.610000 839.090000 2942.790000 840.270000 ;
+        RECT 2941.610000 837.490000 2942.790000 838.670000 ;
+        RECT 2941.610000 659.090000 2942.790000 660.270000 ;
+        RECT 2941.610000 657.490000 2942.790000 658.670000 ;
+        RECT 2941.610000 479.090000 2942.790000 480.270000 ;
+        RECT 2941.610000 477.490000 2942.790000 478.670000 ;
+        RECT 2941.610000 299.090000 2942.790000 300.270000 ;
+        RECT 2941.610000 297.490000 2942.790000 298.670000 ;
+        RECT 2941.610000 119.090000 2942.790000 120.270000 ;
+        RECT 2941.610000 117.490000 2942.790000 118.670000 ;
+        RECT -23.170000 -17.010000 -21.990000 -15.830000 ;
+        RECT -23.170000 -18.610000 -21.990000 -17.430000 ;
+        RECT 112.930000 -17.010000 114.110000 -15.830000 ;
+        RECT 112.930000 -18.610000 114.110000 -17.430000 ;
+        RECT 292.930000 -17.010000 294.110000 -15.830000 ;
+        RECT 292.930000 -18.610000 294.110000 -17.430000 ;
+        RECT 472.930000 -17.010000 474.110000 -15.830000 ;
+        RECT 472.930000 -18.610000 474.110000 -17.430000 ;
+        RECT 652.930000 -17.010000 654.110000 -15.830000 ;
+        RECT 652.930000 -18.610000 654.110000 -17.430000 ;
+        RECT 832.930000 -17.010000 834.110000 -15.830000 ;
+        RECT 832.930000 -18.610000 834.110000 -17.430000 ;
+        RECT 1012.930000 -17.010000 1014.110000 -15.830000 ;
+        RECT 1012.930000 -18.610000 1014.110000 -17.430000 ;
+        RECT 1192.930000 -17.010000 1194.110000 -15.830000 ;
+        RECT 1192.930000 -18.610000 1194.110000 -17.430000 ;
+        RECT 1372.930000 -17.010000 1374.110000 -15.830000 ;
+        RECT 1372.930000 -18.610000 1374.110000 -17.430000 ;
+        RECT 1552.930000 -17.010000 1554.110000 -15.830000 ;
+        RECT 1552.930000 -18.610000 1554.110000 -17.430000 ;
+        RECT 1732.930000 -17.010000 1734.110000 -15.830000 ;
+        RECT 1732.930000 -18.610000 1734.110000 -17.430000 ;
+        RECT 1912.930000 -17.010000 1914.110000 -15.830000 ;
+        RECT 1912.930000 -18.610000 1914.110000 -17.430000 ;
+        RECT 2092.930000 -17.010000 2094.110000 -15.830000 ;
+        RECT 2092.930000 -18.610000 2094.110000 -17.430000 ;
+        RECT 2272.930000 -17.010000 2274.110000 -15.830000 ;
+        RECT 2272.930000 -18.610000 2274.110000 -17.430000 ;
+        RECT 2452.930000 -17.010000 2454.110000 -15.830000 ;
+        RECT 2452.930000 -18.610000 2454.110000 -17.430000 ;
+        RECT 2632.930000 -17.010000 2634.110000 -15.830000 ;
+        RECT 2632.930000 -18.610000 2634.110000 -17.430000 ;
+        RECT 2812.930000 -17.010000 2814.110000 -15.830000 ;
+        RECT 2812.930000 -18.610000 2814.110000 -17.430000 ;
+        RECT 2941.610000 -17.010000 2942.790000 -15.830000 ;
+        RECT 2941.610000 -18.610000 2942.790000 -17.430000 ;
       LAYER met5 ;
-        RECT -23.780000 3538.100000 -20.780000 3538.110000 ;
-        RECT 112.020000 3538.100000 115.020000 3538.110000 ;
-        RECT 292.020000 3538.100000 295.020000 3538.110000 ;
-        RECT 472.020000 3538.100000 475.020000 3538.110000 ;
-        RECT 652.020000 3538.100000 655.020000 3538.110000 ;
-        RECT 832.020000 3538.100000 835.020000 3538.110000 ;
-        RECT 1012.020000 3538.100000 1015.020000 3538.110000 ;
-        RECT 1192.020000 3538.100000 1195.020000 3538.110000 ;
-        RECT 1372.020000 3538.100000 1375.020000 3538.110000 ;
-        RECT 1552.020000 3538.100000 1555.020000 3538.110000 ;
-        RECT 1732.020000 3538.100000 1735.020000 3538.110000 ;
-        RECT 1912.020000 3538.100000 1915.020000 3538.110000 ;
-        RECT 2092.020000 3538.100000 2095.020000 3538.110000 ;
-        RECT 2272.020000 3538.100000 2275.020000 3538.110000 ;
-        RECT 2452.020000 3538.100000 2455.020000 3538.110000 ;
-        RECT 2632.020000 3538.100000 2635.020000 3538.110000 ;
-        RECT 2812.020000 3538.100000 2815.020000 3538.110000 ;
-        RECT 2940.400000 3538.100000 2943.400000 3538.110000 ;
-        RECT -23.780000 3535.100000 2943.400000 3538.100000 ;
-        RECT -23.780000 3535.090000 -20.780000 3535.100000 ;
-        RECT 112.020000 3535.090000 115.020000 3535.100000 ;
-        RECT 292.020000 3535.090000 295.020000 3535.100000 ;
-        RECT 472.020000 3535.090000 475.020000 3535.100000 ;
-        RECT 652.020000 3535.090000 655.020000 3535.100000 ;
-        RECT 832.020000 3535.090000 835.020000 3535.100000 ;
-        RECT 1012.020000 3535.090000 1015.020000 3535.100000 ;
-        RECT 1192.020000 3535.090000 1195.020000 3535.100000 ;
-        RECT 1372.020000 3535.090000 1375.020000 3535.100000 ;
-        RECT 1552.020000 3535.090000 1555.020000 3535.100000 ;
-        RECT 1732.020000 3535.090000 1735.020000 3535.100000 ;
-        RECT 1912.020000 3535.090000 1915.020000 3535.100000 ;
-        RECT 2092.020000 3535.090000 2095.020000 3535.100000 ;
-        RECT 2272.020000 3535.090000 2275.020000 3535.100000 ;
-        RECT 2452.020000 3535.090000 2455.020000 3535.100000 ;
-        RECT 2632.020000 3535.090000 2635.020000 3535.100000 ;
-        RECT 2812.020000 3535.090000 2815.020000 3535.100000 ;
-        RECT 2940.400000 3535.090000 2943.400000 3535.100000 ;
-        RECT -23.780000 3360.380000 -20.780000 3360.390000 ;
-        RECT 2940.400000 3360.380000 2943.400000 3360.390000 ;
-        RECT -23.780000 3357.380000 -0.400000 3360.380000 ;
-        RECT 2920.400000 3357.380000 2943.400000 3360.380000 ;
-        RECT -23.780000 3357.370000 -20.780000 3357.380000 ;
-        RECT 2940.400000 3357.370000 2943.400000 3357.380000 ;
-        RECT -23.780000 3180.380000 -20.780000 3180.390000 ;
-        RECT 2940.400000 3180.380000 2943.400000 3180.390000 ;
-        RECT -23.780000 3177.380000 -0.400000 3180.380000 ;
-        RECT 2920.400000 3177.380000 2943.400000 3180.380000 ;
-        RECT -23.780000 3177.370000 -20.780000 3177.380000 ;
-        RECT 2940.400000 3177.370000 2943.400000 3177.380000 ;
-        RECT -23.780000 3000.380000 -20.780000 3000.390000 ;
-        RECT 2940.400000 3000.380000 2943.400000 3000.390000 ;
-        RECT -23.780000 2997.380000 -0.400000 3000.380000 ;
-        RECT 2920.400000 2997.380000 2943.400000 3000.380000 ;
-        RECT -23.780000 2997.370000 -20.780000 2997.380000 ;
-        RECT 2940.400000 2997.370000 2943.400000 2997.380000 ;
-        RECT -23.780000 2820.380000 -20.780000 2820.390000 ;
-        RECT 2940.400000 2820.380000 2943.400000 2820.390000 ;
-        RECT -23.780000 2817.380000 -0.400000 2820.380000 ;
-        RECT 2920.400000 2817.380000 2943.400000 2820.380000 ;
-        RECT -23.780000 2817.370000 -20.780000 2817.380000 ;
-        RECT 2940.400000 2817.370000 2943.400000 2817.380000 ;
-        RECT -23.780000 2640.380000 -20.780000 2640.390000 ;
-        RECT 2940.400000 2640.380000 2943.400000 2640.390000 ;
-        RECT -23.780000 2637.380000 -0.400000 2640.380000 ;
-        RECT 2920.400000 2637.380000 2943.400000 2640.380000 ;
-        RECT -23.780000 2637.370000 -20.780000 2637.380000 ;
-        RECT 2940.400000 2637.370000 2943.400000 2637.380000 ;
-        RECT -23.780000 2460.380000 -20.780000 2460.390000 ;
-        RECT 2940.400000 2460.380000 2943.400000 2460.390000 ;
-        RECT -23.780000 2457.380000 -0.400000 2460.380000 ;
-        RECT 2920.400000 2457.380000 2943.400000 2460.380000 ;
-        RECT -23.780000 2457.370000 -20.780000 2457.380000 ;
-        RECT 2940.400000 2457.370000 2943.400000 2457.380000 ;
-        RECT -23.780000 2280.380000 -20.780000 2280.390000 ;
-        RECT 2940.400000 2280.380000 2943.400000 2280.390000 ;
-        RECT -23.780000 2277.380000 -0.400000 2280.380000 ;
-        RECT 2920.400000 2277.380000 2943.400000 2280.380000 ;
-        RECT -23.780000 2277.370000 -20.780000 2277.380000 ;
-        RECT 2940.400000 2277.370000 2943.400000 2277.380000 ;
-        RECT -23.780000 2100.380000 -20.780000 2100.390000 ;
-        RECT 2940.400000 2100.380000 2943.400000 2100.390000 ;
-        RECT -23.780000 2097.380000 -0.400000 2100.380000 ;
-        RECT 2920.400000 2097.380000 2943.400000 2100.380000 ;
-        RECT -23.780000 2097.370000 -20.780000 2097.380000 ;
-        RECT 2940.400000 2097.370000 2943.400000 2097.380000 ;
-        RECT -23.780000 1920.380000 -20.780000 1920.390000 ;
-        RECT 2940.400000 1920.380000 2943.400000 1920.390000 ;
-        RECT -23.780000 1917.380000 -0.400000 1920.380000 ;
-        RECT 2920.400000 1917.380000 2943.400000 1920.380000 ;
-        RECT -23.780000 1917.370000 -20.780000 1917.380000 ;
-        RECT 2940.400000 1917.370000 2943.400000 1917.380000 ;
-        RECT -23.780000 1740.380000 -20.780000 1740.390000 ;
-        RECT 2940.400000 1740.380000 2943.400000 1740.390000 ;
-        RECT -23.780000 1737.380000 -0.400000 1740.380000 ;
-        RECT 2920.400000 1737.380000 2943.400000 1740.380000 ;
-        RECT -23.780000 1737.370000 -20.780000 1737.380000 ;
-        RECT 2940.400000 1737.370000 2943.400000 1737.380000 ;
-        RECT -23.780000 1560.380000 -20.780000 1560.390000 ;
-        RECT 2940.400000 1560.380000 2943.400000 1560.390000 ;
-        RECT -23.780000 1557.380000 -0.400000 1560.380000 ;
-        RECT 2920.400000 1557.380000 2943.400000 1560.380000 ;
-        RECT -23.780000 1557.370000 -20.780000 1557.380000 ;
-        RECT 2940.400000 1557.370000 2943.400000 1557.380000 ;
-        RECT -23.780000 1380.380000 -20.780000 1380.390000 ;
-        RECT 2940.400000 1380.380000 2943.400000 1380.390000 ;
-        RECT -23.780000 1377.380000 -0.400000 1380.380000 ;
-        RECT 2920.400000 1377.380000 2943.400000 1380.380000 ;
-        RECT -23.780000 1377.370000 -20.780000 1377.380000 ;
-        RECT 2940.400000 1377.370000 2943.400000 1377.380000 ;
-        RECT -23.780000 1200.380000 -20.780000 1200.390000 ;
-        RECT 2940.400000 1200.380000 2943.400000 1200.390000 ;
-        RECT -23.780000 1197.380000 -0.400000 1200.380000 ;
-        RECT 2920.400000 1197.380000 2943.400000 1200.380000 ;
-        RECT -23.780000 1197.370000 -20.780000 1197.380000 ;
-        RECT 2940.400000 1197.370000 2943.400000 1197.380000 ;
-        RECT -23.780000 1020.380000 -20.780000 1020.390000 ;
-        RECT 2940.400000 1020.380000 2943.400000 1020.390000 ;
-        RECT -23.780000 1017.380000 -0.400000 1020.380000 ;
-        RECT 2920.400000 1017.380000 2943.400000 1020.380000 ;
-        RECT -23.780000 1017.370000 -20.780000 1017.380000 ;
-        RECT 2940.400000 1017.370000 2943.400000 1017.380000 ;
-        RECT -23.780000 840.380000 -20.780000 840.390000 ;
-        RECT 2940.400000 840.380000 2943.400000 840.390000 ;
-        RECT -23.780000 837.380000 -0.400000 840.380000 ;
-        RECT 2920.400000 837.380000 2943.400000 840.380000 ;
-        RECT -23.780000 837.370000 -20.780000 837.380000 ;
-        RECT 2940.400000 837.370000 2943.400000 837.380000 ;
-        RECT -23.780000 660.380000 -20.780000 660.390000 ;
-        RECT 2940.400000 660.380000 2943.400000 660.390000 ;
-        RECT -23.780000 657.380000 -0.400000 660.380000 ;
-        RECT 2920.400000 657.380000 2943.400000 660.380000 ;
-        RECT -23.780000 657.370000 -20.780000 657.380000 ;
-        RECT 2940.400000 657.370000 2943.400000 657.380000 ;
-        RECT -23.780000 480.380000 -20.780000 480.390000 ;
-        RECT 2940.400000 480.380000 2943.400000 480.390000 ;
-        RECT -23.780000 477.380000 -0.400000 480.380000 ;
-        RECT 2920.400000 477.380000 2943.400000 480.380000 ;
-        RECT -23.780000 477.370000 -20.780000 477.380000 ;
-        RECT 2940.400000 477.370000 2943.400000 477.380000 ;
-        RECT -23.780000 300.380000 -20.780000 300.390000 ;
-        RECT 2940.400000 300.380000 2943.400000 300.390000 ;
-        RECT -23.780000 297.380000 -0.400000 300.380000 ;
-        RECT 2920.400000 297.380000 2943.400000 300.380000 ;
-        RECT -23.780000 297.370000 -20.780000 297.380000 ;
-        RECT 2940.400000 297.370000 2943.400000 297.380000 ;
-        RECT -23.780000 120.380000 -20.780000 120.390000 ;
-        RECT 2940.400000 120.380000 2943.400000 120.390000 ;
-        RECT -23.780000 117.380000 -0.400000 120.380000 ;
-        RECT 2920.400000 117.380000 2943.400000 120.380000 ;
-        RECT -23.780000 117.370000 -20.780000 117.380000 ;
-        RECT 2940.400000 117.370000 2943.400000 117.380000 ;
-        RECT -23.780000 -15.420000 -20.780000 -15.410000 ;
-        RECT 112.020000 -15.420000 115.020000 -15.410000 ;
-        RECT 292.020000 -15.420000 295.020000 -15.410000 ;
-        RECT 472.020000 -15.420000 475.020000 -15.410000 ;
-        RECT 652.020000 -15.420000 655.020000 -15.410000 ;
-        RECT 832.020000 -15.420000 835.020000 -15.410000 ;
-        RECT 1012.020000 -15.420000 1015.020000 -15.410000 ;
-        RECT 1192.020000 -15.420000 1195.020000 -15.410000 ;
-        RECT 1372.020000 -15.420000 1375.020000 -15.410000 ;
-        RECT 1552.020000 -15.420000 1555.020000 -15.410000 ;
-        RECT 1732.020000 -15.420000 1735.020000 -15.410000 ;
-        RECT 1912.020000 -15.420000 1915.020000 -15.410000 ;
-        RECT 2092.020000 -15.420000 2095.020000 -15.410000 ;
-        RECT 2272.020000 -15.420000 2275.020000 -15.410000 ;
-        RECT 2452.020000 -15.420000 2455.020000 -15.410000 ;
-        RECT 2632.020000 -15.420000 2635.020000 -15.410000 ;
-        RECT 2812.020000 -15.420000 2815.020000 -15.410000 ;
-        RECT 2940.400000 -15.420000 2943.400000 -15.410000 ;
-        RECT -23.780000 -18.420000 2943.400000 -15.420000 ;
-        RECT -23.780000 -18.430000 -20.780000 -18.420000 ;
-        RECT 112.020000 -18.430000 115.020000 -18.420000 ;
-        RECT 292.020000 -18.430000 295.020000 -18.420000 ;
-        RECT 472.020000 -18.430000 475.020000 -18.420000 ;
-        RECT 652.020000 -18.430000 655.020000 -18.420000 ;
-        RECT 832.020000 -18.430000 835.020000 -18.420000 ;
-        RECT 1012.020000 -18.430000 1015.020000 -18.420000 ;
-        RECT 1192.020000 -18.430000 1195.020000 -18.420000 ;
-        RECT 1372.020000 -18.430000 1375.020000 -18.420000 ;
-        RECT 1552.020000 -18.430000 1555.020000 -18.420000 ;
-        RECT 1732.020000 -18.430000 1735.020000 -18.420000 ;
-        RECT 1912.020000 -18.430000 1915.020000 -18.420000 ;
-        RECT 2092.020000 -18.430000 2095.020000 -18.420000 ;
-        RECT 2272.020000 -18.430000 2275.020000 -18.420000 ;
-        RECT 2452.020000 -18.430000 2455.020000 -18.420000 ;
-        RECT 2632.020000 -18.430000 2635.020000 -18.420000 ;
-        RECT 2812.020000 -18.430000 2815.020000 -18.420000 ;
-        RECT 2940.400000 -18.430000 2943.400000 -18.420000 ;
+        RECT -24.080000 3538.400000 -21.080000 3538.410000 ;
+        RECT 112.020000 3538.400000 115.020000 3538.410000 ;
+        RECT 292.020000 3538.400000 295.020000 3538.410000 ;
+        RECT 472.020000 3538.400000 475.020000 3538.410000 ;
+        RECT 652.020000 3538.400000 655.020000 3538.410000 ;
+        RECT 832.020000 3538.400000 835.020000 3538.410000 ;
+        RECT 1012.020000 3538.400000 1015.020000 3538.410000 ;
+        RECT 1192.020000 3538.400000 1195.020000 3538.410000 ;
+        RECT 1372.020000 3538.400000 1375.020000 3538.410000 ;
+        RECT 1552.020000 3538.400000 1555.020000 3538.410000 ;
+        RECT 1732.020000 3538.400000 1735.020000 3538.410000 ;
+        RECT 1912.020000 3538.400000 1915.020000 3538.410000 ;
+        RECT 2092.020000 3538.400000 2095.020000 3538.410000 ;
+        RECT 2272.020000 3538.400000 2275.020000 3538.410000 ;
+        RECT 2452.020000 3538.400000 2455.020000 3538.410000 ;
+        RECT 2632.020000 3538.400000 2635.020000 3538.410000 ;
+        RECT 2812.020000 3538.400000 2815.020000 3538.410000 ;
+        RECT 2940.700000 3538.400000 2943.700000 3538.410000 ;
+        RECT -24.080000 3535.400000 2943.700000 3538.400000 ;
+        RECT -24.080000 3535.390000 -21.080000 3535.400000 ;
+        RECT 112.020000 3535.390000 115.020000 3535.400000 ;
+        RECT 292.020000 3535.390000 295.020000 3535.400000 ;
+        RECT 472.020000 3535.390000 475.020000 3535.400000 ;
+        RECT 652.020000 3535.390000 655.020000 3535.400000 ;
+        RECT 832.020000 3535.390000 835.020000 3535.400000 ;
+        RECT 1012.020000 3535.390000 1015.020000 3535.400000 ;
+        RECT 1192.020000 3535.390000 1195.020000 3535.400000 ;
+        RECT 1372.020000 3535.390000 1375.020000 3535.400000 ;
+        RECT 1552.020000 3535.390000 1555.020000 3535.400000 ;
+        RECT 1732.020000 3535.390000 1735.020000 3535.400000 ;
+        RECT 1912.020000 3535.390000 1915.020000 3535.400000 ;
+        RECT 2092.020000 3535.390000 2095.020000 3535.400000 ;
+        RECT 2272.020000 3535.390000 2275.020000 3535.400000 ;
+        RECT 2452.020000 3535.390000 2455.020000 3535.400000 ;
+        RECT 2632.020000 3535.390000 2635.020000 3535.400000 ;
+        RECT 2812.020000 3535.390000 2815.020000 3535.400000 ;
+        RECT 2940.700000 3535.390000 2943.700000 3535.400000 ;
+        RECT -24.080000 3360.380000 -21.080000 3360.390000 ;
+        RECT 2940.700000 3360.380000 2943.700000 3360.390000 ;
+        RECT -24.080000 3357.380000 -0.400000 3360.380000 ;
+        RECT 2920.400000 3357.380000 2943.700000 3360.380000 ;
+        RECT -24.080000 3357.370000 -21.080000 3357.380000 ;
+        RECT 2940.700000 3357.370000 2943.700000 3357.380000 ;
+        RECT -24.080000 3180.380000 -21.080000 3180.390000 ;
+        RECT 2940.700000 3180.380000 2943.700000 3180.390000 ;
+        RECT -24.080000 3177.380000 -0.400000 3180.380000 ;
+        RECT 2920.400000 3177.380000 2943.700000 3180.380000 ;
+        RECT -24.080000 3177.370000 -21.080000 3177.380000 ;
+        RECT 2940.700000 3177.370000 2943.700000 3177.380000 ;
+        RECT -24.080000 3000.380000 -21.080000 3000.390000 ;
+        RECT 2940.700000 3000.380000 2943.700000 3000.390000 ;
+        RECT -24.080000 2997.380000 -0.400000 3000.380000 ;
+        RECT 2920.400000 2997.380000 2943.700000 3000.380000 ;
+        RECT -24.080000 2997.370000 -21.080000 2997.380000 ;
+        RECT 2940.700000 2997.370000 2943.700000 2997.380000 ;
+        RECT -24.080000 2820.380000 -21.080000 2820.390000 ;
+        RECT 2940.700000 2820.380000 2943.700000 2820.390000 ;
+        RECT -24.080000 2817.380000 -0.400000 2820.380000 ;
+        RECT 2920.400000 2817.380000 2943.700000 2820.380000 ;
+        RECT -24.080000 2817.370000 -21.080000 2817.380000 ;
+        RECT 2940.700000 2817.370000 2943.700000 2817.380000 ;
+        RECT -24.080000 2640.380000 -21.080000 2640.390000 ;
+        RECT 2940.700000 2640.380000 2943.700000 2640.390000 ;
+        RECT -24.080000 2637.380000 -0.400000 2640.380000 ;
+        RECT 2920.400000 2637.380000 2943.700000 2640.380000 ;
+        RECT -24.080000 2637.370000 -21.080000 2637.380000 ;
+        RECT 2940.700000 2637.370000 2943.700000 2637.380000 ;
+        RECT -24.080000 2460.380000 -21.080000 2460.390000 ;
+        RECT 2940.700000 2460.380000 2943.700000 2460.390000 ;
+        RECT -24.080000 2457.380000 -0.400000 2460.380000 ;
+        RECT 2920.400000 2457.380000 2943.700000 2460.380000 ;
+        RECT -24.080000 2457.370000 -21.080000 2457.380000 ;
+        RECT 2940.700000 2457.370000 2943.700000 2457.380000 ;
+        RECT -24.080000 2280.380000 -21.080000 2280.390000 ;
+        RECT 2940.700000 2280.380000 2943.700000 2280.390000 ;
+        RECT -24.080000 2277.380000 -0.400000 2280.380000 ;
+        RECT 2920.400000 2277.380000 2943.700000 2280.380000 ;
+        RECT -24.080000 2277.370000 -21.080000 2277.380000 ;
+        RECT 2940.700000 2277.370000 2943.700000 2277.380000 ;
+        RECT -24.080000 2100.380000 -21.080000 2100.390000 ;
+        RECT 2940.700000 2100.380000 2943.700000 2100.390000 ;
+        RECT -24.080000 2097.380000 -0.400000 2100.380000 ;
+        RECT 2920.400000 2097.380000 2943.700000 2100.380000 ;
+        RECT -24.080000 2097.370000 -21.080000 2097.380000 ;
+        RECT 2940.700000 2097.370000 2943.700000 2097.380000 ;
+        RECT -24.080000 1920.380000 -21.080000 1920.390000 ;
+        RECT 2940.700000 1920.380000 2943.700000 1920.390000 ;
+        RECT -24.080000 1917.380000 -0.400000 1920.380000 ;
+        RECT 2920.400000 1917.380000 2943.700000 1920.380000 ;
+        RECT -24.080000 1917.370000 -21.080000 1917.380000 ;
+        RECT 2940.700000 1917.370000 2943.700000 1917.380000 ;
+        RECT -24.080000 1740.380000 -21.080000 1740.390000 ;
+        RECT 2940.700000 1740.380000 2943.700000 1740.390000 ;
+        RECT -24.080000 1737.380000 -0.400000 1740.380000 ;
+        RECT 2920.400000 1737.380000 2943.700000 1740.380000 ;
+        RECT -24.080000 1737.370000 -21.080000 1737.380000 ;
+        RECT 2940.700000 1737.370000 2943.700000 1737.380000 ;
+        RECT -24.080000 1560.380000 -21.080000 1560.390000 ;
+        RECT 2940.700000 1560.380000 2943.700000 1560.390000 ;
+        RECT -24.080000 1557.380000 -0.400000 1560.380000 ;
+        RECT 2920.400000 1557.380000 2943.700000 1560.380000 ;
+        RECT -24.080000 1557.370000 -21.080000 1557.380000 ;
+        RECT 2940.700000 1557.370000 2943.700000 1557.380000 ;
+        RECT -24.080000 1380.380000 -21.080000 1380.390000 ;
+        RECT 2940.700000 1380.380000 2943.700000 1380.390000 ;
+        RECT -24.080000 1377.380000 -0.400000 1380.380000 ;
+        RECT 2920.400000 1377.380000 2943.700000 1380.380000 ;
+        RECT -24.080000 1377.370000 -21.080000 1377.380000 ;
+        RECT 2940.700000 1377.370000 2943.700000 1377.380000 ;
+        RECT -24.080000 1200.380000 -21.080000 1200.390000 ;
+        RECT 2940.700000 1200.380000 2943.700000 1200.390000 ;
+        RECT -24.080000 1197.380000 -0.400000 1200.380000 ;
+        RECT 2920.400000 1197.380000 2943.700000 1200.380000 ;
+        RECT -24.080000 1197.370000 -21.080000 1197.380000 ;
+        RECT 2940.700000 1197.370000 2943.700000 1197.380000 ;
+        RECT -24.080000 1020.380000 -21.080000 1020.390000 ;
+        RECT 2940.700000 1020.380000 2943.700000 1020.390000 ;
+        RECT -24.080000 1017.380000 -0.400000 1020.380000 ;
+        RECT 2920.400000 1017.380000 2943.700000 1020.380000 ;
+        RECT -24.080000 1017.370000 -21.080000 1017.380000 ;
+        RECT 2940.700000 1017.370000 2943.700000 1017.380000 ;
+        RECT -24.080000 840.380000 -21.080000 840.390000 ;
+        RECT 2940.700000 840.380000 2943.700000 840.390000 ;
+        RECT -24.080000 837.380000 -0.400000 840.380000 ;
+        RECT 2920.400000 837.380000 2943.700000 840.380000 ;
+        RECT -24.080000 837.370000 -21.080000 837.380000 ;
+        RECT 2940.700000 837.370000 2943.700000 837.380000 ;
+        RECT -24.080000 660.380000 -21.080000 660.390000 ;
+        RECT 2940.700000 660.380000 2943.700000 660.390000 ;
+        RECT -24.080000 657.380000 -0.400000 660.380000 ;
+        RECT 2920.400000 657.380000 2943.700000 660.380000 ;
+        RECT -24.080000 657.370000 -21.080000 657.380000 ;
+        RECT 2940.700000 657.370000 2943.700000 657.380000 ;
+        RECT -24.080000 480.380000 -21.080000 480.390000 ;
+        RECT 2940.700000 480.380000 2943.700000 480.390000 ;
+        RECT -24.080000 477.380000 -0.400000 480.380000 ;
+        RECT 2920.400000 477.380000 2943.700000 480.380000 ;
+        RECT -24.080000 477.370000 -21.080000 477.380000 ;
+        RECT 2940.700000 477.370000 2943.700000 477.380000 ;
+        RECT -24.080000 300.380000 -21.080000 300.390000 ;
+        RECT 2940.700000 300.380000 2943.700000 300.390000 ;
+        RECT -24.080000 297.380000 -0.400000 300.380000 ;
+        RECT 2920.400000 297.380000 2943.700000 300.380000 ;
+        RECT -24.080000 297.370000 -21.080000 297.380000 ;
+        RECT 2940.700000 297.370000 2943.700000 297.380000 ;
+        RECT -24.080000 120.380000 -21.080000 120.390000 ;
+        RECT 2940.700000 120.380000 2943.700000 120.390000 ;
+        RECT -24.080000 117.380000 -0.400000 120.380000 ;
+        RECT 2920.400000 117.380000 2943.700000 120.380000 ;
+        RECT -24.080000 117.370000 -21.080000 117.380000 ;
+        RECT 2940.700000 117.370000 2943.700000 117.380000 ;
+        RECT -24.080000 -15.720000 -21.080000 -15.710000 ;
+        RECT 112.020000 -15.720000 115.020000 -15.710000 ;
+        RECT 292.020000 -15.720000 295.020000 -15.710000 ;
+        RECT 472.020000 -15.720000 475.020000 -15.710000 ;
+        RECT 652.020000 -15.720000 655.020000 -15.710000 ;
+        RECT 832.020000 -15.720000 835.020000 -15.710000 ;
+        RECT 1012.020000 -15.720000 1015.020000 -15.710000 ;
+        RECT 1192.020000 -15.720000 1195.020000 -15.710000 ;
+        RECT 1372.020000 -15.720000 1375.020000 -15.710000 ;
+        RECT 1552.020000 -15.720000 1555.020000 -15.710000 ;
+        RECT 1732.020000 -15.720000 1735.020000 -15.710000 ;
+        RECT 1912.020000 -15.720000 1915.020000 -15.710000 ;
+        RECT 2092.020000 -15.720000 2095.020000 -15.710000 ;
+        RECT 2272.020000 -15.720000 2275.020000 -15.710000 ;
+        RECT 2452.020000 -15.720000 2455.020000 -15.710000 ;
+        RECT 2632.020000 -15.720000 2635.020000 -15.710000 ;
+        RECT 2812.020000 -15.720000 2815.020000 -15.710000 ;
+        RECT 2940.700000 -15.720000 2943.700000 -15.710000 ;
+        RECT -24.080000 -18.720000 2943.700000 -15.720000 ;
+        RECT -24.080000 -18.730000 -21.080000 -18.720000 ;
+        RECT 112.020000 -18.730000 115.020000 -18.720000 ;
+        RECT 292.020000 -18.730000 295.020000 -18.720000 ;
+        RECT 472.020000 -18.730000 475.020000 -18.720000 ;
+        RECT 652.020000 -18.730000 655.020000 -18.720000 ;
+        RECT 832.020000 -18.730000 835.020000 -18.720000 ;
+        RECT 1012.020000 -18.730000 1015.020000 -18.720000 ;
+        RECT 1192.020000 -18.730000 1195.020000 -18.720000 ;
+        RECT 1372.020000 -18.730000 1375.020000 -18.720000 ;
+        RECT 1552.020000 -18.730000 1555.020000 -18.720000 ;
+        RECT 1732.020000 -18.730000 1735.020000 -18.720000 ;
+        RECT 1912.020000 -18.730000 1915.020000 -18.720000 ;
+        RECT 2092.020000 -18.730000 2095.020000 -18.720000 ;
+        RECT 2272.020000 -18.730000 2275.020000 -18.720000 ;
+        RECT 2452.020000 -18.730000 2455.020000 -18.720000 ;
+        RECT 2632.020000 -18.730000 2635.020000 -18.720000 ;
+        RECT 2812.020000 -18.730000 2815.020000 -18.720000 ;
+        RECT 2940.700000 -18.730000 2943.700000 -18.720000 ;
     END
   END vssd2
   PIN vdda1
     DIRECTION INPUT ;
     PORT
       LAYER met4 ;
-        RECT -28.380000 -23.020000 -25.380000 3542.700000 ;
-        RECT 40.020000 3520.400000 43.020000 3547.300000 ;
-        RECT 220.020000 3520.400000 223.020000 3547.300000 ;
-        RECT 400.020000 3520.400000 403.020000 3547.300000 ;
-        RECT 580.020000 3520.400000 583.020000 3547.300000 ;
-        RECT 760.020000 3520.400000 763.020000 3547.300000 ;
-        RECT 940.020000 3520.400000 943.020000 3547.300000 ;
-        RECT 1120.020000 3520.400000 1123.020000 3547.300000 ;
-        RECT 1300.020000 3520.400000 1303.020000 3547.300000 ;
-        RECT 1480.020000 3520.400000 1483.020000 3547.300000 ;
-        RECT 1660.020000 3520.400000 1663.020000 3547.300000 ;
-        RECT 1840.020000 3520.400000 1843.020000 3547.300000 ;
-        RECT 2020.020000 3520.400000 2023.020000 3547.300000 ;
-        RECT 2200.020000 3520.400000 2203.020000 3547.300000 ;
-        RECT 2380.020000 3520.400000 2383.020000 3547.300000 ;
-        RECT 2560.020000 3520.400000 2563.020000 3547.300000 ;
-        RECT 2740.020000 3520.400000 2743.020000 3547.300000 ;
-        RECT 40.020000 -27.620000 43.020000 -0.400000 ;
-        RECT 220.020000 -27.620000 223.020000 -0.400000 ;
-        RECT 400.020000 -27.620000 403.020000 -0.400000 ;
-        RECT 580.020000 -27.620000 583.020000 -0.400000 ;
-        RECT 760.020000 -27.620000 763.020000 -0.400000 ;
-        RECT 940.020000 -27.620000 943.020000 -0.400000 ;
-        RECT 1120.020000 -27.620000 1123.020000 -0.400000 ;
-        RECT 1300.020000 -27.620000 1303.020000 -0.400000 ;
-        RECT 1480.020000 -27.620000 1483.020000 -0.400000 ;
-        RECT 1660.020000 -27.620000 1663.020000 -0.400000 ;
-        RECT 1840.020000 -27.620000 1843.020000 -0.400000 ;
-        RECT 2020.020000 -27.620000 2023.020000 -0.400000 ;
-        RECT 2200.020000 -27.620000 2203.020000 -0.400000 ;
-        RECT 2380.020000 -27.620000 2383.020000 -0.400000 ;
-        RECT 2560.020000 -27.620000 2563.020000 -0.400000 ;
-        RECT 2740.020000 -27.620000 2743.020000 -0.400000 ;
-        RECT 2945.000000 -23.020000 2948.000000 3542.700000 ;
+        RECT -28.780000 -23.420000 -25.780000 3543.100000 ;
+        RECT 40.020000 3520.400000 43.020000 3547.800000 ;
+        RECT 220.020000 3520.400000 223.020000 3547.800000 ;
+        RECT 400.020000 3520.400000 403.020000 3547.800000 ;
+        RECT 580.020000 3520.400000 583.020000 3547.800000 ;
+        RECT 760.020000 3520.400000 763.020000 3547.800000 ;
+        RECT 940.020000 3520.400000 943.020000 3547.800000 ;
+        RECT 1120.020000 3520.400000 1123.020000 3547.800000 ;
+        RECT 1300.020000 3520.400000 1303.020000 3547.800000 ;
+        RECT 1480.020000 3520.400000 1483.020000 3547.800000 ;
+        RECT 1660.020000 3520.400000 1663.020000 3547.800000 ;
+        RECT 1840.020000 3520.400000 1843.020000 3547.800000 ;
+        RECT 2020.020000 3520.400000 2023.020000 3547.800000 ;
+        RECT 2200.020000 3520.400000 2203.020000 3547.800000 ;
+        RECT 2380.020000 3520.400000 2383.020000 3547.800000 ;
+        RECT 2560.020000 3520.400000 2563.020000 3547.800000 ;
+        RECT 2740.020000 3520.400000 2743.020000 3547.800000 ;
+        RECT 40.020000 -28.120000 43.020000 -0.400000 ;
+        RECT 220.020000 -28.120000 223.020000 -0.400000 ;
+        RECT 400.020000 -28.120000 403.020000 -0.400000 ;
+        RECT 580.020000 -28.120000 583.020000 -0.400000 ;
+        RECT 760.020000 -28.120000 763.020000 -0.400000 ;
+        RECT 940.020000 -28.120000 943.020000 -0.400000 ;
+        RECT 1120.020000 -28.120000 1123.020000 -0.400000 ;
+        RECT 1300.020000 -28.120000 1303.020000 -0.400000 ;
+        RECT 1480.020000 -28.120000 1483.020000 -0.400000 ;
+        RECT 1660.020000 -28.120000 1663.020000 -0.400000 ;
+        RECT 1840.020000 -28.120000 1843.020000 -0.400000 ;
+        RECT 2020.020000 -28.120000 2023.020000 -0.400000 ;
+        RECT 2200.020000 -28.120000 2203.020000 -0.400000 ;
+        RECT 2380.020000 -28.120000 2383.020000 -0.400000 ;
+        RECT 2560.020000 -28.120000 2563.020000 -0.400000 ;
+        RECT 2740.020000 -28.120000 2743.020000 -0.400000 ;
+        RECT 2945.400000 -23.420000 2948.400000 3543.100000 ;
       LAYER M4M5_PR_C ;
-        RECT -27.470000 3541.410000 -26.290000 3542.590000 ;
-        RECT -27.470000 3539.810000 -26.290000 3540.990000 ;
-        RECT 40.930000 3541.410000 42.110000 3542.590000 ;
-        RECT 40.930000 3539.810000 42.110000 3540.990000 ;
-        RECT 220.930000 3541.410000 222.110000 3542.590000 ;
-        RECT 220.930000 3539.810000 222.110000 3540.990000 ;
-        RECT 400.930000 3541.410000 402.110000 3542.590000 ;
-        RECT 400.930000 3539.810000 402.110000 3540.990000 ;
-        RECT 580.930000 3541.410000 582.110000 3542.590000 ;
-        RECT 580.930000 3539.810000 582.110000 3540.990000 ;
-        RECT 760.930000 3541.410000 762.110000 3542.590000 ;
-        RECT 760.930000 3539.810000 762.110000 3540.990000 ;
-        RECT 940.930000 3541.410000 942.110000 3542.590000 ;
-        RECT 940.930000 3539.810000 942.110000 3540.990000 ;
-        RECT 1120.930000 3541.410000 1122.110000 3542.590000 ;
-        RECT 1120.930000 3539.810000 1122.110000 3540.990000 ;
-        RECT 1300.930000 3541.410000 1302.110000 3542.590000 ;
-        RECT 1300.930000 3539.810000 1302.110000 3540.990000 ;
-        RECT 1480.930000 3541.410000 1482.110000 3542.590000 ;
-        RECT 1480.930000 3539.810000 1482.110000 3540.990000 ;
-        RECT 1660.930000 3541.410000 1662.110000 3542.590000 ;
-        RECT 1660.930000 3539.810000 1662.110000 3540.990000 ;
-        RECT 1840.930000 3541.410000 1842.110000 3542.590000 ;
-        RECT 1840.930000 3539.810000 1842.110000 3540.990000 ;
-        RECT 2020.930000 3541.410000 2022.110000 3542.590000 ;
-        RECT 2020.930000 3539.810000 2022.110000 3540.990000 ;
-        RECT 2200.930000 3541.410000 2202.110000 3542.590000 ;
-        RECT 2200.930000 3539.810000 2202.110000 3540.990000 ;
-        RECT 2380.930000 3541.410000 2382.110000 3542.590000 ;
-        RECT 2380.930000 3539.810000 2382.110000 3540.990000 ;
-        RECT 2560.930000 3541.410000 2562.110000 3542.590000 ;
-        RECT 2560.930000 3539.810000 2562.110000 3540.990000 ;
-        RECT 2740.930000 3541.410000 2742.110000 3542.590000 ;
-        RECT 2740.930000 3539.810000 2742.110000 3540.990000 ;
-        RECT 2945.910000 3541.410000 2947.090000 3542.590000 ;
-        RECT 2945.910000 3539.810000 2947.090000 3540.990000 ;
-        RECT -27.470000 3467.090000 -26.290000 3468.270000 ;
-        RECT -27.470000 3465.490000 -26.290000 3466.670000 ;
-        RECT -27.470000 3287.090000 -26.290000 3288.270000 ;
-        RECT -27.470000 3285.490000 -26.290000 3286.670000 ;
-        RECT -27.470000 3107.090000 -26.290000 3108.270000 ;
-        RECT -27.470000 3105.490000 -26.290000 3106.670000 ;
-        RECT -27.470000 2927.090000 -26.290000 2928.270000 ;
-        RECT -27.470000 2925.490000 -26.290000 2926.670000 ;
-        RECT -27.470000 2747.090000 -26.290000 2748.270000 ;
-        RECT -27.470000 2745.490000 -26.290000 2746.670000 ;
-        RECT -27.470000 2567.090000 -26.290000 2568.270000 ;
-        RECT -27.470000 2565.490000 -26.290000 2566.670000 ;
-        RECT -27.470000 2387.090000 -26.290000 2388.270000 ;
-        RECT -27.470000 2385.490000 -26.290000 2386.670000 ;
-        RECT -27.470000 2207.090000 -26.290000 2208.270000 ;
-        RECT -27.470000 2205.490000 -26.290000 2206.670000 ;
-        RECT -27.470000 2027.090000 -26.290000 2028.270000 ;
-        RECT -27.470000 2025.490000 -26.290000 2026.670000 ;
-        RECT -27.470000 1847.090000 -26.290000 1848.270000 ;
-        RECT -27.470000 1845.490000 -26.290000 1846.670000 ;
-        RECT -27.470000 1667.090000 -26.290000 1668.270000 ;
-        RECT -27.470000 1665.490000 -26.290000 1666.670000 ;
-        RECT -27.470000 1487.090000 -26.290000 1488.270000 ;
-        RECT -27.470000 1485.490000 -26.290000 1486.670000 ;
-        RECT -27.470000 1307.090000 -26.290000 1308.270000 ;
-        RECT -27.470000 1305.490000 -26.290000 1306.670000 ;
-        RECT -27.470000 1127.090000 -26.290000 1128.270000 ;
-        RECT -27.470000 1125.490000 -26.290000 1126.670000 ;
-        RECT -27.470000 947.090000 -26.290000 948.270000 ;
-        RECT -27.470000 945.490000 -26.290000 946.670000 ;
-        RECT -27.470000 767.090000 -26.290000 768.270000 ;
-        RECT -27.470000 765.490000 -26.290000 766.670000 ;
-        RECT -27.470000 587.090000 -26.290000 588.270000 ;
-        RECT -27.470000 585.490000 -26.290000 586.670000 ;
-        RECT -27.470000 407.090000 -26.290000 408.270000 ;
-        RECT -27.470000 405.490000 -26.290000 406.670000 ;
-        RECT -27.470000 227.090000 -26.290000 228.270000 ;
-        RECT -27.470000 225.490000 -26.290000 226.670000 ;
-        RECT -27.470000 47.090000 -26.290000 48.270000 ;
-        RECT -27.470000 45.490000 -26.290000 46.670000 ;
-        RECT 2945.910000 3467.090000 2947.090000 3468.270000 ;
-        RECT 2945.910000 3465.490000 2947.090000 3466.670000 ;
-        RECT 2945.910000 3287.090000 2947.090000 3288.270000 ;
-        RECT 2945.910000 3285.490000 2947.090000 3286.670000 ;
-        RECT 2945.910000 3107.090000 2947.090000 3108.270000 ;
-        RECT 2945.910000 3105.490000 2947.090000 3106.670000 ;
-        RECT 2945.910000 2927.090000 2947.090000 2928.270000 ;
-        RECT 2945.910000 2925.490000 2947.090000 2926.670000 ;
-        RECT 2945.910000 2747.090000 2947.090000 2748.270000 ;
-        RECT 2945.910000 2745.490000 2947.090000 2746.670000 ;
-        RECT 2945.910000 2567.090000 2947.090000 2568.270000 ;
-        RECT 2945.910000 2565.490000 2947.090000 2566.670000 ;
-        RECT 2945.910000 2387.090000 2947.090000 2388.270000 ;
-        RECT 2945.910000 2385.490000 2947.090000 2386.670000 ;
-        RECT 2945.910000 2207.090000 2947.090000 2208.270000 ;
-        RECT 2945.910000 2205.490000 2947.090000 2206.670000 ;
-        RECT 2945.910000 2027.090000 2947.090000 2028.270000 ;
-        RECT 2945.910000 2025.490000 2947.090000 2026.670000 ;
-        RECT 2945.910000 1847.090000 2947.090000 1848.270000 ;
-        RECT 2945.910000 1845.490000 2947.090000 1846.670000 ;
-        RECT 2945.910000 1667.090000 2947.090000 1668.270000 ;
-        RECT 2945.910000 1665.490000 2947.090000 1666.670000 ;
-        RECT 2945.910000 1487.090000 2947.090000 1488.270000 ;
-        RECT 2945.910000 1485.490000 2947.090000 1486.670000 ;
-        RECT 2945.910000 1307.090000 2947.090000 1308.270000 ;
-        RECT 2945.910000 1305.490000 2947.090000 1306.670000 ;
-        RECT 2945.910000 1127.090000 2947.090000 1128.270000 ;
-        RECT 2945.910000 1125.490000 2947.090000 1126.670000 ;
-        RECT 2945.910000 947.090000 2947.090000 948.270000 ;
-        RECT 2945.910000 945.490000 2947.090000 946.670000 ;
-        RECT 2945.910000 767.090000 2947.090000 768.270000 ;
-        RECT 2945.910000 765.490000 2947.090000 766.670000 ;
-        RECT 2945.910000 587.090000 2947.090000 588.270000 ;
-        RECT 2945.910000 585.490000 2947.090000 586.670000 ;
-        RECT 2945.910000 407.090000 2947.090000 408.270000 ;
-        RECT 2945.910000 405.490000 2947.090000 406.670000 ;
-        RECT 2945.910000 227.090000 2947.090000 228.270000 ;
-        RECT 2945.910000 225.490000 2947.090000 226.670000 ;
-        RECT 2945.910000 47.090000 2947.090000 48.270000 ;
-        RECT 2945.910000 45.490000 2947.090000 46.670000 ;
-        RECT -27.470000 -21.310000 -26.290000 -20.130000 ;
-        RECT -27.470000 -22.910000 -26.290000 -21.730000 ;
-        RECT 40.930000 -21.310000 42.110000 -20.130000 ;
-        RECT 40.930000 -22.910000 42.110000 -21.730000 ;
-        RECT 220.930000 -21.310000 222.110000 -20.130000 ;
-        RECT 220.930000 -22.910000 222.110000 -21.730000 ;
-        RECT 400.930000 -21.310000 402.110000 -20.130000 ;
-        RECT 400.930000 -22.910000 402.110000 -21.730000 ;
-        RECT 580.930000 -21.310000 582.110000 -20.130000 ;
-        RECT 580.930000 -22.910000 582.110000 -21.730000 ;
-        RECT 760.930000 -21.310000 762.110000 -20.130000 ;
-        RECT 760.930000 -22.910000 762.110000 -21.730000 ;
-        RECT 940.930000 -21.310000 942.110000 -20.130000 ;
-        RECT 940.930000 -22.910000 942.110000 -21.730000 ;
-        RECT 1120.930000 -21.310000 1122.110000 -20.130000 ;
-        RECT 1120.930000 -22.910000 1122.110000 -21.730000 ;
-        RECT 1300.930000 -21.310000 1302.110000 -20.130000 ;
-        RECT 1300.930000 -22.910000 1302.110000 -21.730000 ;
-        RECT 1480.930000 -21.310000 1482.110000 -20.130000 ;
-        RECT 1480.930000 -22.910000 1482.110000 -21.730000 ;
-        RECT 1660.930000 -21.310000 1662.110000 -20.130000 ;
-        RECT 1660.930000 -22.910000 1662.110000 -21.730000 ;
-        RECT 1840.930000 -21.310000 1842.110000 -20.130000 ;
-        RECT 1840.930000 -22.910000 1842.110000 -21.730000 ;
-        RECT 2020.930000 -21.310000 2022.110000 -20.130000 ;
-        RECT 2020.930000 -22.910000 2022.110000 -21.730000 ;
-        RECT 2200.930000 -21.310000 2202.110000 -20.130000 ;
-        RECT 2200.930000 -22.910000 2202.110000 -21.730000 ;
-        RECT 2380.930000 -21.310000 2382.110000 -20.130000 ;
-        RECT 2380.930000 -22.910000 2382.110000 -21.730000 ;
-        RECT 2560.930000 -21.310000 2562.110000 -20.130000 ;
-        RECT 2560.930000 -22.910000 2562.110000 -21.730000 ;
-        RECT 2740.930000 -21.310000 2742.110000 -20.130000 ;
-        RECT 2740.930000 -22.910000 2742.110000 -21.730000 ;
-        RECT 2945.910000 -21.310000 2947.090000 -20.130000 ;
-        RECT 2945.910000 -22.910000 2947.090000 -21.730000 ;
+        RECT -27.870000 3541.810000 -26.690000 3542.990000 ;
+        RECT -27.870000 3540.210000 -26.690000 3541.390000 ;
+        RECT 40.930000 3541.810000 42.110000 3542.990000 ;
+        RECT 40.930000 3540.210000 42.110000 3541.390000 ;
+        RECT 220.930000 3541.810000 222.110000 3542.990000 ;
+        RECT 220.930000 3540.210000 222.110000 3541.390000 ;
+        RECT 400.930000 3541.810000 402.110000 3542.990000 ;
+        RECT 400.930000 3540.210000 402.110000 3541.390000 ;
+        RECT 580.930000 3541.810000 582.110000 3542.990000 ;
+        RECT 580.930000 3540.210000 582.110000 3541.390000 ;
+        RECT 760.930000 3541.810000 762.110000 3542.990000 ;
+        RECT 760.930000 3540.210000 762.110000 3541.390000 ;
+        RECT 940.930000 3541.810000 942.110000 3542.990000 ;
+        RECT 940.930000 3540.210000 942.110000 3541.390000 ;
+        RECT 1120.930000 3541.810000 1122.110000 3542.990000 ;
+        RECT 1120.930000 3540.210000 1122.110000 3541.390000 ;
+        RECT 1300.930000 3541.810000 1302.110000 3542.990000 ;
+        RECT 1300.930000 3540.210000 1302.110000 3541.390000 ;
+        RECT 1480.930000 3541.810000 1482.110000 3542.990000 ;
+        RECT 1480.930000 3540.210000 1482.110000 3541.390000 ;
+        RECT 1660.930000 3541.810000 1662.110000 3542.990000 ;
+        RECT 1660.930000 3540.210000 1662.110000 3541.390000 ;
+        RECT 1840.930000 3541.810000 1842.110000 3542.990000 ;
+        RECT 1840.930000 3540.210000 1842.110000 3541.390000 ;
+        RECT 2020.930000 3541.810000 2022.110000 3542.990000 ;
+        RECT 2020.930000 3540.210000 2022.110000 3541.390000 ;
+        RECT 2200.930000 3541.810000 2202.110000 3542.990000 ;
+        RECT 2200.930000 3540.210000 2202.110000 3541.390000 ;
+        RECT 2380.930000 3541.810000 2382.110000 3542.990000 ;
+        RECT 2380.930000 3540.210000 2382.110000 3541.390000 ;
+        RECT 2560.930000 3541.810000 2562.110000 3542.990000 ;
+        RECT 2560.930000 3540.210000 2562.110000 3541.390000 ;
+        RECT 2740.930000 3541.810000 2742.110000 3542.990000 ;
+        RECT 2740.930000 3540.210000 2742.110000 3541.390000 ;
+        RECT 2946.310000 3541.810000 2947.490000 3542.990000 ;
+        RECT 2946.310000 3540.210000 2947.490000 3541.390000 ;
+        RECT -27.870000 3467.090000 -26.690000 3468.270000 ;
+        RECT -27.870000 3465.490000 -26.690000 3466.670000 ;
+        RECT -27.870000 3287.090000 -26.690000 3288.270000 ;
+        RECT -27.870000 3285.490000 -26.690000 3286.670000 ;
+        RECT -27.870000 3107.090000 -26.690000 3108.270000 ;
+        RECT -27.870000 3105.490000 -26.690000 3106.670000 ;
+        RECT -27.870000 2927.090000 -26.690000 2928.270000 ;
+        RECT -27.870000 2925.490000 -26.690000 2926.670000 ;
+        RECT -27.870000 2747.090000 -26.690000 2748.270000 ;
+        RECT -27.870000 2745.490000 -26.690000 2746.670000 ;
+        RECT -27.870000 2567.090000 -26.690000 2568.270000 ;
+        RECT -27.870000 2565.490000 -26.690000 2566.670000 ;
+        RECT -27.870000 2387.090000 -26.690000 2388.270000 ;
+        RECT -27.870000 2385.490000 -26.690000 2386.670000 ;
+        RECT -27.870000 2207.090000 -26.690000 2208.270000 ;
+        RECT -27.870000 2205.490000 -26.690000 2206.670000 ;
+        RECT -27.870000 2027.090000 -26.690000 2028.270000 ;
+        RECT -27.870000 2025.490000 -26.690000 2026.670000 ;
+        RECT -27.870000 1847.090000 -26.690000 1848.270000 ;
+        RECT -27.870000 1845.490000 -26.690000 1846.670000 ;
+        RECT -27.870000 1667.090000 -26.690000 1668.270000 ;
+        RECT -27.870000 1665.490000 -26.690000 1666.670000 ;
+        RECT -27.870000 1487.090000 -26.690000 1488.270000 ;
+        RECT -27.870000 1485.490000 -26.690000 1486.670000 ;
+        RECT -27.870000 1307.090000 -26.690000 1308.270000 ;
+        RECT -27.870000 1305.490000 -26.690000 1306.670000 ;
+        RECT -27.870000 1127.090000 -26.690000 1128.270000 ;
+        RECT -27.870000 1125.490000 -26.690000 1126.670000 ;
+        RECT -27.870000 947.090000 -26.690000 948.270000 ;
+        RECT -27.870000 945.490000 -26.690000 946.670000 ;
+        RECT -27.870000 767.090000 -26.690000 768.270000 ;
+        RECT -27.870000 765.490000 -26.690000 766.670000 ;
+        RECT -27.870000 587.090000 -26.690000 588.270000 ;
+        RECT -27.870000 585.490000 -26.690000 586.670000 ;
+        RECT -27.870000 407.090000 -26.690000 408.270000 ;
+        RECT -27.870000 405.490000 -26.690000 406.670000 ;
+        RECT -27.870000 227.090000 -26.690000 228.270000 ;
+        RECT -27.870000 225.490000 -26.690000 226.670000 ;
+        RECT -27.870000 47.090000 -26.690000 48.270000 ;
+        RECT -27.870000 45.490000 -26.690000 46.670000 ;
+        RECT 2946.310000 3467.090000 2947.490000 3468.270000 ;
+        RECT 2946.310000 3465.490000 2947.490000 3466.670000 ;
+        RECT 2946.310000 3287.090000 2947.490000 3288.270000 ;
+        RECT 2946.310000 3285.490000 2947.490000 3286.670000 ;
+        RECT 2946.310000 3107.090000 2947.490000 3108.270000 ;
+        RECT 2946.310000 3105.490000 2947.490000 3106.670000 ;
+        RECT 2946.310000 2927.090000 2947.490000 2928.270000 ;
+        RECT 2946.310000 2925.490000 2947.490000 2926.670000 ;
+        RECT 2946.310000 2747.090000 2947.490000 2748.270000 ;
+        RECT 2946.310000 2745.490000 2947.490000 2746.670000 ;
+        RECT 2946.310000 2567.090000 2947.490000 2568.270000 ;
+        RECT 2946.310000 2565.490000 2947.490000 2566.670000 ;
+        RECT 2946.310000 2387.090000 2947.490000 2388.270000 ;
+        RECT 2946.310000 2385.490000 2947.490000 2386.670000 ;
+        RECT 2946.310000 2207.090000 2947.490000 2208.270000 ;
+        RECT 2946.310000 2205.490000 2947.490000 2206.670000 ;
+        RECT 2946.310000 2027.090000 2947.490000 2028.270000 ;
+        RECT 2946.310000 2025.490000 2947.490000 2026.670000 ;
+        RECT 2946.310000 1847.090000 2947.490000 1848.270000 ;
+        RECT 2946.310000 1845.490000 2947.490000 1846.670000 ;
+        RECT 2946.310000 1667.090000 2947.490000 1668.270000 ;
+        RECT 2946.310000 1665.490000 2947.490000 1666.670000 ;
+        RECT 2946.310000 1487.090000 2947.490000 1488.270000 ;
+        RECT 2946.310000 1485.490000 2947.490000 1486.670000 ;
+        RECT 2946.310000 1307.090000 2947.490000 1308.270000 ;
+        RECT 2946.310000 1305.490000 2947.490000 1306.670000 ;
+        RECT 2946.310000 1127.090000 2947.490000 1128.270000 ;
+        RECT 2946.310000 1125.490000 2947.490000 1126.670000 ;
+        RECT 2946.310000 947.090000 2947.490000 948.270000 ;
+        RECT 2946.310000 945.490000 2947.490000 946.670000 ;
+        RECT 2946.310000 767.090000 2947.490000 768.270000 ;
+        RECT 2946.310000 765.490000 2947.490000 766.670000 ;
+        RECT 2946.310000 587.090000 2947.490000 588.270000 ;
+        RECT 2946.310000 585.490000 2947.490000 586.670000 ;
+        RECT 2946.310000 407.090000 2947.490000 408.270000 ;
+        RECT 2946.310000 405.490000 2947.490000 406.670000 ;
+        RECT 2946.310000 227.090000 2947.490000 228.270000 ;
+        RECT 2946.310000 225.490000 2947.490000 226.670000 ;
+        RECT 2946.310000 47.090000 2947.490000 48.270000 ;
+        RECT 2946.310000 45.490000 2947.490000 46.670000 ;
+        RECT -27.870000 -21.710000 -26.690000 -20.530000 ;
+        RECT -27.870000 -23.310000 -26.690000 -22.130000 ;
+        RECT 40.930000 -21.710000 42.110000 -20.530000 ;
+        RECT 40.930000 -23.310000 42.110000 -22.130000 ;
+        RECT 220.930000 -21.710000 222.110000 -20.530000 ;
+        RECT 220.930000 -23.310000 222.110000 -22.130000 ;
+        RECT 400.930000 -21.710000 402.110000 -20.530000 ;
+        RECT 400.930000 -23.310000 402.110000 -22.130000 ;
+        RECT 580.930000 -21.710000 582.110000 -20.530000 ;
+        RECT 580.930000 -23.310000 582.110000 -22.130000 ;
+        RECT 760.930000 -21.710000 762.110000 -20.530000 ;
+        RECT 760.930000 -23.310000 762.110000 -22.130000 ;
+        RECT 940.930000 -21.710000 942.110000 -20.530000 ;
+        RECT 940.930000 -23.310000 942.110000 -22.130000 ;
+        RECT 1120.930000 -21.710000 1122.110000 -20.530000 ;
+        RECT 1120.930000 -23.310000 1122.110000 -22.130000 ;
+        RECT 1300.930000 -21.710000 1302.110000 -20.530000 ;
+        RECT 1300.930000 -23.310000 1302.110000 -22.130000 ;
+        RECT 1480.930000 -21.710000 1482.110000 -20.530000 ;
+        RECT 1480.930000 -23.310000 1482.110000 -22.130000 ;
+        RECT 1660.930000 -21.710000 1662.110000 -20.530000 ;
+        RECT 1660.930000 -23.310000 1662.110000 -22.130000 ;
+        RECT 1840.930000 -21.710000 1842.110000 -20.530000 ;
+        RECT 1840.930000 -23.310000 1842.110000 -22.130000 ;
+        RECT 2020.930000 -21.710000 2022.110000 -20.530000 ;
+        RECT 2020.930000 -23.310000 2022.110000 -22.130000 ;
+        RECT 2200.930000 -21.710000 2202.110000 -20.530000 ;
+        RECT 2200.930000 -23.310000 2202.110000 -22.130000 ;
+        RECT 2380.930000 -21.710000 2382.110000 -20.530000 ;
+        RECT 2380.930000 -23.310000 2382.110000 -22.130000 ;
+        RECT 2560.930000 -21.710000 2562.110000 -20.530000 ;
+        RECT 2560.930000 -23.310000 2562.110000 -22.130000 ;
+        RECT 2740.930000 -21.710000 2742.110000 -20.530000 ;
+        RECT 2740.930000 -23.310000 2742.110000 -22.130000 ;
+        RECT 2946.310000 -21.710000 2947.490000 -20.530000 ;
+        RECT 2946.310000 -23.310000 2947.490000 -22.130000 ;
       LAYER met5 ;
-        RECT -28.380000 3542.700000 -25.380000 3542.710000 ;
-        RECT 40.020000 3542.700000 43.020000 3542.710000 ;
-        RECT 220.020000 3542.700000 223.020000 3542.710000 ;
-        RECT 400.020000 3542.700000 403.020000 3542.710000 ;
-        RECT 580.020000 3542.700000 583.020000 3542.710000 ;
-        RECT 760.020000 3542.700000 763.020000 3542.710000 ;
-        RECT 940.020000 3542.700000 943.020000 3542.710000 ;
-        RECT 1120.020000 3542.700000 1123.020000 3542.710000 ;
-        RECT 1300.020000 3542.700000 1303.020000 3542.710000 ;
-        RECT 1480.020000 3542.700000 1483.020000 3542.710000 ;
-        RECT 1660.020000 3542.700000 1663.020000 3542.710000 ;
-        RECT 1840.020000 3542.700000 1843.020000 3542.710000 ;
-        RECT 2020.020000 3542.700000 2023.020000 3542.710000 ;
-        RECT 2200.020000 3542.700000 2203.020000 3542.710000 ;
-        RECT 2380.020000 3542.700000 2383.020000 3542.710000 ;
-        RECT 2560.020000 3542.700000 2563.020000 3542.710000 ;
-        RECT 2740.020000 3542.700000 2743.020000 3542.710000 ;
-        RECT 2945.000000 3542.700000 2948.000000 3542.710000 ;
-        RECT -28.380000 3539.700000 2948.000000 3542.700000 ;
-        RECT -28.380000 3539.690000 -25.380000 3539.700000 ;
-        RECT 40.020000 3539.690000 43.020000 3539.700000 ;
-        RECT 220.020000 3539.690000 223.020000 3539.700000 ;
-        RECT 400.020000 3539.690000 403.020000 3539.700000 ;
-        RECT 580.020000 3539.690000 583.020000 3539.700000 ;
-        RECT 760.020000 3539.690000 763.020000 3539.700000 ;
-        RECT 940.020000 3539.690000 943.020000 3539.700000 ;
-        RECT 1120.020000 3539.690000 1123.020000 3539.700000 ;
-        RECT 1300.020000 3539.690000 1303.020000 3539.700000 ;
-        RECT 1480.020000 3539.690000 1483.020000 3539.700000 ;
-        RECT 1660.020000 3539.690000 1663.020000 3539.700000 ;
-        RECT 1840.020000 3539.690000 1843.020000 3539.700000 ;
-        RECT 2020.020000 3539.690000 2023.020000 3539.700000 ;
-        RECT 2200.020000 3539.690000 2203.020000 3539.700000 ;
-        RECT 2380.020000 3539.690000 2383.020000 3539.700000 ;
-        RECT 2560.020000 3539.690000 2563.020000 3539.700000 ;
-        RECT 2740.020000 3539.690000 2743.020000 3539.700000 ;
-        RECT 2945.000000 3539.690000 2948.000000 3539.700000 ;
-        RECT -28.380000 3468.380000 -25.380000 3468.390000 ;
-        RECT 2945.000000 3468.380000 2948.000000 3468.390000 ;
-        RECT -32.980000 3465.380000 -0.400000 3468.380000 ;
-        RECT 2920.400000 3465.380000 2952.600000 3468.380000 ;
-        RECT -28.380000 3465.370000 -25.380000 3465.380000 ;
-        RECT 2945.000000 3465.370000 2948.000000 3465.380000 ;
-        RECT -28.380000 3288.380000 -25.380000 3288.390000 ;
-        RECT 2945.000000 3288.380000 2948.000000 3288.390000 ;
-        RECT -32.980000 3285.380000 -0.400000 3288.380000 ;
-        RECT 2920.400000 3285.380000 2952.600000 3288.380000 ;
-        RECT -28.380000 3285.370000 -25.380000 3285.380000 ;
-        RECT 2945.000000 3285.370000 2948.000000 3285.380000 ;
-        RECT -28.380000 3108.380000 -25.380000 3108.390000 ;
-        RECT 2945.000000 3108.380000 2948.000000 3108.390000 ;
-        RECT -32.980000 3105.380000 -0.400000 3108.380000 ;
-        RECT 2920.400000 3105.380000 2952.600000 3108.380000 ;
-        RECT -28.380000 3105.370000 -25.380000 3105.380000 ;
-        RECT 2945.000000 3105.370000 2948.000000 3105.380000 ;
-        RECT -28.380000 2928.380000 -25.380000 2928.390000 ;
-        RECT 2945.000000 2928.380000 2948.000000 2928.390000 ;
-        RECT -32.980000 2925.380000 -0.400000 2928.380000 ;
-        RECT 2920.400000 2925.380000 2952.600000 2928.380000 ;
-        RECT -28.380000 2925.370000 -25.380000 2925.380000 ;
-        RECT 2945.000000 2925.370000 2948.000000 2925.380000 ;
-        RECT -28.380000 2748.380000 -25.380000 2748.390000 ;
-        RECT 2945.000000 2748.380000 2948.000000 2748.390000 ;
-        RECT -32.980000 2745.380000 -0.400000 2748.380000 ;
-        RECT 2920.400000 2745.380000 2952.600000 2748.380000 ;
-        RECT -28.380000 2745.370000 -25.380000 2745.380000 ;
-        RECT 2945.000000 2745.370000 2948.000000 2745.380000 ;
-        RECT -28.380000 2568.380000 -25.380000 2568.390000 ;
-        RECT 2945.000000 2568.380000 2948.000000 2568.390000 ;
-        RECT -32.980000 2565.380000 -0.400000 2568.380000 ;
-        RECT 2920.400000 2565.380000 2952.600000 2568.380000 ;
-        RECT -28.380000 2565.370000 -25.380000 2565.380000 ;
-        RECT 2945.000000 2565.370000 2948.000000 2565.380000 ;
-        RECT -28.380000 2388.380000 -25.380000 2388.390000 ;
-        RECT 2945.000000 2388.380000 2948.000000 2388.390000 ;
-        RECT -32.980000 2385.380000 -0.400000 2388.380000 ;
-        RECT 2920.400000 2385.380000 2952.600000 2388.380000 ;
-        RECT -28.380000 2385.370000 -25.380000 2385.380000 ;
-        RECT 2945.000000 2385.370000 2948.000000 2385.380000 ;
-        RECT -28.380000 2208.380000 -25.380000 2208.390000 ;
-        RECT 2945.000000 2208.380000 2948.000000 2208.390000 ;
-        RECT -32.980000 2205.380000 -0.400000 2208.380000 ;
-        RECT 2920.400000 2205.380000 2952.600000 2208.380000 ;
-        RECT -28.380000 2205.370000 -25.380000 2205.380000 ;
-        RECT 2945.000000 2205.370000 2948.000000 2205.380000 ;
-        RECT -28.380000 2028.380000 -25.380000 2028.390000 ;
-        RECT 2945.000000 2028.380000 2948.000000 2028.390000 ;
-        RECT -32.980000 2025.380000 -0.400000 2028.380000 ;
-        RECT 2920.400000 2025.380000 2952.600000 2028.380000 ;
-        RECT -28.380000 2025.370000 -25.380000 2025.380000 ;
-        RECT 2945.000000 2025.370000 2948.000000 2025.380000 ;
-        RECT -28.380000 1848.380000 -25.380000 1848.390000 ;
-        RECT 2945.000000 1848.380000 2948.000000 1848.390000 ;
-        RECT -32.980000 1845.380000 -0.400000 1848.380000 ;
-        RECT 2920.400000 1845.380000 2952.600000 1848.380000 ;
-        RECT -28.380000 1845.370000 -25.380000 1845.380000 ;
-        RECT 2945.000000 1845.370000 2948.000000 1845.380000 ;
-        RECT -28.380000 1668.380000 -25.380000 1668.390000 ;
-        RECT 2945.000000 1668.380000 2948.000000 1668.390000 ;
-        RECT -32.980000 1665.380000 -0.400000 1668.380000 ;
-        RECT 2920.400000 1665.380000 2952.600000 1668.380000 ;
-        RECT -28.380000 1665.370000 -25.380000 1665.380000 ;
-        RECT 2945.000000 1665.370000 2948.000000 1665.380000 ;
-        RECT -28.380000 1488.380000 -25.380000 1488.390000 ;
-        RECT 2945.000000 1488.380000 2948.000000 1488.390000 ;
-        RECT -32.980000 1485.380000 -0.400000 1488.380000 ;
-        RECT 2920.400000 1485.380000 2952.600000 1488.380000 ;
-        RECT -28.380000 1485.370000 -25.380000 1485.380000 ;
-        RECT 2945.000000 1485.370000 2948.000000 1485.380000 ;
-        RECT -28.380000 1308.380000 -25.380000 1308.390000 ;
-        RECT 2945.000000 1308.380000 2948.000000 1308.390000 ;
-        RECT -32.980000 1305.380000 -0.400000 1308.380000 ;
-        RECT 2920.400000 1305.380000 2952.600000 1308.380000 ;
-        RECT -28.380000 1305.370000 -25.380000 1305.380000 ;
-        RECT 2945.000000 1305.370000 2948.000000 1305.380000 ;
-        RECT -28.380000 1128.380000 -25.380000 1128.390000 ;
-        RECT 2945.000000 1128.380000 2948.000000 1128.390000 ;
-        RECT -32.980000 1125.380000 -0.400000 1128.380000 ;
-        RECT 2920.400000 1125.380000 2952.600000 1128.380000 ;
-        RECT -28.380000 1125.370000 -25.380000 1125.380000 ;
-        RECT 2945.000000 1125.370000 2948.000000 1125.380000 ;
-        RECT -28.380000 948.380000 -25.380000 948.390000 ;
-        RECT 2945.000000 948.380000 2948.000000 948.390000 ;
-        RECT -32.980000 945.380000 -0.400000 948.380000 ;
-        RECT 2920.400000 945.380000 2952.600000 948.380000 ;
-        RECT -28.380000 945.370000 -25.380000 945.380000 ;
-        RECT 2945.000000 945.370000 2948.000000 945.380000 ;
-        RECT -28.380000 768.380000 -25.380000 768.390000 ;
-        RECT 2945.000000 768.380000 2948.000000 768.390000 ;
-        RECT -32.980000 765.380000 -0.400000 768.380000 ;
-        RECT 2920.400000 765.380000 2952.600000 768.380000 ;
-        RECT -28.380000 765.370000 -25.380000 765.380000 ;
-        RECT 2945.000000 765.370000 2948.000000 765.380000 ;
-        RECT -28.380000 588.380000 -25.380000 588.390000 ;
-        RECT 2945.000000 588.380000 2948.000000 588.390000 ;
-        RECT -32.980000 585.380000 -0.400000 588.380000 ;
-        RECT 2920.400000 585.380000 2952.600000 588.380000 ;
-        RECT -28.380000 585.370000 -25.380000 585.380000 ;
-        RECT 2945.000000 585.370000 2948.000000 585.380000 ;
-        RECT -28.380000 408.380000 -25.380000 408.390000 ;
-        RECT 2945.000000 408.380000 2948.000000 408.390000 ;
-        RECT -32.980000 405.380000 -0.400000 408.380000 ;
-        RECT 2920.400000 405.380000 2952.600000 408.380000 ;
-        RECT -28.380000 405.370000 -25.380000 405.380000 ;
-        RECT 2945.000000 405.370000 2948.000000 405.380000 ;
-        RECT -28.380000 228.380000 -25.380000 228.390000 ;
-        RECT 2945.000000 228.380000 2948.000000 228.390000 ;
-        RECT -32.980000 225.380000 -0.400000 228.380000 ;
-        RECT 2920.400000 225.380000 2952.600000 228.380000 ;
-        RECT -28.380000 225.370000 -25.380000 225.380000 ;
-        RECT 2945.000000 225.370000 2948.000000 225.380000 ;
-        RECT -28.380000 48.380000 -25.380000 48.390000 ;
-        RECT 2945.000000 48.380000 2948.000000 48.390000 ;
-        RECT -32.980000 45.380000 -0.400000 48.380000 ;
-        RECT 2920.400000 45.380000 2952.600000 48.380000 ;
-        RECT -28.380000 45.370000 -25.380000 45.380000 ;
-        RECT 2945.000000 45.370000 2948.000000 45.380000 ;
-        RECT -28.380000 -20.020000 -25.380000 -20.010000 ;
-        RECT 40.020000 -20.020000 43.020000 -20.010000 ;
-        RECT 220.020000 -20.020000 223.020000 -20.010000 ;
-        RECT 400.020000 -20.020000 403.020000 -20.010000 ;
-        RECT 580.020000 -20.020000 583.020000 -20.010000 ;
-        RECT 760.020000 -20.020000 763.020000 -20.010000 ;
-        RECT 940.020000 -20.020000 943.020000 -20.010000 ;
-        RECT 1120.020000 -20.020000 1123.020000 -20.010000 ;
-        RECT 1300.020000 -20.020000 1303.020000 -20.010000 ;
-        RECT 1480.020000 -20.020000 1483.020000 -20.010000 ;
-        RECT 1660.020000 -20.020000 1663.020000 -20.010000 ;
-        RECT 1840.020000 -20.020000 1843.020000 -20.010000 ;
-        RECT 2020.020000 -20.020000 2023.020000 -20.010000 ;
-        RECT 2200.020000 -20.020000 2203.020000 -20.010000 ;
-        RECT 2380.020000 -20.020000 2383.020000 -20.010000 ;
-        RECT 2560.020000 -20.020000 2563.020000 -20.010000 ;
-        RECT 2740.020000 -20.020000 2743.020000 -20.010000 ;
-        RECT 2945.000000 -20.020000 2948.000000 -20.010000 ;
-        RECT -28.380000 -23.020000 2948.000000 -20.020000 ;
-        RECT -28.380000 -23.030000 -25.380000 -23.020000 ;
-        RECT 40.020000 -23.030000 43.020000 -23.020000 ;
-        RECT 220.020000 -23.030000 223.020000 -23.020000 ;
-        RECT 400.020000 -23.030000 403.020000 -23.020000 ;
-        RECT 580.020000 -23.030000 583.020000 -23.020000 ;
-        RECT 760.020000 -23.030000 763.020000 -23.020000 ;
-        RECT 940.020000 -23.030000 943.020000 -23.020000 ;
-        RECT 1120.020000 -23.030000 1123.020000 -23.020000 ;
-        RECT 1300.020000 -23.030000 1303.020000 -23.020000 ;
-        RECT 1480.020000 -23.030000 1483.020000 -23.020000 ;
-        RECT 1660.020000 -23.030000 1663.020000 -23.020000 ;
-        RECT 1840.020000 -23.030000 1843.020000 -23.020000 ;
-        RECT 2020.020000 -23.030000 2023.020000 -23.020000 ;
-        RECT 2200.020000 -23.030000 2203.020000 -23.020000 ;
-        RECT 2380.020000 -23.030000 2383.020000 -23.020000 ;
-        RECT 2560.020000 -23.030000 2563.020000 -23.020000 ;
-        RECT 2740.020000 -23.030000 2743.020000 -23.020000 ;
-        RECT 2945.000000 -23.030000 2948.000000 -23.020000 ;
+        RECT -28.780000 3543.100000 -25.780000 3543.110000 ;
+        RECT 40.020000 3543.100000 43.020000 3543.110000 ;
+        RECT 220.020000 3543.100000 223.020000 3543.110000 ;
+        RECT 400.020000 3543.100000 403.020000 3543.110000 ;
+        RECT 580.020000 3543.100000 583.020000 3543.110000 ;
+        RECT 760.020000 3543.100000 763.020000 3543.110000 ;
+        RECT 940.020000 3543.100000 943.020000 3543.110000 ;
+        RECT 1120.020000 3543.100000 1123.020000 3543.110000 ;
+        RECT 1300.020000 3543.100000 1303.020000 3543.110000 ;
+        RECT 1480.020000 3543.100000 1483.020000 3543.110000 ;
+        RECT 1660.020000 3543.100000 1663.020000 3543.110000 ;
+        RECT 1840.020000 3543.100000 1843.020000 3543.110000 ;
+        RECT 2020.020000 3543.100000 2023.020000 3543.110000 ;
+        RECT 2200.020000 3543.100000 2203.020000 3543.110000 ;
+        RECT 2380.020000 3543.100000 2383.020000 3543.110000 ;
+        RECT 2560.020000 3543.100000 2563.020000 3543.110000 ;
+        RECT 2740.020000 3543.100000 2743.020000 3543.110000 ;
+        RECT 2945.400000 3543.100000 2948.400000 3543.110000 ;
+        RECT -28.780000 3540.100000 2948.400000 3543.100000 ;
+        RECT -28.780000 3540.090000 -25.780000 3540.100000 ;
+        RECT 40.020000 3540.090000 43.020000 3540.100000 ;
+        RECT 220.020000 3540.090000 223.020000 3540.100000 ;
+        RECT 400.020000 3540.090000 403.020000 3540.100000 ;
+        RECT 580.020000 3540.090000 583.020000 3540.100000 ;
+        RECT 760.020000 3540.090000 763.020000 3540.100000 ;
+        RECT 940.020000 3540.090000 943.020000 3540.100000 ;
+        RECT 1120.020000 3540.090000 1123.020000 3540.100000 ;
+        RECT 1300.020000 3540.090000 1303.020000 3540.100000 ;
+        RECT 1480.020000 3540.090000 1483.020000 3540.100000 ;
+        RECT 1660.020000 3540.090000 1663.020000 3540.100000 ;
+        RECT 1840.020000 3540.090000 1843.020000 3540.100000 ;
+        RECT 2020.020000 3540.090000 2023.020000 3540.100000 ;
+        RECT 2200.020000 3540.090000 2203.020000 3540.100000 ;
+        RECT 2380.020000 3540.090000 2383.020000 3540.100000 ;
+        RECT 2560.020000 3540.090000 2563.020000 3540.100000 ;
+        RECT 2740.020000 3540.090000 2743.020000 3540.100000 ;
+        RECT 2945.400000 3540.090000 2948.400000 3540.100000 ;
+        RECT -28.780000 3468.380000 -25.780000 3468.390000 ;
+        RECT 2945.400000 3468.380000 2948.400000 3468.390000 ;
+        RECT -33.480000 3465.380000 -0.400000 3468.380000 ;
+        RECT 2920.400000 3465.380000 2953.100000 3468.380000 ;
+        RECT -28.780000 3465.370000 -25.780000 3465.380000 ;
+        RECT 2945.400000 3465.370000 2948.400000 3465.380000 ;
+        RECT -28.780000 3288.380000 -25.780000 3288.390000 ;
+        RECT 2945.400000 3288.380000 2948.400000 3288.390000 ;
+        RECT -33.480000 3285.380000 -0.400000 3288.380000 ;
+        RECT 2920.400000 3285.380000 2953.100000 3288.380000 ;
+        RECT -28.780000 3285.370000 -25.780000 3285.380000 ;
+        RECT 2945.400000 3285.370000 2948.400000 3285.380000 ;
+        RECT -28.780000 3108.380000 -25.780000 3108.390000 ;
+        RECT 2945.400000 3108.380000 2948.400000 3108.390000 ;
+        RECT -33.480000 3105.380000 -0.400000 3108.380000 ;
+        RECT 2920.400000 3105.380000 2953.100000 3108.380000 ;
+        RECT -28.780000 3105.370000 -25.780000 3105.380000 ;
+        RECT 2945.400000 3105.370000 2948.400000 3105.380000 ;
+        RECT -28.780000 2928.380000 -25.780000 2928.390000 ;
+        RECT 2945.400000 2928.380000 2948.400000 2928.390000 ;
+        RECT -33.480000 2925.380000 -0.400000 2928.380000 ;
+        RECT 2920.400000 2925.380000 2953.100000 2928.380000 ;
+        RECT -28.780000 2925.370000 -25.780000 2925.380000 ;
+        RECT 2945.400000 2925.370000 2948.400000 2925.380000 ;
+        RECT -28.780000 2748.380000 -25.780000 2748.390000 ;
+        RECT 2945.400000 2748.380000 2948.400000 2748.390000 ;
+        RECT -33.480000 2745.380000 -0.400000 2748.380000 ;
+        RECT 2920.400000 2745.380000 2953.100000 2748.380000 ;
+        RECT -28.780000 2745.370000 -25.780000 2745.380000 ;
+        RECT 2945.400000 2745.370000 2948.400000 2745.380000 ;
+        RECT -28.780000 2568.380000 -25.780000 2568.390000 ;
+        RECT 2945.400000 2568.380000 2948.400000 2568.390000 ;
+        RECT -33.480000 2565.380000 -0.400000 2568.380000 ;
+        RECT 2920.400000 2565.380000 2953.100000 2568.380000 ;
+        RECT -28.780000 2565.370000 -25.780000 2565.380000 ;
+        RECT 2945.400000 2565.370000 2948.400000 2565.380000 ;
+        RECT -28.780000 2388.380000 -25.780000 2388.390000 ;
+        RECT 2945.400000 2388.380000 2948.400000 2388.390000 ;
+        RECT -33.480000 2385.380000 -0.400000 2388.380000 ;
+        RECT 2920.400000 2385.380000 2953.100000 2388.380000 ;
+        RECT -28.780000 2385.370000 -25.780000 2385.380000 ;
+        RECT 2945.400000 2385.370000 2948.400000 2385.380000 ;
+        RECT -28.780000 2208.380000 -25.780000 2208.390000 ;
+        RECT 2945.400000 2208.380000 2948.400000 2208.390000 ;
+        RECT -33.480000 2205.380000 -0.400000 2208.380000 ;
+        RECT 2920.400000 2205.380000 2953.100000 2208.380000 ;
+        RECT -28.780000 2205.370000 -25.780000 2205.380000 ;
+        RECT 2945.400000 2205.370000 2948.400000 2205.380000 ;
+        RECT -28.780000 2028.380000 -25.780000 2028.390000 ;
+        RECT 2945.400000 2028.380000 2948.400000 2028.390000 ;
+        RECT -33.480000 2025.380000 -0.400000 2028.380000 ;
+        RECT 2920.400000 2025.380000 2953.100000 2028.380000 ;
+        RECT -28.780000 2025.370000 -25.780000 2025.380000 ;
+        RECT 2945.400000 2025.370000 2948.400000 2025.380000 ;
+        RECT -28.780000 1848.380000 -25.780000 1848.390000 ;
+        RECT 2945.400000 1848.380000 2948.400000 1848.390000 ;
+        RECT -33.480000 1845.380000 -0.400000 1848.380000 ;
+        RECT 2920.400000 1845.380000 2953.100000 1848.380000 ;
+        RECT -28.780000 1845.370000 -25.780000 1845.380000 ;
+        RECT 2945.400000 1845.370000 2948.400000 1845.380000 ;
+        RECT -28.780000 1668.380000 -25.780000 1668.390000 ;
+        RECT 2945.400000 1668.380000 2948.400000 1668.390000 ;
+        RECT -33.480000 1665.380000 -0.400000 1668.380000 ;
+        RECT 2920.400000 1665.380000 2953.100000 1668.380000 ;
+        RECT -28.780000 1665.370000 -25.780000 1665.380000 ;
+        RECT 2945.400000 1665.370000 2948.400000 1665.380000 ;
+        RECT -28.780000 1488.380000 -25.780000 1488.390000 ;
+        RECT 2945.400000 1488.380000 2948.400000 1488.390000 ;
+        RECT -33.480000 1485.380000 -0.400000 1488.380000 ;
+        RECT 2920.400000 1485.380000 2953.100000 1488.380000 ;
+        RECT -28.780000 1485.370000 -25.780000 1485.380000 ;
+        RECT 2945.400000 1485.370000 2948.400000 1485.380000 ;
+        RECT -28.780000 1308.380000 -25.780000 1308.390000 ;
+        RECT 2945.400000 1308.380000 2948.400000 1308.390000 ;
+        RECT -33.480000 1305.380000 -0.400000 1308.380000 ;
+        RECT 2920.400000 1305.380000 2953.100000 1308.380000 ;
+        RECT -28.780000 1305.370000 -25.780000 1305.380000 ;
+        RECT 2945.400000 1305.370000 2948.400000 1305.380000 ;
+        RECT -28.780000 1128.380000 -25.780000 1128.390000 ;
+        RECT 2945.400000 1128.380000 2948.400000 1128.390000 ;
+        RECT -33.480000 1125.380000 -0.400000 1128.380000 ;
+        RECT 2920.400000 1125.380000 2953.100000 1128.380000 ;
+        RECT -28.780000 1125.370000 -25.780000 1125.380000 ;
+        RECT 2945.400000 1125.370000 2948.400000 1125.380000 ;
+        RECT -28.780000 948.380000 -25.780000 948.390000 ;
+        RECT 2945.400000 948.380000 2948.400000 948.390000 ;
+        RECT -33.480000 945.380000 -0.400000 948.380000 ;
+        RECT 2920.400000 945.380000 2953.100000 948.380000 ;
+        RECT -28.780000 945.370000 -25.780000 945.380000 ;
+        RECT 2945.400000 945.370000 2948.400000 945.380000 ;
+        RECT -28.780000 768.380000 -25.780000 768.390000 ;
+        RECT 2945.400000 768.380000 2948.400000 768.390000 ;
+        RECT -33.480000 765.380000 -0.400000 768.380000 ;
+        RECT 2920.400000 765.380000 2953.100000 768.380000 ;
+        RECT -28.780000 765.370000 -25.780000 765.380000 ;
+        RECT 2945.400000 765.370000 2948.400000 765.380000 ;
+        RECT -28.780000 588.380000 -25.780000 588.390000 ;
+        RECT 2945.400000 588.380000 2948.400000 588.390000 ;
+        RECT -33.480000 585.380000 -0.400000 588.380000 ;
+        RECT 2920.400000 585.380000 2953.100000 588.380000 ;
+        RECT -28.780000 585.370000 -25.780000 585.380000 ;
+        RECT 2945.400000 585.370000 2948.400000 585.380000 ;
+        RECT -28.780000 408.380000 -25.780000 408.390000 ;
+        RECT 2945.400000 408.380000 2948.400000 408.390000 ;
+        RECT -33.480000 405.380000 -0.400000 408.380000 ;
+        RECT 2920.400000 405.380000 2953.100000 408.380000 ;
+        RECT -28.780000 405.370000 -25.780000 405.380000 ;
+        RECT 2945.400000 405.370000 2948.400000 405.380000 ;
+        RECT -28.780000 228.380000 -25.780000 228.390000 ;
+        RECT 2945.400000 228.380000 2948.400000 228.390000 ;
+        RECT -33.480000 225.380000 -0.400000 228.380000 ;
+        RECT 2920.400000 225.380000 2953.100000 228.380000 ;
+        RECT -28.780000 225.370000 -25.780000 225.380000 ;
+        RECT 2945.400000 225.370000 2948.400000 225.380000 ;
+        RECT -28.780000 48.380000 -25.780000 48.390000 ;
+        RECT 2945.400000 48.380000 2948.400000 48.390000 ;
+        RECT -33.480000 45.380000 -0.400000 48.380000 ;
+        RECT 2920.400000 45.380000 2953.100000 48.380000 ;
+        RECT -28.780000 45.370000 -25.780000 45.380000 ;
+        RECT 2945.400000 45.370000 2948.400000 45.380000 ;
+        RECT -28.780000 -20.420000 -25.780000 -20.410000 ;
+        RECT 40.020000 -20.420000 43.020000 -20.410000 ;
+        RECT 220.020000 -20.420000 223.020000 -20.410000 ;
+        RECT 400.020000 -20.420000 403.020000 -20.410000 ;
+        RECT 580.020000 -20.420000 583.020000 -20.410000 ;
+        RECT 760.020000 -20.420000 763.020000 -20.410000 ;
+        RECT 940.020000 -20.420000 943.020000 -20.410000 ;
+        RECT 1120.020000 -20.420000 1123.020000 -20.410000 ;
+        RECT 1300.020000 -20.420000 1303.020000 -20.410000 ;
+        RECT 1480.020000 -20.420000 1483.020000 -20.410000 ;
+        RECT 1660.020000 -20.420000 1663.020000 -20.410000 ;
+        RECT 1840.020000 -20.420000 1843.020000 -20.410000 ;
+        RECT 2020.020000 -20.420000 2023.020000 -20.410000 ;
+        RECT 2200.020000 -20.420000 2203.020000 -20.410000 ;
+        RECT 2380.020000 -20.420000 2383.020000 -20.410000 ;
+        RECT 2560.020000 -20.420000 2563.020000 -20.410000 ;
+        RECT 2740.020000 -20.420000 2743.020000 -20.410000 ;
+        RECT 2945.400000 -20.420000 2948.400000 -20.410000 ;
+        RECT -28.780000 -23.420000 2948.400000 -20.420000 ;
+        RECT -28.780000 -23.430000 -25.780000 -23.420000 ;
+        RECT 40.020000 -23.430000 43.020000 -23.420000 ;
+        RECT 220.020000 -23.430000 223.020000 -23.420000 ;
+        RECT 400.020000 -23.430000 403.020000 -23.420000 ;
+        RECT 580.020000 -23.430000 583.020000 -23.420000 ;
+        RECT 760.020000 -23.430000 763.020000 -23.420000 ;
+        RECT 940.020000 -23.430000 943.020000 -23.420000 ;
+        RECT 1120.020000 -23.430000 1123.020000 -23.420000 ;
+        RECT 1300.020000 -23.430000 1303.020000 -23.420000 ;
+        RECT 1480.020000 -23.430000 1483.020000 -23.420000 ;
+        RECT 1660.020000 -23.430000 1663.020000 -23.420000 ;
+        RECT 1840.020000 -23.430000 1843.020000 -23.420000 ;
+        RECT 2020.020000 -23.430000 2023.020000 -23.420000 ;
+        RECT 2200.020000 -23.430000 2203.020000 -23.420000 ;
+        RECT 2380.020000 -23.430000 2383.020000 -23.420000 ;
+        RECT 2560.020000 -23.430000 2563.020000 -23.420000 ;
+        RECT 2740.020000 -23.430000 2743.020000 -23.420000 ;
+        RECT 2945.400000 -23.430000 2948.400000 -23.420000 ;
     END
   END vdda1
   PIN vssa1
     DIRECTION INPUT ;
     PORT
       LAYER met4 ;
-        RECT -32.980000 -27.620000 -29.980000 3547.300000 ;
-        RECT 130.020000 3520.400000 133.020000 3547.300000 ;
-        RECT 310.020000 3520.400000 313.020000 3547.300000 ;
-        RECT 490.020000 3520.400000 493.020000 3547.300000 ;
-        RECT 670.020000 3520.400000 673.020000 3547.300000 ;
-        RECT 850.020000 3520.400000 853.020000 3547.300000 ;
-        RECT 1030.020000 3520.400000 1033.020000 3547.300000 ;
-        RECT 1210.020000 3520.400000 1213.020000 3547.300000 ;
-        RECT 1390.020000 3520.400000 1393.020000 3547.300000 ;
-        RECT 1570.020000 3520.400000 1573.020000 3547.300000 ;
-        RECT 1750.020000 3520.400000 1753.020000 3547.300000 ;
-        RECT 1930.020000 3520.400000 1933.020000 3547.300000 ;
-        RECT 2110.020000 3520.400000 2113.020000 3547.300000 ;
-        RECT 2290.020000 3520.400000 2293.020000 3547.300000 ;
-        RECT 2470.020000 3520.400000 2473.020000 3547.300000 ;
-        RECT 2650.020000 3520.400000 2653.020000 3547.300000 ;
-        RECT 2830.020000 3520.400000 2833.020000 3547.300000 ;
-        RECT 130.020000 -27.620000 133.020000 -0.400000 ;
-        RECT 310.020000 -27.620000 313.020000 -0.400000 ;
-        RECT 490.020000 -27.620000 493.020000 -0.400000 ;
-        RECT 670.020000 -27.620000 673.020000 -0.400000 ;
-        RECT 850.020000 -27.620000 853.020000 -0.400000 ;
-        RECT 1030.020000 -27.620000 1033.020000 -0.400000 ;
-        RECT 1210.020000 -27.620000 1213.020000 -0.400000 ;
-        RECT 1390.020000 -27.620000 1393.020000 -0.400000 ;
-        RECT 1570.020000 -27.620000 1573.020000 -0.400000 ;
-        RECT 1750.020000 -27.620000 1753.020000 -0.400000 ;
-        RECT 1930.020000 -27.620000 1933.020000 -0.400000 ;
-        RECT 2110.020000 -27.620000 2113.020000 -0.400000 ;
-        RECT 2290.020000 -27.620000 2293.020000 -0.400000 ;
-        RECT 2470.020000 -27.620000 2473.020000 -0.400000 ;
-        RECT 2650.020000 -27.620000 2653.020000 -0.400000 ;
-        RECT 2830.020000 -27.620000 2833.020000 -0.400000 ;
-        RECT 2949.600000 -27.620000 2952.600000 3547.300000 ;
+        RECT -33.480000 -28.120000 -30.480000 3547.800000 ;
+        RECT 130.020000 3520.400000 133.020000 3547.800000 ;
+        RECT 310.020000 3520.400000 313.020000 3547.800000 ;
+        RECT 490.020000 3520.400000 493.020000 3547.800000 ;
+        RECT 670.020000 3520.400000 673.020000 3547.800000 ;
+        RECT 850.020000 3520.400000 853.020000 3547.800000 ;
+        RECT 1030.020000 3520.400000 1033.020000 3547.800000 ;
+        RECT 1210.020000 3520.400000 1213.020000 3547.800000 ;
+        RECT 1390.020000 3520.400000 1393.020000 3547.800000 ;
+        RECT 1570.020000 3520.400000 1573.020000 3547.800000 ;
+        RECT 1750.020000 3520.400000 1753.020000 3547.800000 ;
+        RECT 1930.020000 3520.400000 1933.020000 3547.800000 ;
+        RECT 2110.020000 3520.400000 2113.020000 3547.800000 ;
+        RECT 2290.020000 3520.400000 2293.020000 3547.800000 ;
+        RECT 2470.020000 3520.400000 2473.020000 3547.800000 ;
+        RECT 2650.020000 3520.400000 2653.020000 3547.800000 ;
+        RECT 2830.020000 3520.400000 2833.020000 3547.800000 ;
+        RECT 130.020000 -28.120000 133.020000 -0.400000 ;
+        RECT 310.020000 -28.120000 313.020000 -0.400000 ;
+        RECT 490.020000 -28.120000 493.020000 -0.400000 ;
+        RECT 670.020000 -28.120000 673.020000 -0.400000 ;
+        RECT 850.020000 -28.120000 853.020000 -0.400000 ;
+        RECT 1030.020000 -28.120000 1033.020000 -0.400000 ;
+        RECT 1210.020000 -28.120000 1213.020000 -0.400000 ;
+        RECT 1390.020000 -28.120000 1393.020000 -0.400000 ;
+        RECT 1570.020000 -28.120000 1573.020000 -0.400000 ;
+        RECT 1750.020000 -28.120000 1753.020000 -0.400000 ;
+        RECT 1930.020000 -28.120000 1933.020000 -0.400000 ;
+        RECT 2110.020000 -28.120000 2113.020000 -0.400000 ;
+        RECT 2290.020000 -28.120000 2293.020000 -0.400000 ;
+        RECT 2470.020000 -28.120000 2473.020000 -0.400000 ;
+        RECT 2650.020000 -28.120000 2653.020000 -0.400000 ;
+        RECT 2830.020000 -28.120000 2833.020000 -0.400000 ;
+        RECT 2950.100000 -28.120000 2953.100000 3547.800000 ;
       LAYER M4M5_PR_C ;
-        RECT -32.070000 3546.010000 -30.890000 3547.190000 ;
-        RECT -32.070000 3544.410000 -30.890000 3545.590000 ;
-        RECT 130.930000 3546.010000 132.110000 3547.190000 ;
-        RECT 130.930000 3544.410000 132.110000 3545.590000 ;
-        RECT 310.930000 3546.010000 312.110000 3547.190000 ;
-        RECT 310.930000 3544.410000 312.110000 3545.590000 ;
-        RECT 490.930000 3546.010000 492.110000 3547.190000 ;
-        RECT 490.930000 3544.410000 492.110000 3545.590000 ;
-        RECT 670.930000 3546.010000 672.110000 3547.190000 ;
-        RECT 670.930000 3544.410000 672.110000 3545.590000 ;
-        RECT 850.930000 3546.010000 852.110000 3547.190000 ;
-        RECT 850.930000 3544.410000 852.110000 3545.590000 ;
-        RECT 1030.930000 3546.010000 1032.110000 3547.190000 ;
-        RECT 1030.930000 3544.410000 1032.110000 3545.590000 ;
-        RECT 1210.930000 3546.010000 1212.110000 3547.190000 ;
-        RECT 1210.930000 3544.410000 1212.110000 3545.590000 ;
-        RECT 1390.930000 3546.010000 1392.110000 3547.190000 ;
-        RECT 1390.930000 3544.410000 1392.110000 3545.590000 ;
-        RECT 1570.930000 3546.010000 1572.110000 3547.190000 ;
-        RECT 1570.930000 3544.410000 1572.110000 3545.590000 ;
-        RECT 1750.930000 3546.010000 1752.110000 3547.190000 ;
-        RECT 1750.930000 3544.410000 1752.110000 3545.590000 ;
-        RECT 1930.930000 3546.010000 1932.110000 3547.190000 ;
-        RECT 1930.930000 3544.410000 1932.110000 3545.590000 ;
-        RECT 2110.930000 3546.010000 2112.110000 3547.190000 ;
-        RECT 2110.930000 3544.410000 2112.110000 3545.590000 ;
-        RECT 2290.930000 3546.010000 2292.110000 3547.190000 ;
-        RECT 2290.930000 3544.410000 2292.110000 3545.590000 ;
-        RECT 2470.930000 3546.010000 2472.110000 3547.190000 ;
-        RECT 2470.930000 3544.410000 2472.110000 3545.590000 ;
-        RECT 2650.930000 3546.010000 2652.110000 3547.190000 ;
-        RECT 2650.930000 3544.410000 2652.110000 3545.590000 ;
-        RECT 2830.930000 3546.010000 2832.110000 3547.190000 ;
-        RECT 2830.930000 3544.410000 2832.110000 3545.590000 ;
-        RECT 2950.510000 3546.010000 2951.690000 3547.190000 ;
-        RECT 2950.510000 3544.410000 2951.690000 3545.590000 ;
-        RECT -32.070000 3377.090000 -30.890000 3378.270000 ;
-        RECT -32.070000 3375.490000 -30.890000 3376.670000 ;
-        RECT -32.070000 3197.090000 -30.890000 3198.270000 ;
-        RECT -32.070000 3195.490000 -30.890000 3196.670000 ;
-        RECT -32.070000 3017.090000 -30.890000 3018.270000 ;
-        RECT -32.070000 3015.490000 -30.890000 3016.670000 ;
-        RECT -32.070000 2837.090000 -30.890000 2838.270000 ;
-        RECT -32.070000 2835.490000 -30.890000 2836.670000 ;
-        RECT -32.070000 2657.090000 -30.890000 2658.270000 ;
-        RECT -32.070000 2655.490000 -30.890000 2656.670000 ;
-        RECT -32.070000 2477.090000 -30.890000 2478.270000 ;
-        RECT -32.070000 2475.490000 -30.890000 2476.670000 ;
-        RECT -32.070000 2297.090000 -30.890000 2298.270000 ;
-        RECT -32.070000 2295.490000 -30.890000 2296.670000 ;
-        RECT -32.070000 2117.090000 -30.890000 2118.270000 ;
-        RECT -32.070000 2115.490000 -30.890000 2116.670000 ;
-        RECT -32.070000 1937.090000 -30.890000 1938.270000 ;
-        RECT -32.070000 1935.490000 -30.890000 1936.670000 ;
-        RECT -32.070000 1757.090000 -30.890000 1758.270000 ;
-        RECT -32.070000 1755.490000 -30.890000 1756.670000 ;
-        RECT -32.070000 1577.090000 -30.890000 1578.270000 ;
-        RECT -32.070000 1575.490000 -30.890000 1576.670000 ;
-        RECT -32.070000 1397.090000 -30.890000 1398.270000 ;
-        RECT -32.070000 1395.490000 -30.890000 1396.670000 ;
-        RECT -32.070000 1217.090000 -30.890000 1218.270000 ;
-        RECT -32.070000 1215.490000 -30.890000 1216.670000 ;
-        RECT -32.070000 1037.090000 -30.890000 1038.270000 ;
-        RECT -32.070000 1035.490000 -30.890000 1036.670000 ;
-        RECT -32.070000 857.090000 -30.890000 858.270000 ;
-        RECT -32.070000 855.490000 -30.890000 856.670000 ;
-        RECT -32.070000 677.090000 -30.890000 678.270000 ;
-        RECT -32.070000 675.490000 -30.890000 676.670000 ;
-        RECT -32.070000 497.090000 -30.890000 498.270000 ;
-        RECT -32.070000 495.490000 -30.890000 496.670000 ;
-        RECT -32.070000 317.090000 -30.890000 318.270000 ;
-        RECT -32.070000 315.490000 -30.890000 316.670000 ;
-        RECT -32.070000 137.090000 -30.890000 138.270000 ;
-        RECT -32.070000 135.490000 -30.890000 136.670000 ;
-        RECT 2950.510000 3377.090000 2951.690000 3378.270000 ;
-        RECT 2950.510000 3375.490000 2951.690000 3376.670000 ;
-        RECT 2950.510000 3197.090000 2951.690000 3198.270000 ;
-        RECT 2950.510000 3195.490000 2951.690000 3196.670000 ;
-        RECT 2950.510000 3017.090000 2951.690000 3018.270000 ;
-        RECT 2950.510000 3015.490000 2951.690000 3016.670000 ;
-        RECT 2950.510000 2837.090000 2951.690000 2838.270000 ;
-        RECT 2950.510000 2835.490000 2951.690000 2836.670000 ;
-        RECT 2950.510000 2657.090000 2951.690000 2658.270000 ;
-        RECT 2950.510000 2655.490000 2951.690000 2656.670000 ;
-        RECT 2950.510000 2477.090000 2951.690000 2478.270000 ;
-        RECT 2950.510000 2475.490000 2951.690000 2476.670000 ;
-        RECT 2950.510000 2297.090000 2951.690000 2298.270000 ;
-        RECT 2950.510000 2295.490000 2951.690000 2296.670000 ;
-        RECT 2950.510000 2117.090000 2951.690000 2118.270000 ;
-        RECT 2950.510000 2115.490000 2951.690000 2116.670000 ;
-        RECT 2950.510000 1937.090000 2951.690000 1938.270000 ;
-        RECT 2950.510000 1935.490000 2951.690000 1936.670000 ;
-        RECT 2950.510000 1757.090000 2951.690000 1758.270000 ;
-        RECT 2950.510000 1755.490000 2951.690000 1756.670000 ;
-        RECT 2950.510000 1577.090000 2951.690000 1578.270000 ;
-        RECT 2950.510000 1575.490000 2951.690000 1576.670000 ;
-        RECT 2950.510000 1397.090000 2951.690000 1398.270000 ;
-        RECT 2950.510000 1395.490000 2951.690000 1396.670000 ;
-        RECT 2950.510000 1217.090000 2951.690000 1218.270000 ;
-        RECT 2950.510000 1215.490000 2951.690000 1216.670000 ;
-        RECT 2950.510000 1037.090000 2951.690000 1038.270000 ;
-        RECT 2950.510000 1035.490000 2951.690000 1036.670000 ;
-        RECT 2950.510000 857.090000 2951.690000 858.270000 ;
-        RECT 2950.510000 855.490000 2951.690000 856.670000 ;
-        RECT 2950.510000 677.090000 2951.690000 678.270000 ;
-        RECT 2950.510000 675.490000 2951.690000 676.670000 ;
-        RECT 2950.510000 497.090000 2951.690000 498.270000 ;
-        RECT 2950.510000 495.490000 2951.690000 496.670000 ;
-        RECT 2950.510000 317.090000 2951.690000 318.270000 ;
-        RECT 2950.510000 315.490000 2951.690000 316.670000 ;
-        RECT 2950.510000 137.090000 2951.690000 138.270000 ;
-        RECT 2950.510000 135.490000 2951.690000 136.670000 ;
-        RECT -32.070000 -25.910000 -30.890000 -24.730000 ;
-        RECT -32.070000 -27.510000 -30.890000 -26.330000 ;
-        RECT 130.930000 -25.910000 132.110000 -24.730000 ;
-        RECT 130.930000 -27.510000 132.110000 -26.330000 ;
-        RECT 310.930000 -25.910000 312.110000 -24.730000 ;
-        RECT 310.930000 -27.510000 312.110000 -26.330000 ;
-        RECT 490.930000 -25.910000 492.110000 -24.730000 ;
-        RECT 490.930000 -27.510000 492.110000 -26.330000 ;
-        RECT 670.930000 -25.910000 672.110000 -24.730000 ;
-        RECT 670.930000 -27.510000 672.110000 -26.330000 ;
-        RECT 850.930000 -25.910000 852.110000 -24.730000 ;
-        RECT 850.930000 -27.510000 852.110000 -26.330000 ;
-        RECT 1030.930000 -25.910000 1032.110000 -24.730000 ;
-        RECT 1030.930000 -27.510000 1032.110000 -26.330000 ;
-        RECT 1210.930000 -25.910000 1212.110000 -24.730000 ;
-        RECT 1210.930000 -27.510000 1212.110000 -26.330000 ;
-        RECT 1390.930000 -25.910000 1392.110000 -24.730000 ;
-        RECT 1390.930000 -27.510000 1392.110000 -26.330000 ;
-        RECT 1570.930000 -25.910000 1572.110000 -24.730000 ;
-        RECT 1570.930000 -27.510000 1572.110000 -26.330000 ;
-        RECT 1750.930000 -25.910000 1752.110000 -24.730000 ;
-        RECT 1750.930000 -27.510000 1752.110000 -26.330000 ;
-        RECT 1930.930000 -25.910000 1932.110000 -24.730000 ;
-        RECT 1930.930000 -27.510000 1932.110000 -26.330000 ;
-        RECT 2110.930000 -25.910000 2112.110000 -24.730000 ;
-        RECT 2110.930000 -27.510000 2112.110000 -26.330000 ;
-        RECT 2290.930000 -25.910000 2292.110000 -24.730000 ;
-        RECT 2290.930000 -27.510000 2292.110000 -26.330000 ;
-        RECT 2470.930000 -25.910000 2472.110000 -24.730000 ;
-        RECT 2470.930000 -27.510000 2472.110000 -26.330000 ;
-        RECT 2650.930000 -25.910000 2652.110000 -24.730000 ;
-        RECT 2650.930000 -27.510000 2652.110000 -26.330000 ;
-        RECT 2830.930000 -25.910000 2832.110000 -24.730000 ;
-        RECT 2830.930000 -27.510000 2832.110000 -26.330000 ;
-        RECT 2950.510000 -25.910000 2951.690000 -24.730000 ;
-        RECT 2950.510000 -27.510000 2951.690000 -26.330000 ;
+        RECT -32.570000 3546.510000 -31.390000 3547.690000 ;
+        RECT -32.570000 3544.910000 -31.390000 3546.090000 ;
+        RECT 130.930000 3546.510000 132.110000 3547.690000 ;
+        RECT 130.930000 3544.910000 132.110000 3546.090000 ;
+        RECT 310.930000 3546.510000 312.110000 3547.690000 ;
+        RECT 310.930000 3544.910000 312.110000 3546.090000 ;
+        RECT 490.930000 3546.510000 492.110000 3547.690000 ;
+        RECT 490.930000 3544.910000 492.110000 3546.090000 ;
+        RECT 670.930000 3546.510000 672.110000 3547.690000 ;
+        RECT 670.930000 3544.910000 672.110000 3546.090000 ;
+        RECT 850.930000 3546.510000 852.110000 3547.690000 ;
+        RECT 850.930000 3544.910000 852.110000 3546.090000 ;
+        RECT 1030.930000 3546.510000 1032.110000 3547.690000 ;
+        RECT 1030.930000 3544.910000 1032.110000 3546.090000 ;
+        RECT 1210.930000 3546.510000 1212.110000 3547.690000 ;
+        RECT 1210.930000 3544.910000 1212.110000 3546.090000 ;
+        RECT 1390.930000 3546.510000 1392.110000 3547.690000 ;
+        RECT 1390.930000 3544.910000 1392.110000 3546.090000 ;
+        RECT 1570.930000 3546.510000 1572.110000 3547.690000 ;
+        RECT 1570.930000 3544.910000 1572.110000 3546.090000 ;
+        RECT 1750.930000 3546.510000 1752.110000 3547.690000 ;
+        RECT 1750.930000 3544.910000 1752.110000 3546.090000 ;
+        RECT 1930.930000 3546.510000 1932.110000 3547.690000 ;
+        RECT 1930.930000 3544.910000 1932.110000 3546.090000 ;
+        RECT 2110.930000 3546.510000 2112.110000 3547.690000 ;
+        RECT 2110.930000 3544.910000 2112.110000 3546.090000 ;
+        RECT 2290.930000 3546.510000 2292.110000 3547.690000 ;
+        RECT 2290.930000 3544.910000 2292.110000 3546.090000 ;
+        RECT 2470.930000 3546.510000 2472.110000 3547.690000 ;
+        RECT 2470.930000 3544.910000 2472.110000 3546.090000 ;
+        RECT 2650.930000 3546.510000 2652.110000 3547.690000 ;
+        RECT 2650.930000 3544.910000 2652.110000 3546.090000 ;
+        RECT 2830.930000 3546.510000 2832.110000 3547.690000 ;
+        RECT 2830.930000 3544.910000 2832.110000 3546.090000 ;
+        RECT 2951.010000 3546.510000 2952.190000 3547.690000 ;
+        RECT 2951.010000 3544.910000 2952.190000 3546.090000 ;
+        RECT -32.570000 3377.090000 -31.390000 3378.270000 ;
+        RECT -32.570000 3375.490000 -31.390000 3376.670000 ;
+        RECT -32.570000 3197.090000 -31.390000 3198.270000 ;
+        RECT -32.570000 3195.490000 -31.390000 3196.670000 ;
+        RECT -32.570000 3017.090000 -31.390000 3018.270000 ;
+        RECT -32.570000 3015.490000 -31.390000 3016.670000 ;
+        RECT -32.570000 2837.090000 -31.390000 2838.270000 ;
+        RECT -32.570000 2835.490000 -31.390000 2836.670000 ;
+        RECT -32.570000 2657.090000 -31.390000 2658.270000 ;
+        RECT -32.570000 2655.490000 -31.390000 2656.670000 ;
+        RECT -32.570000 2477.090000 -31.390000 2478.270000 ;
+        RECT -32.570000 2475.490000 -31.390000 2476.670000 ;
+        RECT -32.570000 2297.090000 -31.390000 2298.270000 ;
+        RECT -32.570000 2295.490000 -31.390000 2296.670000 ;
+        RECT -32.570000 2117.090000 -31.390000 2118.270000 ;
+        RECT -32.570000 2115.490000 -31.390000 2116.670000 ;
+        RECT -32.570000 1937.090000 -31.390000 1938.270000 ;
+        RECT -32.570000 1935.490000 -31.390000 1936.670000 ;
+        RECT -32.570000 1757.090000 -31.390000 1758.270000 ;
+        RECT -32.570000 1755.490000 -31.390000 1756.670000 ;
+        RECT -32.570000 1577.090000 -31.390000 1578.270000 ;
+        RECT -32.570000 1575.490000 -31.390000 1576.670000 ;
+        RECT -32.570000 1397.090000 -31.390000 1398.270000 ;
+        RECT -32.570000 1395.490000 -31.390000 1396.670000 ;
+        RECT -32.570000 1217.090000 -31.390000 1218.270000 ;
+        RECT -32.570000 1215.490000 -31.390000 1216.670000 ;
+        RECT -32.570000 1037.090000 -31.390000 1038.270000 ;
+        RECT -32.570000 1035.490000 -31.390000 1036.670000 ;
+        RECT -32.570000 857.090000 -31.390000 858.270000 ;
+        RECT -32.570000 855.490000 -31.390000 856.670000 ;
+        RECT -32.570000 677.090000 -31.390000 678.270000 ;
+        RECT -32.570000 675.490000 -31.390000 676.670000 ;
+        RECT -32.570000 497.090000 -31.390000 498.270000 ;
+        RECT -32.570000 495.490000 -31.390000 496.670000 ;
+        RECT -32.570000 317.090000 -31.390000 318.270000 ;
+        RECT -32.570000 315.490000 -31.390000 316.670000 ;
+        RECT -32.570000 137.090000 -31.390000 138.270000 ;
+        RECT -32.570000 135.490000 -31.390000 136.670000 ;
+        RECT 2951.010000 3377.090000 2952.190000 3378.270000 ;
+        RECT 2951.010000 3375.490000 2952.190000 3376.670000 ;
+        RECT 2951.010000 3197.090000 2952.190000 3198.270000 ;
+        RECT 2951.010000 3195.490000 2952.190000 3196.670000 ;
+        RECT 2951.010000 3017.090000 2952.190000 3018.270000 ;
+        RECT 2951.010000 3015.490000 2952.190000 3016.670000 ;
+        RECT 2951.010000 2837.090000 2952.190000 2838.270000 ;
+        RECT 2951.010000 2835.490000 2952.190000 2836.670000 ;
+        RECT 2951.010000 2657.090000 2952.190000 2658.270000 ;
+        RECT 2951.010000 2655.490000 2952.190000 2656.670000 ;
+        RECT 2951.010000 2477.090000 2952.190000 2478.270000 ;
+        RECT 2951.010000 2475.490000 2952.190000 2476.670000 ;
+        RECT 2951.010000 2297.090000 2952.190000 2298.270000 ;
+        RECT 2951.010000 2295.490000 2952.190000 2296.670000 ;
+        RECT 2951.010000 2117.090000 2952.190000 2118.270000 ;
+        RECT 2951.010000 2115.490000 2952.190000 2116.670000 ;
+        RECT 2951.010000 1937.090000 2952.190000 1938.270000 ;
+        RECT 2951.010000 1935.490000 2952.190000 1936.670000 ;
+        RECT 2951.010000 1757.090000 2952.190000 1758.270000 ;
+        RECT 2951.010000 1755.490000 2952.190000 1756.670000 ;
+        RECT 2951.010000 1577.090000 2952.190000 1578.270000 ;
+        RECT 2951.010000 1575.490000 2952.190000 1576.670000 ;
+        RECT 2951.010000 1397.090000 2952.190000 1398.270000 ;
+        RECT 2951.010000 1395.490000 2952.190000 1396.670000 ;
+        RECT 2951.010000 1217.090000 2952.190000 1218.270000 ;
+        RECT 2951.010000 1215.490000 2952.190000 1216.670000 ;
+        RECT 2951.010000 1037.090000 2952.190000 1038.270000 ;
+        RECT 2951.010000 1035.490000 2952.190000 1036.670000 ;
+        RECT 2951.010000 857.090000 2952.190000 858.270000 ;
+        RECT 2951.010000 855.490000 2952.190000 856.670000 ;
+        RECT 2951.010000 677.090000 2952.190000 678.270000 ;
+        RECT 2951.010000 675.490000 2952.190000 676.670000 ;
+        RECT 2951.010000 497.090000 2952.190000 498.270000 ;
+        RECT 2951.010000 495.490000 2952.190000 496.670000 ;
+        RECT 2951.010000 317.090000 2952.190000 318.270000 ;
+        RECT 2951.010000 315.490000 2952.190000 316.670000 ;
+        RECT 2951.010000 137.090000 2952.190000 138.270000 ;
+        RECT 2951.010000 135.490000 2952.190000 136.670000 ;
+        RECT -32.570000 -26.410000 -31.390000 -25.230000 ;
+        RECT -32.570000 -28.010000 -31.390000 -26.830000 ;
+        RECT 130.930000 -26.410000 132.110000 -25.230000 ;
+        RECT 130.930000 -28.010000 132.110000 -26.830000 ;
+        RECT 310.930000 -26.410000 312.110000 -25.230000 ;
+        RECT 310.930000 -28.010000 312.110000 -26.830000 ;
+        RECT 490.930000 -26.410000 492.110000 -25.230000 ;
+        RECT 490.930000 -28.010000 492.110000 -26.830000 ;
+        RECT 670.930000 -26.410000 672.110000 -25.230000 ;
+        RECT 670.930000 -28.010000 672.110000 -26.830000 ;
+        RECT 850.930000 -26.410000 852.110000 -25.230000 ;
+        RECT 850.930000 -28.010000 852.110000 -26.830000 ;
+        RECT 1030.930000 -26.410000 1032.110000 -25.230000 ;
+        RECT 1030.930000 -28.010000 1032.110000 -26.830000 ;
+        RECT 1210.930000 -26.410000 1212.110000 -25.230000 ;
+        RECT 1210.930000 -28.010000 1212.110000 -26.830000 ;
+        RECT 1390.930000 -26.410000 1392.110000 -25.230000 ;
+        RECT 1390.930000 -28.010000 1392.110000 -26.830000 ;
+        RECT 1570.930000 -26.410000 1572.110000 -25.230000 ;
+        RECT 1570.930000 -28.010000 1572.110000 -26.830000 ;
+        RECT 1750.930000 -26.410000 1752.110000 -25.230000 ;
+        RECT 1750.930000 -28.010000 1752.110000 -26.830000 ;
+        RECT 1930.930000 -26.410000 1932.110000 -25.230000 ;
+        RECT 1930.930000 -28.010000 1932.110000 -26.830000 ;
+        RECT 2110.930000 -26.410000 2112.110000 -25.230000 ;
+        RECT 2110.930000 -28.010000 2112.110000 -26.830000 ;
+        RECT 2290.930000 -26.410000 2292.110000 -25.230000 ;
+        RECT 2290.930000 -28.010000 2292.110000 -26.830000 ;
+        RECT 2470.930000 -26.410000 2472.110000 -25.230000 ;
+        RECT 2470.930000 -28.010000 2472.110000 -26.830000 ;
+        RECT 2650.930000 -26.410000 2652.110000 -25.230000 ;
+        RECT 2650.930000 -28.010000 2652.110000 -26.830000 ;
+        RECT 2830.930000 -26.410000 2832.110000 -25.230000 ;
+        RECT 2830.930000 -28.010000 2832.110000 -26.830000 ;
+        RECT 2951.010000 -26.410000 2952.190000 -25.230000 ;
+        RECT 2951.010000 -28.010000 2952.190000 -26.830000 ;
       LAYER met5 ;
-        RECT -32.980000 3547.300000 -29.980000 3547.310000 ;
-        RECT 130.020000 3547.300000 133.020000 3547.310000 ;
-        RECT 310.020000 3547.300000 313.020000 3547.310000 ;
-        RECT 490.020000 3547.300000 493.020000 3547.310000 ;
-        RECT 670.020000 3547.300000 673.020000 3547.310000 ;
-        RECT 850.020000 3547.300000 853.020000 3547.310000 ;
-        RECT 1030.020000 3547.300000 1033.020000 3547.310000 ;
-        RECT 1210.020000 3547.300000 1213.020000 3547.310000 ;
-        RECT 1390.020000 3547.300000 1393.020000 3547.310000 ;
-        RECT 1570.020000 3547.300000 1573.020000 3547.310000 ;
-        RECT 1750.020000 3547.300000 1753.020000 3547.310000 ;
-        RECT 1930.020000 3547.300000 1933.020000 3547.310000 ;
-        RECT 2110.020000 3547.300000 2113.020000 3547.310000 ;
-        RECT 2290.020000 3547.300000 2293.020000 3547.310000 ;
-        RECT 2470.020000 3547.300000 2473.020000 3547.310000 ;
-        RECT 2650.020000 3547.300000 2653.020000 3547.310000 ;
-        RECT 2830.020000 3547.300000 2833.020000 3547.310000 ;
-        RECT 2949.600000 3547.300000 2952.600000 3547.310000 ;
-        RECT -32.980000 3544.300000 2952.600000 3547.300000 ;
-        RECT -32.980000 3544.290000 -29.980000 3544.300000 ;
-        RECT 130.020000 3544.290000 133.020000 3544.300000 ;
-        RECT 310.020000 3544.290000 313.020000 3544.300000 ;
-        RECT 490.020000 3544.290000 493.020000 3544.300000 ;
-        RECT 670.020000 3544.290000 673.020000 3544.300000 ;
-        RECT 850.020000 3544.290000 853.020000 3544.300000 ;
-        RECT 1030.020000 3544.290000 1033.020000 3544.300000 ;
-        RECT 1210.020000 3544.290000 1213.020000 3544.300000 ;
-        RECT 1390.020000 3544.290000 1393.020000 3544.300000 ;
-        RECT 1570.020000 3544.290000 1573.020000 3544.300000 ;
-        RECT 1750.020000 3544.290000 1753.020000 3544.300000 ;
-        RECT 1930.020000 3544.290000 1933.020000 3544.300000 ;
-        RECT 2110.020000 3544.290000 2113.020000 3544.300000 ;
-        RECT 2290.020000 3544.290000 2293.020000 3544.300000 ;
-        RECT 2470.020000 3544.290000 2473.020000 3544.300000 ;
-        RECT 2650.020000 3544.290000 2653.020000 3544.300000 ;
-        RECT 2830.020000 3544.290000 2833.020000 3544.300000 ;
-        RECT 2949.600000 3544.290000 2952.600000 3544.300000 ;
-        RECT -32.980000 3378.380000 -29.980000 3378.390000 ;
-        RECT 2949.600000 3378.380000 2952.600000 3378.390000 ;
-        RECT -32.980000 3375.380000 -0.400000 3378.380000 ;
-        RECT 2920.400000 3375.380000 2952.600000 3378.380000 ;
-        RECT -32.980000 3375.370000 -29.980000 3375.380000 ;
-        RECT 2949.600000 3375.370000 2952.600000 3375.380000 ;
-        RECT -32.980000 3198.380000 -29.980000 3198.390000 ;
-        RECT 2949.600000 3198.380000 2952.600000 3198.390000 ;
-        RECT -32.980000 3195.380000 -0.400000 3198.380000 ;
-        RECT 2920.400000 3195.380000 2952.600000 3198.380000 ;
-        RECT -32.980000 3195.370000 -29.980000 3195.380000 ;
-        RECT 2949.600000 3195.370000 2952.600000 3195.380000 ;
-        RECT -32.980000 3018.380000 -29.980000 3018.390000 ;
-        RECT 2949.600000 3018.380000 2952.600000 3018.390000 ;
-        RECT -32.980000 3015.380000 -0.400000 3018.380000 ;
-        RECT 2920.400000 3015.380000 2952.600000 3018.380000 ;
-        RECT -32.980000 3015.370000 -29.980000 3015.380000 ;
-        RECT 2949.600000 3015.370000 2952.600000 3015.380000 ;
-        RECT -32.980000 2838.380000 -29.980000 2838.390000 ;
-        RECT 2949.600000 2838.380000 2952.600000 2838.390000 ;
-        RECT -32.980000 2835.380000 -0.400000 2838.380000 ;
-        RECT 2920.400000 2835.380000 2952.600000 2838.380000 ;
-        RECT -32.980000 2835.370000 -29.980000 2835.380000 ;
-        RECT 2949.600000 2835.370000 2952.600000 2835.380000 ;
-        RECT -32.980000 2658.380000 -29.980000 2658.390000 ;
-        RECT 2949.600000 2658.380000 2952.600000 2658.390000 ;
-        RECT -32.980000 2655.380000 -0.400000 2658.380000 ;
-        RECT 2920.400000 2655.380000 2952.600000 2658.380000 ;
-        RECT -32.980000 2655.370000 -29.980000 2655.380000 ;
-        RECT 2949.600000 2655.370000 2952.600000 2655.380000 ;
-        RECT -32.980000 2478.380000 -29.980000 2478.390000 ;
-        RECT 2949.600000 2478.380000 2952.600000 2478.390000 ;
-        RECT -32.980000 2475.380000 -0.400000 2478.380000 ;
-        RECT 2920.400000 2475.380000 2952.600000 2478.380000 ;
-        RECT -32.980000 2475.370000 -29.980000 2475.380000 ;
-        RECT 2949.600000 2475.370000 2952.600000 2475.380000 ;
-        RECT -32.980000 2298.380000 -29.980000 2298.390000 ;
-        RECT 2949.600000 2298.380000 2952.600000 2298.390000 ;
-        RECT -32.980000 2295.380000 -0.400000 2298.380000 ;
-        RECT 2920.400000 2295.380000 2952.600000 2298.380000 ;
-        RECT -32.980000 2295.370000 -29.980000 2295.380000 ;
-        RECT 2949.600000 2295.370000 2952.600000 2295.380000 ;
-        RECT -32.980000 2118.380000 -29.980000 2118.390000 ;
-        RECT 2949.600000 2118.380000 2952.600000 2118.390000 ;
-        RECT -32.980000 2115.380000 -0.400000 2118.380000 ;
-        RECT 2920.400000 2115.380000 2952.600000 2118.380000 ;
-        RECT -32.980000 2115.370000 -29.980000 2115.380000 ;
-        RECT 2949.600000 2115.370000 2952.600000 2115.380000 ;
-        RECT -32.980000 1938.380000 -29.980000 1938.390000 ;
-        RECT 2949.600000 1938.380000 2952.600000 1938.390000 ;
-        RECT -32.980000 1935.380000 -0.400000 1938.380000 ;
-        RECT 2920.400000 1935.380000 2952.600000 1938.380000 ;
-        RECT -32.980000 1935.370000 -29.980000 1935.380000 ;
-        RECT 2949.600000 1935.370000 2952.600000 1935.380000 ;
-        RECT -32.980000 1758.380000 -29.980000 1758.390000 ;
-        RECT 2949.600000 1758.380000 2952.600000 1758.390000 ;
-        RECT -32.980000 1755.380000 -0.400000 1758.380000 ;
-        RECT 2920.400000 1755.380000 2952.600000 1758.380000 ;
-        RECT -32.980000 1755.370000 -29.980000 1755.380000 ;
-        RECT 2949.600000 1755.370000 2952.600000 1755.380000 ;
-        RECT -32.980000 1578.380000 -29.980000 1578.390000 ;
-        RECT 2949.600000 1578.380000 2952.600000 1578.390000 ;
-        RECT -32.980000 1575.380000 -0.400000 1578.380000 ;
-        RECT 2920.400000 1575.380000 2952.600000 1578.380000 ;
-        RECT -32.980000 1575.370000 -29.980000 1575.380000 ;
-        RECT 2949.600000 1575.370000 2952.600000 1575.380000 ;
-        RECT -32.980000 1398.380000 -29.980000 1398.390000 ;
-        RECT 2949.600000 1398.380000 2952.600000 1398.390000 ;
-        RECT -32.980000 1395.380000 -0.400000 1398.380000 ;
-        RECT 2920.400000 1395.380000 2952.600000 1398.380000 ;
-        RECT -32.980000 1395.370000 -29.980000 1395.380000 ;
-        RECT 2949.600000 1395.370000 2952.600000 1395.380000 ;
-        RECT -32.980000 1218.380000 -29.980000 1218.390000 ;
-        RECT 2949.600000 1218.380000 2952.600000 1218.390000 ;
-        RECT -32.980000 1215.380000 -0.400000 1218.380000 ;
-        RECT 2920.400000 1215.380000 2952.600000 1218.380000 ;
-        RECT -32.980000 1215.370000 -29.980000 1215.380000 ;
-        RECT 2949.600000 1215.370000 2952.600000 1215.380000 ;
-        RECT -32.980000 1038.380000 -29.980000 1038.390000 ;
-        RECT 2949.600000 1038.380000 2952.600000 1038.390000 ;
-        RECT -32.980000 1035.380000 -0.400000 1038.380000 ;
-        RECT 2920.400000 1035.380000 2952.600000 1038.380000 ;
-        RECT -32.980000 1035.370000 -29.980000 1035.380000 ;
-        RECT 2949.600000 1035.370000 2952.600000 1035.380000 ;
-        RECT -32.980000 858.380000 -29.980000 858.390000 ;
-        RECT 2949.600000 858.380000 2952.600000 858.390000 ;
-        RECT -32.980000 855.380000 -0.400000 858.380000 ;
-        RECT 2920.400000 855.380000 2952.600000 858.380000 ;
-        RECT -32.980000 855.370000 -29.980000 855.380000 ;
-        RECT 2949.600000 855.370000 2952.600000 855.380000 ;
-        RECT -32.980000 678.380000 -29.980000 678.390000 ;
-        RECT 2949.600000 678.380000 2952.600000 678.390000 ;
-        RECT -32.980000 675.380000 -0.400000 678.380000 ;
-        RECT 2920.400000 675.380000 2952.600000 678.380000 ;
-        RECT -32.980000 675.370000 -29.980000 675.380000 ;
-        RECT 2949.600000 675.370000 2952.600000 675.380000 ;
-        RECT -32.980000 498.380000 -29.980000 498.390000 ;
-        RECT 2949.600000 498.380000 2952.600000 498.390000 ;
-        RECT -32.980000 495.380000 -0.400000 498.380000 ;
-        RECT 2920.400000 495.380000 2952.600000 498.380000 ;
-        RECT -32.980000 495.370000 -29.980000 495.380000 ;
-        RECT 2949.600000 495.370000 2952.600000 495.380000 ;
-        RECT -32.980000 318.380000 -29.980000 318.390000 ;
-        RECT 2949.600000 318.380000 2952.600000 318.390000 ;
-        RECT -32.980000 315.380000 -0.400000 318.380000 ;
-        RECT 2920.400000 315.380000 2952.600000 318.380000 ;
-        RECT -32.980000 315.370000 -29.980000 315.380000 ;
-        RECT 2949.600000 315.370000 2952.600000 315.380000 ;
-        RECT -32.980000 138.380000 -29.980000 138.390000 ;
-        RECT 2949.600000 138.380000 2952.600000 138.390000 ;
-        RECT -32.980000 135.380000 -0.400000 138.380000 ;
-        RECT 2920.400000 135.380000 2952.600000 138.380000 ;
-        RECT -32.980000 135.370000 -29.980000 135.380000 ;
-        RECT 2949.600000 135.370000 2952.600000 135.380000 ;
-        RECT -32.980000 -24.620000 -29.980000 -24.610000 ;
-        RECT 130.020000 -24.620000 133.020000 -24.610000 ;
-        RECT 310.020000 -24.620000 313.020000 -24.610000 ;
-        RECT 490.020000 -24.620000 493.020000 -24.610000 ;
-        RECT 670.020000 -24.620000 673.020000 -24.610000 ;
-        RECT 850.020000 -24.620000 853.020000 -24.610000 ;
-        RECT 1030.020000 -24.620000 1033.020000 -24.610000 ;
-        RECT 1210.020000 -24.620000 1213.020000 -24.610000 ;
-        RECT 1390.020000 -24.620000 1393.020000 -24.610000 ;
-        RECT 1570.020000 -24.620000 1573.020000 -24.610000 ;
-        RECT 1750.020000 -24.620000 1753.020000 -24.610000 ;
-        RECT 1930.020000 -24.620000 1933.020000 -24.610000 ;
-        RECT 2110.020000 -24.620000 2113.020000 -24.610000 ;
-        RECT 2290.020000 -24.620000 2293.020000 -24.610000 ;
-        RECT 2470.020000 -24.620000 2473.020000 -24.610000 ;
-        RECT 2650.020000 -24.620000 2653.020000 -24.610000 ;
-        RECT 2830.020000 -24.620000 2833.020000 -24.610000 ;
-        RECT 2949.600000 -24.620000 2952.600000 -24.610000 ;
-        RECT -32.980000 -27.620000 2952.600000 -24.620000 ;
-        RECT -32.980000 -27.630000 -29.980000 -27.620000 ;
-        RECT 130.020000 -27.630000 133.020000 -27.620000 ;
-        RECT 310.020000 -27.630000 313.020000 -27.620000 ;
-        RECT 490.020000 -27.630000 493.020000 -27.620000 ;
-        RECT 670.020000 -27.630000 673.020000 -27.620000 ;
-        RECT 850.020000 -27.630000 853.020000 -27.620000 ;
-        RECT 1030.020000 -27.630000 1033.020000 -27.620000 ;
-        RECT 1210.020000 -27.630000 1213.020000 -27.620000 ;
-        RECT 1390.020000 -27.630000 1393.020000 -27.620000 ;
-        RECT 1570.020000 -27.630000 1573.020000 -27.620000 ;
-        RECT 1750.020000 -27.630000 1753.020000 -27.620000 ;
-        RECT 1930.020000 -27.630000 1933.020000 -27.620000 ;
-        RECT 2110.020000 -27.630000 2113.020000 -27.620000 ;
-        RECT 2290.020000 -27.630000 2293.020000 -27.620000 ;
-        RECT 2470.020000 -27.630000 2473.020000 -27.620000 ;
-        RECT 2650.020000 -27.630000 2653.020000 -27.620000 ;
-        RECT 2830.020000 -27.630000 2833.020000 -27.620000 ;
-        RECT 2949.600000 -27.630000 2952.600000 -27.620000 ;
+        RECT -33.480000 3547.800000 -30.480000 3547.810000 ;
+        RECT 130.020000 3547.800000 133.020000 3547.810000 ;
+        RECT 310.020000 3547.800000 313.020000 3547.810000 ;
+        RECT 490.020000 3547.800000 493.020000 3547.810000 ;
+        RECT 670.020000 3547.800000 673.020000 3547.810000 ;
+        RECT 850.020000 3547.800000 853.020000 3547.810000 ;
+        RECT 1030.020000 3547.800000 1033.020000 3547.810000 ;
+        RECT 1210.020000 3547.800000 1213.020000 3547.810000 ;
+        RECT 1390.020000 3547.800000 1393.020000 3547.810000 ;
+        RECT 1570.020000 3547.800000 1573.020000 3547.810000 ;
+        RECT 1750.020000 3547.800000 1753.020000 3547.810000 ;
+        RECT 1930.020000 3547.800000 1933.020000 3547.810000 ;
+        RECT 2110.020000 3547.800000 2113.020000 3547.810000 ;
+        RECT 2290.020000 3547.800000 2293.020000 3547.810000 ;
+        RECT 2470.020000 3547.800000 2473.020000 3547.810000 ;
+        RECT 2650.020000 3547.800000 2653.020000 3547.810000 ;
+        RECT 2830.020000 3547.800000 2833.020000 3547.810000 ;
+        RECT 2950.100000 3547.800000 2953.100000 3547.810000 ;
+        RECT -33.480000 3544.800000 2953.100000 3547.800000 ;
+        RECT -33.480000 3544.790000 -30.480000 3544.800000 ;
+        RECT 130.020000 3544.790000 133.020000 3544.800000 ;
+        RECT 310.020000 3544.790000 313.020000 3544.800000 ;
+        RECT 490.020000 3544.790000 493.020000 3544.800000 ;
+        RECT 670.020000 3544.790000 673.020000 3544.800000 ;
+        RECT 850.020000 3544.790000 853.020000 3544.800000 ;
+        RECT 1030.020000 3544.790000 1033.020000 3544.800000 ;
+        RECT 1210.020000 3544.790000 1213.020000 3544.800000 ;
+        RECT 1390.020000 3544.790000 1393.020000 3544.800000 ;
+        RECT 1570.020000 3544.790000 1573.020000 3544.800000 ;
+        RECT 1750.020000 3544.790000 1753.020000 3544.800000 ;
+        RECT 1930.020000 3544.790000 1933.020000 3544.800000 ;
+        RECT 2110.020000 3544.790000 2113.020000 3544.800000 ;
+        RECT 2290.020000 3544.790000 2293.020000 3544.800000 ;
+        RECT 2470.020000 3544.790000 2473.020000 3544.800000 ;
+        RECT 2650.020000 3544.790000 2653.020000 3544.800000 ;
+        RECT 2830.020000 3544.790000 2833.020000 3544.800000 ;
+        RECT 2950.100000 3544.790000 2953.100000 3544.800000 ;
+        RECT -33.480000 3378.380000 -30.480000 3378.390000 ;
+        RECT 2950.100000 3378.380000 2953.100000 3378.390000 ;
+        RECT -33.480000 3375.380000 -0.400000 3378.380000 ;
+        RECT 2920.400000 3375.380000 2953.100000 3378.380000 ;
+        RECT -33.480000 3375.370000 -30.480000 3375.380000 ;
+        RECT 2950.100000 3375.370000 2953.100000 3375.380000 ;
+        RECT -33.480000 3198.380000 -30.480000 3198.390000 ;
+        RECT 2950.100000 3198.380000 2953.100000 3198.390000 ;
+        RECT -33.480000 3195.380000 -0.400000 3198.380000 ;
+        RECT 2920.400000 3195.380000 2953.100000 3198.380000 ;
+        RECT -33.480000 3195.370000 -30.480000 3195.380000 ;
+        RECT 2950.100000 3195.370000 2953.100000 3195.380000 ;
+        RECT -33.480000 3018.380000 -30.480000 3018.390000 ;
+        RECT 2950.100000 3018.380000 2953.100000 3018.390000 ;
+        RECT -33.480000 3015.380000 -0.400000 3018.380000 ;
+        RECT 2920.400000 3015.380000 2953.100000 3018.380000 ;
+        RECT -33.480000 3015.370000 -30.480000 3015.380000 ;
+        RECT 2950.100000 3015.370000 2953.100000 3015.380000 ;
+        RECT -33.480000 2838.380000 -30.480000 2838.390000 ;
+        RECT 2950.100000 2838.380000 2953.100000 2838.390000 ;
+        RECT -33.480000 2835.380000 -0.400000 2838.380000 ;
+        RECT 2920.400000 2835.380000 2953.100000 2838.380000 ;
+        RECT -33.480000 2835.370000 -30.480000 2835.380000 ;
+        RECT 2950.100000 2835.370000 2953.100000 2835.380000 ;
+        RECT -33.480000 2658.380000 -30.480000 2658.390000 ;
+        RECT 2950.100000 2658.380000 2953.100000 2658.390000 ;
+        RECT -33.480000 2655.380000 -0.400000 2658.380000 ;
+        RECT 2920.400000 2655.380000 2953.100000 2658.380000 ;
+        RECT -33.480000 2655.370000 -30.480000 2655.380000 ;
+        RECT 2950.100000 2655.370000 2953.100000 2655.380000 ;
+        RECT -33.480000 2478.380000 -30.480000 2478.390000 ;
+        RECT 2950.100000 2478.380000 2953.100000 2478.390000 ;
+        RECT -33.480000 2475.380000 -0.400000 2478.380000 ;
+        RECT 2920.400000 2475.380000 2953.100000 2478.380000 ;
+        RECT -33.480000 2475.370000 -30.480000 2475.380000 ;
+        RECT 2950.100000 2475.370000 2953.100000 2475.380000 ;
+        RECT -33.480000 2298.380000 -30.480000 2298.390000 ;
+        RECT 2950.100000 2298.380000 2953.100000 2298.390000 ;
+        RECT -33.480000 2295.380000 -0.400000 2298.380000 ;
+        RECT 2920.400000 2295.380000 2953.100000 2298.380000 ;
+        RECT -33.480000 2295.370000 -30.480000 2295.380000 ;
+        RECT 2950.100000 2295.370000 2953.100000 2295.380000 ;
+        RECT -33.480000 2118.380000 -30.480000 2118.390000 ;
+        RECT 2950.100000 2118.380000 2953.100000 2118.390000 ;
+        RECT -33.480000 2115.380000 -0.400000 2118.380000 ;
+        RECT 2920.400000 2115.380000 2953.100000 2118.380000 ;
+        RECT -33.480000 2115.370000 -30.480000 2115.380000 ;
+        RECT 2950.100000 2115.370000 2953.100000 2115.380000 ;
+        RECT -33.480000 1938.380000 -30.480000 1938.390000 ;
+        RECT 2950.100000 1938.380000 2953.100000 1938.390000 ;
+        RECT -33.480000 1935.380000 -0.400000 1938.380000 ;
+        RECT 2920.400000 1935.380000 2953.100000 1938.380000 ;
+        RECT -33.480000 1935.370000 -30.480000 1935.380000 ;
+        RECT 2950.100000 1935.370000 2953.100000 1935.380000 ;
+        RECT -33.480000 1758.380000 -30.480000 1758.390000 ;
+        RECT 2950.100000 1758.380000 2953.100000 1758.390000 ;
+        RECT -33.480000 1755.380000 -0.400000 1758.380000 ;
+        RECT 2920.400000 1755.380000 2953.100000 1758.380000 ;
+        RECT -33.480000 1755.370000 -30.480000 1755.380000 ;
+        RECT 2950.100000 1755.370000 2953.100000 1755.380000 ;
+        RECT -33.480000 1578.380000 -30.480000 1578.390000 ;
+        RECT 2950.100000 1578.380000 2953.100000 1578.390000 ;
+        RECT -33.480000 1575.380000 -0.400000 1578.380000 ;
+        RECT 2920.400000 1575.380000 2953.100000 1578.380000 ;
+        RECT -33.480000 1575.370000 -30.480000 1575.380000 ;
+        RECT 2950.100000 1575.370000 2953.100000 1575.380000 ;
+        RECT -33.480000 1398.380000 -30.480000 1398.390000 ;
+        RECT 2950.100000 1398.380000 2953.100000 1398.390000 ;
+        RECT -33.480000 1395.380000 -0.400000 1398.380000 ;
+        RECT 2920.400000 1395.380000 2953.100000 1398.380000 ;
+        RECT -33.480000 1395.370000 -30.480000 1395.380000 ;
+        RECT 2950.100000 1395.370000 2953.100000 1395.380000 ;
+        RECT -33.480000 1218.380000 -30.480000 1218.390000 ;
+        RECT 2950.100000 1218.380000 2953.100000 1218.390000 ;
+        RECT -33.480000 1215.380000 -0.400000 1218.380000 ;
+        RECT 2920.400000 1215.380000 2953.100000 1218.380000 ;
+        RECT -33.480000 1215.370000 -30.480000 1215.380000 ;
+        RECT 2950.100000 1215.370000 2953.100000 1215.380000 ;
+        RECT -33.480000 1038.380000 -30.480000 1038.390000 ;
+        RECT 2950.100000 1038.380000 2953.100000 1038.390000 ;
+        RECT -33.480000 1035.380000 -0.400000 1038.380000 ;
+        RECT 2920.400000 1035.380000 2953.100000 1038.380000 ;
+        RECT -33.480000 1035.370000 -30.480000 1035.380000 ;
+        RECT 2950.100000 1035.370000 2953.100000 1035.380000 ;
+        RECT -33.480000 858.380000 -30.480000 858.390000 ;
+        RECT 2950.100000 858.380000 2953.100000 858.390000 ;
+        RECT -33.480000 855.380000 -0.400000 858.380000 ;
+        RECT 2920.400000 855.380000 2953.100000 858.380000 ;
+        RECT -33.480000 855.370000 -30.480000 855.380000 ;
+        RECT 2950.100000 855.370000 2953.100000 855.380000 ;
+        RECT -33.480000 678.380000 -30.480000 678.390000 ;
+        RECT 2950.100000 678.380000 2953.100000 678.390000 ;
+        RECT -33.480000 675.380000 -0.400000 678.380000 ;
+        RECT 2920.400000 675.380000 2953.100000 678.380000 ;
+        RECT -33.480000 675.370000 -30.480000 675.380000 ;
+        RECT 2950.100000 675.370000 2953.100000 675.380000 ;
+        RECT -33.480000 498.380000 -30.480000 498.390000 ;
+        RECT 2950.100000 498.380000 2953.100000 498.390000 ;
+        RECT -33.480000 495.380000 -0.400000 498.380000 ;
+        RECT 2920.400000 495.380000 2953.100000 498.380000 ;
+        RECT -33.480000 495.370000 -30.480000 495.380000 ;
+        RECT 2950.100000 495.370000 2953.100000 495.380000 ;
+        RECT -33.480000 318.380000 -30.480000 318.390000 ;
+        RECT 2950.100000 318.380000 2953.100000 318.390000 ;
+        RECT -33.480000 315.380000 -0.400000 318.380000 ;
+        RECT 2920.400000 315.380000 2953.100000 318.380000 ;
+        RECT -33.480000 315.370000 -30.480000 315.380000 ;
+        RECT 2950.100000 315.370000 2953.100000 315.380000 ;
+        RECT -33.480000 138.380000 -30.480000 138.390000 ;
+        RECT 2950.100000 138.380000 2953.100000 138.390000 ;
+        RECT -33.480000 135.380000 -0.400000 138.380000 ;
+        RECT 2920.400000 135.380000 2953.100000 138.380000 ;
+        RECT -33.480000 135.370000 -30.480000 135.380000 ;
+        RECT 2950.100000 135.370000 2953.100000 135.380000 ;
+        RECT -33.480000 -25.120000 -30.480000 -25.110000 ;
+        RECT 130.020000 -25.120000 133.020000 -25.110000 ;
+        RECT 310.020000 -25.120000 313.020000 -25.110000 ;
+        RECT 490.020000 -25.120000 493.020000 -25.110000 ;
+        RECT 670.020000 -25.120000 673.020000 -25.110000 ;
+        RECT 850.020000 -25.120000 853.020000 -25.110000 ;
+        RECT 1030.020000 -25.120000 1033.020000 -25.110000 ;
+        RECT 1210.020000 -25.120000 1213.020000 -25.110000 ;
+        RECT 1390.020000 -25.120000 1393.020000 -25.110000 ;
+        RECT 1570.020000 -25.120000 1573.020000 -25.110000 ;
+        RECT 1750.020000 -25.120000 1753.020000 -25.110000 ;
+        RECT 1930.020000 -25.120000 1933.020000 -25.110000 ;
+        RECT 2110.020000 -25.120000 2113.020000 -25.110000 ;
+        RECT 2290.020000 -25.120000 2293.020000 -25.110000 ;
+        RECT 2470.020000 -25.120000 2473.020000 -25.110000 ;
+        RECT 2650.020000 -25.120000 2653.020000 -25.110000 ;
+        RECT 2830.020000 -25.120000 2833.020000 -25.110000 ;
+        RECT 2950.100000 -25.120000 2953.100000 -25.110000 ;
+        RECT -33.480000 -28.120000 2953.100000 -25.120000 ;
+        RECT -33.480000 -28.130000 -30.480000 -28.120000 ;
+        RECT 130.020000 -28.130000 133.020000 -28.120000 ;
+        RECT 310.020000 -28.130000 313.020000 -28.120000 ;
+        RECT 490.020000 -28.130000 493.020000 -28.120000 ;
+        RECT 670.020000 -28.130000 673.020000 -28.120000 ;
+        RECT 850.020000 -28.130000 853.020000 -28.120000 ;
+        RECT 1030.020000 -28.130000 1033.020000 -28.120000 ;
+        RECT 1210.020000 -28.130000 1213.020000 -28.120000 ;
+        RECT 1390.020000 -28.130000 1393.020000 -28.120000 ;
+        RECT 1570.020000 -28.130000 1573.020000 -28.120000 ;
+        RECT 1750.020000 -28.130000 1753.020000 -28.120000 ;
+        RECT 1930.020000 -28.130000 1933.020000 -28.120000 ;
+        RECT 2110.020000 -28.130000 2113.020000 -28.120000 ;
+        RECT 2290.020000 -28.130000 2293.020000 -28.120000 ;
+        RECT 2470.020000 -28.130000 2473.020000 -28.120000 ;
+        RECT 2650.020000 -28.130000 2653.020000 -28.120000 ;
+        RECT 2830.020000 -28.130000 2833.020000 -28.120000 ;
+        RECT 2950.100000 -28.130000 2953.100000 -28.120000 ;
     END
   END vssa1
   PIN vdda2
     DIRECTION INPUT ;
     PORT
       LAYER met4 ;
-        RECT -37.580000 -32.220000 -34.580000 3551.900000 ;
-        RECT 58.020000 3520.400000 61.020000 3556.500000 ;
-        RECT 238.020000 3520.400000 241.020000 3556.500000 ;
-        RECT 418.020000 3520.400000 421.020000 3556.500000 ;
-        RECT 598.020000 3520.400000 601.020000 3556.500000 ;
-        RECT 778.020000 3520.400000 781.020000 3556.500000 ;
-        RECT 958.020000 3520.400000 961.020000 3556.500000 ;
-        RECT 1138.020000 3520.400000 1141.020000 3556.500000 ;
-        RECT 1318.020000 3520.400000 1321.020000 3556.500000 ;
-        RECT 1498.020000 3520.400000 1501.020000 3556.500000 ;
-        RECT 1678.020000 3520.400000 1681.020000 3556.500000 ;
-        RECT 1858.020000 3520.400000 1861.020000 3556.500000 ;
-        RECT 2038.020000 3520.400000 2041.020000 3556.500000 ;
-        RECT 2218.020000 3520.400000 2221.020000 3556.500000 ;
-        RECT 2398.020000 3520.400000 2401.020000 3556.500000 ;
-        RECT 2578.020000 3520.400000 2581.020000 3556.500000 ;
-        RECT 2758.020000 3520.400000 2761.020000 3556.500000 ;
-        RECT 58.020000 -36.820000 61.020000 -0.400000 ;
-        RECT 238.020000 -36.820000 241.020000 -0.400000 ;
-        RECT 418.020000 -36.820000 421.020000 -0.400000 ;
-        RECT 598.020000 -36.820000 601.020000 -0.400000 ;
-        RECT 778.020000 -36.820000 781.020000 -0.400000 ;
-        RECT 958.020000 -36.820000 961.020000 -0.400000 ;
-        RECT 1138.020000 -36.820000 1141.020000 -0.400000 ;
-        RECT 1318.020000 -36.820000 1321.020000 -0.400000 ;
-        RECT 1498.020000 -36.820000 1501.020000 -0.400000 ;
-        RECT 1678.020000 -36.820000 1681.020000 -0.400000 ;
-        RECT 1858.020000 -36.820000 1861.020000 -0.400000 ;
-        RECT 2038.020000 -36.820000 2041.020000 -0.400000 ;
-        RECT 2218.020000 -36.820000 2221.020000 -0.400000 ;
-        RECT 2398.020000 -36.820000 2401.020000 -0.400000 ;
-        RECT 2578.020000 -36.820000 2581.020000 -0.400000 ;
-        RECT 2758.020000 -36.820000 2761.020000 -0.400000 ;
-        RECT 2954.200000 -32.220000 2957.200000 3551.900000 ;
+        RECT -38.180000 -32.820000 -35.180000 3552.500000 ;
+        RECT 58.020000 3520.400000 61.020000 3557.200000 ;
+        RECT 238.020000 3520.400000 241.020000 3557.200000 ;
+        RECT 418.020000 3520.400000 421.020000 3557.200000 ;
+        RECT 598.020000 3520.400000 601.020000 3557.200000 ;
+        RECT 778.020000 3520.400000 781.020000 3557.200000 ;
+        RECT 958.020000 3520.400000 961.020000 3557.200000 ;
+        RECT 1138.020000 3520.400000 1141.020000 3557.200000 ;
+        RECT 1318.020000 3520.400000 1321.020000 3557.200000 ;
+        RECT 1498.020000 3520.400000 1501.020000 3557.200000 ;
+        RECT 1678.020000 3520.400000 1681.020000 3557.200000 ;
+        RECT 1858.020000 3520.400000 1861.020000 3557.200000 ;
+        RECT 2038.020000 3520.400000 2041.020000 3557.200000 ;
+        RECT 2218.020000 3520.400000 2221.020000 3557.200000 ;
+        RECT 2398.020000 3520.400000 2401.020000 3557.200000 ;
+        RECT 2578.020000 3520.400000 2581.020000 3557.200000 ;
+        RECT 2758.020000 3520.400000 2761.020000 3557.200000 ;
+        RECT 58.020000 -37.520000 61.020000 -0.400000 ;
+        RECT 238.020000 -37.520000 241.020000 -0.400000 ;
+        RECT 418.020000 -37.520000 421.020000 -0.400000 ;
+        RECT 598.020000 -37.520000 601.020000 -0.400000 ;
+        RECT 778.020000 -37.520000 781.020000 -0.400000 ;
+        RECT 958.020000 -37.520000 961.020000 -0.400000 ;
+        RECT 1138.020000 -37.520000 1141.020000 -0.400000 ;
+        RECT 1318.020000 -37.520000 1321.020000 -0.400000 ;
+        RECT 1498.020000 -37.520000 1501.020000 -0.400000 ;
+        RECT 1678.020000 -37.520000 1681.020000 -0.400000 ;
+        RECT 1858.020000 -37.520000 1861.020000 -0.400000 ;
+        RECT 2038.020000 -37.520000 2041.020000 -0.400000 ;
+        RECT 2218.020000 -37.520000 2221.020000 -0.400000 ;
+        RECT 2398.020000 -37.520000 2401.020000 -0.400000 ;
+        RECT 2578.020000 -37.520000 2581.020000 -0.400000 ;
+        RECT 2758.020000 -37.520000 2761.020000 -0.400000 ;
+        RECT 2954.800000 -32.820000 2957.800000 3552.500000 ;
       LAYER M4M5_PR_C ;
-        RECT -36.670000 3550.610000 -35.490000 3551.790000 ;
-        RECT -36.670000 3549.010000 -35.490000 3550.190000 ;
-        RECT 58.930000 3550.610000 60.110000 3551.790000 ;
-        RECT 58.930000 3549.010000 60.110000 3550.190000 ;
-        RECT 238.930000 3550.610000 240.110000 3551.790000 ;
-        RECT 238.930000 3549.010000 240.110000 3550.190000 ;
-        RECT 418.930000 3550.610000 420.110000 3551.790000 ;
-        RECT 418.930000 3549.010000 420.110000 3550.190000 ;
-        RECT 598.930000 3550.610000 600.110000 3551.790000 ;
-        RECT 598.930000 3549.010000 600.110000 3550.190000 ;
-        RECT 778.930000 3550.610000 780.110000 3551.790000 ;
-        RECT 778.930000 3549.010000 780.110000 3550.190000 ;
-        RECT 958.930000 3550.610000 960.110000 3551.790000 ;
-        RECT 958.930000 3549.010000 960.110000 3550.190000 ;
-        RECT 1138.930000 3550.610000 1140.110000 3551.790000 ;
-        RECT 1138.930000 3549.010000 1140.110000 3550.190000 ;
-        RECT 1318.930000 3550.610000 1320.110000 3551.790000 ;
-        RECT 1318.930000 3549.010000 1320.110000 3550.190000 ;
-        RECT 1498.930000 3550.610000 1500.110000 3551.790000 ;
-        RECT 1498.930000 3549.010000 1500.110000 3550.190000 ;
-        RECT 1678.930000 3550.610000 1680.110000 3551.790000 ;
-        RECT 1678.930000 3549.010000 1680.110000 3550.190000 ;
-        RECT 1858.930000 3550.610000 1860.110000 3551.790000 ;
-        RECT 1858.930000 3549.010000 1860.110000 3550.190000 ;
-        RECT 2038.930000 3550.610000 2040.110000 3551.790000 ;
-        RECT 2038.930000 3549.010000 2040.110000 3550.190000 ;
-        RECT 2218.930000 3550.610000 2220.110000 3551.790000 ;
-        RECT 2218.930000 3549.010000 2220.110000 3550.190000 ;
-        RECT 2398.930000 3550.610000 2400.110000 3551.790000 ;
-        RECT 2398.930000 3549.010000 2400.110000 3550.190000 ;
-        RECT 2578.930000 3550.610000 2580.110000 3551.790000 ;
-        RECT 2578.930000 3549.010000 2580.110000 3550.190000 ;
-        RECT 2758.930000 3550.610000 2760.110000 3551.790000 ;
-        RECT 2758.930000 3549.010000 2760.110000 3550.190000 ;
-        RECT 2955.110000 3550.610000 2956.290000 3551.790000 ;
-        RECT 2955.110000 3549.010000 2956.290000 3550.190000 ;
-        RECT -36.670000 3485.090000 -35.490000 3486.270000 ;
-        RECT -36.670000 3483.490000 -35.490000 3484.670000 ;
-        RECT -36.670000 3305.090000 -35.490000 3306.270000 ;
-        RECT -36.670000 3303.490000 -35.490000 3304.670000 ;
-        RECT -36.670000 3125.090000 -35.490000 3126.270000 ;
-        RECT -36.670000 3123.490000 -35.490000 3124.670000 ;
-        RECT -36.670000 2945.090000 -35.490000 2946.270000 ;
-        RECT -36.670000 2943.490000 -35.490000 2944.670000 ;
-        RECT -36.670000 2765.090000 -35.490000 2766.270000 ;
-        RECT -36.670000 2763.490000 -35.490000 2764.670000 ;
-        RECT -36.670000 2585.090000 -35.490000 2586.270000 ;
-        RECT -36.670000 2583.490000 -35.490000 2584.670000 ;
-        RECT -36.670000 2405.090000 -35.490000 2406.270000 ;
-        RECT -36.670000 2403.490000 -35.490000 2404.670000 ;
-        RECT -36.670000 2225.090000 -35.490000 2226.270000 ;
-        RECT -36.670000 2223.490000 -35.490000 2224.670000 ;
-        RECT -36.670000 2045.090000 -35.490000 2046.270000 ;
-        RECT -36.670000 2043.490000 -35.490000 2044.670000 ;
-        RECT -36.670000 1865.090000 -35.490000 1866.270000 ;
-        RECT -36.670000 1863.490000 -35.490000 1864.670000 ;
-        RECT -36.670000 1685.090000 -35.490000 1686.270000 ;
-        RECT -36.670000 1683.490000 -35.490000 1684.670000 ;
-        RECT -36.670000 1505.090000 -35.490000 1506.270000 ;
-        RECT -36.670000 1503.490000 -35.490000 1504.670000 ;
-        RECT -36.670000 1325.090000 -35.490000 1326.270000 ;
-        RECT -36.670000 1323.490000 -35.490000 1324.670000 ;
-        RECT -36.670000 1145.090000 -35.490000 1146.270000 ;
-        RECT -36.670000 1143.490000 -35.490000 1144.670000 ;
-        RECT -36.670000 965.090000 -35.490000 966.270000 ;
-        RECT -36.670000 963.490000 -35.490000 964.670000 ;
-        RECT -36.670000 785.090000 -35.490000 786.270000 ;
-        RECT -36.670000 783.490000 -35.490000 784.670000 ;
-        RECT -36.670000 605.090000 -35.490000 606.270000 ;
-        RECT -36.670000 603.490000 -35.490000 604.670000 ;
-        RECT -36.670000 425.090000 -35.490000 426.270000 ;
-        RECT -36.670000 423.490000 -35.490000 424.670000 ;
-        RECT -36.670000 245.090000 -35.490000 246.270000 ;
-        RECT -36.670000 243.490000 -35.490000 244.670000 ;
-        RECT -36.670000 65.090000 -35.490000 66.270000 ;
-        RECT -36.670000 63.490000 -35.490000 64.670000 ;
-        RECT 2955.110000 3485.090000 2956.290000 3486.270000 ;
-        RECT 2955.110000 3483.490000 2956.290000 3484.670000 ;
-        RECT 2955.110000 3305.090000 2956.290000 3306.270000 ;
-        RECT 2955.110000 3303.490000 2956.290000 3304.670000 ;
-        RECT 2955.110000 3125.090000 2956.290000 3126.270000 ;
-        RECT 2955.110000 3123.490000 2956.290000 3124.670000 ;
-        RECT 2955.110000 2945.090000 2956.290000 2946.270000 ;
-        RECT 2955.110000 2943.490000 2956.290000 2944.670000 ;
-        RECT 2955.110000 2765.090000 2956.290000 2766.270000 ;
-        RECT 2955.110000 2763.490000 2956.290000 2764.670000 ;
-        RECT 2955.110000 2585.090000 2956.290000 2586.270000 ;
-        RECT 2955.110000 2583.490000 2956.290000 2584.670000 ;
-        RECT 2955.110000 2405.090000 2956.290000 2406.270000 ;
-        RECT 2955.110000 2403.490000 2956.290000 2404.670000 ;
-        RECT 2955.110000 2225.090000 2956.290000 2226.270000 ;
-        RECT 2955.110000 2223.490000 2956.290000 2224.670000 ;
-        RECT 2955.110000 2045.090000 2956.290000 2046.270000 ;
-        RECT 2955.110000 2043.490000 2956.290000 2044.670000 ;
-        RECT 2955.110000 1865.090000 2956.290000 1866.270000 ;
-        RECT 2955.110000 1863.490000 2956.290000 1864.670000 ;
-        RECT 2955.110000 1685.090000 2956.290000 1686.270000 ;
-        RECT 2955.110000 1683.490000 2956.290000 1684.670000 ;
-        RECT 2955.110000 1505.090000 2956.290000 1506.270000 ;
-        RECT 2955.110000 1503.490000 2956.290000 1504.670000 ;
-        RECT 2955.110000 1325.090000 2956.290000 1326.270000 ;
-        RECT 2955.110000 1323.490000 2956.290000 1324.670000 ;
-        RECT 2955.110000 1145.090000 2956.290000 1146.270000 ;
-        RECT 2955.110000 1143.490000 2956.290000 1144.670000 ;
-        RECT 2955.110000 965.090000 2956.290000 966.270000 ;
-        RECT 2955.110000 963.490000 2956.290000 964.670000 ;
-        RECT 2955.110000 785.090000 2956.290000 786.270000 ;
-        RECT 2955.110000 783.490000 2956.290000 784.670000 ;
-        RECT 2955.110000 605.090000 2956.290000 606.270000 ;
-        RECT 2955.110000 603.490000 2956.290000 604.670000 ;
-        RECT 2955.110000 425.090000 2956.290000 426.270000 ;
-        RECT 2955.110000 423.490000 2956.290000 424.670000 ;
-        RECT 2955.110000 245.090000 2956.290000 246.270000 ;
-        RECT 2955.110000 243.490000 2956.290000 244.670000 ;
-        RECT 2955.110000 65.090000 2956.290000 66.270000 ;
-        RECT 2955.110000 63.490000 2956.290000 64.670000 ;
-        RECT -36.670000 -30.510000 -35.490000 -29.330000 ;
-        RECT -36.670000 -32.110000 -35.490000 -30.930000 ;
-        RECT 58.930000 -30.510000 60.110000 -29.330000 ;
-        RECT 58.930000 -32.110000 60.110000 -30.930000 ;
-        RECT 238.930000 -30.510000 240.110000 -29.330000 ;
-        RECT 238.930000 -32.110000 240.110000 -30.930000 ;
-        RECT 418.930000 -30.510000 420.110000 -29.330000 ;
-        RECT 418.930000 -32.110000 420.110000 -30.930000 ;
-        RECT 598.930000 -30.510000 600.110000 -29.330000 ;
-        RECT 598.930000 -32.110000 600.110000 -30.930000 ;
-        RECT 778.930000 -30.510000 780.110000 -29.330000 ;
-        RECT 778.930000 -32.110000 780.110000 -30.930000 ;
-        RECT 958.930000 -30.510000 960.110000 -29.330000 ;
-        RECT 958.930000 -32.110000 960.110000 -30.930000 ;
-        RECT 1138.930000 -30.510000 1140.110000 -29.330000 ;
-        RECT 1138.930000 -32.110000 1140.110000 -30.930000 ;
-        RECT 1318.930000 -30.510000 1320.110000 -29.330000 ;
-        RECT 1318.930000 -32.110000 1320.110000 -30.930000 ;
-        RECT 1498.930000 -30.510000 1500.110000 -29.330000 ;
-        RECT 1498.930000 -32.110000 1500.110000 -30.930000 ;
-        RECT 1678.930000 -30.510000 1680.110000 -29.330000 ;
-        RECT 1678.930000 -32.110000 1680.110000 -30.930000 ;
-        RECT 1858.930000 -30.510000 1860.110000 -29.330000 ;
-        RECT 1858.930000 -32.110000 1860.110000 -30.930000 ;
-        RECT 2038.930000 -30.510000 2040.110000 -29.330000 ;
-        RECT 2038.930000 -32.110000 2040.110000 -30.930000 ;
-        RECT 2218.930000 -30.510000 2220.110000 -29.330000 ;
-        RECT 2218.930000 -32.110000 2220.110000 -30.930000 ;
-        RECT 2398.930000 -30.510000 2400.110000 -29.330000 ;
-        RECT 2398.930000 -32.110000 2400.110000 -30.930000 ;
-        RECT 2578.930000 -30.510000 2580.110000 -29.330000 ;
-        RECT 2578.930000 -32.110000 2580.110000 -30.930000 ;
-        RECT 2758.930000 -30.510000 2760.110000 -29.330000 ;
-        RECT 2758.930000 -32.110000 2760.110000 -30.930000 ;
-        RECT 2955.110000 -30.510000 2956.290000 -29.330000 ;
-        RECT 2955.110000 -32.110000 2956.290000 -30.930000 ;
+        RECT -37.270000 3551.210000 -36.090000 3552.390000 ;
+        RECT -37.270000 3549.610000 -36.090000 3550.790000 ;
+        RECT 58.930000 3551.210000 60.110000 3552.390000 ;
+        RECT 58.930000 3549.610000 60.110000 3550.790000 ;
+        RECT 238.930000 3551.210000 240.110000 3552.390000 ;
+        RECT 238.930000 3549.610000 240.110000 3550.790000 ;
+        RECT 418.930000 3551.210000 420.110000 3552.390000 ;
+        RECT 418.930000 3549.610000 420.110000 3550.790000 ;
+        RECT 598.930000 3551.210000 600.110000 3552.390000 ;
+        RECT 598.930000 3549.610000 600.110000 3550.790000 ;
+        RECT 778.930000 3551.210000 780.110000 3552.390000 ;
+        RECT 778.930000 3549.610000 780.110000 3550.790000 ;
+        RECT 958.930000 3551.210000 960.110000 3552.390000 ;
+        RECT 958.930000 3549.610000 960.110000 3550.790000 ;
+        RECT 1138.930000 3551.210000 1140.110000 3552.390000 ;
+        RECT 1138.930000 3549.610000 1140.110000 3550.790000 ;
+        RECT 1318.930000 3551.210000 1320.110000 3552.390000 ;
+        RECT 1318.930000 3549.610000 1320.110000 3550.790000 ;
+        RECT 1498.930000 3551.210000 1500.110000 3552.390000 ;
+        RECT 1498.930000 3549.610000 1500.110000 3550.790000 ;
+        RECT 1678.930000 3551.210000 1680.110000 3552.390000 ;
+        RECT 1678.930000 3549.610000 1680.110000 3550.790000 ;
+        RECT 1858.930000 3551.210000 1860.110000 3552.390000 ;
+        RECT 1858.930000 3549.610000 1860.110000 3550.790000 ;
+        RECT 2038.930000 3551.210000 2040.110000 3552.390000 ;
+        RECT 2038.930000 3549.610000 2040.110000 3550.790000 ;
+        RECT 2218.930000 3551.210000 2220.110000 3552.390000 ;
+        RECT 2218.930000 3549.610000 2220.110000 3550.790000 ;
+        RECT 2398.930000 3551.210000 2400.110000 3552.390000 ;
+        RECT 2398.930000 3549.610000 2400.110000 3550.790000 ;
+        RECT 2578.930000 3551.210000 2580.110000 3552.390000 ;
+        RECT 2578.930000 3549.610000 2580.110000 3550.790000 ;
+        RECT 2758.930000 3551.210000 2760.110000 3552.390000 ;
+        RECT 2758.930000 3549.610000 2760.110000 3550.790000 ;
+        RECT 2955.710000 3551.210000 2956.890000 3552.390000 ;
+        RECT 2955.710000 3549.610000 2956.890000 3550.790000 ;
+        RECT -37.270000 3485.090000 -36.090000 3486.270000 ;
+        RECT -37.270000 3483.490000 -36.090000 3484.670000 ;
+        RECT -37.270000 3305.090000 -36.090000 3306.270000 ;
+        RECT -37.270000 3303.490000 -36.090000 3304.670000 ;
+        RECT -37.270000 3125.090000 -36.090000 3126.270000 ;
+        RECT -37.270000 3123.490000 -36.090000 3124.670000 ;
+        RECT -37.270000 2945.090000 -36.090000 2946.270000 ;
+        RECT -37.270000 2943.490000 -36.090000 2944.670000 ;
+        RECT -37.270000 2765.090000 -36.090000 2766.270000 ;
+        RECT -37.270000 2763.490000 -36.090000 2764.670000 ;
+        RECT -37.270000 2585.090000 -36.090000 2586.270000 ;
+        RECT -37.270000 2583.490000 -36.090000 2584.670000 ;
+        RECT -37.270000 2405.090000 -36.090000 2406.270000 ;
+        RECT -37.270000 2403.490000 -36.090000 2404.670000 ;
+        RECT -37.270000 2225.090000 -36.090000 2226.270000 ;
+        RECT -37.270000 2223.490000 -36.090000 2224.670000 ;
+        RECT -37.270000 2045.090000 -36.090000 2046.270000 ;
+        RECT -37.270000 2043.490000 -36.090000 2044.670000 ;
+        RECT -37.270000 1865.090000 -36.090000 1866.270000 ;
+        RECT -37.270000 1863.490000 -36.090000 1864.670000 ;
+        RECT -37.270000 1685.090000 -36.090000 1686.270000 ;
+        RECT -37.270000 1683.490000 -36.090000 1684.670000 ;
+        RECT -37.270000 1505.090000 -36.090000 1506.270000 ;
+        RECT -37.270000 1503.490000 -36.090000 1504.670000 ;
+        RECT -37.270000 1325.090000 -36.090000 1326.270000 ;
+        RECT -37.270000 1323.490000 -36.090000 1324.670000 ;
+        RECT -37.270000 1145.090000 -36.090000 1146.270000 ;
+        RECT -37.270000 1143.490000 -36.090000 1144.670000 ;
+        RECT -37.270000 965.090000 -36.090000 966.270000 ;
+        RECT -37.270000 963.490000 -36.090000 964.670000 ;
+        RECT -37.270000 785.090000 -36.090000 786.270000 ;
+        RECT -37.270000 783.490000 -36.090000 784.670000 ;
+        RECT -37.270000 605.090000 -36.090000 606.270000 ;
+        RECT -37.270000 603.490000 -36.090000 604.670000 ;
+        RECT -37.270000 425.090000 -36.090000 426.270000 ;
+        RECT -37.270000 423.490000 -36.090000 424.670000 ;
+        RECT -37.270000 245.090000 -36.090000 246.270000 ;
+        RECT -37.270000 243.490000 -36.090000 244.670000 ;
+        RECT -37.270000 65.090000 -36.090000 66.270000 ;
+        RECT -37.270000 63.490000 -36.090000 64.670000 ;
+        RECT 2955.710000 3485.090000 2956.890000 3486.270000 ;
+        RECT 2955.710000 3483.490000 2956.890000 3484.670000 ;
+        RECT 2955.710000 3305.090000 2956.890000 3306.270000 ;
+        RECT 2955.710000 3303.490000 2956.890000 3304.670000 ;
+        RECT 2955.710000 3125.090000 2956.890000 3126.270000 ;
+        RECT 2955.710000 3123.490000 2956.890000 3124.670000 ;
+        RECT 2955.710000 2945.090000 2956.890000 2946.270000 ;
+        RECT 2955.710000 2943.490000 2956.890000 2944.670000 ;
+        RECT 2955.710000 2765.090000 2956.890000 2766.270000 ;
+        RECT 2955.710000 2763.490000 2956.890000 2764.670000 ;
+        RECT 2955.710000 2585.090000 2956.890000 2586.270000 ;
+        RECT 2955.710000 2583.490000 2956.890000 2584.670000 ;
+        RECT 2955.710000 2405.090000 2956.890000 2406.270000 ;
+        RECT 2955.710000 2403.490000 2956.890000 2404.670000 ;
+        RECT 2955.710000 2225.090000 2956.890000 2226.270000 ;
+        RECT 2955.710000 2223.490000 2956.890000 2224.670000 ;
+        RECT 2955.710000 2045.090000 2956.890000 2046.270000 ;
+        RECT 2955.710000 2043.490000 2956.890000 2044.670000 ;
+        RECT 2955.710000 1865.090000 2956.890000 1866.270000 ;
+        RECT 2955.710000 1863.490000 2956.890000 1864.670000 ;
+        RECT 2955.710000 1685.090000 2956.890000 1686.270000 ;
+        RECT 2955.710000 1683.490000 2956.890000 1684.670000 ;
+        RECT 2955.710000 1505.090000 2956.890000 1506.270000 ;
+        RECT 2955.710000 1503.490000 2956.890000 1504.670000 ;
+        RECT 2955.710000 1325.090000 2956.890000 1326.270000 ;
+        RECT 2955.710000 1323.490000 2956.890000 1324.670000 ;
+        RECT 2955.710000 1145.090000 2956.890000 1146.270000 ;
+        RECT 2955.710000 1143.490000 2956.890000 1144.670000 ;
+        RECT 2955.710000 965.090000 2956.890000 966.270000 ;
+        RECT 2955.710000 963.490000 2956.890000 964.670000 ;
+        RECT 2955.710000 785.090000 2956.890000 786.270000 ;
+        RECT 2955.710000 783.490000 2956.890000 784.670000 ;
+        RECT 2955.710000 605.090000 2956.890000 606.270000 ;
+        RECT 2955.710000 603.490000 2956.890000 604.670000 ;
+        RECT 2955.710000 425.090000 2956.890000 426.270000 ;
+        RECT 2955.710000 423.490000 2956.890000 424.670000 ;
+        RECT 2955.710000 245.090000 2956.890000 246.270000 ;
+        RECT 2955.710000 243.490000 2956.890000 244.670000 ;
+        RECT 2955.710000 65.090000 2956.890000 66.270000 ;
+        RECT 2955.710000 63.490000 2956.890000 64.670000 ;
+        RECT -37.270000 -31.110000 -36.090000 -29.930000 ;
+        RECT -37.270000 -32.710000 -36.090000 -31.530000 ;
+        RECT 58.930000 -31.110000 60.110000 -29.930000 ;
+        RECT 58.930000 -32.710000 60.110000 -31.530000 ;
+        RECT 238.930000 -31.110000 240.110000 -29.930000 ;
+        RECT 238.930000 -32.710000 240.110000 -31.530000 ;
+        RECT 418.930000 -31.110000 420.110000 -29.930000 ;
+        RECT 418.930000 -32.710000 420.110000 -31.530000 ;
+        RECT 598.930000 -31.110000 600.110000 -29.930000 ;
+        RECT 598.930000 -32.710000 600.110000 -31.530000 ;
+        RECT 778.930000 -31.110000 780.110000 -29.930000 ;
+        RECT 778.930000 -32.710000 780.110000 -31.530000 ;
+        RECT 958.930000 -31.110000 960.110000 -29.930000 ;
+        RECT 958.930000 -32.710000 960.110000 -31.530000 ;
+        RECT 1138.930000 -31.110000 1140.110000 -29.930000 ;
+        RECT 1138.930000 -32.710000 1140.110000 -31.530000 ;
+        RECT 1318.930000 -31.110000 1320.110000 -29.930000 ;
+        RECT 1318.930000 -32.710000 1320.110000 -31.530000 ;
+        RECT 1498.930000 -31.110000 1500.110000 -29.930000 ;
+        RECT 1498.930000 -32.710000 1500.110000 -31.530000 ;
+        RECT 1678.930000 -31.110000 1680.110000 -29.930000 ;
+        RECT 1678.930000 -32.710000 1680.110000 -31.530000 ;
+        RECT 1858.930000 -31.110000 1860.110000 -29.930000 ;
+        RECT 1858.930000 -32.710000 1860.110000 -31.530000 ;
+        RECT 2038.930000 -31.110000 2040.110000 -29.930000 ;
+        RECT 2038.930000 -32.710000 2040.110000 -31.530000 ;
+        RECT 2218.930000 -31.110000 2220.110000 -29.930000 ;
+        RECT 2218.930000 -32.710000 2220.110000 -31.530000 ;
+        RECT 2398.930000 -31.110000 2400.110000 -29.930000 ;
+        RECT 2398.930000 -32.710000 2400.110000 -31.530000 ;
+        RECT 2578.930000 -31.110000 2580.110000 -29.930000 ;
+        RECT 2578.930000 -32.710000 2580.110000 -31.530000 ;
+        RECT 2758.930000 -31.110000 2760.110000 -29.930000 ;
+        RECT 2758.930000 -32.710000 2760.110000 -31.530000 ;
+        RECT 2955.710000 -31.110000 2956.890000 -29.930000 ;
+        RECT 2955.710000 -32.710000 2956.890000 -31.530000 ;
       LAYER met5 ;
-        RECT -37.580000 3551.900000 -34.580000 3551.910000 ;
-        RECT 58.020000 3551.900000 61.020000 3551.910000 ;
-        RECT 238.020000 3551.900000 241.020000 3551.910000 ;
-        RECT 418.020000 3551.900000 421.020000 3551.910000 ;
-        RECT 598.020000 3551.900000 601.020000 3551.910000 ;
-        RECT 778.020000 3551.900000 781.020000 3551.910000 ;
-        RECT 958.020000 3551.900000 961.020000 3551.910000 ;
-        RECT 1138.020000 3551.900000 1141.020000 3551.910000 ;
-        RECT 1318.020000 3551.900000 1321.020000 3551.910000 ;
-        RECT 1498.020000 3551.900000 1501.020000 3551.910000 ;
-        RECT 1678.020000 3551.900000 1681.020000 3551.910000 ;
-        RECT 1858.020000 3551.900000 1861.020000 3551.910000 ;
-        RECT 2038.020000 3551.900000 2041.020000 3551.910000 ;
-        RECT 2218.020000 3551.900000 2221.020000 3551.910000 ;
-        RECT 2398.020000 3551.900000 2401.020000 3551.910000 ;
-        RECT 2578.020000 3551.900000 2581.020000 3551.910000 ;
-        RECT 2758.020000 3551.900000 2761.020000 3551.910000 ;
-        RECT 2954.200000 3551.900000 2957.200000 3551.910000 ;
-        RECT -37.580000 3548.900000 2957.200000 3551.900000 ;
-        RECT -37.580000 3548.890000 -34.580000 3548.900000 ;
-        RECT 58.020000 3548.890000 61.020000 3548.900000 ;
-        RECT 238.020000 3548.890000 241.020000 3548.900000 ;
-        RECT 418.020000 3548.890000 421.020000 3548.900000 ;
-        RECT 598.020000 3548.890000 601.020000 3548.900000 ;
-        RECT 778.020000 3548.890000 781.020000 3548.900000 ;
-        RECT 958.020000 3548.890000 961.020000 3548.900000 ;
-        RECT 1138.020000 3548.890000 1141.020000 3548.900000 ;
-        RECT 1318.020000 3548.890000 1321.020000 3548.900000 ;
-        RECT 1498.020000 3548.890000 1501.020000 3548.900000 ;
-        RECT 1678.020000 3548.890000 1681.020000 3548.900000 ;
-        RECT 1858.020000 3548.890000 1861.020000 3548.900000 ;
-        RECT 2038.020000 3548.890000 2041.020000 3548.900000 ;
-        RECT 2218.020000 3548.890000 2221.020000 3548.900000 ;
-        RECT 2398.020000 3548.890000 2401.020000 3548.900000 ;
-        RECT 2578.020000 3548.890000 2581.020000 3548.900000 ;
-        RECT 2758.020000 3548.890000 2761.020000 3548.900000 ;
-        RECT 2954.200000 3548.890000 2957.200000 3548.900000 ;
-        RECT -37.580000 3486.380000 -34.580000 3486.390000 ;
-        RECT 2954.200000 3486.380000 2957.200000 3486.390000 ;
-        RECT -42.180000 3483.380000 -0.400000 3486.380000 ;
-        RECT 2920.400000 3483.380000 2961.800000 3486.380000 ;
-        RECT -37.580000 3483.370000 -34.580000 3483.380000 ;
-        RECT 2954.200000 3483.370000 2957.200000 3483.380000 ;
-        RECT -37.580000 3306.380000 -34.580000 3306.390000 ;
-        RECT 2954.200000 3306.380000 2957.200000 3306.390000 ;
-        RECT -42.180000 3303.380000 -0.400000 3306.380000 ;
-        RECT 2920.400000 3303.380000 2961.800000 3306.380000 ;
-        RECT -37.580000 3303.370000 -34.580000 3303.380000 ;
-        RECT 2954.200000 3303.370000 2957.200000 3303.380000 ;
-        RECT -37.580000 3126.380000 -34.580000 3126.390000 ;
-        RECT 2954.200000 3126.380000 2957.200000 3126.390000 ;
-        RECT -42.180000 3123.380000 -0.400000 3126.380000 ;
-        RECT 2920.400000 3123.380000 2961.800000 3126.380000 ;
-        RECT -37.580000 3123.370000 -34.580000 3123.380000 ;
-        RECT 2954.200000 3123.370000 2957.200000 3123.380000 ;
-        RECT -37.580000 2946.380000 -34.580000 2946.390000 ;
-        RECT 2954.200000 2946.380000 2957.200000 2946.390000 ;
-        RECT -42.180000 2943.380000 -0.400000 2946.380000 ;
-        RECT 2920.400000 2943.380000 2961.800000 2946.380000 ;
-        RECT -37.580000 2943.370000 -34.580000 2943.380000 ;
-        RECT 2954.200000 2943.370000 2957.200000 2943.380000 ;
-        RECT -37.580000 2766.380000 -34.580000 2766.390000 ;
-        RECT 2954.200000 2766.380000 2957.200000 2766.390000 ;
-        RECT -42.180000 2763.380000 -0.400000 2766.380000 ;
-        RECT 2920.400000 2763.380000 2961.800000 2766.380000 ;
-        RECT -37.580000 2763.370000 -34.580000 2763.380000 ;
-        RECT 2954.200000 2763.370000 2957.200000 2763.380000 ;
-        RECT -37.580000 2586.380000 -34.580000 2586.390000 ;
-        RECT 2954.200000 2586.380000 2957.200000 2586.390000 ;
-        RECT -42.180000 2583.380000 -0.400000 2586.380000 ;
-        RECT 2920.400000 2583.380000 2961.800000 2586.380000 ;
-        RECT -37.580000 2583.370000 -34.580000 2583.380000 ;
-        RECT 2954.200000 2583.370000 2957.200000 2583.380000 ;
-        RECT -37.580000 2406.380000 -34.580000 2406.390000 ;
-        RECT 2954.200000 2406.380000 2957.200000 2406.390000 ;
-        RECT -42.180000 2403.380000 -0.400000 2406.380000 ;
-        RECT 2920.400000 2403.380000 2961.800000 2406.380000 ;
-        RECT -37.580000 2403.370000 -34.580000 2403.380000 ;
-        RECT 2954.200000 2403.370000 2957.200000 2403.380000 ;
-        RECT -37.580000 2226.380000 -34.580000 2226.390000 ;
-        RECT 2954.200000 2226.380000 2957.200000 2226.390000 ;
-        RECT -42.180000 2223.380000 -0.400000 2226.380000 ;
-        RECT 2920.400000 2223.380000 2961.800000 2226.380000 ;
-        RECT -37.580000 2223.370000 -34.580000 2223.380000 ;
-        RECT 2954.200000 2223.370000 2957.200000 2223.380000 ;
-        RECT -37.580000 2046.380000 -34.580000 2046.390000 ;
-        RECT 2954.200000 2046.380000 2957.200000 2046.390000 ;
-        RECT -42.180000 2043.380000 -0.400000 2046.380000 ;
-        RECT 2920.400000 2043.380000 2961.800000 2046.380000 ;
-        RECT -37.580000 2043.370000 -34.580000 2043.380000 ;
-        RECT 2954.200000 2043.370000 2957.200000 2043.380000 ;
-        RECT -37.580000 1866.380000 -34.580000 1866.390000 ;
-        RECT 2954.200000 1866.380000 2957.200000 1866.390000 ;
-        RECT -42.180000 1863.380000 -0.400000 1866.380000 ;
-        RECT 2920.400000 1863.380000 2961.800000 1866.380000 ;
-        RECT -37.580000 1863.370000 -34.580000 1863.380000 ;
-        RECT 2954.200000 1863.370000 2957.200000 1863.380000 ;
-        RECT -37.580000 1686.380000 -34.580000 1686.390000 ;
-        RECT 2954.200000 1686.380000 2957.200000 1686.390000 ;
-        RECT -42.180000 1683.380000 -0.400000 1686.380000 ;
-        RECT 2920.400000 1683.380000 2961.800000 1686.380000 ;
-        RECT -37.580000 1683.370000 -34.580000 1683.380000 ;
-        RECT 2954.200000 1683.370000 2957.200000 1683.380000 ;
-        RECT -37.580000 1506.380000 -34.580000 1506.390000 ;
-        RECT 2954.200000 1506.380000 2957.200000 1506.390000 ;
-        RECT -42.180000 1503.380000 -0.400000 1506.380000 ;
-        RECT 2920.400000 1503.380000 2961.800000 1506.380000 ;
-        RECT -37.580000 1503.370000 -34.580000 1503.380000 ;
-        RECT 2954.200000 1503.370000 2957.200000 1503.380000 ;
-        RECT -37.580000 1326.380000 -34.580000 1326.390000 ;
-        RECT 2954.200000 1326.380000 2957.200000 1326.390000 ;
-        RECT -42.180000 1323.380000 -0.400000 1326.380000 ;
-        RECT 2920.400000 1323.380000 2961.800000 1326.380000 ;
-        RECT -37.580000 1323.370000 -34.580000 1323.380000 ;
-        RECT 2954.200000 1323.370000 2957.200000 1323.380000 ;
-        RECT -37.580000 1146.380000 -34.580000 1146.390000 ;
-        RECT 2954.200000 1146.380000 2957.200000 1146.390000 ;
-        RECT -42.180000 1143.380000 -0.400000 1146.380000 ;
-        RECT 2920.400000 1143.380000 2961.800000 1146.380000 ;
-        RECT -37.580000 1143.370000 -34.580000 1143.380000 ;
-        RECT 2954.200000 1143.370000 2957.200000 1143.380000 ;
-        RECT -37.580000 966.380000 -34.580000 966.390000 ;
-        RECT 2954.200000 966.380000 2957.200000 966.390000 ;
-        RECT -42.180000 963.380000 -0.400000 966.380000 ;
-        RECT 2920.400000 963.380000 2961.800000 966.380000 ;
-        RECT -37.580000 963.370000 -34.580000 963.380000 ;
-        RECT 2954.200000 963.370000 2957.200000 963.380000 ;
-        RECT -37.580000 786.380000 -34.580000 786.390000 ;
-        RECT 2954.200000 786.380000 2957.200000 786.390000 ;
-        RECT -42.180000 783.380000 -0.400000 786.380000 ;
-        RECT 2920.400000 783.380000 2961.800000 786.380000 ;
-        RECT -37.580000 783.370000 -34.580000 783.380000 ;
-        RECT 2954.200000 783.370000 2957.200000 783.380000 ;
-        RECT -37.580000 606.380000 -34.580000 606.390000 ;
-        RECT 2954.200000 606.380000 2957.200000 606.390000 ;
-        RECT -42.180000 603.380000 -0.400000 606.380000 ;
-        RECT 2920.400000 603.380000 2961.800000 606.380000 ;
-        RECT -37.580000 603.370000 -34.580000 603.380000 ;
-        RECT 2954.200000 603.370000 2957.200000 603.380000 ;
-        RECT -37.580000 426.380000 -34.580000 426.390000 ;
-        RECT 2954.200000 426.380000 2957.200000 426.390000 ;
-        RECT -42.180000 423.380000 -0.400000 426.380000 ;
-        RECT 2920.400000 423.380000 2961.800000 426.380000 ;
-        RECT -37.580000 423.370000 -34.580000 423.380000 ;
-        RECT 2954.200000 423.370000 2957.200000 423.380000 ;
-        RECT -37.580000 246.380000 -34.580000 246.390000 ;
-        RECT 2954.200000 246.380000 2957.200000 246.390000 ;
-        RECT -42.180000 243.380000 -0.400000 246.380000 ;
-        RECT 2920.400000 243.380000 2961.800000 246.380000 ;
-        RECT -37.580000 243.370000 -34.580000 243.380000 ;
-        RECT 2954.200000 243.370000 2957.200000 243.380000 ;
-        RECT -37.580000 66.380000 -34.580000 66.390000 ;
-        RECT 2954.200000 66.380000 2957.200000 66.390000 ;
-        RECT -42.180000 63.380000 -0.400000 66.380000 ;
-        RECT 2920.400000 63.380000 2961.800000 66.380000 ;
-        RECT -37.580000 63.370000 -34.580000 63.380000 ;
-        RECT 2954.200000 63.370000 2957.200000 63.380000 ;
-        RECT -37.580000 -29.220000 -34.580000 -29.210000 ;
-        RECT 58.020000 -29.220000 61.020000 -29.210000 ;
-        RECT 238.020000 -29.220000 241.020000 -29.210000 ;
-        RECT 418.020000 -29.220000 421.020000 -29.210000 ;
-        RECT 598.020000 -29.220000 601.020000 -29.210000 ;
-        RECT 778.020000 -29.220000 781.020000 -29.210000 ;
-        RECT 958.020000 -29.220000 961.020000 -29.210000 ;
-        RECT 1138.020000 -29.220000 1141.020000 -29.210000 ;
-        RECT 1318.020000 -29.220000 1321.020000 -29.210000 ;
-        RECT 1498.020000 -29.220000 1501.020000 -29.210000 ;
-        RECT 1678.020000 -29.220000 1681.020000 -29.210000 ;
-        RECT 1858.020000 -29.220000 1861.020000 -29.210000 ;
-        RECT 2038.020000 -29.220000 2041.020000 -29.210000 ;
-        RECT 2218.020000 -29.220000 2221.020000 -29.210000 ;
-        RECT 2398.020000 -29.220000 2401.020000 -29.210000 ;
-        RECT 2578.020000 -29.220000 2581.020000 -29.210000 ;
-        RECT 2758.020000 -29.220000 2761.020000 -29.210000 ;
-        RECT 2954.200000 -29.220000 2957.200000 -29.210000 ;
-        RECT -37.580000 -32.220000 2957.200000 -29.220000 ;
-        RECT -37.580000 -32.230000 -34.580000 -32.220000 ;
-        RECT 58.020000 -32.230000 61.020000 -32.220000 ;
-        RECT 238.020000 -32.230000 241.020000 -32.220000 ;
-        RECT 418.020000 -32.230000 421.020000 -32.220000 ;
-        RECT 598.020000 -32.230000 601.020000 -32.220000 ;
-        RECT 778.020000 -32.230000 781.020000 -32.220000 ;
-        RECT 958.020000 -32.230000 961.020000 -32.220000 ;
-        RECT 1138.020000 -32.230000 1141.020000 -32.220000 ;
-        RECT 1318.020000 -32.230000 1321.020000 -32.220000 ;
-        RECT 1498.020000 -32.230000 1501.020000 -32.220000 ;
-        RECT 1678.020000 -32.230000 1681.020000 -32.220000 ;
-        RECT 1858.020000 -32.230000 1861.020000 -32.220000 ;
-        RECT 2038.020000 -32.230000 2041.020000 -32.220000 ;
-        RECT 2218.020000 -32.230000 2221.020000 -32.220000 ;
-        RECT 2398.020000 -32.230000 2401.020000 -32.220000 ;
-        RECT 2578.020000 -32.230000 2581.020000 -32.220000 ;
-        RECT 2758.020000 -32.230000 2761.020000 -32.220000 ;
-        RECT 2954.200000 -32.230000 2957.200000 -32.220000 ;
+        RECT -38.180000 3552.500000 -35.180000 3552.510000 ;
+        RECT 58.020000 3552.500000 61.020000 3552.510000 ;
+        RECT 238.020000 3552.500000 241.020000 3552.510000 ;
+        RECT 418.020000 3552.500000 421.020000 3552.510000 ;
+        RECT 598.020000 3552.500000 601.020000 3552.510000 ;
+        RECT 778.020000 3552.500000 781.020000 3552.510000 ;
+        RECT 958.020000 3552.500000 961.020000 3552.510000 ;
+        RECT 1138.020000 3552.500000 1141.020000 3552.510000 ;
+        RECT 1318.020000 3552.500000 1321.020000 3552.510000 ;
+        RECT 1498.020000 3552.500000 1501.020000 3552.510000 ;
+        RECT 1678.020000 3552.500000 1681.020000 3552.510000 ;
+        RECT 1858.020000 3552.500000 1861.020000 3552.510000 ;
+        RECT 2038.020000 3552.500000 2041.020000 3552.510000 ;
+        RECT 2218.020000 3552.500000 2221.020000 3552.510000 ;
+        RECT 2398.020000 3552.500000 2401.020000 3552.510000 ;
+        RECT 2578.020000 3552.500000 2581.020000 3552.510000 ;
+        RECT 2758.020000 3552.500000 2761.020000 3552.510000 ;
+        RECT 2954.800000 3552.500000 2957.800000 3552.510000 ;
+        RECT -38.180000 3549.500000 2957.800000 3552.500000 ;
+        RECT -38.180000 3549.490000 -35.180000 3549.500000 ;
+        RECT 58.020000 3549.490000 61.020000 3549.500000 ;
+        RECT 238.020000 3549.490000 241.020000 3549.500000 ;
+        RECT 418.020000 3549.490000 421.020000 3549.500000 ;
+        RECT 598.020000 3549.490000 601.020000 3549.500000 ;
+        RECT 778.020000 3549.490000 781.020000 3549.500000 ;
+        RECT 958.020000 3549.490000 961.020000 3549.500000 ;
+        RECT 1138.020000 3549.490000 1141.020000 3549.500000 ;
+        RECT 1318.020000 3549.490000 1321.020000 3549.500000 ;
+        RECT 1498.020000 3549.490000 1501.020000 3549.500000 ;
+        RECT 1678.020000 3549.490000 1681.020000 3549.500000 ;
+        RECT 1858.020000 3549.490000 1861.020000 3549.500000 ;
+        RECT 2038.020000 3549.490000 2041.020000 3549.500000 ;
+        RECT 2218.020000 3549.490000 2221.020000 3549.500000 ;
+        RECT 2398.020000 3549.490000 2401.020000 3549.500000 ;
+        RECT 2578.020000 3549.490000 2581.020000 3549.500000 ;
+        RECT 2758.020000 3549.490000 2761.020000 3549.500000 ;
+        RECT 2954.800000 3549.490000 2957.800000 3549.500000 ;
+        RECT -38.180000 3486.380000 -35.180000 3486.390000 ;
+        RECT 2954.800000 3486.380000 2957.800000 3486.390000 ;
+        RECT -42.880000 3483.380000 -0.400000 3486.380000 ;
+        RECT 2920.400000 3483.380000 2962.500000 3486.380000 ;
+        RECT -38.180000 3483.370000 -35.180000 3483.380000 ;
+        RECT 2954.800000 3483.370000 2957.800000 3483.380000 ;
+        RECT -38.180000 3306.380000 -35.180000 3306.390000 ;
+        RECT 2954.800000 3306.380000 2957.800000 3306.390000 ;
+        RECT -42.880000 3303.380000 -0.400000 3306.380000 ;
+        RECT 2920.400000 3303.380000 2962.500000 3306.380000 ;
+        RECT -38.180000 3303.370000 -35.180000 3303.380000 ;
+        RECT 2954.800000 3303.370000 2957.800000 3303.380000 ;
+        RECT -38.180000 3126.380000 -35.180000 3126.390000 ;
+        RECT 2954.800000 3126.380000 2957.800000 3126.390000 ;
+        RECT -42.880000 3123.380000 -0.400000 3126.380000 ;
+        RECT 2920.400000 3123.380000 2962.500000 3126.380000 ;
+        RECT -38.180000 3123.370000 -35.180000 3123.380000 ;
+        RECT 2954.800000 3123.370000 2957.800000 3123.380000 ;
+        RECT -38.180000 2946.380000 -35.180000 2946.390000 ;
+        RECT 2954.800000 2946.380000 2957.800000 2946.390000 ;
+        RECT -42.880000 2943.380000 -0.400000 2946.380000 ;
+        RECT 2920.400000 2943.380000 2962.500000 2946.380000 ;
+        RECT -38.180000 2943.370000 -35.180000 2943.380000 ;
+        RECT 2954.800000 2943.370000 2957.800000 2943.380000 ;
+        RECT -38.180000 2766.380000 -35.180000 2766.390000 ;
+        RECT 2954.800000 2766.380000 2957.800000 2766.390000 ;
+        RECT -42.880000 2763.380000 -0.400000 2766.380000 ;
+        RECT 2920.400000 2763.380000 2962.500000 2766.380000 ;
+        RECT -38.180000 2763.370000 -35.180000 2763.380000 ;
+        RECT 2954.800000 2763.370000 2957.800000 2763.380000 ;
+        RECT -38.180000 2586.380000 -35.180000 2586.390000 ;
+        RECT 2954.800000 2586.380000 2957.800000 2586.390000 ;
+        RECT -42.880000 2583.380000 -0.400000 2586.380000 ;
+        RECT 2920.400000 2583.380000 2962.500000 2586.380000 ;
+        RECT -38.180000 2583.370000 -35.180000 2583.380000 ;
+        RECT 2954.800000 2583.370000 2957.800000 2583.380000 ;
+        RECT -38.180000 2406.380000 -35.180000 2406.390000 ;
+        RECT 2954.800000 2406.380000 2957.800000 2406.390000 ;
+        RECT -42.880000 2403.380000 -0.400000 2406.380000 ;
+        RECT 2920.400000 2403.380000 2962.500000 2406.380000 ;
+        RECT -38.180000 2403.370000 -35.180000 2403.380000 ;
+        RECT 2954.800000 2403.370000 2957.800000 2403.380000 ;
+        RECT -38.180000 2226.380000 -35.180000 2226.390000 ;
+        RECT 2954.800000 2226.380000 2957.800000 2226.390000 ;
+        RECT -42.880000 2223.380000 -0.400000 2226.380000 ;
+        RECT 2920.400000 2223.380000 2962.500000 2226.380000 ;
+        RECT -38.180000 2223.370000 -35.180000 2223.380000 ;
+        RECT 2954.800000 2223.370000 2957.800000 2223.380000 ;
+        RECT -38.180000 2046.380000 -35.180000 2046.390000 ;
+        RECT 2954.800000 2046.380000 2957.800000 2046.390000 ;
+        RECT -42.880000 2043.380000 -0.400000 2046.380000 ;
+        RECT 2920.400000 2043.380000 2962.500000 2046.380000 ;
+        RECT -38.180000 2043.370000 -35.180000 2043.380000 ;
+        RECT 2954.800000 2043.370000 2957.800000 2043.380000 ;
+        RECT -38.180000 1866.380000 -35.180000 1866.390000 ;
+        RECT 2954.800000 1866.380000 2957.800000 1866.390000 ;
+        RECT -42.880000 1863.380000 -0.400000 1866.380000 ;
+        RECT 2920.400000 1863.380000 2962.500000 1866.380000 ;
+        RECT -38.180000 1863.370000 -35.180000 1863.380000 ;
+        RECT 2954.800000 1863.370000 2957.800000 1863.380000 ;
+        RECT -38.180000 1686.380000 -35.180000 1686.390000 ;
+        RECT 2954.800000 1686.380000 2957.800000 1686.390000 ;
+        RECT -42.880000 1683.380000 -0.400000 1686.380000 ;
+        RECT 2920.400000 1683.380000 2962.500000 1686.380000 ;
+        RECT -38.180000 1683.370000 -35.180000 1683.380000 ;
+        RECT 2954.800000 1683.370000 2957.800000 1683.380000 ;
+        RECT -38.180000 1506.380000 -35.180000 1506.390000 ;
+        RECT 2954.800000 1506.380000 2957.800000 1506.390000 ;
+        RECT -42.880000 1503.380000 -0.400000 1506.380000 ;
+        RECT 2920.400000 1503.380000 2962.500000 1506.380000 ;
+        RECT -38.180000 1503.370000 -35.180000 1503.380000 ;
+        RECT 2954.800000 1503.370000 2957.800000 1503.380000 ;
+        RECT -38.180000 1326.380000 -35.180000 1326.390000 ;
+        RECT 2954.800000 1326.380000 2957.800000 1326.390000 ;
+        RECT -42.880000 1323.380000 -0.400000 1326.380000 ;
+        RECT 2920.400000 1323.380000 2962.500000 1326.380000 ;
+        RECT -38.180000 1323.370000 -35.180000 1323.380000 ;
+        RECT 2954.800000 1323.370000 2957.800000 1323.380000 ;
+        RECT -38.180000 1146.380000 -35.180000 1146.390000 ;
+        RECT 2954.800000 1146.380000 2957.800000 1146.390000 ;
+        RECT -42.880000 1143.380000 -0.400000 1146.380000 ;
+        RECT 2920.400000 1143.380000 2962.500000 1146.380000 ;
+        RECT -38.180000 1143.370000 -35.180000 1143.380000 ;
+        RECT 2954.800000 1143.370000 2957.800000 1143.380000 ;
+        RECT -38.180000 966.380000 -35.180000 966.390000 ;
+        RECT 2954.800000 966.380000 2957.800000 966.390000 ;
+        RECT -42.880000 963.380000 -0.400000 966.380000 ;
+        RECT 2920.400000 963.380000 2962.500000 966.380000 ;
+        RECT -38.180000 963.370000 -35.180000 963.380000 ;
+        RECT 2954.800000 963.370000 2957.800000 963.380000 ;
+        RECT -38.180000 786.380000 -35.180000 786.390000 ;
+        RECT 2954.800000 786.380000 2957.800000 786.390000 ;
+        RECT -42.880000 783.380000 -0.400000 786.380000 ;
+        RECT 2920.400000 783.380000 2962.500000 786.380000 ;
+        RECT -38.180000 783.370000 -35.180000 783.380000 ;
+        RECT 2954.800000 783.370000 2957.800000 783.380000 ;
+        RECT -38.180000 606.380000 -35.180000 606.390000 ;
+        RECT 2954.800000 606.380000 2957.800000 606.390000 ;
+        RECT -42.880000 603.380000 -0.400000 606.380000 ;
+        RECT 2920.400000 603.380000 2962.500000 606.380000 ;
+        RECT -38.180000 603.370000 -35.180000 603.380000 ;
+        RECT 2954.800000 603.370000 2957.800000 603.380000 ;
+        RECT -38.180000 426.380000 -35.180000 426.390000 ;
+        RECT 2954.800000 426.380000 2957.800000 426.390000 ;
+        RECT -42.880000 423.380000 -0.400000 426.380000 ;
+        RECT 2920.400000 423.380000 2962.500000 426.380000 ;
+        RECT -38.180000 423.370000 -35.180000 423.380000 ;
+        RECT 2954.800000 423.370000 2957.800000 423.380000 ;
+        RECT -38.180000 246.380000 -35.180000 246.390000 ;
+        RECT 2954.800000 246.380000 2957.800000 246.390000 ;
+        RECT -42.880000 243.380000 -0.400000 246.380000 ;
+        RECT 2920.400000 243.380000 2962.500000 246.380000 ;
+        RECT -38.180000 243.370000 -35.180000 243.380000 ;
+        RECT 2954.800000 243.370000 2957.800000 243.380000 ;
+        RECT -38.180000 66.380000 -35.180000 66.390000 ;
+        RECT 2954.800000 66.380000 2957.800000 66.390000 ;
+        RECT -42.880000 63.380000 -0.400000 66.380000 ;
+        RECT 2920.400000 63.380000 2962.500000 66.380000 ;
+        RECT -38.180000 63.370000 -35.180000 63.380000 ;
+        RECT 2954.800000 63.370000 2957.800000 63.380000 ;
+        RECT -38.180000 -29.820000 -35.180000 -29.810000 ;
+        RECT 58.020000 -29.820000 61.020000 -29.810000 ;
+        RECT 238.020000 -29.820000 241.020000 -29.810000 ;
+        RECT 418.020000 -29.820000 421.020000 -29.810000 ;
+        RECT 598.020000 -29.820000 601.020000 -29.810000 ;
+        RECT 778.020000 -29.820000 781.020000 -29.810000 ;
+        RECT 958.020000 -29.820000 961.020000 -29.810000 ;
+        RECT 1138.020000 -29.820000 1141.020000 -29.810000 ;
+        RECT 1318.020000 -29.820000 1321.020000 -29.810000 ;
+        RECT 1498.020000 -29.820000 1501.020000 -29.810000 ;
+        RECT 1678.020000 -29.820000 1681.020000 -29.810000 ;
+        RECT 1858.020000 -29.820000 1861.020000 -29.810000 ;
+        RECT 2038.020000 -29.820000 2041.020000 -29.810000 ;
+        RECT 2218.020000 -29.820000 2221.020000 -29.810000 ;
+        RECT 2398.020000 -29.820000 2401.020000 -29.810000 ;
+        RECT 2578.020000 -29.820000 2581.020000 -29.810000 ;
+        RECT 2758.020000 -29.820000 2761.020000 -29.810000 ;
+        RECT 2954.800000 -29.820000 2957.800000 -29.810000 ;
+        RECT -38.180000 -32.820000 2957.800000 -29.820000 ;
+        RECT -38.180000 -32.830000 -35.180000 -32.820000 ;
+        RECT 58.020000 -32.830000 61.020000 -32.820000 ;
+        RECT 238.020000 -32.830000 241.020000 -32.820000 ;
+        RECT 418.020000 -32.830000 421.020000 -32.820000 ;
+        RECT 598.020000 -32.830000 601.020000 -32.820000 ;
+        RECT 778.020000 -32.830000 781.020000 -32.820000 ;
+        RECT 958.020000 -32.830000 961.020000 -32.820000 ;
+        RECT 1138.020000 -32.830000 1141.020000 -32.820000 ;
+        RECT 1318.020000 -32.830000 1321.020000 -32.820000 ;
+        RECT 1498.020000 -32.830000 1501.020000 -32.820000 ;
+        RECT 1678.020000 -32.830000 1681.020000 -32.820000 ;
+        RECT 1858.020000 -32.830000 1861.020000 -32.820000 ;
+        RECT 2038.020000 -32.830000 2041.020000 -32.820000 ;
+        RECT 2218.020000 -32.830000 2221.020000 -32.820000 ;
+        RECT 2398.020000 -32.830000 2401.020000 -32.820000 ;
+        RECT 2578.020000 -32.830000 2581.020000 -32.820000 ;
+        RECT 2758.020000 -32.830000 2761.020000 -32.820000 ;
+        RECT 2954.800000 -32.830000 2957.800000 -32.820000 ;
     END
   END vdda2
   PIN vssa2
     DIRECTION INPUT ;
     PORT
       LAYER met4 ;
-        RECT -42.180000 -36.820000 -39.180000 3556.500000 ;
-        RECT 148.020000 3520.400000 151.020000 3556.500000 ;
-        RECT 328.020000 3520.400000 331.020000 3556.500000 ;
-        RECT 508.020000 3520.400000 511.020000 3556.500000 ;
-        RECT 688.020000 3520.400000 691.020000 3556.500000 ;
-        RECT 868.020000 3520.400000 871.020000 3556.500000 ;
-        RECT 1048.020000 3520.400000 1051.020000 3556.500000 ;
-        RECT 1228.020000 3520.400000 1231.020000 3556.500000 ;
-        RECT 1408.020000 3520.400000 1411.020000 3556.500000 ;
-        RECT 1588.020000 3520.400000 1591.020000 3556.500000 ;
-        RECT 1768.020000 3520.400000 1771.020000 3556.500000 ;
-        RECT 1948.020000 3520.400000 1951.020000 3556.500000 ;
-        RECT 2128.020000 3520.400000 2131.020000 3556.500000 ;
-        RECT 2308.020000 3520.400000 2311.020000 3556.500000 ;
-        RECT 2488.020000 3520.400000 2491.020000 3556.500000 ;
-        RECT 2668.020000 3520.400000 2671.020000 3556.500000 ;
-        RECT 2848.020000 3520.400000 2851.020000 3556.500000 ;
-        RECT 148.020000 -36.820000 151.020000 -0.400000 ;
-        RECT 328.020000 -36.820000 331.020000 -0.400000 ;
-        RECT 508.020000 -36.820000 511.020000 -0.400000 ;
-        RECT 688.020000 -36.820000 691.020000 -0.400000 ;
-        RECT 868.020000 -36.820000 871.020000 -0.400000 ;
-        RECT 1048.020000 -36.820000 1051.020000 -0.400000 ;
-        RECT 1228.020000 -36.820000 1231.020000 -0.400000 ;
-        RECT 1408.020000 -36.820000 1411.020000 -0.400000 ;
-        RECT 1588.020000 -36.820000 1591.020000 -0.400000 ;
-        RECT 1768.020000 -36.820000 1771.020000 -0.400000 ;
-        RECT 1948.020000 -36.820000 1951.020000 -0.400000 ;
-        RECT 2128.020000 -36.820000 2131.020000 -0.400000 ;
-        RECT 2308.020000 -36.820000 2311.020000 -0.400000 ;
-        RECT 2488.020000 -36.820000 2491.020000 -0.400000 ;
-        RECT 2668.020000 -36.820000 2671.020000 -0.400000 ;
-        RECT 2848.020000 -36.820000 2851.020000 -0.400000 ;
-        RECT 2958.800000 -36.820000 2961.800000 3556.500000 ;
+        RECT -42.880000 -37.520000 -39.880000 3557.200000 ;
+        RECT 148.020000 3520.400000 151.020000 3557.200000 ;
+        RECT 328.020000 3520.400000 331.020000 3557.200000 ;
+        RECT 508.020000 3520.400000 511.020000 3557.200000 ;
+        RECT 688.020000 3520.400000 691.020000 3557.200000 ;
+        RECT 868.020000 3520.400000 871.020000 3557.200000 ;
+        RECT 1048.020000 3520.400000 1051.020000 3557.200000 ;
+        RECT 1228.020000 3520.400000 1231.020000 3557.200000 ;
+        RECT 1408.020000 3520.400000 1411.020000 3557.200000 ;
+        RECT 1588.020000 3520.400000 1591.020000 3557.200000 ;
+        RECT 1768.020000 3520.400000 1771.020000 3557.200000 ;
+        RECT 1948.020000 3520.400000 1951.020000 3557.200000 ;
+        RECT 2128.020000 3520.400000 2131.020000 3557.200000 ;
+        RECT 2308.020000 3520.400000 2311.020000 3557.200000 ;
+        RECT 2488.020000 3520.400000 2491.020000 3557.200000 ;
+        RECT 2668.020000 3520.400000 2671.020000 3557.200000 ;
+        RECT 2848.020000 3520.400000 2851.020000 3557.200000 ;
+        RECT 148.020000 -37.520000 151.020000 -0.400000 ;
+        RECT 328.020000 -37.520000 331.020000 -0.400000 ;
+        RECT 508.020000 -37.520000 511.020000 -0.400000 ;
+        RECT 688.020000 -37.520000 691.020000 -0.400000 ;
+        RECT 868.020000 -37.520000 871.020000 -0.400000 ;
+        RECT 1048.020000 -37.520000 1051.020000 -0.400000 ;
+        RECT 1228.020000 -37.520000 1231.020000 -0.400000 ;
+        RECT 1408.020000 -37.520000 1411.020000 -0.400000 ;
+        RECT 1588.020000 -37.520000 1591.020000 -0.400000 ;
+        RECT 1768.020000 -37.520000 1771.020000 -0.400000 ;
+        RECT 1948.020000 -37.520000 1951.020000 -0.400000 ;
+        RECT 2128.020000 -37.520000 2131.020000 -0.400000 ;
+        RECT 2308.020000 -37.520000 2311.020000 -0.400000 ;
+        RECT 2488.020000 -37.520000 2491.020000 -0.400000 ;
+        RECT 2668.020000 -37.520000 2671.020000 -0.400000 ;
+        RECT 2848.020000 -37.520000 2851.020000 -0.400000 ;
+        RECT 2959.500000 -37.520000 2962.500000 3557.200000 ;
       LAYER M4M5_PR_C ;
-        RECT -41.270000 3555.210000 -40.090000 3556.390000 ;
-        RECT -41.270000 3553.610000 -40.090000 3554.790000 ;
-        RECT 148.930000 3555.210000 150.110000 3556.390000 ;
-        RECT 148.930000 3553.610000 150.110000 3554.790000 ;
-        RECT 328.930000 3555.210000 330.110000 3556.390000 ;
-        RECT 328.930000 3553.610000 330.110000 3554.790000 ;
-        RECT 508.930000 3555.210000 510.110000 3556.390000 ;
-        RECT 508.930000 3553.610000 510.110000 3554.790000 ;
-        RECT 688.930000 3555.210000 690.110000 3556.390000 ;
-        RECT 688.930000 3553.610000 690.110000 3554.790000 ;
-        RECT 868.930000 3555.210000 870.110000 3556.390000 ;
-        RECT 868.930000 3553.610000 870.110000 3554.790000 ;
-        RECT 1048.930000 3555.210000 1050.110000 3556.390000 ;
-        RECT 1048.930000 3553.610000 1050.110000 3554.790000 ;
-        RECT 1228.930000 3555.210000 1230.110000 3556.390000 ;
-        RECT 1228.930000 3553.610000 1230.110000 3554.790000 ;
-        RECT 1408.930000 3555.210000 1410.110000 3556.390000 ;
-        RECT 1408.930000 3553.610000 1410.110000 3554.790000 ;
-        RECT 1588.930000 3555.210000 1590.110000 3556.390000 ;
-        RECT 1588.930000 3553.610000 1590.110000 3554.790000 ;
-        RECT 1768.930000 3555.210000 1770.110000 3556.390000 ;
-        RECT 1768.930000 3553.610000 1770.110000 3554.790000 ;
-        RECT 1948.930000 3555.210000 1950.110000 3556.390000 ;
-        RECT 1948.930000 3553.610000 1950.110000 3554.790000 ;
-        RECT 2128.930000 3555.210000 2130.110000 3556.390000 ;
-        RECT 2128.930000 3553.610000 2130.110000 3554.790000 ;
-        RECT 2308.930000 3555.210000 2310.110000 3556.390000 ;
-        RECT 2308.930000 3553.610000 2310.110000 3554.790000 ;
-        RECT 2488.930000 3555.210000 2490.110000 3556.390000 ;
-        RECT 2488.930000 3553.610000 2490.110000 3554.790000 ;
-        RECT 2668.930000 3555.210000 2670.110000 3556.390000 ;
-        RECT 2668.930000 3553.610000 2670.110000 3554.790000 ;
-        RECT 2848.930000 3555.210000 2850.110000 3556.390000 ;
-        RECT 2848.930000 3553.610000 2850.110000 3554.790000 ;
-        RECT 2959.710000 3555.210000 2960.890000 3556.390000 ;
-        RECT 2959.710000 3553.610000 2960.890000 3554.790000 ;
-        RECT -41.270000 3395.090000 -40.090000 3396.270000 ;
-        RECT -41.270000 3393.490000 -40.090000 3394.670000 ;
-        RECT -41.270000 3215.090000 -40.090000 3216.270000 ;
-        RECT -41.270000 3213.490000 -40.090000 3214.670000 ;
-        RECT -41.270000 3035.090000 -40.090000 3036.270000 ;
-        RECT -41.270000 3033.490000 -40.090000 3034.670000 ;
-        RECT -41.270000 2855.090000 -40.090000 2856.270000 ;
-        RECT -41.270000 2853.490000 -40.090000 2854.670000 ;
-        RECT -41.270000 2675.090000 -40.090000 2676.270000 ;
-        RECT -41.270000 2673.490000 -40.090000 2674.670000 ;
-        RECT -41.270000 2495.090000 -40.090000 2496.270000 ;
-        RECT -41.270000 2493.490000 -40.090000 2494.670000 ;
-        RECT -41.270000 2315.090000 -40.090000 2316.270000 ;
-        RECT -41.270000 2313.490000 -40.090000 2314.670000 ;
-        RECT -41.270000 2135.090000 -40.090000 2136.270000 ;
-        RECT -41.270000 2133.490000 -40.090000 2134.670000 ;
-        RECT -41.270000 1955.090000 -40.090000 1956.270000 ;
-        RECT -41.270000 1953.490000 -40.090000 1954.670000 ;
-        RECT -41.270000 1775.090000 -40.090000 1776.270000 ;
-        RECT -41.270000 1773.490000 -40.090000 1774.670000 ;
-        RECT -41.270000 1595.090000 -40.090000 1596.270000 ;
-        RECT -41.270000 1593.490000 -40.090000 1594.670000 ;
-        RECT -41.270000 1415.090000 -40.090000 1416.270000 ;
-        RECT -41.270000 1413.490000 -40.090000 1414.670000 ;
-        RECT -41.270000 1235.090000 -40.090000 1236.270000 ;
-        RECT -41.270000 1233.490000 -40.090000 1234.670000 ;
-        RECT -41.270000 1055.090000 -40.090000 1056.270000 ;
-        RECT -41.270000 1053.490000 -40.090000 1054.670000 ;
-        RECT -41.270000 875.090000 -40.090000 876.270000 ;
-        RECT -41.270000 873.490000 -40.090000 874.670000 ;
-        RECT -41.270000 695.090000 -40.090000 696.270000 ;
-        RECT -41.270000 693.490000 -40.090000 694.670000 ;
-        RECT -41.270000 515.090000 -40.090000 516.270000 ;
-        RECT -41.270000 513.490000 -40.090000 514.670000 ;
-        RECT -41.270000 335.090000 -40.090000 336.270000 ;
-        RECT -41.270000 333.490000 -40.090000 334.670000 ;
-        RECT -41.270000 155.090000 -40.090000 156.270000 ;
-        RECT -41.270000 153.490000 -40.090000 154.670000 ;
-        RECT 2959.710000 3395.090000 2960.890000 3396.270000 ;
-        RECT 2959.710000 3393.490000 2960.890000 3394.670000 ;
-        RECT 2959.710000 3215.090000 2960.890000 3216.270000 ;
-        RECT 2959.710000 3213.490000 2960.890000 3214.670000 ;
-        RECT 2959.710000 3035.090000 2960.890000 3036.270000 ;
-        RECT 2959.710000 3033.490000 2960.890000 3034.670000 ;
-        RECT 2959.710000 2855.090000 2960.890000 2856.270000 ;
-        RECT 2959.710000 2853.490000 2960.890000 2854.670000 ;
-        RECT 2959.710000 2675.090000 2960.890000 2676.270000 ;
-        RECT 2959.710000 2673.490000 2960.890000 2674.670000 ;
-        RECT 2959.710000 2495.090000 2960.890000 2496.270000 ;
-        RECT 2959.710000 2493.490000 2960.890000 2494.670000 ;
-        RECT 2959.710000 2315.090000 2960.890000 2316.270000 ;
-        RECT 2959.710000 2313.490000 2960.890000 2314.670000 ;
-        RECT 2959.710000 2135.090000 2960.890000 2136.270000 ;
-        RECT 2959.710000 2133.490000 2960.890000 2134.670000 ;
-        RECT 2959.710000 1955.090000 2960.890000 1956.270000 ;
-        RECT 2959.710000 1953.490000 2960.890000 1954.670000 ;
-        RECT 2959.710000 1775.090000 2960.890000 1776.270000 ;
-        RECT 2959.710000 1773.490000 2960.890000 1774.670000 ;
-        RECT 2959.710000 1595.090000 2960.890000 1596.270000 ;
-        RECT 2959.710000 1593.490000 2960.890000 1594.670000 ;
-        RECT 2959.710000 1415.090000 2960.890000 1416.270000 ;
-        RECT 2959.710000 1413.490000 2960.890000 1414.670000 ;
-        RECT 2959.710000 1235.090000 2960.890000 1236.270000 ;
-        RECT 2959.710000 1233.490000 2960.890000 1234.670000 ;
-        RECT 2959.710000 1055.090000 2960.890000 1056.270000 ;
-        RECT 2959.710000 1053.490000 2960.890000 1054.670000 ;
-        RECT 2959.710000 875.090000 2960.890000 876.270000 ;
-        RECT 2959.710000 873.490000 2960.890000 874.670000 ;
-        RECT 2959.710000 695.090000 2960.890000 696.270000 ;
-        RECT 2959.710000 693.490000 2960.890000 694.670000 ;
-        RECT 2959.710000 515.090000 2960.890000 516.270000 ;
-        RECT 2959.710000 513.490000 2960.890000 514.670000 ;
-        RECT 2959.710000 335.090000 2960.890000 336.270000 ;
-        RECT 2959.710000 333.490000 2960.890000 334.670000 ;
-        RECT 2959.710000 155.090000 2960.890000 156.270000 ;
-        RECT 2959.710000 153.490000 2960.890000 154.670000 ;
-        RECT -41.270000 -35.110000 -40.090000 -33.930000 ;
-        RECT -41.270000 -36.710000 -40.090000 -35.530000 ;
-        RECT 148.930000 -35.110000 150.110000 -33.930000 ;
-        RECT 148.930000 -36.710000 150.110000 -35.530000 ;
-        RECT 328.930000 -35.110000 330.110000 -33.930000 ;
-        RECT 328.930000 -36.710000 330.110000 -35.530000 ;
-        RECT 508.930000 -35.110000 510.110000 -33.930000 ;
-        RECT 508.930000 -36.710000 510.110000 -35.530000 ;
-        RECT 688.930000 -35.110000 690.110000 -33.930000 ;
-        RECT 688.930000 -36.710000 690.110000 -35.530000 ;
-        RECT 868.930000 -35.110000 870.110000 -33.930000 ;
-        RECT 868.930000 -36.710000 870.110000 -35.530000 ;
-        RECT 1048.930000 -35.110000 1050.110000 -33.930000 ;
-        RECT 1048.930000 -36.710000 1050.110000 -35.530000 ;
-        RECT 1228.930000 -35.110000 1230.110000 -33.930000 ;
-        RECT 1228.930000 -36.710000 1230.110000 -35.530000 ;
-        RECT 1408.930000 -35.110000 1410.110000 -33.930000 ;
-        RECT 1408.930000 -36.710000 1410.110000 -35.530000 ;
-        RECT 1588.930000 -35.110000 1590.110000 -33.930000 ;
-        RECT 1588.930000 -36.710000 1590.110000 -35.530000 ;
-        RECT 1768.930000 -35.110000 1770.110000 -33.930000 ;
-        RECT 1768.930000 -36.710000 1770.110000 -35.530000 ;
-        RECT 1948.930000 -35.110000 1950.110000 -33.930000 ;
-        RECT 1948.930000 -36.710000 1950.110000 -35.530000 ;
-        RECT 2128.930000 -35.110000 2130.110000 -33.930000 ;
-        RECT 2128.930000 -36.710000 2130.110000 -35.530000 ;
-        RECT 2308.930000 -35.110000 2310.110000 -33.930000 ;
-        RECT 2308.930000 -36.710000 2310.110000 -35.530000 ;
-        RECT 2488.930000 -35.110000 2490.110000 -33.930000 ;
-        RECT 2488.930000 -36.710000 2490.110000 -35.530000 ;
-        RECT 2668.930000 -35.110000 2670.110000 -33.930000 ;
-        RECT 2668.930000 -36.710000 2670.110000 -35.530000 ;
-        RECT 2848.930000 -35.110000 2850.110000 -33.930000 ;
-        RECT 2848.930000 -36.710000 2850.110000 -35.530000 ;
-        RECT 2959.710000 -35.110000 2960.890000 -33.930000 ;
-        RECT 2959.710000 -36.710000 2960.890000 -35.530000 ;
+        RECT -41.970000 3555.910000 -40.790000 3557.090000 ;
+        RECT -41.970000 3554.310000 -40.790000 3555.490000 ;
+        RECT 148.930000 3555.910000 150.110000 3557.090000 ;
+        RECT 148.930000 3554.310000 150.110000 3555.490000 ;
+        RECT 328.930000 3555.910000 330.110000 3557.090000 ;
+        RECT 328.930000 3554.310000 330.110000 3555.490000 ;
+        RECT 508.930000 3555.910000 510.110000 3557.090000 ;
+        RECT 508.930000 3554.310000 510.110000 3555.490000 ;
+        RECT 688.930000 3555.910000 690.110000 3557.090000 ;
+        RECT 688.930000 3554.310000 690.110000 3555.490000 ;
+        RECT 868.930000 3555.910000 870.110000 3557.090000 ;
+        RECT 868.930000 3554.310000 870.110000 3555.490000 ;
+        RECT 1048.930000 3555.910000 1050.110000 3557.090000 ;
+        RECT 1048.930000 3554.310000 1050.110000 3555.490000 ;
+        RECT 1228.930000 3555.910000 1230.110000 3557.090000 ;
+        RECT 1228.930000 3554.310000 1230.110000 3555.490000 ;
+        RECT 1408.930000 3555.910000 1410.110000 3557.090000 ;
+        RECT 1408.930000 3554.310000 1410.110000 3555.490000 ;
+        RECT 1588.930000 3555.910000 1590.110000 3557.090000 ;
+        RECT 1588.930000 3554.310000 1590.110000 3555.490000 ;
+        RECT 1768.930000 3555.910000 1770.110000 3557.090000 ;
+        RECT 1768.930000 3554.310000 1770.110000 3555.490000 ;
+        RECT 1948.930000 3555.910000 1950.110000 3557.090000 ;
+        RECT 1948.930000 3554.310000 1950.110000 3555.490000 ;
+        RECT 2128.930000 3555.910000 2130.110000 3557.090000 ;
+        RECT 2128.930000 3554.310000 2130.110000 3555.490000 ;
+        RECT 2308.930000 3555.910000 2310.110000 3557.090000 ;
+        RECT 2308.930000 3554.310000 2310.110000 3555.490000 ;
+        RECT 2488.930000 3555.910000 2490.110000 3557.090000 ;
+        RECT 2488.930000 3554.310000 2490.110000 3555.490000 ;
+        RECT 2668.930000 3555.910000 2670.110000 3557.090000 ;
+        RECT 2668.930000 3554.310000 2670.110000 3555.490000 ;
+        RECT 2848.930000 3555.910000 2850.110000 3557.090000 ;
+        RECT 2848.930000 3554.310000 2850.110000 3555.490000 ;
+        RECT 2960.410000 3555.910000 2961.590000 3557.090000 ;
+        RECT 2960.410000 3554.310000 2961.590000 3555.490000 ;
+        RECT -41.970000 3395.090000 -40.790000 3396.270000 ;
+        RECT -41.970000 3393.490000 -40.790000 3394.670000 ;
+        RECT -41.970000 3215.090000 -40.790000 3216.270000 ;
+        RECT -41.970000 3213.490000 -40.790000 3214.670000 ;
+        RECT -41.970000 3035.090000 -40.790000 3036.270000 ;
+        RECT -41.970000 3033.490000 -40.790000 3034.670000 ;
+        RECT -41.970000 2855.090000 -40.790000 2856.270000 ;
+        RECT -41.970000 2853.490000 -40.790000 2854.670000 ;
+        RECT -41.970000 2675.090000 -40.790000 2676.270000 ;
+        RECT -41.970000 2673.490000 -40.790000 2674.670000 ;
+        RECT -41.970000 2495.090000 -40.790000 2496.270000 ;
+        RECT -41.970000 2493.490000 -40.790000 2494.670000 ;
+        RECT -41.970000 2315.090000 -40.790000 2316.270000 ;
+        RECT -41.970000 2313.490000 -40.790000 2314.670000 ;
+        RECT -41.970000 2135.090000 -40.790000 2136.270000 ;
+        RECT -41.970000 2133.490000 -40.790000 2134.670000 ;
+        RECT -41.970000 1955.090000 -40.790000 1956.270000 ;
+        RECT -41.970000 1953.490000 -40.790000 1954.670000 ;
+        RECT -41.970000 1775.090000 -40.790000 1776.270000 ;
+        RECT -41.970000 1773.490000 -40.790000 1774.670000 ;
+        RECT -41.970000 1595.090000 -40.790000 1596.270000 ;
+        RECT -41.970000 1593.490000 -40.790000 1594.670000 ;
+        RECT -41.970000 1415.090000 -40.790000 1416.270000 ;
+        RECT -41.970000 1413.490000 -40.790000 1414.670000 ;
+        RECT -41.970000 1235.090000 -40.790000 1236.270000 ;
+        RECT -41.970000 1233.490000 -40.790000 1234.670000 ;
+        RECT -41.970000 1055.090000 -40.790000 1056.270000 ;
+        RECT -41.970000 1053.490000 -40.790000 1054.670000 ;
+        RECT -41.970000 875.090000 -40.790000 876.270000 ;
+        RECT -41.970000 873.490000 -40.790000 874.670000 ;
+        RECT -41.970000 695.090000 -40.790000 696.270000 ;
+        RECT -41.970000 693.490000 -40.790000 694.670000 ;
+        RECT -41.970000 515.090000 -40.790000 516.270000 ;
+        RECT -41.970000 513.490000 -40.790000 514.670000 ;
+        RECT -41.970000 335.090000 -40.790000 336.270000 ;
+        RECT -41.970000 333.490000 -40.790000 334.670000 ;
+        RECT -41.970000 155.090000 -40.790000 156.270000 ;
+        RECT -41.970000 153.490000 -40.790000 154.670000 ;
+        RECT 2960.410000 3395.090000 2961.590000 3396.270000 ;
+        RECT 2960.410000 3393.490000 2961.590000 3394.670000 ;
+        RECT 2960.410000 3215.090000 2961.590000 3216.270000 ;
+        RECT 2960.410000 3213.490000 2961.590000 3214.670000 ;
+        RECT 2960.410000 3035.090000 2961.590000 3036.270000 ;
+        RECT 2960.410000 3033.490000 2961.590000 3034.670000 ;
+        RECT 2960.410000 2855.090000 2961.590000 2856.270000 ;
+        RECT 2960.410000 2853.490000 2961.590000 2854.670000 ;
+        RECT 2960.410000 2675.090000 2961.590000 2676.270000 ;
+        RECT 2960.410000 2673.490000 2961.590000 2674.670000 ;
+        RECT 2960.410000 2495.090000 2961.590000 2496.270000 ;
+        RECT 2960.410000 2493.490000 2961.590000 2494.670000 ;
+        RECT 2960.410000 2315.090000 2961.590000 2316.270000 ;
+        RECT 2960.410000 2313.490000 2961.590000 2314.670000 ;
+        RECT 2960.410000 2135.090000 2961.590000 2136.270000 ;
+        RECT 2960.410000 2133.490000 2961.590000 2134.670000 ;
+        RECT 2960.410000 1955.090000 2961.590000 1956.270000 ;
+        RECT 2960.410000 1953.490000 2961.590000 1954.670000 ;
+        RECT 2960.410000 1775.090000 2961.590000 1776.270000 ;
+        RECT 2960.410000 1773.490000 2961.590000 1774.670000 ;
+        RECT 2960.410000 1595.090000 2961.590000 1596.270000 ;
+        RECT 2960.410000 1593.490000 2961.590000 1594.670000 ;
+        RECT 2960.410000 1415.090000 2961.590000 1416.270000 ;
+        RECT 2960.410000 1413.490000 2961.590000 1414.670000 ;
+        RECT 2960.410000 1235.090000 2961.590000 1236.270000 ;
+        RECT 2960.410000 1233.490000 2961.590000 1234.670000 ;
+        RECT 2960.410000 1055.090000 2961.590000 1056.270000 ;
+        RECT 2960.410000 1053.490000 2961.590000 1054.670000 ;
+        RECT 2960.410000 875.090000 2961.590000 876.270000 ;
+        RECT 2960.410000 873.490000 2961.590000 874.670000 ;
+        RECT 2960.410000 695.090000 2961.590000 696.270000 ;
+        RECT 2960.410000 693.490000 2961.590000 694.670000 ;
+        RECT 2960.410000 515.090000 2961.590000 516.270000 ;
+        RECT 2960.410000 513.490000 2961.590000 514.670000 ;
+        RECT 2960.410000 335.090000 2961.590000 336.270000 ;
+        RECT 2960.410000 333.490000 2961.590000 334.670000 ;
+        RECT 2960.410000 155.090000 2961.590000 156.270000 ;
+        RECT 2960.410000 153.490000 2961.590000 154.670000 ;
+        RECT -41.970000 -35.810000 -40.790000 -34.630000 ;
+        RECT -41.970000 -37.410000 -40.790000 -36.230000 ;
+        RECT 148.930000 -35.810000 150.110000 -34.630000 ;
+        RECT 148.930000 -37.410000 150.110000 -36.230000 ;
+        RECT 328.930000 -35.810000 330.110000 -34.630000 ;
+        RECT 328.930000 -37.410000 330.110000 -36.230000 ;
+        RECT 508.930000 -35.810000 510.110000 -34.630000 ;
+        RECT 508.930000 -37.410000 510.110000 -36.230000 ;
+        RECT 688.930000 -35.810000 690.110000 -34.630000 ;
+        RECT 688.930000 -37.410000 690.110000 -36.230000 ;
+        RECT 868.930000 -35.810000 870.110000 -34.630000 ;
+        RECT 868.930000 -37.410000 870.110000 -36.230000 ;
+        RECT 1048.930000 -35.810000 1050.110000 -34.630000 ;
+        RECT 1048.930000 -37.410000 1050.110000 -36.230000 ;
+        RECT 1228.930000 -35.810000 1230.110000 -34.630000 ;
+        RECT 1228.930000 -37.410000 1230.110000 -36.230000 ;
+        RECT 1408.930000 -35.810000 1410.110000 -34.630000 ;
+        RECT 1408.930000 -37.410000 1410.110000 -36.230000 ;
+        RECT 1588.930000 -35.810000 1590.110000 -34.630000 ;
+        RECT 1588.930000 -37.410000 1590.110000 -36.230000 ;
+        RECT 1768.930000 -35.810000 1770.110000 -34.630000 ;
+        RECT 1768.930000 -37.410000 1770.110000 -36.230000 ;
+        RECT 1948.930000 -35.810000 1950.110000 -34.630000 ;
+        RECT 1948.930000 -37.410000 1950.110000 -36.230000 ;
+        RECT 2128.930000 -35.810000 2130.110000 -34.630000 ;
+        RECT 2128.930000 -37.410000 2130.110000 -36.230000 ;
+        RECT 2308.930000 -35.810000 2310.110000 -34.630000 ;
+        RECT 2308.930000 -37.410000 2310.110000 -36.230000 ;
+        RECT 2488.930000 -35.810000 2490.110000 -34.630000 ;
+        RECT 2488.930000 -37.410000 2490.110000 -36.230000 ;
+        RECT 2668.930000 -35.810000 2670.110000 -34.630000 ;
+        RECT 2668.930000 -37.410000 2670.110000 -36.230000 ;
+        RECT 2848.930000 -35.810000 2850.110000 -34.630000 ;
+        RECT 2848.930000 -37.410000 2850.110000 -36.230000 ;
+        RECT 2960.410000 -35.810000 2961.590000 -34.630000 ;
+        RECT 2960.410000 -37.410000 2961.590000 -36.230000 ;
       LAYER met5 ;
-        RECT -42.180000 3556.500000 -39.180000 3556.510000 ;
-        RECT 148.020000 3556.500000 151.020000 3556.510000 ;
-        RECT 328.020000 3556.500000 331.020000 3556.510000 ;
-        RECT 508.020000 3556.500000 511.020000 3556.510000 ;
-        RECT 688.020000 3556.500000 691.020000 3556.510000 ;
-        RECT 868.020000 3556.500000 871.020000 3556.510000 ;
-        RECT 1048.020000 3556.500000 1051.020000 3556.510000 ;
-        RECT 1228.020000 3556.500000 1231.020000 3556.510000 ;
-        RECT 1408.020000 3556.500000 1411.020000 3556.510000 ;
-        RECT 1588.020000 3556.500000 1591.020000 3556.510000 ;
-        RECT 1768.020000 3556.500000 1771.020000 3556.510000 ;
-        RECT 1948.020000 3556.500000 1951.020000 3556.510000 ;
-        RECT 2128.020000 3556.500000 2131.020000 3556.510000 ;
-        RECT 2308.020000 3556.500000 2311.020000 3556.510000 ;
-        RECT 2488.020000 3556.500000 2491.020000 3556.510000 ;
-        RECT 2668.020000 3556.500000 2671.020000 3556.510000 ;
-        RECT 2848.020000 3556.500000 2851.020000 3556.510000 ;
-        RECT 2958.800000 3556.500000 2961.800000 3556.510000 ;
-        RECT -42.180000 3553.500000 2961.800000 3556.500000 ;
-        RECT -42.180000 3553.490000 -39.180000 3553.500000 ;
-        RECT 148.020000 3553.490000 151.020000 3553.500000 ;
-        RECT 328.020000 3553.490000 331.020000 3553.500000 ;
-        RECT 508.020000 3553.490000 511.020000 3553.500000 ;
-        RECT 688.020000 3553.490000 691.020000 3553.500000 ;
-        RECT 868.020000 3553.490000 871.020000 3553.500000 ;
-        RECT 1048.020000 3553.490000 1051.020000 3553.500000 ;
-        RECT 1228.020000 3553.490000 1231.020000 3553.500000 ;
-        RECT 1408.020000 3553.490000 1411.020000 3553.500000 ;
-        RECT 1588.020000 3553.490000 1591.020000 3553.500000 ;
-        RECT 1768.020000 3553.490000 1771.020000 3553.500000 ;
-        RECT 1948.020000 3553.490000 1951.020000 3553.500000 ;
-        RECT 2128.020000 3553.490000 2131.020000 3553.500000 ;
-        RECT 2308.020000 3553.490000 2311.020000 3553.500000 ;
-        RECT 2488.020000 3553.490000 2491.020000 3553.500000 ;
-        RECT 2668.020000 3553.490000 2671.020000 3553.500000 ;
-        RECT 2848.020000 3553.490000 2851.020000 3553.500000 ;
-        RECT 2958.800000 3553.490000 2961.800000 3553.500000 ;
-        RECT -42.180000 3396.380000 -39.180000 3396.390000 ;
-        RECT 2958.800000 3396.380000 2961.800000 3396.390000 ;
-        RECT -42.180000 3393.380000 -0.400000 3396.380000 ;
-        RECT 2920.400000 3393.380000 2961.800000 3396.380000 ;
-        RECT -42.180000 3393.370000 -39.180000 3393.380000 ;
-        RECT 2958.800000 3393.370000 2961.800000 3393.380000 ;
-        RECT -42.180000 3216.380000 -39.180000 3216.390000 ;
-        RECT 2958.800000 3216.380000 2961.800000 3216.390000 ;
-        RECT -42.180000 3213.380000 -0.400000 3216.380000 ;
-        RECT 2920.400000 3213.380000 2961.800000 3216.380000 ;
-        RECT -42.180000 3213.370000 -39.180000 3213.380000 ;
-        RECT 2958.800000 3213.370000 2961.800000 3213.380000 ;
-        RECT -42.180000 3036.380000 -39.180000 3036.390000 ;
-        RECT 2958.800000 3036.380000 2961.800000 3036.390000 ;
-        RECT -42.180000 3033.380000 -0.400000 3036.380000 ;
-        RECT 2920.400000 3033.380000 2961.800000 3036.380000 ;
-        RECT -42.180000 3033.370000 -39.180000 3033.380000 ;
-        RECT 2958.800000 3033.370000 2961.800000 3033.380000 ;
-        RECT -42.180000 2856.380000 -39.180000 2856.390000 ;
-        RECT 2958.800000 2856.380000 2961.800000 2856.390000 ;
-        RECT -42.180000 2853.380000 -0.400000 2856.380000 ;
-        RECT 2920.400000 2853.380000 2961.800000 2856.380000 ;
-        RECT -42.180000 2853.370000 -39.180000 2853.380000 ;
-        RECT 2958.800000 2853.370000 2961.800000 2853.380000 ;
-        RECT -42.180000 2676.380000 -39.180000 2676.390000 ;
-        RECT 2958.800000 2676.380000 2961.800000 2676.390000 ;
-        RECT -42.180000 2673.380000 -0.400000 2676.380000 ;
-        RECT 2920.400000 2673.380000 2961.800000 2676.380000 ;
-        RECT -42.180000 2673.370000 -39.180000 2673.380000 ;
-        RECT 2958.800000 2673.370000 2961.800000 2673.380000 ;
-        RECT -42.180000 2496.380000 -39.180000 2496.390000 ;
-        RECT 2958.800000 2496.380000 2961.800000 2496.390000 ;
-        RECT -42.180000 2493.380000 -0.400000 2496.380000 ;
-        RECT 2920.400000 2493.380000 2961.800000 2496.380000 ;
-        RECT -42.180000 2493.370000 -39.180000 2493.380000 ;
-        RECT 2958.800000 2493.370000 2961.800000 2493.380000 ;
-        RECT -42.180000 2316.380000 -39.180000 2316.390000 ;
-        RECT 2958.800000 2316.380000 2961.800000 2316.390000 ;
-        RECT -42.180000 2313.380000 -0.400000 2316.380000 ;
-        RECT 2920.400000 2313.380000 2961.800000 2316.380000 ;
-        RECT -42.180000 2313.370000 -39.180000 2313.380000 ;
-        RECT 2958.800000 2313.370000 2961.800000 2313.380000 ;
-        RECT -42.180000 2136.380000 -39.180000 2136.390000 ;
-        RECT 2958.800000 2136.380000 2961.800000 2136.390000 ;
-        RECT -42.180000 2133.380000 -0.400000 2136.380000 ;
-        RECT 2920.400000 2133.380000 2961.800000 2136.380000 ;
-        RECT -42.180000 2133.370000 -39.180000 2133.380000 ;
-        RECT 2958.800000 2133.370000 2961.800000 2133.380000 ;
-        RECT -42.180000 1956.380000 -39.180000 1956.390000 ;
-        RECT 2958.800000 1956.380000 2961.800000 1956.390000 ;
-        RECT -42.180000 1953.380000 -0.400000 1956.380000 ;
-        RECT 2920.400000 1953.380000 2961.800000 1956.380000 ;
-        RECT -42.180000 1953.370000 -39.180000 1953.380000 ;
-        RECT 2958.800000 1953.370000 2961.800000 1953.380000 ;
-        RECT -42.180000 1776.380000 -39.180000 1776.390000 ;
-        RECT 2958.800000 1776.380000 2961.800000 1776.390000 ;
-        RECT -42.180000 1773.380000 -0.400000 1776.380000 ;
-        RECT 2920.400000 1773.380000 2961.800000 1776.380000 ;
-        RECT -42.180000 1773.370000 -39.180000 1773.380000 ;
-        RECT 2958.800000 1773.370000 2961.800000 1773.380000 ;
-        RECT -42.180000 1596.380000 -39.180000 1596.390000 ;
-        RECT 2958.800000 1596.380000 2961.800000 1596.390000 ;
-        RECT -42.180000 1593.380000 -0.400000 1596.380000 ;
-        RECT 2920.400000 1593.380000 2961.800000 1596.380000 ;
-        RECT -42.180000 1593.370000 -39.180000 1593.380000 ;
-        RECT 2958.800000 1593.370000 2961.800000 1593.380000 ;
-        RECT -42.180000 1416.380000 -39.180000 1416.390000 ;
-        RECT 2958.800000 1416.380000 2961.800000 1416.390000 ;
-        RECT -42.180000 1413.380000 -0.400000 1416.380000 ;
-        RECT 2920.400000 1413.380000 2961.800000 1416.380000 ;
-        RECT -42.180000 1413.370000 -39.180000 1413.380000 ;
-        RECT 2958.800000 1413.370000 2961.800000 1413.380000 ;
-        RECT -42.180000 1236.380000 -39.180000 1236.390000 ;
-        RECT 2958.800000 1236.380000 2961.800000 1236.390000 ;
-        RECT -42.180000 1233.380000 -0.400000 1236.380000 ;
-        RECT 2920.400000 1233.380000 2961.800000 1236.380000 ;
-        RECT -42.180000 1233.370000 -39.180000 1233.380000 ;
-        RECT 2958.800000 1233.370000 2961.800000 1233.380000 ;
-        RECT -42.180000 1056.380000 -39.180000 1056.390000 ;
-        RECT 2958.800000 1056.380000 2961.800000 1056.390000 ;
-        RECT -42.180000 1053.380000 -0.400000 1056.380000 ;
-        RECT 2920.400000 1053.380000 2961.800000 1056.380000 ;
-        RECT -42.180000 1053.370000 -39.180000 1053.380000 ;
-        RECT 2958.800000 1053.370000 2961.800000 1053.380000 ;
-        RECT -42.180000 876.380000 -39.180000 876.390000 ;
-        RECT 2958.800000 876.380000 2961.800000 876.390000 ;
-        RECT -42.180000 873.380000 -0.400000 876.380000 ;
-        RECT 2920.400000 873.380000 2961.800000 876.380000 ;
-        RECT -42.180000 873.370000 -39.180000 873.380000 ;
-        RECT 2958.800000 873.370000 2961.800000 873.380000 ;
-        RECT -42.180000 696.380000 -39.180000 696.390000 ;
-        RECT 2958.800000 696.380000 2961.800000 696.390000 ;
-        RECT -42.180000 693.380000 -0.400000 696.380000 ;
-        RECT 2920.400000 693.380000 2961.800000 696.380000 ;
-        RECT -42.180000 693.370000 -39.180000 693.380000 ;
-        RECT 2958.800000 693.370000 2961.800000 693.380000 ;
-        RECT -42.180000 516.380000 -39.180000 516.390000 ;
-        RECT 2958.800000 516.380000 2961.800000 516.390000 ;
-        RECT -42.180000 513.380000 -0.400000 516.380000 ;
-        RECT 2920.400000 513.380000 2961.800000 516.380000 ;
-        RECT -42.180000 513.370000 -39.180000 513.380000 ;
-        RECT 2958.800000 513.370000 2961.800000 513.380000 ;
-        RECT -42.180000 336.380000 -39.180000 336.390000 ;
-        RECT 2958.800000 336.380000 2961.800000 336.390000 ;
-        RECT -42.180000 333.380000 -0.400000 336.380000 ;
-        RECT 2920.400000 333.380000 2961.800000 336.380000 ;
-        RECT -42.180000 333.370000 -39.180000 333.380000 ;
-        RECT 2958.800000 333.370000 2961.800000 333.380000 ;
-        RECT -42.180000 156.380000 -39.180000 156.390000 ;
-        RECT 2958.800000 156.380000 2961.800000 156.390000 ;
-        RECT -42.180000 153.380000 -0.400000 156.380000 ;
-        RECT 2920.400000 153.380000 2961.800000 156.380000 ;
-        RECT -42.180000 153.370000 -39.180000 153.380000 ;
-        RECT 2958.800000 153.370000 2961.800000 153.380000 ;
-        RECT -42.180000 -33.820000 -39.180000 -33.810000 ;
-        RECT 148.020000 -33.820000 151.020000 -33.810000 ;
-        RECT 328.020000 -33.820000 331.020000 -33.810000 ;
-        RECT 508.020000 -33.820000 511.020000 -33.810000 ;
-        RECT 688.020000 -33.820000 691.020000 -33.810000 ;
-        RECT 868.020000 -33.820000 871.020000 -33.810000 ;
-        RECT 1048.020000 -33.820000 1051.020000 -33.810000 ;
-        RECT 1228.020000 -33.820000 1231.020000 -33.810000 ;
-        RECT 1408.020000 -33.820000 1411.020000 -33.810000 ;
-        RECT 1588.020000 -33.820000 1591.020000 -33.810000 ;
-        RECT 1768.020000 -33.820000 1771.020000 -33.810000 ;
-        RECT 1948.020000 -33.820000 1951.020000 -33.810000 ;
-        RECT 2128.020000 -33.820000 2131.020000 -33.810000 ;
-        RECT 2308.020000 -33.820000 2311.020000 -33.810000 ;
-        RECT 2488.020000 -33.820000 2491.020000 -33.810000 ;
-        RECT 2668.020000 -33.820000 2671.020000 -33.810000 ;
-        RECT 2848.020000 -33.820000 2851.020000 -33.810000 ;
-        RECT 2958.800000 -33.820000 2961.800000 -33.810000 ;
-        RECT -42.180000 -36.820000 2961.800000 -33.820000 ;
-        RECT -42.180000 -36.830000 -39.180000 -36.820000 ;
-        RECT 148.020000 -36.830000 151.020000 -36.820000 ;
-        RECT 328.020000 -36.830000 331.020000 -36.820000 ;
-        RECT 508.020000 -36.830000 511.020000 -36.820000 ;
-        RECT 688.020000 -36.830000 691.020000 -36.820000 ;
-        RECT 868.020000 -36.830000 871.020000 -36.820000 ;
-        RECT 1048.020000 -36.830000 1051.020000 -36.820000 ;
-        RECT 1228.020000 -36.830000 1231.020000 -36.820000 ;
-        RECT 1408.020000 -36.830000 1411.020000 -36.820000 ;
-        RECT 1588.020000 -36.830000 1591.020000 -36.820000 ;
-        RECT 1768.020000 -36.830000 1771.020000 -36.820000 ;
-        RECT 1948.020000 -36.830000 1951.020000 -36.820000 ;
-        RECT 2128.020000 -36.830000 2131.020000 -36.820000 ;
-        RECT 2308.020000 -36.830000 2311.020000 -36.820000 ;
-        RECT 2488.020000 -36.830000 2491.020000 -36.820000 ;
-        RECT 2668.020000 -36.830000 2671.020000 -36.820000 ;
-        RECT 2848.020000 -36.830000 2851.020000 -36.820000 ;
-        RECT 2958.800000 -36.830000 2961.800000 -36.820000 ;
+        RECT -42.880000 3557.200000 -39.880000 3557.210000 ;
+        RECT 148.020000 3557.200000 151.020000 3557.210000 ;
+        RECT 328.020000 3557.200000 331.020000 3557.210000 ;
+        RECT 508.020000 3557.200000 511.020000 3557.210000 ;
+        RECT 688.020000 3557.200000 691.020000 3557.210000 ;
+        RECT 868.020000 3557.200000 871.020000 3557.210000 ;
+        RECT 1048.020000 3557.200000 1051.020000 3557.210000 ;
+        RECT 1228.020000 3557.200000 1231.020000 3557.210000 ;
+        RECT 1408.020000 3557.200000 1411.020000 3557.210000 ;
+        RECT 1588.020000 3557.200000 1591.020000 3557.210000 ;
+        RECT 1768.020000 3557.200000 1771.020000 3557.210000 ;
+        RECT 1948.020000 3557.200000 1951.020000 3557.210000 ;
+        RECT 2128.020000 3557.200000 2131.020000 3557.210000 ;
+        RECT 2308.020000 3557.200000 2311.020000 3557.210000 ;
+        RECT 2488.020000 3557.200000 2491.020000 3557.210000 ;
+        RECT 2668.020000 3557.200000 2671.020000 3557.210000 ;
+        RECT 2848.020000 3557.200000 2851.020000 3557.210000 ;
+        RECT 2959.500000 3557.200000 2962.500000 3557.210000 ;
+        RECT -42.880000 3554.200000 2962.500000 3557.200000 ;
+        RECT -42.880000 3554.190000 -39.880000 3554.200000 ;
+        RECT 148.020000 3554.190000 151.020000 3554.200000 ;
+        RECT 328.020000 3554.190000 331.020000 3554.200000 ;
+        RECT 508.020000 3554.190000 511.020000 3554.200000 ;
+        RECT 688.020000 3554.190000 691.020000 3554.200000 ;
+        RECT 868.020000 3554.190000 871.020000 3554.200000 ;
+        RECT 1048.020000 3554.190000 1051.020000 3554.200000 ;
+        RECT 1228.020000 3554.190000 1231.020000 3554.200000 ;
+        RECT 1408.020000 3554.190000 1411.020000 3554.200000 ;
+        RECT 1588.020000 3554.190000 1591.020000 3554.200000 ;
+        RECT 1768.020000 3554.190000 1771.020000 3554.200000 ;
+        RECT 1948.020000 3554.190000 1951.020000 3554.200000 ;
+        RECT 2128.020000 3554.190000 2131.020000 3554.200000 ;
+        RECT 2308.020000 3554.190000 2311.020000 3554.200000 ;
+        RECT 2488.020000 3554.190000 2491.020000 3554.200000 ;
+        RECT 2668.020000 3554.190000 2671.020000 3554.200000 ;
+        RECT 2848.020000 3554.190000 2851.020000 3554.200000 ;
+        RECT 2959.500000 3554.190000 2962.500000 3554.200000 ;
+        RECT -42.880000 3396.380000 -39.880000 3396.390000 ;
+        RECT 2959.500000 3396.380000 2962.500000 3396.390000 ;
+        RECT -42.880000 3393.380000 -0.400000 3396.380000 ;
+        RECT 2920.400000 3393.380000 2962.500000 3396.380000 ;
+        RECT -42.880000 3393.370000 -39.880000 3393.380000 ;
+        RECT 2959.500000 3393.370000 2962.500000 3393.380000 ;
+        RECT -42.880000 3216.380000 -39.880000 3216.390000 ;
+        RECT 2959.500000 3216.380000 2962.500000 3216.390000 ;
+        RECT -42.880000 3213.380000 -0.400000 3216.380000 ;
+        RECT 2920.400000 3213.380000 2962.500000 3216.380000 ;
+        RECT -42.880000 3213.370000 -39.880000 3213.380000 ;
+        RECT 2959.500000 3213.370000 2962.500000 3213.380000 ;
+        RECT -42.880000 3036.380000 -39.880000 3036.390000 ;
+        RECT 2959.500000 3036.380000 2962.500000 3036.390000 ;
+        RECT -42.880000 3033.380000 -0.400000 3036.380000 ;
+        RECT 2920.400000 3033.380000 2962.500000 3036.380000 ;
+        RECT -42.880000 3033.370000 -39.880000 3033.380000 ;
+        RECT 2959.500000 3033.370000 2962.500000 3033.380000 ;
+        RECT -42.880000 2856.380000 -39.880000 2856.390000 ;
+        RECT 2959.500000 2856.380000 2962.500000 2856.390000 ;
+        RECT -42.880000 2853.380000 -0.400000 2856.380000 ;
+        RECT 2920.400000 2853.380000 2962.500000 2856.380000 ;
+        RECT -42.880000 2853.370000 -39.880000 2853.380000 ;
+        RECT 2959.500000 2853.370000 2962.500000 2853.380000 ;
+        RECT -42.880000 2676.380000 -39.880000 2676.390000 ;
+        RECT 2959.500000 2676.380000 2962.500000 2676.390000 ;
+        RECT -42.880000 2673.380000 -0.400000 2676.380000 ;
+        RECT 2920.400000 2673.380000 2962.500000 2676.380000 ;
+        RECT -42.880000 2673.370000 -39.880000 2673.380000 ;
+        RECT 2959.500000 2673.370000 2962.500000 2673.380000 ;
+        RECT -42.880000 2496.380000 -39.880000 2496.390000 ;
+        RECT 2959.500000 2496.380000 2962.500000 2496.390000 ;
+        RECT -42.880000 2493.380000 -0.400000 2496.380000 ;
+        RECT 2920.400000 2493.380000 2962.500000 2496.380000 ;
+        RECT -42.880000 2493.370000 -39.880000 2493.380000 ;
+        RECT 2959.500000 2493.370000 2962.500000 2493.380000 ;
+        RECT -42.880000 2316.380000 -39.880000 2316.390000 ;
+        RECT 2959.500000 2316.380000 2962.500000 2316.390000 ;
+        RECT -42.880000 2313.380000 -0.400000 2316.380000 ;
+        RECT 2920.400000 2313.380000 2962.500000 2316.380000 ;
+        RECT -42.880000 2313.370000 -39.880000 2313.380000 ;
+        RECT 2959.500000 2313.370000 2962.500000 2313.380000 ;
+        RECT -42.880000 2136.380000 -39.880000 2136.390000 ;
+        RECT 2959.500000 2136.380000 2962.500000 2136.390000 ;
+        RECT -42.880000 2133.380000 -0.400000 2136.380000 ;
+        RECT 2920.400000 2133.380000 2962.500000 2136.380000 ;
+        RECT -42.880000 2133.370000 -39.880000 2133.380000 ;
+        RECT 2959.500000 2133.370000 2962.500000 2133.380000 ;
+        RECT -42.880000 1956.380000 -39.880000 1956.390000 ;
+        RECT 2959.500000 1956.380000 2962.500000 1956.390000 ;
+        RECT -42.880000 1953.380000 -0.400000 1956.380000 ;
+        RECT 2920.400000 1953.380000 2962.500000 1956.380000 ;
+        RECT -42.880000 1953.370000 -39.880000 1953.380000 ;
+        RECT 2959.500000 1953.370000 2962.500000 1953.380000 ;
+        RECT -42.880000 1776.380000 -39.880000 1776.390000 ;
+        RECT 2959.500000 1776.380000 2962.500000 1776.390000 ;
+        RECT -42.880000 1773.380000 -0.400000 1776.380000 ;
+        RECT 2920.400000 1773.380000 2962.500000 1776.380000 ;
+        RECT -42.880000 1773.370000 -39.880000 1773.380000 ;
+        RECT 2959.500000 1773.370000 2962.500000 1773.380000 ;
+        RECT -42.880000 1596.380000 -39.880000 1596.390000 ;
+        RECT 2959.500000 1596.380000 2962.500000 1596.390000 ;
+        RECT -42.880000 1593.380000 -0.400000 1596.380000 ;
+        RECT 2920.400000 1593.380000 2962.500000 1596.380000 ;
+        RECT -42.880000 1593.370000 -39.880000 1593.380000 ;
+        RECT 2959.500000 1593.370000 2962.500000 1593.380000 ;
+        RECT -42.880000 1416.380000 -39.880000 1416.390000 ;
+        RECT 2959.500000 1416.380000 2962.500000 1416.390000 ;
+        RECT -42.880000 1413.380000 -0.400000 1416.380000 ;
+        RECT 2920.400000 1413.380000 2962.500000 1416.380000 ;
+        RECT -42.880000 1413.370000 -39.880000 1413.380000 ;
+        RECT 2959.500000 1413.370000 2962.500000 1413.380000 ;
+        RECT -42.880000 1236.380000 -39.880000 1236.390000 ;
+        RECT 2959.500000 1236.380000 2962.500000 1236.390000 ;
+        RECT -42.880000 1233.380000 -0.400000 1236.380000 ;
+        RECT 2920.400000 1233.380000 2962.500000 1236.380000 ;
+        RECT -42.880000 1233.370000 -39.880000 1233.380000 ;
+        RECT 2959.500000 1233.370000 2962.500000 1233.380000 ;
+        RECT -42.880000 1056.380000 -39.880000 1056.390000 ;
+        RECT 2959.500000 1056.380000 2962.500000 1056.390000 ;
+        RECT -42.880000 1053.380000 -0.400000 1056.380000 ;
+        RECT 2920.400000 1053.380000 2962.500000 1056.380000 ;
+        RECT -42.880000 1053.370000 -39.880000 1053.380000 ;
+        RECT 2959.500000 1053.370000 2962.500000 1053.380000 ;
+        RECT -42.880000 876.380000 -39.880000 876.390000 ;
+        RECT 2959.500000 876.380000 2962.500000 876.390000 ;
+        RECT -42.880000 873.380000 -0.400000 876.380000 ;
+        RECT 2920.400000 873.380000 2962.500000 876.380000 ;
+        RECT -42.880000 873.370000 -39.880000 873.380000 ;
+        RECT 2959.500000 873.370000 2962.500000 873.380000 ;
+        RECT -42.880000 696.380000 -39.880000 696.390000 ;
+        RECT 2959.500000 696.380000 2962.500000 696.390000 ;
+        RECT -42.880000 693.380000 -0.400000 696.380000 ;
+        RECT 2920.400000 693.380000 2962.500000 696.380000 ;
+        RECT -42.880000 693.370000 -39.880000 693.380000 ;
+        RECT 2959.500000 693.370000 2962.500000 693.380000 ;
+        RECT -42.880000 516.380000 -39.880000 516.390000 ;
+        RECT 2959.500000 516.380000 2962.500000 516.390000 ;
+        RECT -42.880000 513.380000 -0.400000 516.380000 ;
+        RECT 2920.400000 513.380000 2962.500000 516.380000 ;
+        RECT -42.880000 513.370000 -39.880000 513.380000 ;
+        RECT 2959.500000 513.370000 2962.500000 513.380000 ;
+        RECT -42.880000 336.380000 -39.880000 336.390000 ;
+        RECT 2959.500000 336.380000 2962.500000 336.390000 ;
+        RECT -42.880000 333.380000 -0.400000 336.380000 ;
+        RECT 2920.400000 333.380000 2962.500000 336.380000 ;
+        RECT -42.880000 333.370000 -39.880000 333.380000 ;
+        RECT 2959.500000 333.370000 2962.500000 333.380000 ;
+        RECT -42.880000 156.380000 -39.880000 156.390000 ;
+        RECT 2959.500000 156.380000 2962.500000 156.390000 ;
+        RECT -42.880000 153.380000 -0.400000 156.380000 ;
+        RECT 2920.400000 153.380000 2962.500000 156.380000 ;
+        RECT -42.880000 153.370000 -39.880000 153.380000 ;
+        RECT 2959.500000 153.370000 2962.500000 153.380000 ;
+        RECT -42.880000 -34.520000 -39.880000 -34.510000 ;
+        RECT 148.020000 -34.520000 151.020000 -34.510000 ;
+        RECT 328.020000 -34.520000 331.020000 -34.510000 ;
+        RECT 508.020000 -34.520000 511.020000 -34.510000 ;
+        RECT 688.020000 -34.520000 691.020000 -34.510000 ;
+        RECT 868.020000 -34.520000 871.020000 -34.510000 ;
+        RECT 1048.020000 -34.520000 1051.020000 -34.510000 ;
+        RECT 1228.020000 -34.520000 1231.020000 -34.510000 ;
+        RECT 1408.020000 -34.520000 1411.020000 -34.510000 ;
+        RECT 1588.020000 -34.520000 1591.020000 -34.510000 ;
+        RECT 1768.020000 -34.520000 1771.020000 -34.510000 ;
+        RECT 1948.020000 -34.520000 1951.020000 -34.510000 ;
+        RECT 2128.020000 -34.520000 2131.020000 -34.510000 ;
+        RECT 2308.020000 -34.520000 2311.020000 -34.510000 ;
+        RECT 2488.020000 -34.520000 2491.020000 -34.510000 ;
+        RECT 2668.020000 -34.520000 2671.020000 -34.510000 ;
+        RECT 2848.020000 -34.520000 2851.020000 -34.510000 ;
+        RECT 2959.500000 -34.520000 2962.500000 -34.510000 ;
+        RECT -42.880000 -37.520000 2962.500000 -34.520000 ;
+        RECT -42.880000 -37.530000 -39.880000 -37.520000 ;
+        RECT 148.020000 -37.530000 151.020000 -37.520000 ;
+        RECT 328.020000 -37.530000 331.020000 -37.520000 ;
+        RECT 508.020000 -37.530000 511.020000 -37.520000 ;
+        RECT 688.020000 -37.530000 691.020000 -37.520000 ;
+        RECT 868.020000 -37.530000 871.020000 -37.520000 ;
+        RECT 1048.020000 -37.530000 1051.020000 -37.520000 ;
+        RECT 1228.020000 -37.530000 1231.020000 -37.520000 ;
+        RECT 1408.020000 -37.530000 1411.020000 -37.520000 ;
+        RECT 1588.020000 -37.530000 1591.020000 -37.520000 ;
+        RECT 1768.020000 -37.530000 1771.020000 -37.520000 ;
+        RECT 1948.020000 -37.530000 1951.020000 -37.520000 ;
+        RECT 2128.020000 -37.530000 2131.020000 -37.520000 ;
+        RECT 2308.020000 -37.530000 2311.020000 -37.520000 ;
+        RECT 2488.020000 -37.530000 2491.020000 -37.520000 ;
+        RECT 2668.020000 -37.530000 2671.020000 -37.520000 ;
+        RECT 2848.020000 -37.530000 2851.020000 -37.520000 ;
+        RECT 2959.500000 -37.530000 2962.500000 -37.520000 ;
     END
   END vssa2
    OBS
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/results/synthesis/user_project_wrapper.synthesis.v b/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/results/synthesis/user_project_wrapper.synthesis.v
index 2ea1e97..cf8a65f 100644
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/results/synthesis/user_project_wrapper.synthesis.v
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/results/synthesis/user_project_wrapper.synthesis.v
@@ -9,14 +9,6 @@
   output [127:0] la_data_out;
   input [127:0] la_oen;
   input user_clock2;
-  wire vccd1;
-  wire vccd2;
-  wire vdda1;
-  wire vdda2;
-  wire vssa1;
-  wire vssa2;
-  wire vssd1;
-  wire vssd2;
   input wb_clk_i;
   input wb_rst_i;
   output wbs_ack_o;
@@ -34,14 +26,6 @@
     .la_data_in(la_data_in),
     .la_data_out(la_data_out),
     .la_oen(la_oen),
-    .vccd1(vccd1),
-    .vccd2(vccd2),
-    .vdda1(vdda1),
-    .vdda2(vdda2),
-    .vssa1(vssa1),
-    .vssa2(vssa2),
-    .vssd1(vssd1),
-    .vssd2(vssd2),
     .wb_clk_i(wb_clk_i),
     .wb_rst_i(wb_rst_i),
     .wbs_ack_o(wbs_ack_o),
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/synthesis/user_project_wrapper.synthesis.v b/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/results/synthesis/user_project_wrapper.synthesis.ve
similarity index 80%
rename from openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/synthesis/user_project_wrapper.synthesis.v
rename to openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/results/synthesis/user_project_wrapper.synthesis.ve
index 2ea1e97..cf8a65f 100644
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper/results/synthesis/user_project_wrapper.synthesis.v
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/results/synthesis/user_project_wrapper.synthesis.ve
@@ -9,14 +9,6 @@
   output [127:0] la_data_out;
   input [127:0] la_oen;
   input user_clock2;
-  wire vccd1;
-  wire vccd2;
-  wire vdda1;
-  wire vdda2;
-  wire vssa1;
-  wire vssa2;
-  wire vssd1;
-  wire vssd2;
   input wb_clk_i;
   input wb_rst_i;
   output wbs_ack_o;
@@ -34,14 +26,6 @@
     .la_data_in(la_data_in),
     .la_data_out(la_data_out),
     .la_oen(la_oen),
-    .vccd1(vccd1),
-    .vccd2(vccd2),
-    .vdda1(vdda1),
-    .vdda2(vdda2),
-    .vssa1(vssa1),
-    .vssa2(vssa2),
-    .vssd1(vssd1),
-    .vssd2(vssd2),
     .wb_clk_i(wb_clk_i),
     .wb_rst_i(wb_rst_i),
     .wbs_ack_o(wbs_ack_o),
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/tmp/floorplan/pdn.def b/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/tmp/floorplan/pdn.def
index fee93a0..b091c79 100644
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/tmp/floorplan/pdn.def
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/tmp/floorplan/pdn.def
@@ -1305,7 +1305,7 @@
 VIAS 1 ;
     - via4_3000x3000 + VIARULE M4M5_PR + CUTSIZE 800 800  + LAYERS met4 via4 met5  + CUTSPACING 800 800  + ENCLOSURE 1100 300 1100 310  + ROWCOL 2 1  ;
 END VIAS
-PINS 644 ;
+PINS 1240 ;
     - analog_io[0] + NET analog_io[0] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 29580 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
     - analog_io[10] + NET analog_io[10] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 2375580 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
     - analog_io[11] + NET analog_io[11] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 2610180 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
@@ -1942,14 +1942,610 @@
     - wbs_sel_i[3] + NET wbs_sel_i[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 127650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
     - wbs_stb_i + NET wbs_stb_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 26450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
     - wbs_we_i + NET wbs_we_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 32430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - vccd1 + NET vccd1 + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -3120 ) N + LAYER met5 ( -1469790 -1500 ) ( 1469790 1500 ) ;
-    - vssd1 + NET vssd1 + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -7720 ) N + LAYER met5 ( -1474390 -1500 ) ( 1474390 1500 ) ;
-    - vccd2 + NET vccd2 + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -12320 ) N + LAYER met5 ( -1478990 -1500 ) ( 1478990 1500 ) ;
-    - vssd2 + NET vssd2 + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -16920 ) N + LAYER met5 ( -1483590 -1500 ) ( 1483590 1500 ) ;
-    - vdda1 + NET vdda1 + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -21520 ) N + LAYER met5 ( -1488190 -1500 ) ( 1488190 1500 ) ;
-    - vssa1 + NET vssa1 + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -26120 ) N + LAYER met5 ( -1492790 -1500 ) ( 1492790 1500 ) ;
-    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -30720 ) N + LAYER met5 ( -1497390 -1500 ) ( 1497390 1500 ) ;
-    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -35320 ) N + LAYER met5 ( -1501990 -1500 ) ( 1501990 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2885520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2705520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2525520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2345520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2165520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1985520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1805520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1625520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1445520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1265520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1085520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 905520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 725520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 545520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 365520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 185520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 5520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2928100 1759840 ) N + LAYER met4 ( -1500 -1764460 ) ( 1500 1764460 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -8480 1759840 ) N + LAYER met4 ( -1500 -1764460 ) ( 1500 1764460 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2885520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2705520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2525520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2345520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2165520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1985520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1805520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1625520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1445520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1265520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1085520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 905520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 725520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 545520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 365520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 185520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 5520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 3522800 ) N + LAYER met5 ( -1469790 -1500 ) ( 1469790 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 3430880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 3430880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 3250880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 3250880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 3070880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 3070880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 2890880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 2890880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 2710880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 2710880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 2530880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 2530880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 2350880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 2350880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 2170880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 2170880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 1990880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 1990880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 1810880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 1810880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 1630880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 1630880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 1450880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 1450880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 1270880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 1270880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 1090880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 1090880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 910880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 910880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 730880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 730880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 550880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 550880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 370880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 370880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 190880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 190880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 10880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 10880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -3120 ) N + LAYER met5 ( -1469790 -1500 ) ( 1469790 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2932800 1759840 ) N + LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2795520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2615520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2435520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2255520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2075520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1895520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1715520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1535520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1355520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1175520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 995520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 815520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 635520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 455520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 275520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 95520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -13180 1759840 ) N + LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2795520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2615520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2435520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2255520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2075520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1895520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1715520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1535520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1355520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1175520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 995520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 815520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 635520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 455520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 275520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 95520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 3527500 ) N + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 3340880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 3340880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 3160880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 3160880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 2980880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 2980880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 2800880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 2800880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 2620880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 2620880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 2440880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 2440880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 2260880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 2260880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 2080880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 2080880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 1900880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 1900880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 1720880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 1720880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 1540880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 1540880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 1360880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 1360880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 1180880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 1180880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 1000880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 1000880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 820880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 820880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 640880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 640880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 460880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 460880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 280880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 280880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 100880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 100880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -7820 ) N + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2903520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2723520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2543520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2363520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2183520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2003520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1823520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1643520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1463520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1283520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1103520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 923520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 743520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 563520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 383520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 203520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 23520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2937500 1759840 ) N + LAYER met4 ( -1500 -1773860 ) ( 1500 1773860 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -17880 1759840 ) N + LAYER met4 ( -1500 -1773860 ) ( 1500 1773860 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2903520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2723520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2543520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2363520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2183520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2003520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1823520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1643520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1463520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1283520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1103520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 923520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 743520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 563520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 383520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 203520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 23520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 3532200 ) N + LAYER met5 ( -1479190 -1500 ) ( 1479190 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 3448880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 3448880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 3268880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 3268880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 3088880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 3088880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 2908880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 2908880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 2728880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 2728880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 2548880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 2548880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 2368880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 2368880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 2188880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 2188880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 2008880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 2008880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 1828880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 1828880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 1648880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 1648880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 1468880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 1468880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 1288880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 1288880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 1108880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 1108880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 928880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 928880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 748880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 748880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 568880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 568880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 388880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 388880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 208880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 208880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 28880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 28880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -12520 ) N + LAYER met5 ( -1479190 -1500 ) ( 1479190 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2942200 1759840 ) N + LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2813520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2633520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2453520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2273520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2093520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1913520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1733520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1553520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1373520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1193520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1013520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 833520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 653520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 473520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 293520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 113520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -22580 1759840 ) N + LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2813520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2633520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2453520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2273520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2093520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1913520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1733520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1553520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1373520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1193520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1013520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 833520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 653520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 473520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 293520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 113520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 3536900 ) N + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 3358880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 3358880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 3178880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 3178880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 2998880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 2998880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 2818880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 2818880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 2638880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 2638880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 2458880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 2458880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 2278880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 2278880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 2098880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 2098880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 1918880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 1918880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 1738880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 1738880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 1558880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 1558880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 1378880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 1378880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 1198880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 1198880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 1018880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 1018880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 838880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 838880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 658880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 658880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 478880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 478880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 298880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 298880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 118880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 118880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -17220 ) N + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2741520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2561520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2381520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2201520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2021520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1841520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1661520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1481520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1301520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1121520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 941520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 761520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 581520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 401520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 221520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 41520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2946900 1759840 ) N + LAYER met4 ( -1500 -1783260 ) ( 1500 1783260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -27280 1759840 ) N + LAYER met4 ( -1500 -1783260 ) ( 1500 1783260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2741520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2561520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2381520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2201520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2021520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1841520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1661520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1481520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1301520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1121520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 941520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 761520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 581520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 401520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 221520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 41520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 3541600 ) N + LAYER met5 ( -1488590 -1500 ) ( 1488590 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 3466880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 3466880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 3286880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 3286880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 3106880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 3106880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 2926880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 2926880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 2746880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 2746880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 2566880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 2566880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 2386880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 2386880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 2206880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 2206880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 2026880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 2026880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 1846880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 1846880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 1666880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 1666880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 1486880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 1486880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 1306880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 1306880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 1126880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 1126880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 946880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 946880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 766880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 766880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 586880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 586880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 406880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 406880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 226880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 226880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 46880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 46880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -21920 ) N + LAYER met5 ( -1488590 -1500 ) ( 1488590 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2951600 1759840 ) N + LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2831520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2651520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2471520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2291520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2111520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1931520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1751520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1571520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1391520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1211520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1031520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 851520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 671520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 491520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 311520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 131520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -31980 1759840 ) N + LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2831520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2651520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2471520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2291520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2111520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1931520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1751520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1571520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1391520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1211520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1031520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 851520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 671520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 491520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 311520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 131520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 3546300 ) N + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 3376880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 3376880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 3196880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 3196880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 3016880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 3016880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 2836880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 2836880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 2656880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 2656880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 2476880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 2476880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 2296880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 2296880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 2116880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 2116880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 1936880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 1936880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 1756880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 1756880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 1576880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 1576880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 1396880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 1396880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 1216880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 1216880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 1036880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 1036880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 856880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 856880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 676880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 676880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 496880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 496880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 316880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 316880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 136880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 136880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -26620 ) N + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2759520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2579520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2399520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2219520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2039520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1859520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1679520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1499520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1319520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1139520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 959520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 779520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 599520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 419520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 239520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 59520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2956300 1759840 ) N + LAYER met4 ( -1500 -1792660 ) ( 1500 1792660 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -36680 1759840 ) N + LAYER met4 ( -1500 -1792660 ) ( 1500 1792660 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2759520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2579520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2399520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2219520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2039520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1859520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1679520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1499520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1319520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1139520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 959520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 779520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 599520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 419520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 239520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 59520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 3551000 ) N + LAYER met5 ( -1497990 -1500 ) ( 1497990 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 3484880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 3484880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 3304880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 3304880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 3124880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 3124880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 2944880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 2944880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 2764880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 2764880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 2584880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 2584880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 2404880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 2404880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 2224880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 2224880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 2044880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 2044880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 1864880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 1864880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 1684880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 1684880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 1504880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 1504880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 1324880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 1324880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 1144880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 1144880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 964880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 964880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 784880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 784880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 604880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 604880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 424880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 424880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 244880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 244880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 64880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 64880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -31320 ) N + LAYER met5 ( -1497990 -1500 ) ( 1497990 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2961000 1759840 ) N + LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2849520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2669520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2489520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2309520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2129520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1949520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1769520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1589520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1409520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1229520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1049520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 869520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 689520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 509520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 329520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 149520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -41380 1759840 ) N + LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2849520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2669520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2489520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2309520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2129520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1949520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1769520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1589520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1409520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1229520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1049520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 869520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 689520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 509520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 329520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 149520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 3555700 ) N + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 3394880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 3394880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 3214880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 3214880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 3034880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 3034880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 2854880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 2854880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 2674880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 2674880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 2494880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 2494880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 2314880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 2314880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 2134880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 2134880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 1954880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 1954880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 1774880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 1774880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 1594880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 1594880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 1414880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 1414880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 1234880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 1234880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 1054880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 1054880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 874880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 874880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 694880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 694880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 514880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 514880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 334880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 334880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 154880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 154880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -36020 ) N + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 ) ;
 END PINS
 SPECIALNETS 8 ;
     - vccd1 ( PIN vccd1 ) + USE POWER
@@ -2032,1141 +2628,1141 @@
       NEW met4 0 + SHAPE STRIPE ( 5520 -3120 ) via4_3000x3000
       NEW met4 0 + SHAPE STRIPE ( -8480 -3120 ) via4_3000x3000
       NEW met5 3000 + SHAPE STRIPE ( -9980 3522800 ) ( 2929600 3522800 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3430880 ) ( 2934200 3430880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 3430880 ) ( 2400 3430880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3250880 ) ( 2934200 3250880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 3250880 ) ( 2400 3250880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3070880 ) ( 2934200 3070880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 3070880 ) ( 2400 3070880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2890880 ) ( 2934200 2890880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2890880 ) ( 2400 2890880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2710880 ) ( 2934200 2710880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2710880 ) ( 2400 2710880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2530880 ) ( 2934200 2530880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2530880 ) ( 2400 2530880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2350880 ) ( 2934200 2350880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2350880 ) ( 2400 2350880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2170880 ) ( 2934200 2170880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2170880 ) ( 2400 2170880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1990880 ) ( 2934200 1990880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1990880 ) ( 2400 1990880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1810880 ) ( 2934200 1810880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1810880 ) ( 2400 1810880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1630880 ) ( 2934200 1630880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1630880 ) ( 2400 1630880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1450880 ) ( 2934200 1450880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1450880 ) ( 2400 1450880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1270880 ) ( 2934200 1270880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1270880 ) ( 2400 1270880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1090880 ) ( 2934200 1090880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1090880 ) ( 2400 1090880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 910880 ) ( 2934200 910880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 910880 ) ( 2400 910880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 730880 ) ( 2934200 730880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 730880 ) ( 2400 730880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 550880 ) ( 2934200 550880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 550880 ) ( 2400 550880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 370880 ) ( 2934200 370880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 370880 ) ( 2400 370880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 190880 ) ( 2934200 190880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 190880 ) ( 2400 190880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 10880 ) ( 2934200 10880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 10880 ) ( 2400 10880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3430880 ) ( 2934300 3430880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 3430880 ) ( 2400 3430880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3250880 ) ( 2934300 3250880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 3250880 ) ( 2400 3250880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3070880 ) ( 2934300 3070880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 3070880 ) ( 2400 3070880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2890880 ) ( 2934300 2890880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 2890880 ) ( 2400 2890880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2710880 ) ( 2934300 2710880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 2710880 ) ( 2400 2710880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2530880 ) ( 2934300 2530880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 2530880 ) ( 2400 2530880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2350880 ) ( 2934300 2350880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 2350880 ) ( 2400 2350880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2170880 ) ( 2934300 2170880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 2170880 ) ( 2400 2170880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1990880 ) ( 2934300 1990880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 1990880 ) ( 2400 1990880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1810880 ) ( 2934300 1810880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 1810880 ) ( 2400 1810880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1630880 ) ( 2934300 1630880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 1630880 ) ( 2400 1630880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1450880 ) ( 2934300 1450880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 1450880 ) ( 2400 1450880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1270880 ) ( 2934300 1270880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 1270880 ) ( 2400 1270880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1090880 ) ( 2934300 1090880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 1090880 ) ( 2400 1090880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 910880 ) ( 2934300 910880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 910880 ) ( 2400 910880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 730880 ) ( 2934300 730880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 730880 ) ( 2400 730880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 550880 ) ( 2934300 550880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 550880 ) ( 2400 550880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 370880 ) ( 2934300 370880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 370880 ) ( 2400 370880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 190880 ) ( 2934300 190880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 190880 ) ( 2400 190880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 10880 ) ( 2934300 10880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 10880 ) ( 2400 10880 )
       NEW met5 3000 + SHAPE STRIPE ( -9980 -3120 ) ( 2929600 -3120 )
-      NEW met4 3000 + SHAPE STRIPE ( 2885520 3517600 ) ( 2885520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2705520 3517600 ) ( 2705520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2525520 3517600 ) ( 2525520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2345520 3517600 ) ( 2345520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2165520 3517600 ) ( 2165520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1985520 3517600 ) ( 1985520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1805520 3517600 ) ( 1805520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1625520 3517600 ) ( 1625520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1445520 3517600 ) ( 1445520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1265520 3517600 ) ( 1265520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1085520 3517600 ) ( 1085520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 905520 3517600 ) ( 905520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 725520 3517600 ) ( 725520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 545520 3517600 ) ( 545520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 365520 3517600 ) ( 365520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 185520 3517600 ) ( 185520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 5520 3517600 ) ( 5520 3528900 )
+      NEW met4 3000 + SHAPE STRIPE ( 2885520 3517600 ) ( 2885520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 2705520 3517600 ) ( 2705520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 2525520 3517600 ) ( 2525520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 2345520 3517600 ) ( 2345520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 2165520 3517600 ) ( 2165520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 1985520 3517600 ) ( 1985520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 1805520 3517600 ) ( 1805520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 1625520 3517600 ) ( 1625520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 1445520 3517600 ) ( 1445520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 1265520 3517600 ) ( 1265520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 1085520 3517600 ) ( 1085520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 905520 3517600 ) ( 905520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 725520 3517600 ) ( 725520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 545520 3517600 ) ( 545520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 365520 3517600 ) ( 365520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 185520 3517600 ) ( 185520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 5520 3517600 ) ( 5520 3529000 )
       NEW met4 3000 + SHAPE STRIPE ( 2928100 -4620 ) ( 2928100 3524300 )
       NEW met4 3000 + SHAPE STRIPE ( -8480 -4620 ) ( -8480 3524300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2885520 -9220 ) ( 2885520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2705520 -9220 ) ( 2705520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2525520 -9220 ) ( 2525520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2345520 -9220 ) ( 2345520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2165520 -9220 ) ( 2165520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1985520 -9220 ) ( 1985520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1805520 -9220 ) ( 1805520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1625520 -9220 ) ( 1625520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1445520 -9220 ) ( 1445520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1265520 -9220 ) ( 1265520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1085520 -9220 ) ( 1085520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 905520 -9220 ) ( 905520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 725520 -9220 ) ( 725520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 545520 -9220 ) ( 545520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 365520 -9220 ) ( 365520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 185520 -9220 ) ( 185520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 5520 -9220 ) ( 5520 2400 ) ;
+      NEW met4 3000 + SHAPE STRIPE ( 2885520 -9320 ) ( 2885520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2705520 -9320 ) ( 2705520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2525520 -9320 ) ( 2525520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2345520 -9320 ) ( 2345520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2165520 -9320 ) ( 2165520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1985520 -9320 ) ( 1985520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1805520 -9320 ) ( 1805520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1625520 -9320 ) ( 1625520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1445520 -9320 ) ( 1445520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1265520 -9320 ) ( 1265520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1085520 -9320 ) ( 1085520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 905520 -9320 ) ( 905520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 725520 -9320 ) ( 725520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 545520 -9320 ) ( 545520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 365520 -9320 ) ( 365520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 185520 -9320 ) ( 185520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 5520 -9320 ) ( 5520 2400 ) ;
     - vssd1 ( PIN vssd1 ) + USE GROUND
-      + ROUTED met4 0 + SHAPE STRIPE ( 2932700 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2795520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2615520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2435520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2255520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2075520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1895520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1715520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1535520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1355520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1175520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 995520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 815520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 635520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 455520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 275520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 95520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 3340880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 3340880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 3160880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 3160880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 2980880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 2980880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 2800880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 2800880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 2620880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 2620880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 2440880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 2440880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 2260880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 2260880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 2080880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 2080880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 1900880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 1900880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 1720880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 1720880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 1540880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 1540880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 1360880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 1360880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 1180880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 1180880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 1000880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 1000880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 820880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 820880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 640880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 640880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 460880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 460880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 280880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 280880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 100880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 100880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2795520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2615520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2435520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2255520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2075520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1895520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1715520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1535520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1355520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1175520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 995520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 815520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 635520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 455520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 275520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 95520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 -7720 ) via4_3000x3000
-      NEW met5 3000 + SHAPE STRIPE ( -14580 3527400 ) ( 2934200 3527400 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3340880 ) ( 2934200 3340880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 3340880 ) ( 2400 3340880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3160880 ) ( 2934200 3160880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 3160880 ) ( 2400 3160880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2980880 ) ( 2934200 2980880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2980880 ) ( 2400 2980880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2800880 ) ( 2934200 2800880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2800880 ) ( 2400 2800880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2620880 ) ( 2934200 2620880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2620880 ) ( 2400 2620880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2440880 ) ( 2934200 2440880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2440880 ) ( 2400 2440880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2260880 ) ( 2934200 2260880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2260880 ) ( 2400 2260880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2080880 ) ( 2934200 2080880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2080880 ) ( 2400 2080880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1900880 ) ( 2934200 1900880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1900880 ) ( 2400 1900880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1720880 ) ( 2934200 1720880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1720880 ) ( 2400 1720880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1540880 ) ( 2934200 1540880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1540880 ) ( 2400 1540880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1360880 ) ( 2934200 1360880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1360880 ) ( 2400 1360880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1180880 ) ( 2934200 1180880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1180880 ) ( 2400 1180880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1000880 ) ( 2934200 1000880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1000880 ) ( 2400 1000880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 820880 ) ( 2934200 820880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 820880 ) ( 2400 820880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 640880 ) ( 2934200 640880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 640880 ) ( 2400 640880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 460880 ) ( 2934200 460880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 460880 ) ( 2400 460880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 280880 ) ( 2934200 280880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 280880 ) ( 2400 280880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 100880 ) ( 2934200 100880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 100880 ) ( 2400 100880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 -7720 ) ( 2934200 -7720 )
-      NEW met4 3000 + SHAPE STRIPE ( 2932700 -9220 ) ( 2932700 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2795520 3517600 ) ( 2795520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2615520 3517600 ) ( 2615520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2435520 3517600 ) ( 2435520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2255520 3517600 ) ( 2255520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2075520 3517600 ) ( 2075520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1895520 3517600 ) ( 1895520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1715520 3517600 ) ( 1715520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1535520 3517600 ) ( 1535520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1355520 3517600 ) ( 1355520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1175520 3517600 ) ( 1175520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 995520 3517600 ) ( 995520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 815520 3517600 ) ( 815520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 635520 3517600 ) ( 635520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 455520 3517600 ) ( 455520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 275520 3517600 ) ( 275520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 95520 3517600 ) ( 95520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( -13080 -9220 ) ( -13080 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2795520 -9220 ) ( 2795520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2615520 -9220 ) ( 2615520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2435520 -9220 ) ( 2435520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2255520 -9220 ) ( 2255520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2075520 -9220 ) ( 2075520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1895520 -9220 ) ( 1895520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1715520 -9220 ) ( 1715520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1535520 -9220 ) ( 1535520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1355520 -9220 ) ( 1355520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1175520 -9220 ) ( 1175520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 995520 -9220 ) ( 995520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 815520 -9220 ) ( 815520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 635520 -9220 ) ( 635520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 455520 -9220 ) ( 455520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 275520 -9220 ) ( 275520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 95520 -9220 ) ( 95520 2400 ) ;
+      + ROUTED met4 0 + SHAPE STRIPE ( 2932800 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2795520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2615520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2435520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2255520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2075520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1895520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1715520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1535520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1355520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1175520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 995520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 815520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 635520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 455520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 275520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 95520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 3340880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 3340880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 3160880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 3160880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 2980880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 2980880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 2800880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 2800880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 2620880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 2620880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 2440880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 2440880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 2260880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 2260880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 2080880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 2080880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 1900880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 1900880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 1720880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 1720880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 1540880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 1540880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 1360880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 1360880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 1180880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 1180880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 1000880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 1000880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 820880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 820880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 640880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 640880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 460880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 460880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 280880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 280880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 100880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 100880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2795520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2615520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2435520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2255520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2075520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1895520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1715520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1535520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1355520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1175520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 995520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 815520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 635520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 455520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 275520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 95520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 -7820 ) via4_3000x3000
+      NEW met5 3000 + SHAPE STRIPE ( -14680 3527500 ) ( 2934300 3527500 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3340880 ) ( 2934300 3340880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 3340880 ) ( 2400 3340880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3160880 ) ( 2934300 3160880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 3160880 ) ( 2400 3160880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2980880 ) ( 2934300 2980880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 2980880 ) ( 2400 2980880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2800880 ) ( 2934300 2800880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 2800880 ) ( 2400 2800880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2620880 ) ( 2934300 2620880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 2620880 ) ( 2400 2620880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2440880 ) ( 2934300 2440880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 2440880 ) ( 2400 2440880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2260880 ) ( 2934300 2260880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 2260880 ) ( 2400 2260880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2080880 ) ( 2934300 2080880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 2080880 ) ( 2400 2080880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1900880 ) ( 2934300 1900880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 1900880 ) ( 2400 1900880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1720880 ) ( 2934300 1720880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 1720880 ) ( 2400 1720880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1540880 ) ( 2934300 1540880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 1540880 ) ( 2400 1540880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1360880 ) ( 2934300 1360880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 1360880 ) ( 2400 1360880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1180880 ) ( 2934300 1180880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 1180880 ) ( 2400 1180880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1000880 ) ( 2934300 1000880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 1000880 ) ( 2400 1000880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 820880 ) ( 2934300 820880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 820880 ) ( 2400 820880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 640880 ) ( 2934300 640880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 640880 ) ( 2400 640880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 460880 ) ( 2934300 460880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 460880 ) ( 2400 460880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 280880 ) ( 2934300 280880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 280880 ) ( 2400 280880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 100880 ) ( 2934300 100880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 100880 ) ( 2400 100880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 -7820 ) ( 2934300 -7820 )
+      NEW met4 3000 + SHAPE STRIPE ( 2932800 -9320 ) ( 2932800 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 2795520 3517600 ) ( 2795520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 2615520 3517600 ) ( 2615520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 2435520 3517600 ) ( 2435520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 2255520 3517600 ) ( 2255520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 2075520 3517600 ) ( 2075520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 1895520 3517600 ) ( 1895520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 1715520 3517600 ) ( 1715520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 1535520 3517600 ) ( 1535520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 1355520 3517600 ) ( 1355520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 1175520 3517600 ) ( 1175520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 995520 3517600 ) ( 995520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 815520 3517600 ) ( 815520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 635520 3517600 ) ( 635520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 455520 3517600 ) ( 455520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 275520 3517600 ) ( 275520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 95520 3517600 ) ( 95520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( -13180 -9320 ) ( -13180 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 2795520 -9320 ) ( 2795520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2615520 -9320 ) ( 2615520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2435520 -9320 ) ( 2435520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2255520 -9320 ) ( 2255520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2075520 -9320 ) ( 2075520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1895520 -9320 ) ( 1895520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1715520 -9320 ) ( 1715520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1535520 -9320 ) ( 1535520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1355520 -9320 ) ( 1355520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1175520 -9320 ) ( 1175520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 995520 -9320 ) ( 995520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 815520 -9320 ) ( 815520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 635520 -9320 ) ( 635520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 455520 -9320 ) ( 455520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 275520 -9320 ) ( 275520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 95520 -9320 ) ( 95520 2400 ) ;
     - vccd2 ( PIN vccd2 ) + USE POWER
-      + ROUTED met4 0 + SHAPE STRIPE ( 2937300 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2903520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2723520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2543520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2363520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2183520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2003520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1823520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1643520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1463520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1283520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1103520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 923520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 743520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 563520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 383520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 203520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 23520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 3448880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 3448880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 3268880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 3268880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 3088880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 3088880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 2908880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 2908880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 2728880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 2728880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 2548880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 2548880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 2368880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 2368880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 2188880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 2188880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 2008880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 2008880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 1828880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 1828880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 1648880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 1648880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 1468880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 1468880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 1288880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 1288880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 1108880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 1108880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 928880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 928880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 748880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 748880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 568880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 568880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 388880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 388880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 208880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 208880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 28880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 28880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2903520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2723520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2543520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2363520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2183520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2003520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1823520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1643520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1463520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1283520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1103520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 923520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 743520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 563520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 383520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 203520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 23520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 -12320 ) via4_3000x3000
-      NEW met5 3000 + SHAPE STRIPE ( -19180 3532000 ) ( 2938800 3532000 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3448880 ) ( 2943400 3448880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 3448880 ) ( 2400 3448880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3268880 ) ( 2943400 3268880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 3268880 ) ( 2400 3268880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3088880 ) ( 2943400 3088880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 3088880 ) ( 2400 3088880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2908880 ) ( 2943400 2908880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2908880 ) ( 2400 2908880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2728880 ) ( 2943400 2728880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2728880 ) ( 2400 2728880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2548880 ) ( 2943400 2548880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2548880 ) ( 2400 2548880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2368880 ) ( 2943400 2368880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2368880 ) ( 2400 2368880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2188880 ) ( 2943400 2188880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2188880 ) ( 2400 2188880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2008880 ) ( 2943400 2008880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2008880 ) ( 2400 2008880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1828880 ) ( 2943400 1828880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1828880 ) ( 2400 1828880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1648880 ) ( 2943400 1648880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1648880 ) ( 2400 1648880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1468880 ) ( 2943400 1468880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1468880 ) ( 2400 1468880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1288880 ) ( 2943400 1288880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1288880 ) ( 2400 1288880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1108880 ) ( 2943400 1108880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1108880 ) ( 2400 1108880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 928880 ) ( 2943400 928880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 928880 ) ( 2400 928880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 748880 ) ( 2943400 748880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 748880 ) ( 2400 748880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 568880 ) ( 2943400 568880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 568880 ) ( 2400 568880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 388880 ) ( 2943400 388880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 388880 ) ( 2400 388880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 208880 ) ( 2943400 208880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 208880 ) ( 2400 208880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 28880 ) ( 2943400 28880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 28880 ) ( 2400 28880 )
-      NEW met5 3000 + SHAPE STRIPE ( -19180 -12320 ) ( 2938800 -12320 )
-      NEW met4 3000 + SHAPE STRIPE ( 2903520 3517600 ) ( 2903520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2723520 3517600 ) ( 2723520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2543520 3517600 ) ( 2543520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2363520 3517600 ) ( 2363520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2183520 3517600 ) ( 2183520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2003520 3517600 ) ( 2003520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1823520 3517600 ) ( 1823520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1643520 3517600 ) ( 1643520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1463520 3517600 ) ( 1463520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1283520 3517600 ) ( 1283520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1103520 3517600 ) ( 1103520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 923520 3517600 ) ( 923520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 743520 3517600 ) ( 743520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 563520 3517600 ) ( 563520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 383520 3517600 ) ( 383520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 203520 3517600 ) ( 203520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 23520 3517600 ) ( 23520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2937300 -13820 ) ( 2937300 3533500 )
-      NEW met4 3000 + SHAPE STRIPE ( -17680 -13820 ) ( -17680 3533500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2903520 -18420 ) ( 2903520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2723520 -18420 ) ( 2723520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2543520 -18420 ) ( 2543520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2363520 -18420 ) ( 2363520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2183520 -18420 ) ( 2183520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2003520 -18420 ) ( 2003520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1823520 -18420 ) ( 1823520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1643520 -18420 ) ( 1643520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1463520 -18420 ) ( 1463520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1283520 -18420 ) ( 1283520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1103520 -18420 ) ( 1103520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 923520 -18420 ) ( 923520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 743520 -18420 ) ( 743520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 563520 -18420 ) ( 563520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 383520 -18420 ) ( 383520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 203520 -18420 ) ( 203520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 23520 -18420 ) ( 23520 2400 ) ;
+      + ROUTED met4 0 + SHAPE STRIPE ( 2937500 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2903520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2723520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2543520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2363520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2183520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2003520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1823520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1643520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1463520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1283520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1103520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 923520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 743520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 563520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 383520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 203520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 23520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 3448880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 3448880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 3268880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 3268880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 3088880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 3088880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 2908880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 2908880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 2728880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 2728880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 2548880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 2548880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 2368880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 2368880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 2188880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 2188880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 2008880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 2008880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 1828880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 1828880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 1648880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 1648880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 1468880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 1468880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 1288880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 1288880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 1108880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 1108880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 928880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 928880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 748880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 748880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 568880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 568880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 388880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 388880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 208880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 208880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 28880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 28880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2903520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2723520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2543520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2363520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2183520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2003520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1823520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1643520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1463520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1283520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1103520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 923520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 743520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 563520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 383520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 203520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 23520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 -12520 ) via4_3000x3000
+      NEW met5 3000 + SHAPE STRIPE ( -19380 3532200 ) ( 2939000 3532200 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3448880 ) ( 2943700 3448880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 3448880 ) ( 2400 3448880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3268880 ) ( 2943700 3268880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 3268880 ) ( 2400 3268880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3088880 ) ( 2943700 3088880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 3088880 ) ( 2400 3088880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2908880 ) ( 2943700 2908880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 2908880 ) ( 2400 2908880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2728880 ) ( 2943700 2728880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 2728880 ) ( 2400 2728880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2548880 ) ( 2943700 2548880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 2548880 ) ( 2400 2548880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2368880 ) ( 2943700 2368880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 2368880 ) ( 2400 2368880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2188880 ) ( 2943700 2188880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 2188880 ) ( 2400 2188880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2008880 ) ( 2943700 2008880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 2008880 ) ( 2400 2008880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1828880 ) ( 2943700 1828880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 1828880 ) ( 2400 1828880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1648880 ) ( 2943700 1648880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 1648880 ) ( 2400 1648880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1468880 ) ( 2943700 1468880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 1468880 ) ( 2400 1468880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1288880 ) ( 2943700 1288880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 1288880 ) ( 2400 1288880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1108880 ) ( 2943700 1108880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 1108880 ) ( 2400 1108880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 928880 ) ( 2943700 928880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 928880 ) ( 2400 928880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 748880 ) ( 2943700 748880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 748880 ) ( 2400 748880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 568880 ) ( 2943700 568880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 568880 ) ( 2400 568880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 388880 ) ( 2943700 388880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 388880 ) ( 2400 388880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 208880 ) ( 2943700 208880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 208880 ) ( 2400 208880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 28880 ) ( 2943700 28880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 28880 ) ( 2400 28880 )
+      NEW met5 3000 + SHAPE STRIPE ( -19380 -12520 ) ( 2939000 -12520 )
+      NEW met4 3000 + SHAPE STRIPE ( 2903520 3517600 ) ( 2903520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2723520 3517600 ) ( 2723520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2543520 3517600 ) ( 2543520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2363520 3517600 ) ( 2363520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2183520 3517600 ) ( 2183520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2003520 3517600 ) ( 2003520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1823520 3517600 ) ( 1823520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1643520 3517600 ) ( 1643520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1463520 3517600 ) ( 1463520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1283520 3517600 ) ( 1283520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1103520 3517600 ) ( 1103520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 923520 3517600 ) ( 923520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 743520 3517600 ) ( 743520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 563520 3517600 ) ( 563520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 383520 3517600 ) ( 383520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 203520 3517600 ) ( 203520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 23520 3517600 ) ( 23520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2937500 -14020 ) ( 2937500 3533700 )
+      NEW met4 3000 + SHAPE STRIPE ( -17880 -14020 ) ( -17880 3533700 )
+      NEW met4 3000 + SHAPE STRIPE ( 2903520 -18720 ) ( 2903520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2723520 -18720 ) ( 2723520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2543520 -18720 ) ( 2543520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2363520 -18720 ) ( 2363520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2183520 -18720 ) ( 2183520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2003520 -18720 ) ( 2003520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1823520 -18720 ) ( 1823520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1643520 -18720 ) ( 1643520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1463520 -18720 ) ( 1463520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1283520 -18720 ) ( 1283520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1103520 -18720 ) ( 1103520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 923520 -18720 ) ( 923520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 743520 -18720 ) ( 743520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 563520 -18720 ) ( 563520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 383520 -18720 ) ( 383520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 203520 -18720 ) ( 203520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 23520 -18720 ) ( 23520 2400 ) ;
     - vssd2 ( PIN vssd2 ) + USE GROUND
-      + ROUTED met4 0 + SHAPE STRIPE ( 2941900 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2813520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2633520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2453520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2273520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2093520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1913520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1733520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1553520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1373520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1193520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1013520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 833520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 653520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 473520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 293520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 113520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 3358880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 3358880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 3178880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 3178880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 2998880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 2998880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 2818880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 2818880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 2638880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 2638880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 2458880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 2458880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 2278880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 2278880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 2098880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 2098880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 1918880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 1918880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 1738880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 1738880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 1558880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 1558880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 1378880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 1378880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 1198880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 1198880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 1018880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 1018880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 838880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 838880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 658880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 658880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 478880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 478880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 298880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 298880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 118880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 118880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2813520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2633520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2453520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2273520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2093520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1913520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1733520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1553520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1373520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1193520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1013520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 833520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 653520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 473520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 293520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 113520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 -16920 ) via4_3000x3000
-      NEW met5 3000 + SHAPE STRIPE ( -23780 3536600 ) ( 2943400 3536600 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3358880 ) ( 2943400 3358880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 3358880 ) ( 2400 3358880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3178880 ) ( 2943400 3178880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 3178880 ) ( 2400 3178880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2998880 ) ( 2943400 2998880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2998880 ) ( 2400 2998880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2818880 ) ( 2943400 2818880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2818880 ) ( 2400 2818880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2638880 ) ( 2943400 2638880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2638880 ) ( 2400 2638880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2458880 ) ( 2943400 2458880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2458880 ) ( 2400 2458880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2278880 ) ( 2943400 2278880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2278880 ) ( 2400 2278880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2098880 ) ( 2943400 2098880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2098880 ) ( 2400 2098880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1918880 ) ( 2943400 1918880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1918880 ) ( 2400 1918880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1738880 ) ( 2943400 1738880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1738880 ) ( 2400 1738880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1558880 ) ( 2943400 1558880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1558880 ) ( 2400 1558880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1378880 ) ( 2943400 1378880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1378880 ) ( 2400 1378880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1198880 ) ( 2943400 1198880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1198880 ) ( 2400 1198880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1018880 ) ( 2943400 1018880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1018880 ) ( 2400 1018880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 838880 ) ( 2943400 838880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 838880 ) ( 2400 838880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 658880 ) ( 2943400 658880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 658880 ) ( 2400 658880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 478880 ) ( 2943400 478880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 478880 ) ( 2400 478880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 298880 ) ( 2943400 298880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 298880 ) ( 2400 298880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 118880 ) ( 2943400 118880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 118880 ) ( 2400 118880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 -16920 ) ( 2943400 -16920 )
-      NEW met4 3000 + SHAPE STRIPE ( 2941900 -18420 ) ( 2941900 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2813520 3517600 ) ( 2813520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2633520 3517600 ) ( 2633520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2453520 3517600 ) ( 2453520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2273520 3517600 ) ( 2273520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2093520 3517600 ) ( 2093520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1913520 3517600 ) ( 1913520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1733520 3517600 ) ( 1733520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1553520 3517600 ) ( 1553520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1373520 3517600 ) ( 1373520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1193520 3517600 ) ( 1193520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1013520 3517600 ) ( 1013520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 833520 3517600 ) ( 833520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 653520 3517600 ) ( 653520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 473520 3517600 ) ( 473520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 293520 3517600 ) ( 293520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 113520 3517600 ) ( 113520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( -22280 -18420 ) ( -22280 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2813520 -18420 ) ( 2813520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2633520 -18420 ) ( 2633520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2453520 -18420 ) ( 2453520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2273520 -18420 ) ( 2273520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2093520 -18420 ) ( 2093520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1913520 -18420 ) ( 1913520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1733520 -18420 ) ( 1733520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1553520 -18420 ) ( 1553520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1373520 -18420 ) ( 1373520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1193520 -18420 ) ( 1193520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1013520 -18420 ) ( 1013520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 833520 -18420 ) ( 833520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 653520 -18420 ) ( 653520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 473520 -18420 ) ( 473520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 293520 -18420 ) ( 293520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 113520 -18420 ) ( 113520 2400 ) ;
+      + ROUTED met4 0 + SHAPE STRIPE ( 2942200 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2813520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2633520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2453520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2273520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2093520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1913520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1733520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1553520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1373520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1193520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1013520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 833520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 653520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 473520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 293520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 113520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 3358880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 3358880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 3178880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 3178880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 2998880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 2998880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 2818880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 2818880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 2638880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 2638880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 2458880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 2458880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 2278880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 2278880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 2098880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 2098880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 1918880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 1918880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 1738880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 1738880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 1558880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 1558880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 1378880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 1378880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 1198880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 1198880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 1018880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 1018880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 838880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 838880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 658880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 658880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 478880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 478880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 298880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 298880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 118880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 118880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2813520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2633520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2453520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2273520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2093520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1913520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1733520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1553520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1373520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1193520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1013520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 833520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 653520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 473520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 293520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 113520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 -17220 ) via4_3000x3000
+      NEW met5 3000 + SHAPE STRIPE ( -24080 3536900 ) ( 2943700 3536900 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3358880 ) ( 2943700 3358880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 3358880 ) ( 2400 3358880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3178880 ) ( 2943700 3178880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 3178880 ) ( 2400 3178880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2998880 ) ( 2943700 2998880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 2998880 ) ( 2400 2998880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2818880 ) ( 2943700 2818880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 2818880 ) ( 2400 2818880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2638880 ) ( 2943700 2638880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 2638880 ) ( 2400 2638880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2458880 ) ( 2943700 2458880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 2458880 ) ( 2400 2458880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2278880 ) ( 2943700 2278880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 2278880 ) ( 2400 2278880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2098880 ) ( 2943700 2098880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 2098880 ) ( 2400 2098880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1918880 ) ( 2943700 1918880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 1918880 ) ( 2400 1918880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1738880 ) ( 2943700 1738880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 1738880 ) ( 2400 1738880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1558880 ) ( 2943700 1558880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 1558880 ) ( 2400 1558880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1378880 ) ( 2943700 1378880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 1378880 ) ( 2400 1378880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1198880 ) ( 2943700 1198880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 1198880 ) ( 2400 1198880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1018880 ) ( 2943700 1018880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 1018880 ) ( 2400 1018880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 838880 ) ( 2943700 838880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 838880 ) ( 2400 838880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 658880 ) ( 2943700 658880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 658880 ) ( 2400 658880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 478880 ) ( 2943700 478880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 478880 ) ( 2400 478880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 298880 ) ( 2943700 298880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 298880 ) ( 2400 298880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 118880 ) ( 2943700 118880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 118880 ) ( 2400 118880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 -17220 ) ( 2943700 -17220 )
+      NEW met4 3000 + SHAPE STRIPE ( 2942200 -18720 ) ( 2942200 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2813520 3517600 ) ( 2813520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2633520 3517600 ) ( 2633520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2453520 3517600 ) ( 2453520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2273520 3517600 ) ( 2273520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2093520 3517600 ) ( 2093520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1913520 3517600 ) ( 1913520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1733520 3517600 ) ( 1733520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1553520 3517600 ) ( 1553520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1373520 3517600 ) ( 1373520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1193520 3517600 ) ( 1193520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1013520 3517600 ) ( 1013520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 833520 3517600 ) ( 833520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 653520 3517600 ) ( 653520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 473520 3517600 ) ( 473520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 293520 3517600 ) ( 293520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 113520 3517600 ) ( 113520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( -22580 -18720 ) ( -22580 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2813520 -18720 ) ( 2813520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2633520 -18720 ) ( 2633520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2453520 -18720 ) ( 2453520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2273520 -18720 ) ( 2273520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2093520 -18720 ) ( 2093520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1913520 -18720 ) ( 1913520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1733520 -18720 ) ( 1733520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1553520 -18720 ) ( 1553520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1373520 -18720 ) ( 1373520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1193520 -18720 ) ( 1193520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1013520 -18720 ) ( 1013520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 833520 -18720 ) ( 833520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 653520 -18720 ) ( 653520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 473520 -18720 ) ( 473520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 293520 -18720 ) ( 293520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 113520 -18720 ) ( 113520 2400 ) ;
     - vdda1 ( PIN vdda1 ) + USE POWER
-      + ROUTED met4 0 + SHAPE STRIPE ( 2946500 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2741520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2561520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2381520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2201520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2021520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1841520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1661520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1481520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1301520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1121520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 941520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 761520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 581520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 401520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 221520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 41520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 3466880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 3466880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 3286880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 3286880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 3106880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 3106880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 2926880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 2926880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 2746880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 2746880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 2566880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 2566880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 2386880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 2386880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 2206880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 2206880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 2026880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 2026880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 1846880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 1846880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 1666880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 1666880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 1486880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 1486880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 1306880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 1306880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 1126880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 1126880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 946880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 946880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 766880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 766880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 586880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 586880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 406880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 406880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 226880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 226880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 46880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 46880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2741520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2561520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2381520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2201520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2021520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1841520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1661520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1481520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1301520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1121520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 941520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 761520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 581520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 401520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 221520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 41520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 -21520 ) via4_3000x3000
-      NEW met5 3000 + SHAPE STRIPE ( -28380 3541200 ) ( 2948000 3541200 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3466880 ) ( 2952600 3466880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 3466880 ) ( 2400 3466880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3286880 ) ( 2952600 3286880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 3286880 ) ( 2400 3286880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3106880 ) ( 2952600 3106880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 3106880 ) ( 2400 3106880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2926880 ) ( 2952600 2926880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2926880 ) ( 2400 2926880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2746880 ) ( 2952600 2746880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2746880 ) ( 2400 2746880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2566880 ) ( 2952600 2566880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2566880 ) ( 2400 2566880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2386880 ) ( 2952600 2386880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2386880 ) ( 2400 2386880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2206880 ) ( 2952600 2206880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2206880 ) ( 2400 2206880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2026880 ) ( 2952600 2026880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2026880 ) ( 2400 2026880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1846880 ) ( 2952600 1846880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1846880 ) ( 2400 1846880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1666880 ) ( 2952600 1666880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1666880 ) ( 2400 1666880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1486880 ) ( 2952600 1486880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1486880 ) ( 2400 1486880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1306880 ) ( 2952600 1306880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1306880 ) ( 2400 1306880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1126880 ) ( 2952600 1126880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1126880 ) ( 2400 1126880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 946880 ) ( 2952600 946880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 946880 ) ( 2400 946880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 766880 ) ( 2952600 766880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 766880 ) ( 2400 766880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 586880 ) ( 2952600 586880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 586880 ) ( 2400 586880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 406880 ) ( 2952600 406880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 406880 ) ( 2400 406880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 226880 ) ( 2952600 226880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 226880 ) ( 2400 226880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 46880 ) ( 2952600 46880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 46880 ) ( 2400 46880 )
-      NEW met5 3000 + SHAPE STRIPE ( -28380 -21520 ) ( 2948000 -21520 )
-      NEW met4 3000 + SHAPE STRIPE ( 2741520 3517600 ) ( 2741520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2561520 3517600 ) ( 2561520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2381520 3517600 ) ( 2381520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2201520 3517600 ) ( 2201520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2021520 3517600 ) ( 2021520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1841520 3517600 ) ( 1841520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1661520 3517600 ) ( 1661520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1481520 3517600 ) ( 1481520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1301520 3517600 ) ( 1301520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1121520 3517600 ) ( 1121520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 941520 3517600 ) ( 941520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 761520 3517600 ) ( 761520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 581520 3517600 ) ( 581520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 401520 3517600 ) ( 401520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 221520 3517600 ) ( 221520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 41520 3517600 ) ( 41520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2946500 -23020 ) ( 2946500 3542700 )
-      NEW met4 3000 + SHAPE STRIPE ( -26880 -23020 ) ( -26880 3542700 )
-      NEW met4 3000 + SHAPE STRIPE ( 2741520 -27620 ) ( 2741520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2561520 -27620 ) ( 2561520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2381520 -27620 ) ( 2381520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2201520 -27620 ) ( 2201520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2021520 -27620 ) ( 2021520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1841520 -27620 ) ( 1841520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1661520 -27620 ) ( 1661520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1481520 -27620 ) ( 1481520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1301520 -27620 ) ( 1301520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1121520 -27620 ) ( 1121520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 941520 -27620 ) ( 941520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 761520 -27620 ) ( 761520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 581520 -27620 ) ( 581520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 401520 -27620 ) ( 401520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 221520 -27620 ) ( 221520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 41520 -27620 ) ( 41520 2400 ) ;
+      + ROUTED met4 0 + SHAPE STRIPE ( 2946900 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2741520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2561520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2381520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2201520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2021520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1841520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1661520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1481520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1301520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1121520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 941520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 761520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 581520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 401520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 221520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 41520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 3466880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 3466880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 3286880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 3286880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 3106880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 3106880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 2926880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 2926880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 2746880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 2746880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 2566880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 2566880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 2386880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 2386880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 2206880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 2206880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 2026880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 2026880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 1846880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 1846880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 1666880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 1666880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 1486880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 1486880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 1306880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 1306880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 1126880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 1126880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 946880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 946880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 766880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 766880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 586880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 586880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 406880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 406880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 226880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 226880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 46880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 46880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2741520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2561520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2381520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2201520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2021520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1841520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1661520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1481520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1301520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1121520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 941520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 761520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 581520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 401520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 221520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 41520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 -21920 ) via4_3000x3000
+      NEW met5 3000 + SHAPE STRIPE ( -28780 3541600 ) ( 2948400 3541600 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3466880 ) ( 2953100 3466880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 3466880 ) ( 2400 3466880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3286880 ) ( 2953100 3286880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 3286880 ) ( 2400 3286880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3106880 ) ( 2953100 3106880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 3106880 ) ( 2400 3106880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2926880 ) ( 2953100 2926880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 2926880 ) ( 2400 2926880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2746880 ) ( 2953100 2746880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 2746880 ) ( 2400 2746880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2566880 ) ( 2953100 2566880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 2566880 ) ( 2400 2566880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2386880 ) ( 2953100 2386880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 2386880 ) ( 2400 2386880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2206880 ) ( 2953100 2206880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 2206880 ) ( 2400 2206880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2026880 ) ( 2953100 2026880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 2026880 ) ( 2400 2026880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1846880 ) ( 2953100 1846880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 1846880 ) ( 2400 1846880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1666880 ) ( 2953100 1666880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 1666880 ) ( 2400 1666880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1486880 ) ( 2953100 1486880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 1486880 ) ( 2400 1486880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1306880 ) ( 2953100 1306880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 1306880 ) ( 2400 1306880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1126880 ) ( 2953100 1126880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 1126880 ) ( 2400 1126880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 946880 ) ( 2953100 946880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 946880 ) ( 2400 946880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 766880 ) ( 2953100 766880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 766880 ) ( 2400 766880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 586880 ) ( 2953100 586880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 586880 ) ( 2400 586880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 406880 ) ( 2953100 406880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 406880 ) ( 2400 406880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 226880 ) ( 2953100 226880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 226880 ) ( 2400 226880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 46880 ) ( 2953100 46880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 46880 ) ( 2400 46880 )
+      NEW met5 3000 + SHAPE STRIPE ( -28780 -21920 ) ( 2948400 -21920 )
+      NEW met4 3000 + SHAPE STRIPE ( 2741520 3517600 ) ( 2741520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 2561520 3517600 ) ( 2561520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 2381520 3517600 ) ( 2381520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 2201520 3517600 ) ( 2201520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 2021520 3517600 ) ( 2021520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 1841520 3517600 ) ( 1841520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 1661520 3517600 ) ( 1661520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 1481520 3517600 ) ( 1481520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 1301520 3517600 ) ( 1301520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 1121520 3517600 ) ( 1121520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 941520 3517600 ) ( 941520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 761520 3517600 ) ( 761520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 581520 3517600 ) ( 581520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 401520 3517600 ) ( 401520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 221520 3517600 ) ( 221520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 41520 3517600 ) ( 41520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 2946900 -23420 ) ( 2946900 3543100 )
+      NEW met4 3000 + SHAPE STRIPE ( -27280 -23420 ) ( -27280 3543100 )
+      NEW met4 3000 + SHAPE STRIPE ( 2741520 -28120 ) ( 2741520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2561520 -28120 ) ( 2561520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2381520 -28120 ) ( 2381520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2201520 -28120 ) ( 2201520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2021520 -28120 ) ( 2021520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1841520 -28120 ) ( 1841520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1661520 -28120 ) ( 1661520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1481520 -28120 ) ( 1481520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1301520 -28120 ) ( 1301520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1121520 -28120 ) ( 1121520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 941520 -28120 ) ( 941520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 761520 -28120 ) ( 761520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 581520 -28120 ) ( 581520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 401520 -28120 ) ( 401520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 221520 -28120 ) ( 221520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 41520 -28120 ) ( 41520 2400 ) ;
     - vssa1 ( PIN vssa1 ) + USE GROUND
-      + ROUTED met4 0 + SHAPE STRIPE ( 2951100 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2831520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2651520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2471520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2291520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2111520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1931520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1751520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1571520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1391520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1211520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1031520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 851520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 671520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 491520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 311520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 131520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 3376880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 3376880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 3196880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 3196880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 3016880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 3016880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 2836880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 2836880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 2656880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 2656880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 2476880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 2476880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 2296880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 2296880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 2116880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 2116880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 1936880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 1936880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 1756880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 1756880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 1576880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 1576880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 1396880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 1396880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 1216880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 1216880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 1036880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 1036880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 856880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 856880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 676880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 676880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 496880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 496880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 316880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 316880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 136880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 136880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2831520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2651520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2471520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2291520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2111520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1931520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1751520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1571520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1391520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1211520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1031520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 851520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 671520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 491520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 311520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 131520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 -26120 ) via4_3000x3000
-      NEW met5 3000 + SHAPE STRIPE ( -32980 3545800 ) ( 2952600 3545800 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3376880 ) ( 2952600 3376880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 3376880 ) ( 2400 3376880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3196880 ) ( 2952600 3196880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 3196880 ) ( 2400 3196880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3016880 ) ( 2952600 3016880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 3016880 ) ( 2400 3016880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2836880 ) ( 2952600 2836880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2836880 ) ( 2400 2836880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2656880 ) ( 2952600 2656880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2656880 ) ( 2400 2656880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2476880 ) ( 2952600 2476880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2476880 ) ( 2400 2476880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2296880 ) ( 2952600 2296880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2296880 ) ( 2400 2296880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2116880 ) ( 2952600 2116880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2116880 ) ( 2400 2116880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1936880 ) ( 2952600 1936880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1936880 ) ( 2400 1936880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1756880 ) ( 2952600 1756880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1756880 ) ( 2400 1756880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1576880 ) ( 2952600 1576880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1576880 ) ( 2400 1576880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1396880 ) ( 2952600 1396880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1396880 ) ( 2400 1396880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1216880 ) ( 2952600 1216880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1216880 ) ( 2400 1216880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1036880 ) ( 2952600 1036880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1036880 ) ( 2400 1036880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 856880 ) ( 2952600 856880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 856880 ) ( 2400 856880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 676880 ) ( 2952600 676880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 676880 ) ( 2400 676880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 496880 ) ( 2952600 496880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 496880 ) ( 2400 496880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 316880 ) ( 2952600 316880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 316880 ) ( 2400 316880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 136880 ) ( 2952600 136880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 136880 ) ( 2400 136880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 -26120 ) ( 2952600 -26120 )
-      NEW met4 3000 + SHAPE STRIPE ( 2951100 -27620 ) ( 2951100 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2831520 3517600 ) ( 2831520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2651520 3517600 ) ( 2651520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2471520 3517600 ) ( 2471520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2291520 3517600 ) ( 2291520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2111520 3517600 ) ( 2111520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1931520 3517600 ) ( 1931520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1751520 3517600 ) ( 1751520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1571520 3517600 ) ( 1571520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1391520 3517600 ) ( 1391520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1211520 3517600 ) ( 1211520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1031520 3517600 ) ( 1031520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 851520 3517600 ) ( 851520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 671520 3517600 ) ( 671520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 491520 3517600 ) ( 491520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 311520 3517600 ) ( 311520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 131520 3517600 ) ( 131520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( -31480 -27620 ) ( -31480 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2831520 -27620 ) ( 2831520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2651520 -27620 ) ( 2651520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2471520 -27620 ) ( 2471520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2291520 -27620 ) ( 2291520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2111520 -27620 ) ( 2111520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1931520 -27620 ) ( 1931520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1751520 -27620 ) ( 1751520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1571520 -27620 ) ( 1571520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1391520 -27620 ) ( 1391520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1211520 -27620 ) ( 1211520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1031520 -27620 ) ( 1031520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 851520 -27620 ) ( 851520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 671520 -27620 ) ( 671520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 491520 -27620 ) ( 491520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 311520 -27620 ) ( 311520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 131520 -27620 ) ( 131520 2400 ) ;
+      + ROUTED met4 0 + SHAPE STRIPE ( 2951600 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2831520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2651520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2471520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2291520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2111520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1931520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1751520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1571520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1391520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1211520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1031520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 851520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 671520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 491520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 311520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 131520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 3376880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 3376880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 3196880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 3196880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 3016880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 3016880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 2836880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 2836880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 2656880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 2656880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 2476880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 2476880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 2296880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 2296880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 2116880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 2116880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 1936880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 1936880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 1756880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 1756880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 1576880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 1576880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 1396880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 1396880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 1216880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 1216880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 1036880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 1036880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 856880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 856880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 676880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 676880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 496880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 496880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 316880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 316880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 136880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 136880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2831520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2651520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2471520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2291520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2111520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1931520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1751520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1571520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1391520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1211520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1031520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 851520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 671520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 491520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 311520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 131520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 -26620 ) via4_3000x3000
+      NEW met5 3000 + SHAPE STRIPE ( -33480 3546300 ) ( 2953100 3546300 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3376880 ) ( 2953100 3376880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 3376880 ) ( 2400 3376880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3196880 ) ( 2953100 3196880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 3196880 ) ( 2400 3196880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3016880 ) ( 2953100 3016880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 3016880 ) ( 2400 3016880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2836880 ) ( 2953100 2836880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 2836880 ) ( 2400 2836880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2656880 ) ( 2953100 2656880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 2656880 ) ( 2400 2656880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2476880 ) ( 2953100 2476880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 2476880 ) ( 2400 2476880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2296880 ) ( 2953100 2296880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 2296880 ) ( 2400 2296880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2116880 ) ( 2953100 2116880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 2116880 ) ( 2400 2116880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1936880 ) ( 2953100 1936880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 1936880 ) ( 2400 1936880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1756880 ) ( 2953100 1756880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 1756880 ) ( 2400 1756880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1576880 ) ( 2953100 1576880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 1576880 ) ( 2400 1576880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1396880 ) ( 2953100 1396880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 1396880 ) ( 2400 1396880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1216880 ) ( 2953100 1216880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 1216880 ) ( 2400 1216880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1036880 ) ( 2953100 1036880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 1036880 ) ( 2400 1036880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 856880 ) ( 2953100 856880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 856880 ) ( 2400 856880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 676880 ) ( 2953100 676880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 676880 ) ( 2400 676880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 496880 ) ( 2953100 496880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 496880 ) ( 2400 496880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 316880 ) ( 2953100 316880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 316880 ) ( 2400 316880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 136880 ) ( 2953100 136880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 136880 ) ( 2400 136880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 -26620 ) ( 2953100 -26620 )
+      NEW met4 3000 + SHAPE STRIPE ( 2951600 -28120 ) ( 2951600 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 2831520 3517600 ) ( 2831520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 2651520 3517600 ) ( 2651520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 2471520 3517600 ) ( 2471520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 2291520 3517600 ) ( 2291520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 2111520 3517600 ) ( 2111520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 1931520 3517600 ) ( 1931520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 1751520 3517600 ) ( 1751520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 1571520 3517600 ) ( 1571520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 1391520 3517600 ) ( 1391520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 1211520 3517600 ) ( 1211520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 1031520 3517600 ) ( 1031520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 851520 3517600 ) ( 851520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 671520 3517600 ) ( 671520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 491520 3517600 ) ( 491520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 311520 3517600 ) ( 311520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 131520 3517600 ) ( 131520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( -31980 -28120 ) ( -31980 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 2831520 -28120 ) ( 2831520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2651520 -28120 ) ( 2651520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2471520 -28120 ) ( 2471520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2291520 -28120 ) ( 2291520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2111520 -28120 ) ( 2111520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1931520 -28120 ) ( 1931520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1751520 -28120 ) ( 1751520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1571520 -28120 ) ( 1571520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1391520 -28120 ) ( 1391520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1211520 -28120 ) ( 1211520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1031520 -28120 ) ( 1031520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 851520 -28120 ) ( 851520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 671520 -28120 ) ( 671520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 491520 -28120 ) ( 491520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 311520 -28120 ) ( 311520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 131520 -28120 ) ( 131520 2400 ) ;
     - vdda2 ( PIN vdda2 ) + USE POWER
-      + ROUTED met4 0 + SHAPE STRIPE ( 2955700 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2759520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2579520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2399520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2219520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2039520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1859520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1679520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1499520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1319520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1139520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 959520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 779520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 599520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 419520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 239520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 59520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 3484880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 3484880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 3304880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 3304880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 3124880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 3124880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 2944880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 2944880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 2764880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 2764880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 2584880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 2584880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 2404880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 2404880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 2224880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 2224880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 2044880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 2044880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 1864880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 1864880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 1684880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 1684880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 1504880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 1504880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 1324880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 1324880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 1144880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 1144880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 964880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 964880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 784880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 784880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 604880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 604880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 424880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 424880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 244880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 244880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 64880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 64880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2759520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2579520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2399520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2219520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2039520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1859520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1679520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1499520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1319520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1139520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 959520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 779520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 599520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 419520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 239520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 59520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 -30720 ) via4_3000x3000
-      NEW met5 3000 + SHAPE STRIPE ( -37580 3550400 ) ( 2957200 3550400 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3484880 ) ( 2961800 3484880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 3484880 ) ( 2400 3484880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3304880 ) ( 2961800 3304880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 3304880 ) ( 2400 3304880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3124880 ) ( 2961800 3124880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 3124880 ) ( 2400 3124880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2944880 ) ( 2961800 2944880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2944880 ) ( 2400 2944880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2764880 ) ( 2961800 2764880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2764880 ) ( 2400 2764880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2584880 ) ( 2961800 2584880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2584880 ) ( 2400 2584880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2404880 ) ( 2961800 2404880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2404880 ) ( 2400 2404880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2224880 ) ( 2961800 2224880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2224880 ) ( 2400 2224880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2044880 ) ( 2961800 2044880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2044880 ) ( 2400 2044880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1864880 ) ( 2961800 1864880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1864880 ) ( 2400 1864880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1684880 ) ( 2961800 1684880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1684880 ) ( 2400 1684880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1504880 ) ( 2961800 1504880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1504880 ) ( 2400 1504880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1324880 ) ( 2961800 1324880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1324880 ) ( 2400 1324880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1144880 ) ( 2961800 1144880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1144880 ) ( 2400 1144880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 964880 ) ( 2961800 964880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 964880 ) ( 2400 964880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 784880 ) ( 2961800 784880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 784880 ) ( 2400 784880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 604880 ) ( 2961800 604880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 604880 ) ( 2400 604880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 424880 ) ( 2961800 424880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 424880 ) ( 2400 424880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 244880 ) ( 2961800 244880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 244880 ) ( 2400 244880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 64880 ) ( 2961800 64880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 64880 ) ( 2400 64880 )
-      NEW met5 3000 + SHAPE STRIPE ( -37580 -30720 ) ( 2957200 -30720 )
-      NEW met4 3000 + SHAPE STRIPE ( 2759520 3517600 ) ( 2759520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2579520 3517600 ) ( 2579520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2399520 3517600 ) ( 2399520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2219520 3517600 ) ( 2219520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2039520 3517600 ) ( 2039520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1859520 3517600 ) ( 1859520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1679520 3517600 ) ( 1679520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1499520 3517600 ) ( 1499520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1319520 3517600 ) ( 1319520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1139520 3517600 ) ( 1139520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 959520 3517600 ) ( 959520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 779520 3517600 ) ( 779520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 599520 3517600 ) ( 599520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 419520 3517600 ) ( 419520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 239520 3517600 ) ( 239520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 59520 3517600 ) ( 59520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2955700 -32220 ) ( 2955700 3551900 )
-      NEW met4 3000 + SHAPE STRIPE ( -36080 -32220 ) ( -36080 3551900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2759520 -36820 ) ( 2759520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2579520 -36820 ) ( 2579520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2399520 -36820 ) ( 2399520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2219520 -36820 ) ( 2219520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2039520 -36820 ) ( 2039520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1859520 -36820 ) ( 1859520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1679520 -36820 ) ( 1679520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1499520 -36820 ) ( 1499520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1319520 -36820 ) ( 1319520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1139520 -36820 ) ( 1139520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 959520 -36820 ) ( 959520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 779520 -36820 ) ( 779520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 599520 -36820 ) ( 599520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 419520 -36820 ) ( 419520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 239520 -36820 ) ( 239520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 59520 -36820 ) ( 59520 2400 ) ;
+      + ROUTED met4 0 + SHAPE STRIPE ( 2956300 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2759520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2579520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2399520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2219520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2039520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1859520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1679520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1499520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1319520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1139520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 959520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 779520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 599520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 419520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 239520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 59520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 3484880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 3484880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 3304880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 3304880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 3124880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 3124880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 2944880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 2944880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 2764880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 2764880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 2584880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 2584880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 2404880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 2404880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 2224880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 2224880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 2044880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 2044880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 1864880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 1864880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 1684880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 1684880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 1504880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 1504880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 1324880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 1324880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 1144880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 1144880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 964880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 964880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 784880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 784880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 604880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 604880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 424880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 424880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 244880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 244880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 64880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 64880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2759520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2579520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2399520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2219520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2039520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1859520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1679520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1499520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1319520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1139520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 959520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 779520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 599520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 419520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 239520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 59520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 -31320 ) via4_3000x3000
+      NEW met5 3000 + SHAPE STRIPE ( -38180 3551000 ) ( 2957800 3551000 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3484880 ) ( 2962500 3484880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 3484880 ) ( 2400 3484880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3304880 ) ( 2962500 3304880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 3304880 ) ( 2400 3304880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3124880 ) ( 2962500 3124880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 3124880 ) ( 2400 3124880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2944880 ) ( 2962500 2944880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 2944880 ) ( 2400 2944880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2764880 ) ( 2962500 2764880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 2764880 ) ( 2400 2764880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2584880 ) ( 2962500 2584880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 2584880 ) ( 2400 2584880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2404880 ) ( 2962500 2404880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 2404880 ) ( 2400 2404880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2224880 ) ( 2962500 2224880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 2224880 ) ( 2400 2224880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2044880 ) ( 2962500 2044880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 2044880 ) ( 2400 2044880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1864880 ) ( 2962500 1864880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 1864880 ) ( 2400 1864880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1684880 ) ( 2962500 1684880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 1684880 ) ( 2400 1684880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1504880 ) ( 2962500 1504880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 1504880 ) ( 2400 1504880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1324880 ) ( 2962500 1324880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 1324880 ) ( 2400 1324880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1144880 ) ( 2962500 1144880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 1144880 ) ( 2400 1144880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 964880 ) ( 2962500 964880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 964880 ) ( 2400 964880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 784880 ) ( 2962500 784880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 784880 ) ( 2400 784880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 604880 ) ( 2962500 604880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 604880 ) ( 2400 604880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 424880 ) ( 2962500 424880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 424880 ) ( 2400 424880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 244880 ) ( 2962500 244880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 244880 ) ( 2400 244880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 64880 ) ( 2962500 64880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 64880 ) ( 2400 64880 )
+      NEW met5 3000 + SHAPE STRIPE ( -38180 -31320 ) ( 2957800 -31320 )
+      NEW met4 3000 + SHAPE STRIPE ( 2759520 3517600 ) ( 2759520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 2579520 3517600 ) ( 2579520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 2399520 3517600 ) ( 2399520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 2219520 3517600 ) ( 2219520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 2039520 3517600 ) ( 2039520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 1859520 3517600 ) ( 1859520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 1679520 3517600 ) ( 1679520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 1499520 3517600 ) ( 1499520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 1319520 3517600 ) ( 1319520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 1139520 3517600 ) ( 1139520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 959520 3517600 ) ( 959520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 779520 3517600 ) ( 779520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 599520 3517600 ) ( 599520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 419520 3517600 ) ( 419520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 239520 3517600 ) ( 239520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 59520 3517600 ) ( 59520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 2956300 -32820 ) ( 2956300 3552500 )
+      NEW met4 3000 + SHAPE STRIPE ( -36680 -32820 ) ( -36680 3552500 )
+      NEW met4 3000 + SHAPE STRIPE ( 2759520 -37520 ) ( 2759520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2579520 -37520 ) ( 2579520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2399520 -37520 ) ( 2399520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2219520 -37520 ) ( 2219520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2039520 -37520 ) ( 2039520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1859520 -37520 ) ( 1859520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1679520 -37520 ) ( 1679520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1499520 -37520 ) ( 1499520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1319520 -37520 ) ( 1319520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1139520 -37520 ) ( 1139520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 959520 -37520 ) ( 959520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 779520 -37520 ) ( 779520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 599520 -37520 ) ( 599520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 419520 -37520 ) ( 419520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 239520 -37520 ) ( 239520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 59520 -37520 ) ( 59520 2400 ) ;
     - vssa2 ( PIN vssa2 ) + USE GROUND
-      + ROUTED met4 0 + SHAPE STRIPE ( 2960300 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2849520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2669520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2489520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2309520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2129520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1949520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1769520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1589520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1409520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1229520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1049520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 869520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 689520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 509520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 329520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 149520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 3394880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 3394880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 3214880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 3214880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 3034880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 3034880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 2854880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 2854880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 2674880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 2674880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 2494880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 2494880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 2314880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 2314880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 2134880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 2134880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 1954880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 1954880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 1774880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 1774880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 1594880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 1594880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 1414880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 1414880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 1234880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 1234880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 1054880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 1054880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 874880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 874880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 694880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 694880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 514880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 514880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 334880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 334880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 154880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 154880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2849520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2669520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2489520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2309520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2129520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1949520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1769520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1589520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1409520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1229520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1049520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 869520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 689520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 509520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 329520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 149520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 -35320 ) via4_3000x3000
-      NEW met5 3000 + SHAPE STRIPE ( -42180 3555000 ) ( 2961800 3555000 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3394880 ) ( 2961800 3394880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 3394880 ) ( 2400 3394880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3214880 ) ( 2961800 3214880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 3214880 ) ( 2400 3214880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3034880 ) ( 2961800 3034880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 3034880 ) ( 2400 3034880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2854880 ) ( 2961800 2854880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2854880 ) ( 2400 2854880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2674880 ) ( 2961800 2674880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2674880 ) ( 2400 2674880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2494880 ) ( 2961800 2494880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2494880 ) ( 2400 2494880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2314880 ) ( 2961800 2314880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2314880 ) ( 2400 2314880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2134880 ) ( 2961800 2134880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2134880 ) ( 2400 2134880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1954880 ) ( 2961800 1954880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1954880 ) ( 2400 1954880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1774880 ) ( 2961800 1774880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1774880 ) ( 2400 1774880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1594880 ) ( 2961800 1594880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1594880 ) ( 2400 1594880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1414880 ) ( 2961800 1414880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1414880 ) ( 2400 1414880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1234880 ) ( 2961800 1234880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1234880 ) ( 2400 1234880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1054880 ) ( 2961800 1054880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1054880 ) ( 2400 1054880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 874880 ) ( 2961800 874880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 874880 ) ( 2400 874880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 694880 ) ( 2961800 694880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 694880 ) ( 2400 694880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 514880 ) ( 2961800 514880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 514880 ) ( 2400 514880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 334880 ) ( 2961800 334880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 334880 ) ( 2400 334880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 154880 ) ( 2961800 154880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 154880 ) ( 2400 154880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 -35320 ) ( 2961800 -35320 )
-      NEW met4 3000 + SHAPE STRIPE ( 2960300 -36820 ) ( 2960300 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2849520 3517600 ) ( 2849520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2669520 3517600 ) ( 2669520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2489520 3517600 ) ( 2489520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2309520 3517600 ) ( 2309520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2129520 3517600 ) ( 2129520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1949520 3517600 ) ( 1949520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1769520 3517600 ) ( 1769520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1589520 3517600 ) ( 1589520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1409520 3517600 ) ( 1409520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1229520 3517600 ) ( 1229520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1049520 3517600 ) ( 1049520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 869520 3517600 ) ( 869520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 689520 3517600 ) ( 689520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 509520 3517600 ) ( 509520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 329520 3517600 ) ( 329520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 149520 3517600 ) ( 149520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( -40680 -36820 ) ( -40680 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2849520 -36820 ) ( 2849520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2669520 -36820 ) ( 2669520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2489520 -36820 ) ( 2489520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2309520 -36820 ) ( 2309520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2129520 -36820 ) ( 2129520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1949520 -36820 ) ( 1949520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1769520 -36820 ) ( 1769520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1589520 -36820 ) ( 1589520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1409520 -36820 ) ( 1409520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1229520 -36820 ) ( 1229520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1049520 -36820 ) ( 1049520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 869520 -36820 ) ( 869520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 689520 -36820 ) ( 689520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 509520 -36820 ) ( 509520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 329520 -36820 ) ( 329520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 149520 -36820 ) ( 149520 2400 ) ;
+      + ROUTED met4 0 + SHAPE STRIPE ( 2961000 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2849520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2669520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2489520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2309520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2129520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1949520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1769520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1589520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1409520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1229520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1049520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 869520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 689520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 509520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 329520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 149520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 3394880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 3394880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 3214880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 3214880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 3034880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 3034880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 2854880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 2854880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 2674880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 2674880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 2494880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 2494880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 2314880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 2314880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 2134880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 2134880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 1954880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 1954880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 1774880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 1774880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 1594880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 1594880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 1414880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 1414880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 1234880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 1234880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 1054880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 1054880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 874880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 874880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 694880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 694880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 514880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 514880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 334880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 334880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 154880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 154880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2849520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2669520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2489520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2309520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2129520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1949520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1769520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1589520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1409520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1229520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1049520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 869520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 689520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 509520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 329520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 149520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 -36020 ) via4_3000x3000
+      NEW met5 3000 + SHAPE STRIPE ( -42880 3555700 ) ( 2962500 3555700 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3394880 ) ( 2962500 3394880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 3394880 ) ( 2400 3394880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3214880 ) ( 2962500 3214880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 3214880 ) ( 2400 3214880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3034880 ) ( 2962500 3034880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 3034880 ) ( 2400 3034880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2854880 ) ( 2962500 2854880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 2854880 ) ( 2400 2854880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2674880 ) ( 2962500 2674880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 2674880 ) ( 2400 2674880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2494880 ) ( 2962500 2494880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 2494880 ) ( 2400 2494880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2314880 ) ( 2962500 2314880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 2314880 ) ( 2400 2314880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2134880 ) ( 2962500 2134880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 2134880 ) ( 2400 2134880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1954880 ) ( 2962500 1954880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 1954880 ) ( 2400 1954880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1774880 ) ( 2962500 1774880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 1774880 ) ( 2400 1774880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1594880 ) ( 2962500 1594880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 1594880 ) ( 2400 1594880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1414880 ) ( 2962500 1414880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 1414880 ) ( 2400 1414880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1234880 ) ( 2962500 1234880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 1234880 ) ( 2400 1234880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1054880 ) ( 2962500 1054880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 1054880 ) ( 2400 1054880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 874880 ) ( 2962500 874880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 874880 ) ( 2400 874880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 694880 ) ( 2962500 694880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 694880 ) ( 2400 694880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 514880 ) ( 2962500 514880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 514880 ) ( 2400 514880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 334880 ) ( 2962500 334880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 334880 ) ( 2400 334880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 154880 ) ( 2962500 154880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 154880 ) ( 2400 154880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 -36020 ) ( 2962500 -36020 )
+      NEW met4 3000 + SHAPE STRIPE ( 2961000 -37520 ) ( 2961000 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 2849520 3517600 ) ( 2849520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 2669520 3517600 ) ( 2669520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 2489520 3517600 ) ( 2489520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 2309520 3517600 ) ( 2309520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 2129520 3517600 ) ( 2129520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 1949520 3517600 ) ( 1949520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 1769520 3517600 ) ( 1769520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 1589520 3517600 ) ( 1589520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 1409520 3517600 ) ( 1409520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 1229520 3517600 ) ( 1229520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 1049520 3517600 ) ( 1049520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 869520 3517600 ) ( 869520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 689520 3517600 ) ( 689520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 509520 3517600 ) ( 509520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 329520 3517600 ) ( 329520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 149520 3517600 ) ( 149520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( -41380 -37520 ) ( -41380 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 2849520 -37520 ) ( 2849520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2669520 -37520 ) ( 2669520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2489520 -37520 ) ( 2489520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2309520 -37520 ) ( 2309520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2129520 -37520 ) ( 2129520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1949520 -37520 ) ( 1949520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1769520 -37520 ) ( 1769520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1589520 -37520 ) ( 1589520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1409520 -37520 ) ( 1409520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1229520 -37520 ) ( 1229520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1049520 -37520 ) ( 1049520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 869520 -37520 ) ( 869520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 689520 -37520 ) ( 689520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 509520 -37520 ) ( 509520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 329520 -37520 ) ( 329520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 149520 -37520 ) ( 149520 2400 ) ;
 END SPECIALNETS
 END DESIGN
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/tmp/floorplan/pdn.defe b/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/tmp/floorplan/pdn.defe
index ba69be3..1b47a0c 100644
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/tmp/floorplan/pdn.defe
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/tmp/floorplan/pdn.defe
@@ -1309,7 +1309,7 @@
     - obs_core_obs obs_core_obs + FIXED ( 2400 2400 ) N ;
     - mprj user_proj_example ;
 END COMPONENTS
-PINS 644 ;
+PINS 1240 ;
     - analog_io[0] + NET analog_io[0] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 29580 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
     - analog_io[10] + NET analog_io[10] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 2375580 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
     - analog_io[11] + NET analog_io[11] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2921200 2610180 ) N + LAYER met3 ( -3600 -600 ) ( 3600 600 ) ;
@@ -1946,14 +1946,610 @@
     - wbs_sel_i[3] + NET wbs_sel_i[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 127650 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
     - wbs_stb_i + NET wbs_stb_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 26450 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
     - wbs_we_i + NET wbs_we_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 32430 -1200 ) N + LAYER met2 ( -280 -3600 ) ( 280 3600 ) ;
-    - vccd1 + NET vccd1 + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -3120 ) N + LAYER met5 ( -1469790 -1500 ) ( 1469790 1500 ) ;
-    - vssd1 + NET vssd1 + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -7720 ) N + LAYER met5 ( -1474390 -1500 ) ( 1474390 1500 ) ;
-    - vccd2 + NET vccd2 + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -12320 ) N + LAYER met5 ( -1478990 -1500 ) ( 1478990 1500 ) ;
-    - vssd2 + NET vssd2 + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -16920 ) N + LAYER met5 ( -1483590 -1500 ) ( 1483590 1500 ) ;
-    - vdda1 + NET vdda1 + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -21520 ) N + LAYER met5 ( -1488190 -1500 ) ( 1488190 1500 ) ;
-    - vssa1 + NET vssa1 + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -26120 ) N + LAYER met5 ( -1492790 -1500 ) ( 1492790 1500 ) ;
-    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -30720 ) N + LAYER met5 ( -1497390 -1500 ) ( 1497390 1500 ) ;
-    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -35320 ) N + LAYER met5 ( -1501990 -1500 ) ( 1501990 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2885520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2705520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2525520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2345520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2165520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1985520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1805520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1625520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1445520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1265520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1085520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 905520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 725520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 545520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 365520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 185520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 5520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2928100 1759840 ) N + LAYER met4 ( -1500 -1764460 ) ( 1500 1764460 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -8480 1759840 ) N + LAYER met4 ( -1500 -1764460 ) ( 1500 1764460 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2885520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2705520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2525520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2345520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2165520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1985520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1805520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1625520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1445520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1265520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1085520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 905520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 725520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 545520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 365520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 185520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 5520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 3522800 ) N + LAYER met5 ( -1469790 -1500 ) ( 1469790 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 3430880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 3430880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 3250880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 3250880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 3070880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 3070880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 2890880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 2890880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 2710880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 2710880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 2530880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 2530880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 2350880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 2350880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 2170880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 2170880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 1990880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 1990880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 1810880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 1810880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 1630880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 1630880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 1450880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 1450880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 1270880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 1270880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 1090880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 1090880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 910880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 910880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 730880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 730880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 550880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 550880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 370880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 370880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 190880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 190880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 10880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 10880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -3120 ) N + LAYER met5 ( -1469790 -1500 ) ( 1469790 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2932800 1759840 ) N + LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2795520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2615520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2435520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2255520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2075520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1895520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1715520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1535520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1355520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1175520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 995520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 815520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 635520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 455520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 275520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 95520 3523300 ) N + LAYER met4 ( -1500 -5700 ) ( 1500 5700 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -13180 1759840 ) N + LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2795520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2615520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2435520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2255520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2075520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1895520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1715520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1535520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1355520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1175520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 995520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 815520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 635520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 455520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 275520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 95520 -3460 ) N + LAYER met4 ( -1500 -5860 ) ( 1500 5860 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 3527500 ) N + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 3340880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 3340880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 3160880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 3160880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 2980880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 2980880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 2800880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 2800880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 2620880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 2620880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 2440880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 2440880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 2260880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 2260880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 2080880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 2080880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 1900880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 1900880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 1720880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 1720880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 1540880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 1540880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 1360880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 1360880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 1180880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 1180880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 1000880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 1000880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 820880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 820880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 640880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 640880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 460880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 460880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 280880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 280880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2925950 100880 ) N + LAYER met5 ( -8350 -1500 ) ( 8350 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -6140 100880 ) N + LAYER met5 ( -8540 -1500 ) ( 8540 1500 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -7820 ) N + LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2903520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2723520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2543520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2363520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2183520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2003520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1823520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1643520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1463520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1283520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1103520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 923520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 743520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 563520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 383520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 203520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 23520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2937500 1759840 ) N + LAYER met4 ( -1500 -1773860 ) ( 1500 1773860 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -17880 1759840 ) N + LAYER met4 ( -1500 -1773860 ) ( 1500 1773860 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2903520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2723520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2543520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2363520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2183520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2003520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1823520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1643520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1463520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1283520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1103520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 923520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 743520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 563520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 383520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 203520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 23520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 3532200 ) N + LAYER met5 ( -1479190 -1500 ) ( 1479190 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 3448880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 3448880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 3268880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 3268880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 3088880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 3088880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 2908880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 2908880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 2728880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 2728880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 2548880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 2548880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 2368880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 2368880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 2188880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 2188880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 2008880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 2008880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 1828880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 1828880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 1648880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 1648880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 1468880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 1468880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 1288880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 1288880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 1108880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 1108880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 928880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 928880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 748880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 748880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 568880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 568880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 388880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 388880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 208880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 208880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 28880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 28880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -12520 ) N + LAYER met5 ( -1479190 -1500 ) ( 1479190 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2942200 1759840 ) N + LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2813520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2633520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2453520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2273520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2093520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1913520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1733520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1553520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1373520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1193520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1013520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 833520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 653520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 473520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 293520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 113520 3528000 ) N + LAYER met4 ( -1500 -10400 ) ( 1500 10400 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -22580 1759840 ) N + LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2813520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2633520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2453520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2273520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2093520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1913520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1733520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1553520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1373520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1193520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1013520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 833520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 653520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 473520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 293520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 113520 -8160 ) N + LAYER met4 ( -1500 -10560 ) ( 1500 10560 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 3536900 ) N + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 3358880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 3358880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 3178880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 3178880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 2998880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 2998880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 2818880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 2818880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 2638880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 2638880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 2458880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 2458880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 2278880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 2278880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 2098880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 2098880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 1918880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 1918880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 1738880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 1738880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 1558880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 1558880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 1378880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 1378880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 1198880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 1198880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 1018880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 1018880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 838880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 838880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 658880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 658880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 478880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 478880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 298880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 298880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2930650 118880 ) N + LAYER met5 ( -13050 -1500 ) ( 13050 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -10840 118880 ) N + LAYER met5 ( -13240 -1500 ) ( 13240 1500 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -17220 ) N + LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2741520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2561520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2381520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2201520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2021520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1841520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1661520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1481520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1301520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1121520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 941520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 761520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 581520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 401520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 221520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 41520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2946900 1759840 ) N + LAYER met4 ( -1500 -1783260 ) ( 1500 1783260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -27280 1759840 ) N + LAYER met4 ( -1500 -1783260 ) ( 1500 1783260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2741520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2561520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2381520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2201520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2021520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1841520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1661520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1481520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1301520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1121520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 941520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 761520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 581520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 401520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 221520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 41520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 3541600 ) N + LAYER met5 ( -1488590 -1500 ) ( 1488590 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 3466880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 3466880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 3286880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 3286880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 3106880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 3106880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 2926880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 2926880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 2746880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 2746880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 2566880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 2566880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 2386880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 2386880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 2206880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 2206880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 2026880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 2026880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 1846880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 1846880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 1666880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 1666880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 1486880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 1486880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 1306880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 1306880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 1126880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 1126880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 946880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 946880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 766880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 766880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 586880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 586880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 406880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 406880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 226880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 226880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 46880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 46880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -21920 ) N + LAYER met5 ( -1488590 -1500 ) ( 1488590 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2951600 1759840 ) N + LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2831520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2651520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2471520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2291520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2111520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1931520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1751520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1571520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1391520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1211520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1031520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 851520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 671520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 491520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 311520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 131520 3532700 ) N + LAYER met4 ( -1500 -15100 ) ( 1500 15100 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -31980 1759840 ) N + LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2831520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2651520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2471520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2291520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2111520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1931520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1751520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1571520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1391520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1211520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1031520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 851520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 671520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 491520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 311520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 131520 -12860 ) N + LAYER met4 ( -1500 -15260 ) ( 1500 15260 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 3546300 ) N + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 3376880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 3376880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 3196880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 3196880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 3016880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 3016880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 2836880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 2836880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 2656880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 2656880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 2476880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 2476880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 2296880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 2296880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 2116880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 2116880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 1936880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 1936880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 1756880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 1756880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 1576880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 1576880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 1396880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 1396880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 1216880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 1216880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 1036880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 1036880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 856880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 856880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 676880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 676880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 496880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 496880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 316880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 316880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2935350 136880 ) N + LAYER met5 ( -17750 -1500 ) ( 17750 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( -15540 136880 ) N + LAYER met5 ( -17940 -1500 ) ( 17940 1500 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -26620 ) N + LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2759520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2579520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2399520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2219520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2039520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1859520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1679520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1499520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1319520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1139520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 959520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 779520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 599520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 419520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 239520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 59520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2956300 1759840 ) N + LAYER met4 ( -1500 -1792660 ) ( 1500 1792660 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -36680 1759840 ) N + LAYER met4 ( -1500 -1792660 ) ( 1500 1792660 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2759520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2579520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2399520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2219520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2039520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1859520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1679520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1499520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1319520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1139520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 959520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 779520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 599520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 419520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 239520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 59520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 3551000 ) N + LAYER met5 ( -1497990 -1500 ) ( 1497990 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 3484880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 3484880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 3304880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 3304880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 3124880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 3124880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 2944880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 2944880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 2764880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 2764880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 2584880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 2584880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 2404880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 2404880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 2224880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 2224880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 2044880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 2044880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 1864880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 1864880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 1684880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 1684880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 1504880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 1504880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 1324880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 1324880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 1144880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 1144880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 964880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 964880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 784880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 784880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 604880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 604880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 424880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 424880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 244880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 244880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 64880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 64880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -31320 ) N + LAYER met5 ( -1497990 -1500 ) ( 1497990 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2961000 1759840 ) N + LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2849520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2669520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2489520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2309520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2129520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1949520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1769520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1589520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1409520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1229520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1049520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 869520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 689520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 509520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 329520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 149520 3537400 ) N + LAYER met4 ( -1500 -19800 ) ( 1500 19800 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -41380 1759840 ) N + LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2849520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2669520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2489520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2309520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2129520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1949520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1769520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1589520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1409520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1229520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1049520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 869520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 689520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 509520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 329520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 149520 -17560 ) N + LAYER met4 ( -1500 -19960 ) ( 1500 19960 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 3555700 ) N + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 3394880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 3394880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 3214880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 3214880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 3034880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 3034880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 2854880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 2854880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 2674880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 2674880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 2494880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 2494880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 2314880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 2314880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 2134880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 2134880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 1954880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 1954880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 1774880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 1774880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 1594880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 1594880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 1414880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 1414880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 1234880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 1234880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 1054880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 1054880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 874880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 874880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 694880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 694880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 514880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 514880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 334880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 334880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 2940050 154880 ) N + LAYER met5 ( -22450 -1500 ) ( 22450 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( -20240 154880 ) N + LAYER met5 ( -22640 -1500 ) ( 22640 1500 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL + FIXED ( 1459810 -36020 ) N + LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 ) ;
 END PINS
 SPECIALNETS 8 ;
     - vccd1 ( PIN vccd1 ) + USE POWER
@@ -2036,1141 +2632,1141 @@
       NEW met4 0 + SHAPE STRIPE ( 5520 -3120 ) via4_3000x3000
       NEW met4 0 + SHAPE STRIPE ( -8480 -3120 ) via4_3000x3000
       NEW met5 3000 + SHAPE STRIPE ( -9980 3522800 ) ( 2929600 3522800 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3430880 ) ( 2934200 3430880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 3430880 ) ( 2400 3430880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3250880 ) ( 2934200 3250880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 3250880 ) ( 2400 3250880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3070880 ) ( 2934200 3070880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 3070880 ) ( 2400 3070880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2890880 ) ( 2934200 2890880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2890880 ) ( 2400 2890880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2710880 ) ( 2934200 2710880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2710880 ) ( 2400 2710880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2530880 ) ( 2934200 2530880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2530880 ) ( 2400 2530880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2350880 ) ( 2934200 2350880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2350880 ) ( 2400 2350880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2170880 ) ( 2934200 2170880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2170880 ) ( 2400 2170880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1990880 ) ( 2934200 1990880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1990880 ) ( 2400 1990880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1810880 ) ( 2934200 1810880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1810880 ) ( 2400 1810880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1630880 ) ( 2934200 1630880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1630880 ) ( 2400 1630880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1450880 ) ( 2934200 1450880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1450880 ) ( 2400 1450880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1270880 ) ( 2934200 1270880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1270880 ) ( 2400 1270880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1090880 ) ( 2934200 1090880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1090880 ) ( 2400 1090880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 910880 ) ( 2934200 910880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 910880 ) ( 2400 910880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 730880 ) ( 2934200 730880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 730880 ) ( 2400 730880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 550880 ) ( 2934200 550880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 550880 ) ( 2400 550880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 370880 ) ( 2934200 370880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 370880 ) ( 2400 370880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 190880 ) ( 2934200 190880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 190880 ) ( 2400 190880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 10880 ) ( 2934200 10880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 10880 ) ( 2400 10880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3430880 ) ( 2934300 3430880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 3430880 ) ( 2400 3430880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3250880 ) ( 2934300 3250880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 3250880 ) ( 2400 3250880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3070880 ) ( 2934300 3070880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 3070880 ) ( 2400 3070880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2890880 ) ( 2934300 2890880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 2890880 ) ( 2400 2890880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2710880 ) ( 2934300 2710880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 2710880 ) ( 2400 2710880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2530880 ) ( 2934300 2530880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 2530880 ) ( 2400 2530880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2350880 ) ( 2934300 2350880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 2350880 ) ( 2400 2350880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2170880 ) ( 2934300 2170880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 2170880 ) ( 2400 2170880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1990880 ) ( 2934300 1990880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 1990880 ) ( 2400 1990880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1810880 ) ( 2934300 1810880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 1810880 ) ( 2400 1810880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1630880 ) ( 2934300 1630880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 1630880 ) ( 2400 1630880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1450880 ) ( 2934300 1450880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 1450880 ) ( 2400 1450880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1270880 ) ( 2934300 1270880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 1270880 ) ( 2400 1270880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1090880 ) ( 2934300 1090880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 1090880 ) ( 2400 1090880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 910880 ) ( 2934300 910880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 910880 ) ( 2400 910880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 730880 ) ( 2934300 730880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 730880 ) ( 2400 730880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 550880 ) ( 2934300 550880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 550880 ) ( 2400 550880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 370880 ) ( 2934300 370880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 370880 ) ( 2400 370880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 190880 ) ( 2934300 190880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 190880 ) ( 2400 190880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 10880 ) ( 2934300 10880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 10880 ) ( 2400 10880 )
       NEW met5 3000 + SHAPE STRIPE ( -9980 -3120 ) ( 2929600 -3120 )
-      NEW met4 3000 + SHAPE STRIPE ( 2885520 3517600 ) ( 2885520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2705520 3517600 ) ( 2705520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2525520 3517600 ) ( 2525520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2345520 3517600 ) ( 2345520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2165520 3517600 ) ( 2165520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1985520 3517600 ) ( 1985520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1805520 3517600 ) ( 1805520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1625520 3517600 ) ( 1625520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1445520 3517600 ) ( 1445520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1265520 3517600 ) ( 1265520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1085520 3517600 ) ( 1085520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 905520 3517600 ) ( 905520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 725520 3517600 ) ( 725520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 545520 3517600 ) ( 545520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 365520 3517600 ) ( 365520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 185520 3517600 ) ( 185520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 5520 3517600 ) ( 5520 3528900 )
+      NEW met4 3000 + SHAPE STRIPE ( 2885520 3517600 ) ( 2885520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 2705520 3517600 ) ( 2705520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 2525520 3517600 ) ( 2525520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 2345520 3517600 ) ( 2345520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 2165520 3517600 ) ( 2165520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 1985520 3517600 ) ( 1985520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 1805520 3517600 ) ( 1805520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 1625520 3517600 ) ( 1625520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 1445520 3517600 ) ( 1445520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 1265520 3517600 ) ( 1265520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 1085520 3517600 ) ( 1085520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 905520 3517600 ) ( 905520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 725520 3517600 ) ( 725520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 545520 3517600 ) ( 545520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 365520 3517600 ) ( 365520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 185520 3517600 ) ( 185520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 5520 3517600 ) ( 5520 3529000 )
       NEW met4 3000 + SHAPE STRIPE ( 2928100 -4620 ) ( 2928100 3524300 )
       NEW met4 3000 + SHAPE STRIPE ( -8480 -4620 ) ( -8480 3524300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2885520 -9220 ) ( 2885520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2705520 -9220 ) ( 2705520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2525520 -9220 ) ( 2525520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2345520 -9220 ) ( 2345520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2165520 -9220 ) ( 2165520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1985520 -9220 ) ( 1985520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1805520 -9220 ) ( 1805520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1625520 -9220 ) ( 1625520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1445520 -9220 ) ( 1445520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1265520 -9220 ) ( 1265520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1085520 -9220 ) ( 1085520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 905520 -9220 ) ( 905520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 725520 -9220 ) ( 725520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 545520 -9220 ) ( 545520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 365520 -9220 ) ( 365520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 185520 -9220 ) ( 185520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 5520 -9220 ) ( 5520 2400 ) ;
+      NEW met4 3000 + SHAPE STRIPE ( 2885520 -9320 ) ( 2885520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2705520 -9320 ) ( 2705520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2525520 -9320 ) ( 2525520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2345520 -9320 ) ( 2345520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2165520 -9320 ) ( 2165520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1985520 -9320 ) ( 1985520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1805520 -9320 ) ( 1805520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1625520 -9320 ) ( 1625520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1445520 -9320 ) ( 1445520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1265520 -9320 ) ( 1265520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1085520 -9320 ) ( 1085520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 905520 -9320 ) ( 905520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 725520 -9320 ) ( 725520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 545520 -9320 ) ( 545520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 365520 -9320 ) ( 365520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 185520 -9320 ) ( 185520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 5520 -9320 ) ( 5520 2400 ) ;
     - vssd1 ( PIN vssd1 ) + USE GROUND
-      + ROUTED met4 0 + SHAPE STRIPE ( 2932700 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2795520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2615520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2435520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2255520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2075520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1895520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1715520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1535520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1355520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1175520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 995520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 815520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 635520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 455520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 275520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 95520 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 3527400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 3340880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 3340880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 3160880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 3160880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 2980880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 2980880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 2800880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 2800880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 2620880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 2620880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 2440880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 2440880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 2260880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 2260880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 2080880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 2080880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 1900880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 1900880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 1720880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 1720880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 1540880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 1540880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 1360880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 1360880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 1180880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 1180880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 1000880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 1000880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 820880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 820880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 640880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 640880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 460880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 460880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 280880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 280880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 100880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 100880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2932700 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2795520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2615520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2435520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2255520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2075520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1895520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1715520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1535520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1355520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1175520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 995520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 815520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 635520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 455520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 275520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 95520 -7720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -13080 -7720 ) via4_3000x3000
-      NEW met5 3000 + SHAPE STRIPE ( -14580 3527400 ) ( 2934200 3527400 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3340880 ) ( 2934200 3340880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 3340880 ) ( 2400 3340880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3160880 ) ( 2934200 3160880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 3160880 ) ( 2400 3160880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2980880 ) ( 2934200 2980880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2980880 ) ( 2400 2980880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2800880 ) ( 2934200 2800880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2800880 ) ( 2400 2800880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2620880 ) ( 2934200 2620880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2620880 ) ( 2400 2620880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2440880 ) ( 2934200 2440880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2440880 ) ( 2400 2440880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2260880 ) ( 2934200 2260880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2260880 ) ( 2400 2260880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2080880 ) ( 2934200 2080880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 2080880 ) ( 2400 2080880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1900880 ) ( 2934200 1900880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1900880 ) ( 2400 1900880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1720880 ) ( 2934200 1720880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1720880 ) ( 2400 1720880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1540880 ) ( 2934200 1540880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1540880 ) ( 2400 1540880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1360880 ) ( 2934200 1360880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1360880 ) ( 2400 1360880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1180880 ) ( 2934200 1180880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1180880 ) ( 2400 1180880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1000880 ) ( 2934200 1000880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 1000880 ) ( 2400 1000880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 820880 ) ( 2934200 820880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 820880 ) ( 2400 820880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 640880 ) ( 2934200 640880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 640880 ) ( 2400 640880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 460880 ) ( 2934200 460880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 460880 ) ( 2400 460880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 280880 ) ( 2934200 280880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 280880 ) ( 2400 280880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 100880 ) ( 2934200 100880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 100880 ) ( 2400 100880 )
-      NEW met5 3000 + SHAPE STRIPE ( -14580 -7720 ) ( 2934200 -7720 )
-      NEW met4 3000 + SHAPE STRIPE ( 2932700 -9220 ) ( 2932700 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2795520 3517600 ) ( 2795520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2615520 3517600 ) ( 2615520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2435520 3517600 ) ( 2435520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2255520 3517600 ) ( 2255520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2075520 3517600 ) ( 2075520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1895520 3517600 ) ( 1895520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1715520 3517600 ) ( 1715520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1535520 3517600 ) ( 1535520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1355520 3517600 ) ( 1355520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 1175520 3517600 ) ( 1175520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 995520 3517600 ) ( 995520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 815520 3517600 ) ( 815520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 635520 3517600 ) ( 635520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 455520 3517600 ) ( 455520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 275520 3517600 ) ( 275520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 95520 3517600 ) ( 95520 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( -13080 -9220 ) ( -13080 3528900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2795520 -9220 ) ( 2795520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2615520 -9220 ) ( 2615520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2435520 -9220 ) ( 2435520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2255520 -9220 ) ( 2255520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2075520 -9220 ) ( 2075520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1895520 -9220 ) ( 1895520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1715520 -9220 ) ( 1715520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1535520 -9220 ) ( 1535520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1355520 -9220 ) ( 1355520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1175520 -9220 ) ( 1175520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 995520 -9220 ) ( 995520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 815520 -9220 ) ( 815520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 635520 -9220 ) ( 635520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 455520 -9220 ) ( 455520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 275520 -9220 ) ( 275520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 95520 -9220 ) ( 95520 2400 ) ;
+      + ROUTED met4 0 + SHAPE STRIPE ( 2932800 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2795520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2615520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2435520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2255520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2075520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1895520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1715520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1535520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1355520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1175520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 995520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 815520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 635520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 455520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 275520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 95520 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 3527500 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 3340880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 3340880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 3160880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 3160880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 2980880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 2980880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 2800880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 2800880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 2620880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 2620880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 2440880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 2440880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 2260880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 2260880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 2080880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 2080880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 1900880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 1900880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 1720880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 1720880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 1540880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 1540880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 1360880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 1360880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 1180880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 1180880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 1000880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 1000880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 820880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 820880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 640880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 640880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 460880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 460880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 280880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 280880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 100880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 100880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2932800 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2795520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2615520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2435520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2255520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2075520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1895520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1715520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1535520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1355520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1175520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 995520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 815520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 635520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 455520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 275520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 95520 -7820 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -13180 -7820 ) via4_3000x3000
+      NEW met5 3000 + SHAPE STRIPE ( -14680 3527500 ) ( 2934300 3527500 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3340880 ) ( 2934300 3340880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 3340880 ) ( 2400 3340880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3160880 ) ( 2934300 3160880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 3160880 ) ( 2400 3160880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2980880 ) ( 2934300 2980880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 2980880 ) ( 2400 2980880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2800880 ) ( 2934300 2800880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 2800880 ) ( 2400 2800880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2620880 ) ( 2934300 2620880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 2620880 ) ( 2400 2620880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2440880 ) ( 2934300 2440880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 2440880 ) ( 2400 2440880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2260880 ) ( 2934300 2260880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 2260880 ) ( 2400 2260880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2080880 ) ( 2934300 2080880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 2080880 ) ( 2400 2080880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1900880 ) ( 2934300 1900880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 1900880 ) ( 2400 1900880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1720880 ) ( 2934300 1720880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 1720880 ) ( 2400 1720880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1540880 ) ( 2934300 1540880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 1540880 ) ( 2400 1540880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1360880 ) ( 2934300 1360880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 1360880 ) ( 2400 1360880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1180880 ) ( 2934300 1180880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 1180880 ) ( 2400 1180880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1000880 ) ( 2934300 1000880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 1000880 ) ( 2400 1000880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 820880 ) ( 2934300 820880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 820880 ) ( 2400 820880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 640880 ) ( 2934300 640880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 640880 ) ( 2400 640880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 460880 ) ( 2934300 460880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 460880 ) ( 2400 460880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 280880 ) ( 2934300 280880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 280880 ) ( 2400 280880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 100880 ) ( 2934300 100880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 100880 ) ( 2400 100880 )
+      NEW met5 3000 + SHAPE STRIPE ( -14680 -7820 ) ( 2934300 -7820 )
+      NEW met4 3000 + SHAPE STRIPE ( 2932800 -9320 ) ( 2932800 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 2795520 3517600 ) ( 2795520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 2615520 3517600 ) ( 2615520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 2435520 3517600 ) ( 2435520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 2255520 3517600 ) ( 2255520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 2075520 3517600 ) ( 2075520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 1895520 3517600 ) ( 1895520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 1715520 3517600 ) ( 1715520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 1535520 3517600 ) ( 1535520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 1355520 3517600 ) ( 1355520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 1175520 3517600 ) ( 1175520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 995520 3517600 ) ( 995520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 815520 3517600 ) ( 815520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 635520 3517600 ) ( 635520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 455520 3517600 ) ( 455520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 275520 3517600 ) ( 275520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 95520 3517600 ) ( 95520 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( -13180 -9320 ) ( -13180 3529000 )
+      NEW met4 3000 + SHAPE STRIPE ( 2795520 -9320 ) ( 2795520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2615520 -9320 ) ( 2615520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2435520 -9320 ) ( 2435520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2255520 -9320 ) ( 2255520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2075520 -9320 ) ( 2075520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1895520 -9320 ) ( 1895520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1715520 -9320 ) ( 1715520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1535520 -9320 ) ( 1535520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1355520 -9320 ) ( 1355520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1175520 -9320 ) ( 1175520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 995520 -9320 ) ( 995520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 815520 -9320 ) ( 815520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 635520 -9320 ) ( 635520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 455520 -9320 ) ( 455520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 275520 -9320 ) ( 275520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 95520 -9320 ) ( 95520 2400 ) ;
     - vccd2 ( PIN vccd2 ) + USE POWER
-      + ROUTED met4 0 + SHAPE STRIPE ( 2937300 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2903520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2723520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2543520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2363520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2183520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2003520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1823520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1643520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1463520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1283520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1103520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 923520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 743520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 563520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 383520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 203520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 23520 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 3532000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 3448880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 3448880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 3268880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 3268880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 3088880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 3088880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 2908880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 2908880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 2728880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 2728880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 2548880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 2548880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 2368880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 2368880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 2188880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 2188880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 2008880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 2008880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 1828880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 1828880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 1648880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 1648880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 1468880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 1468880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 1288880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 1288880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 1108880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 1108880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 928880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 928880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 748880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 748880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 568880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 568880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 388880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 388880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 208880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 208880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 28880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 28880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2937300 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2903520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2723520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2543520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2363520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2183520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2003520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1823520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1643520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1463520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1283520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1103520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 923520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 743520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 563520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 383520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 203520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 23520 -12320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -17680 -12320 ) via4_3000x3000
-      NEW met5 3000 + SHAPE STRIPE ( -19180 3532000 ) ( 2938800 3532000 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3448880 ) ( 2943400 3448880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 3448880 ) ( 2400 3448880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3268880 ) ( 2943400 3268880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 3268880 ) ( 2400 3268880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3088880 ) ( 2943400 3088880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 3088880 ) ( 2400 3088880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2908880 ) ( 2943400 2908880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2908880 ) ( 2400 2908880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2728880 ) ( 2943400 2728880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2728880 ) ( 2400 2728880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2548880 ) ( 2943400 2548880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2548880 ) ( 2400 2548880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2368880 ) ( 2943400 2368880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2368880 ) ( 2400 2368880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2188880 ) ( 2943400 2188880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2188880 ) ( 2400 2188880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2008880 ) ( 2943400 2008880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2008880 ) ( 2400 2008880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1828880 ) ( 2943400 1828880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1828880 ) ( 2400 1828880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1648880 ) ( 2943400 1648880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1648880 ) ( 2400 1648880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1468880 ) ( 2943400 1468880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1468880 ) ( 2400 1468880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1288880 ) ( 2943400 1288880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1288880 ) ( 2400 1288880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1108880 ) ( 2943400 1108880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1108880 ) ( 2400 1108880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 928880 ) ( 2943400 928880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 928880 ) ( 2400 928880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 748880 ) ( 2943400 748880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 748880 ) ( 2400 748880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 568880 ) ( 2943400 568880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 568880 ) ( 2400 568880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 388880 ) ( 2943400 388880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 388880 ) ( 2400 388880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 208880 ) ( 2943400 208880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 208880 ) ( 2400 208880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 28880 ) ( 2943400 28880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 28880 ) ( 2400 28880 )
-      NEW met5 3000 + SHAPE STRIPE ( -19180 -12320 ) ( 2938800 -12320 )
-      NEW met4 3000 + SHAPE STRIPE ( 2903520 3517600 ) ( 2903520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2723520 3517600 ) ( 2723520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2543520 3517600 ) ( 2543520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2363520 3517600 ) ( 2363520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2183520 3517600 ) ( 2183520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2003520 3517600 ) ( 2003520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1823520 3517600 ) ( 1823520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1643520 3517600 ) ( 1643520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1463520 3517600 ) ( 1463520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1283520 3517600 ) ( 1283520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1103520 3517600 ) ( 1103520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 923520 3517600 ) ( 923520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 743520 3517600 ) ( 743520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 563520 3517600 ) ( 563520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 383520 3517600 ) ( 383520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 203520 3517600 ) ( 203520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 23520 3517600 ) ( 23520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2937300 -13820 ) ( 2937300 3533500 )
-      NEW met4 3000 + SHAPE STRIPE ( -17680 -13820 ) ( -17680 3533500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2903520 -18420 ) ( 2903520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2723520 -18420 ) ( 2723520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2543520 -18420 ) ( 2543520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2363520 -18420 ) ( 2363520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2183520 -18420 ) ( 2183520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2003520 -18420 ) ( 2003520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1823520 -18420 ) ( 1823520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1643520 -18420 ) ( 1643520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1463520 -18420 ) ( 1463520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1283520 -18420 ) ( 1283520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1103520 -18420 ) ( 1103520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 923520 -18420 ) ( 923520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 743520 -18420 ) ( 743520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 563520 -18420 ) ( 563520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 383520 -18420 ) ( 383520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 203520 -18420 ) ( 203520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 23520 -18420 ) ( 23520 2400 ) ;
+      + ROUTED met4 0 + SHAPE STRIPE ( 2937500 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2903520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2723520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2543520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2363520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2183520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2003520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1823520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1643520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1463520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1283520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1103520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 923520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 743520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 563520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 383520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 203520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 23520 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 3532200 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 3448880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 3448880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 3268880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 3268880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 3088880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 3088880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 2908880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 2908880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 2728880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 2728880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 2548880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 2548880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 2368880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 2368880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 2188880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 2188880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 2008880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 2008880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 1828880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 1828880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 1648880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 1648880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 1468880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 1468880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 1288880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 1288880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 1108880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 1108880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 928880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 928880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 748880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 748880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 568880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 568880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 388880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 388880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 208880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 208880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 28880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 28880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2937500 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2903520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2723520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2543520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2363520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2183520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2003520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1823520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1643520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1463520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1283520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1103520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 923520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 743520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 563520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 383520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 203520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 23520 -12520 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -17880 -12520 ) via4_3000x3000
+      NEW met5 3000 + SHAPE STRIPE ( -19380 3532200 ) ( 2939000 3532200 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3448880 ) ( 2943700 3448880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 3448880 ) ( 2400 3448880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3268880 ) ( 2943700 3268880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 3268880 ) ( 2400 3268880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3088880 ) ( 2943700 3088880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 3088880 ) ( 2400 3088880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2908880 ) ( 2943700 2908880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 2908880 ) ( 2400 2908880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2728880 ) ( 2943700 2728880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 2728880 ) ( 2400 2728880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2548880 ) ( 2943700 2548880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 2548880 ) ( 2400 2548880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2368880 ) ( 2943700 2368880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 2368880 ) ( 2400 2368880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2188880 ) ( 2943700 2188880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 2188880 ) ( 2400 2188880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2008880 ) ( 2943700 2008880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 2008880 ) ( 2400 2008880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1828880 ) ( 2943700 1828880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 1828880 ) ( 2400 1828880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1648880 ) ( 2943700 1648880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 1648880 ) ( 2400 1648880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1468880 ) ( 2943700 1468880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 1468880 ) ( 2400 1468880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1288880 ) ( 2943700 1288880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 1288880 ) ( 2400 1288880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1108880 ) ( 2943700 1108880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 1108880 ) ( 2400 1108880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 928880 ) ( 2943700 928880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 928880 ) ( 2400 928880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 748880 ) ( 2943700 748880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 748880 ) ( 2400 748880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 568880 ) ( 2943700 568880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 568880 ) ( 2400 568880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 388880 ) ( 2943700 388880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 388880 ) ( 2400 388880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 208880 ) ( 2943700 208880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 208880 ) ( 2400 208880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 28880 ) ( 2943700 28880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 28880 ) ( 2400 28880 )
+      NEW met5 3000 + SHAPE STRIPE ( -19380 -12520 ) ( 2939000 -12520 )
+      NEW met4 3000 + SHAPE STRIPE ( 2903520 3517600 ) ( 2903520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2723520 3517600 ) ( 2723520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2543520 3517600 ) ( 2543520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2363520 3517600 ) ( 2363520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2183520 3517600 ) ( 2183520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2003520 3517600 ) ( 2003520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1823520 3517600 ) ( 1823520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1643520 3517600 ) ( 1643520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1463520 3517600 ) ( 1463520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1283520 3517600 ) ( 1283520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1103520 3517600 ) ( 1103520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 923520 3517600 ) ( 923520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 743520 3517600 ) ( 743520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 563520 3517600 ) ( 563520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 383520 3517600 ) ( 383520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 203520 3517600 ) ( 203520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 23520 3517600 ) ( 23520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2937500 -14020 ) ( 2937500 3533700 )
+      NEW met4 3000 + SHAPE STRIPE ( -17880 -14020 ) ( -17880 3533700 )
+      NEW met4 3000 + SHAPE STRIPE ( 2903520 -18720 ) ( 2903520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2723520 -18720 ) ( 2723520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2543520 -18720 ) ( 2543520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2363520 -18720 ) ( 2363520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2183520 -18720 ) ( 2183520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2003520 -18720 ) ( 2003520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1823520 -18720 ) ( 1823520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1643520 -18720 ) ( 1643520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1463520 -18720 ) ( 1463520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1283520 -18720 ) ( 1283520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1103520 -18720 ) ( 1103520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 923520 -18720 ) ( 923520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 743520 -18720 ) ( 743520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 563520 -18720 ) ( 563520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 383520 -18720 ) ( 383520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 203520 -18720 ) ( 203520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 23520 -18720 ) ( 23520 2400 ) ;
     - vssd2 ( PIN vssd2 ) + USE GROUND
-      + ROUTED met4 0 + SHAPE STRIPE ( 2941900 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2813520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2633520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2453520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2273520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2093520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1913520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1733520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1553520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1373520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1193520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1013520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 833520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 653520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 473520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 293520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 113520 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 3536600 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 3358880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 3358880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 3178880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 3178880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 2998880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 2998880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 2818880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 2818880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 2638880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 2638880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 2458880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 2458880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 2278880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 2278880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 2098880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 2098880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 1918880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 1918880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 1738880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 1738880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 1558880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 1558880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 1378880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 1378880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 1198880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 1198880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 1018880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 1018880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 838880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 838880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 658880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 658880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 478880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 478880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 298880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 298880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 118880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 118880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2941900 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2813520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2633520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2453520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2273520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2093520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1913520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1733520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1553520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1373520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1193520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1013520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 833520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 653520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 473520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 293520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 113520 -16920 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -22280 -16920 ) via4_3000x3000
-      NEW met5 3000 + SHAPE STRIPE ( -23780 3536600 ) ( 2943400 3536600 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3358880 ) ( 2943400 3358880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 3358880 ) ( 2400 3358880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3178880 ) ( 2943400 3178880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 3178880 ) ( 2400 3178880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2998880 ) ( 2943400 2998880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2998880 ) ( 2400 2998880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2818880 ) ( 2943400 2818880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2818880 ) ( 2400 2818880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2638880 ) ( 2943400 2638880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2638880 ) ( 2400 2638880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2458880 ) ( 2943400 2458880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2458880 ) ( 2400 2458880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2278880 ) ( 2943400 2278880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2278880 ) ( 2400 2278880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2098880 ) ( 2943400 2098880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 2098880 ) ( 2400 2098880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1918880 ) ( 2943400 1918880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1918880 ) ( 2400 1918880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1738880 ) ( 2943400 1738880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1738880 ) ( 2400 1738880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1558880 ) ( 2943400 1558880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1558880 ) ( 2400 1558880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1378880 ) ( 2943400 1378880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1378880 ) ( 2400 1378880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1198880 ) ( 2943400 1198880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1198880 ) ( 2400 1198880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1018880 ) ( 2943400 1018880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 1018880 ) ( 2400 1018880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 838880 ) ( 2943400 838880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 838880 ) ( 2400 838880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 658880 ) ( 2943400 658880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 658880 ) ( 2400 658880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 478880 ) ( 2943400 478880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 478880 ) ( 2400 478880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 298880 ) ( 2943400 298880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 298880 ) ( 2400 298880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 118880 ) ( 2943400 118880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 118880 ) ( 2400 118880 )
-      NEW met5 3000 + SHAPE STRIPE ( -23780 -16920 ) ( 2943400 -16920 )
-      NEW met4 3000 + SHAPE STRIPE ( 2941900 -18420 ) ( 2941900 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2813520 3517600 ) ( 2813520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2633520 3517600 ) ( 2633520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2453520 3517600 ) ( 2453520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2273520 3517600 ) ( 2273520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2093520 3517600 ) ( 2093520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1913520 3517600 ) ( 1913520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1733520 3517600 ) ( 1733520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1553520 3517600 ) ( 1553520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1373520 3517600 ) ( 1373520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1193520 3517600 ) ( 1193520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 1013520 3517600 ) ( 1013520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 833520 3517600 ) ( 833520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 653520 3517600 ) ( 653520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 473520 3517600 ) ( 473520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 293520 3517600 ) ( 293520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 113520 3517600 ) ( 113520 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( -22280 -18420 ) ( -22280 3538100 )
-      NEW met4 3000 + SHAPE STRIPE ( 2813520 -18420 ) ( 2813520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2633520 -18420 ) ( 2633520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2453520 -18420 ) ( 2453520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2273520 -18420 ) ( 2273520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2093520 -18420 ) ( 2093520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1913520 -18420 ) ( 1913520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1733520 -18420 ) ( 1733520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1553520 -18420 ) ( 1553520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1373520 -18420 ) ( 1373520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1193520 -18420 ) ( 1193520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1013520 -18420 ) ( 1013520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 833520 -18420 ) ( 833520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 653520 -18420 ) ( 653520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 473520 -18420 ) ( 473520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 293520 -18420 ) ( 293520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 113520 -18420 ) ( 113520 2400 ) ;
+      + ROUTED met4 0 + SHAPE STRIPE ( 2942200 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2813520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2633520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2453520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2273520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2093520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1913520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1733520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1553520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1373520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1193520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1013520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 833520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 653520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 473520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 293520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 113520 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 3536900 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 3358880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 3358880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 3178880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 3178880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 2998880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 2998880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 2818880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 2818880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 2638880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 2638880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 2458880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 2458880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 2278880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 2278880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 2098880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 2098880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 1918880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 1918880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 1738880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 1738880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 1558880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 1558880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 1378880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 1378880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 1198880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 1198880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 1018880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 1018880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 838880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 838880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 658880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 658880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 478880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 478880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 298880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 298880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 118880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 118880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2942200 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2813520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2633520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2453520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2273520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2093520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1913520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1733520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1553520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1373520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1193520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1013520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 833520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 653520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 473520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 293520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 113520 -17220 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -22580 -17220 ) via4_3000x3000
+      NEW met5 3000 + SHAPE STRIPE ( -24080 3536900 ) ( 2943700 3536900 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3358880 ) ( 2943700 3358880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 3358880 ) ( 2400 3358880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3178880 ) ( 2943700 3178880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 3178880 ) ( 2400 3178880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2998880 ) ( 2943700 2998880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 2998880 ) ( 2400 2998880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2818880 ) ( 2943700 2818880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 2818880 ) ( 2400 2818880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2638880 ) ( 2943700 2638880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 2638880 ) ( 2400 2638880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2458880 ) ( 2943700 2458880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 2458880 ) ( 2400 2458880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2278880 ) ( 2943700 2278880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 2278880 ) ( 2400 2278880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2098880 ) ( 2943700 2098880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 2098880 ) ( 2400 2098880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1918880 ) ( 2943700 1918880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 1918880 ) ( 2400 1918880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1738880 ) ( 2943700 1738880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 1738880 ) ( 2400 1738880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1558880 ) ( 2943700 1558880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 1558880 ) ( 2400 1558880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1378880 ) ( 2943700 1378880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 1378880 ) ( 2400 1378880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1198880 ) ( 2943700 1198880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 1198880 ) ( 2400 1198880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1018880 ) ( 2943700 1018880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 1018880 ) ( 2400 1018880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 838880 ) ( 2943700 838880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 838880 ) ( 2400 838880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 658880 ) ( 2943700 658880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 658880 ) ( 2400 658880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 478880 ) ( 2943700 478880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 478880 ) ( 2400 478880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 298880 ) ( 2943700 298880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 298880 ) ( 2400 298880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 118880 ) ( 2943700 118880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 118880 ) ( 2400 118880 )
+      NEW met5 3000 + SHAPE STRIPE ( -24080 -17220 ) ( 2943700 -17220 )
+      NEW met4 3000 + SHAPE STRIPE ( 2942200 -18720 ) ( 2942200 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2813520 3517600 ) ( 2813520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2633520 3517600 ) ( 2633520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2453520 3517600 ) ( 2453520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2273520 3517600 ) ( 2273520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2093520 3517600 ) ( 2093520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1913520 3517600 ) ( 1913520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1733520 3517600 ) ( 1733520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1553520 3517600 ) ( 1553520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1373520 3517600 ) ( 1373520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1193520 3517600 ) ( 1193520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1013520 3517600 ) ( 1013520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 833520 3517600 ) ( 833520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 653520 3517600 ) ( 653520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 473520 3517600 ) ( 473520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 293520 3517600 ) ( 293520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 113520 3517600 ) ( 113520 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( -22580 -18720 ) ( -22580 3538400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2813520 -18720 ) ( 2813520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2633520 -18720 ) ( 2633520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2453520 -18720 ) ( 2453520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2273520 -18720 ) ( 2273520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2093520 -18720 ) ( 2093520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1913520 -18720 ) ( 1913520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1733520 -18720 ) ( 1733520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1553520 -18720 ) ( 1553520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1373520 -18720 ) ( 1373520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1193520 -18720 ) ( 1193520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1013520 -18720 ) ( 1013520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 833520 -18720 ) ( 833520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 653520 -18720 ) ( 653520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 473520 -18720 ) ( 473520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 293520 -18720 ) ( 293520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 113520 -18720 ) ( 113520 2400 ) ;
     - vdda1 ( PIN vdda1 ) + USE POWER
-      + ROUTED met4 0 + SHAPE STRIPE ( 2946500 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2741520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2561520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2381520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2201520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2021520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1841520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1661520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1481520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1301520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1121520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 941520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 761520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 581520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 401520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 221520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 41520 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 3541200 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 3466880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 3466880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 3286880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 3286880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 3106880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 3106880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 2926880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 2926880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 2746880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 2746880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 2566880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 2566880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 2386880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 2386880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 2206880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 2206880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 2026880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 2026880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 1846880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 1846880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 1666880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 1666880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 1486880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 1486880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 1306880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 1306880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 1126880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 1126880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 946880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 946880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 766880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 766880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 586880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 586880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 406880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 406880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 226880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 226880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 46880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 46880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2946500 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2741520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2561520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2381520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2201520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2021520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1841520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1661520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1481520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1301520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1121520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 941520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 761520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 581520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 401520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 221520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 41520 -21520 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -26880 -21520 ) via4_3000x3000
-      NEW met5 3000 + SHAPE STRIPE ( -28380 3541200 ) ( 2948000 3541200 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3466880 ) ( 2952600 3466880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 3466880 ) ( 2400 3466880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3286880 ) ( 2952600 3286880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 3286880 ) ( 2400 3286880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3106880 ) ( 2952600 3106880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 3106880 ) ( 2400 3106880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2926880 ) ( 2952600 2926880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2926880 ) ( 2400 2926880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2746880 ) ( 2952600 2746880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2746880 ) ( 2400 2746880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2566880 ) ( 2952600 2566880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2566880 ) ( 2400 2566880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2386880 ) ( 2952600 2386880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2386880 ) ( 2400 2386880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2206880 ) ( 2952600 2206880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2206880 ) ( 2400 2206880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2026880 ) ( 2952600 2026880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2026880 ) ( 2400 2026880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1846880 ) ( 2952600 1846880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1846880 ) ( 2400 1846880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1666880 ) ( 2952600 1666880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1666880 ) ( 2400 1666880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1486880 ) ( 2952600 1486880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1486880 ) ( 2400 1486880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1306880 ) ( 2952600 1306880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1306880 ) ( 2400 1306880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1126880 ) ( 2952600 1126880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1126880 ) ( 2400 1126880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 946880 ) ( 2952600 946880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 946880 ) ( 2400 946880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 766880 ) ( 2952600 766880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 766880 ) ( 2400 766880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 586880 ) ( 2952600 586880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 586880 ) ( 2400 586880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 406880 ) ( 2952600 406880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 406880 ) ( 2400 406880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 226880 ) ( 2952600 226880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 226880 ) ( 2400 226880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 46880 ) ( 2952600 46880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 46880 ) ( 2400 46880 )
-      NEW met5 3000 + SHAPE STRIPE ( -28380 -21520 ) ( 2948000 -21520 )
-      NEW met4 3000 + SHAPE STRIPE ( 2741520 3517600 ) ( 2741520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2561520 3517600 ) ( 2561520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2381520 3517600 ) ( 2381520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2201520 3517600 ) ( 2201520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2021520 3517600 ) ( 2021520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1841520 3517600 ) ( 1841520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1661520 3517600 ) ( 1661520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1481520 3517600 ) ( 1481520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1301520 3517600 ) ( 1301520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1121520 3517600 ) ( 1121520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 941520 3517600 ) ( 941520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 761520 3517600 ) ( 761520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 581520 3517600 ) ( 581520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 401520 3517600 ) ( 401520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 221520 3517600 ) ( 221520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 41520 3517600 ) ( 41520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2946500 -23020 ) ( 2946500 3542700 )
-      NEW met4 3000 + SHAPE STRIPE ( -26880 -23020 ) ( -26880 3542700 )
-      NEW met4 3000 + SHAPE STRIPE ( 2741520 -27620 ) ( 2741520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2561520 -27620 ) ( 2561520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2381520 -27620 ) ( 2381520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2201520 -27620 ) ( 2201520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2021520 -27620 ) ( 2021520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1841520 -27620 ) ( 1841520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1661520 -27620 ) ( 1661520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1481520 -27620 ) ( 1481520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1301520 -27620 ) ( 1301520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1121520 -27620 ) ( 1121520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 941520 -27620 ) ( 941520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 761520 -27620 ) ( 761520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 581520 -27620 ) ( 581520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 401520 -27620 ) ( 401520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 221520 -27620 ) ( 221520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 41520 -27620 ) ( 41520 2400 ) ;
+      + ROUTED met4 0 + SHAPE STRIPE ( 2946900 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2741520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2561520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2381520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2201520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2021520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1841520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1661520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1481520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1301520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1121520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 941520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 761520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 581520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 401520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 221520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 41520 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 3541600 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 3466880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 3466880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 3286880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 3286880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 3106880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 3106880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 2926880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 2926880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 2746880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 2746880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 2566880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 2566880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 2386880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 2386880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 2206880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 2206880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 2026880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 2026880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 1846880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 1846880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 1666880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 1666880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 1486880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 1486880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 1306880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 1306880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 1126880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 1126880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 946880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 946880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 766880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 766880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 586880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 586880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 406880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 406880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 226880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 226880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 46880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 46880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2946900 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2741520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2561520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2381520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2201520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2021520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1841520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1661520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1481520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1301520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1121520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 941520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 761520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 581520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 401520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 221520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 41520 -21920 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -27280 -21920 ) via4_3000x3000
+      NEW met5 3000 + SHAPE STRIPE ( -28780 3541600 ) ( 2948400 3541600 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3466880 ) ( 2953100 3466880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 3466880 ) ( 2400 3466880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3286880 ) ( 2953100 3286880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 3286880 ) ( 2400 3286880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3106880 ) ( 2953100 3106880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 3106880 ) ( 2400 3106880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2926880 ) ( 2953100 2926880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 2926880 ) ( 2400 2926880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2746880 ) ( 2953100 2746880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 2746880 ) ( 2400 2746880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2566880 ) ( 2953100 2566880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 2566880 ) ( 2400 2566880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2386880 ) ( 2953100 2386880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 2386880 ) ( 2400 2386880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2206880 ) ( 2953100 2206880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 2206880 ) ( 2400 2206880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2026880 ) ( 2953100 2026880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 2026880 ) ( 2400 2026880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1846880 ) ( 2953100 1846880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 1846880 ) ( 2400 1846880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1666880 ) ( 2953100 1666880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 1666880 ) ( 2400 1666880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1486880 ) ( 2953100 1486880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 1486880 ) ( 2400 1486880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1306880 ) ( 2953100 1306880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 1306880 ) ( 2400 1306880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1126880 ) ( 2953100 1126880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 1126880 ) ( 2400 1126880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 946880 ) ( 2953100 946880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 946880 ) ( 2400 946880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 766880 ) ( 2953100 766880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 766880 ) ( 2400 766880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 586880 ) ( 2953100 586880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 586880 ) ( 2400 586880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 406880 ) ( 2953100 406880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 406880 ) ( 2400 406880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 226880 ) ( 2953100 226880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 226880 ) ( 2400 226880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 46880 ) ( 2953100 46880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 46880 ) ( 2400 46880 )
+      NEW met5 3000 + SHAPE STRIPE ( -28780 -21920 ) ( 2948400 -21920 )
+      NEW met4 3000 + SHAPE STRIPE ( 2741520 3517600 ) ( 2741520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 2561520 3517600 ) ( 2561520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 2381520 3517600 ) ( 2381520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 2201520 3517600 ) ( 2201520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 2021520 3517600 ) ( 2021520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 1841520 3517600 ) ( 1841520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 1661520 3517600 ) ( 1661520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 1481520 3517600 ) ( 1481520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 1301520 3517600 ) ( 1301520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 1121520 3517600 ) ( 1121520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 941520 3517600 ) ( 941520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 761520 3517600 ) ( 761520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 581520 3517600 ) ( 581520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 401520 3517600 ) ( 401520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 221520 3517600 ) ( 221520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 41520 3517600 ) ( 41520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 2946900 -23420 ) ( 2946900 3543100 )
+      NEW met4 3000 + SHAPE STRIPE ( -27280 -23420 ) ( -27280 3543100 )
+      NEW met4 3000 + SHAPE STRIPE ( 2741520 -28120 ) ( 2741520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2561520 -28120 ) ( 2561520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2381520 -28120 ) ( 2381520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2201520 -28120 ) ( 2201520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2021520 -28120 ) ( 2021520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1841520 -28120 ) ( 1841520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1661520 -28120 ) ( 1661520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1481520 -28120 ) ( 1481520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1301520 -28120 ) ( 1301520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1121520 -28120 ) ( 1121520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 941520 -28120 ) ( 941520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 761520 -28120 ) ( 761520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 581520 -28120 ) ( 581520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 401520 -28120 ) ( 401520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 221520 -28120 ) ( 221520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 41520 -28120 ) ( 41520 2400 ) ;
     - vssa1 ( PIN vssa1 ) + USE GROUND
-      + ROUTED met4 0 + SHAPE STRIPE ( 2951100 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2831520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2651520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2471520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2291520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2111520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1931520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1751520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1571520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1391520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1211520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1031520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 851520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 671520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 491520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 311520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 131520 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 3545800 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 3376880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 3376880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 3196880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 3196880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 3016880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 3016880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 2836880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 2836880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 2656880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 2656880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 2476880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 2476880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 2296880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 2296880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 2116880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 2116880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 1936880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 1936880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 1756880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 1756880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 1576880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 1576880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 1396880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 1396880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 1216880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 1216880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 1036880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 1036880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 856880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 856880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 676880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 676880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 496880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 496880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 316880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 316880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 136880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 136880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2951100 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2831520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2651520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2471520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2291520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2111520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1931520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1751520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1571520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1391520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1211520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1031520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 851520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 671520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 491520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 311520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 131520 -26120 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -31480 -26120 ) via4_3000x3000
-      NEW met5 3000 + SHAPE STRIPE ( -32980 3545800 ) ( 2952600 3545800 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3376880 ) ( 2952600 3376880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 3376880 ) ( 2400 3376880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3196880 ) ( 2952600 3196880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 3196880 ) ( 2400 3196880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3016880 ) ( 2952600 3016880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 3016880 ) ( 2400 3016880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2836880 ) ( 2952600 2836880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2836880 ) ( 2400 2836880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2656880 ) ( 2952600 2656880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2656880 ) ( 2400 2656880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2476880 ) ( 2952600 2476880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2476880 ) ( 2400 2476880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2296880 ) ( 2952600 2296880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2296880 ) ( 2400 2296880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2116880 ) ( 2952600 2116880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 2116880 ) ( 2400 2116880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1936880 ) ( 2952600 1936880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1936880 ) ( 2400 1936880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1756880 ) ( 2952600 1756880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1756880 ) ( 2400 1756880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1576880 ) ( 2952600 1576880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1576880 ) ( 2400 1576880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1396880 ) ( 2952600 1396880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1396880 ) ( 2400 1396880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1216880 ) ( 2952600 1216880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1216880 ) ( 2400 1216880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1036880 ) ( 2952600 1036880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 1036880 ) ( 2400 1036880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 856880 ) ( 2952600 856880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 856880 ) ( 2400 856880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 676880 ) ( 2952600 676880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 676880 ) ( 2400 676880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 496880 ) ( 2952600 496880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 496880 ) ( 2400 496880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 316880 ) ( 2952600 316880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 316880 ) ( 2400 316880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 136880 ) ( 2952600 136880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 136880 ) ( 2400 136880 )
-      NEW met5 3000 + SHAPE STRIPE ( -32980 -26120 ) ( 2952600 -26120 )
-      NEW met4 3000 + SHAPE STRIPE ( 2951100 -27620 ) ( 2951100 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2831520 3517600 ) ( 2831520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2651520 3517600 ) ( 2651520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2471520 3517600 ) ( 2471520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2291520 3517600 ) ( 2291520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2111520 3517600 ) ( 2111520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1931520 3517600 ) ( 1931520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1751520 3517600 ) ( 1751520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1571520 3517600 ) ( 1571520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1391520 3517600 ) ( 1391520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1211520 3517600 ) ( 1211520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 1031520 3517600 ) ( 1031520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 851520 3517600 ) ( 851520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 671520 3517600 ) ( 671520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 491520 3517600 ) ( 491520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 311520 3517600 ) ( 311520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 131520 3517600 ) ( 131520 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( -31480 -27620 ) ( -31480 3547300 )
-      NEW met4 3000 + SHAPE STRIPE ( 2831520 -27620 ) ( 2831520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2651520 -27620 ) ( 2651520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2471520 -27620 ) ( 2471520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2291520 -27620 ) ( 2291520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2111520 -27620 ) ( 2111520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1931520 -27620 ) ( 1931520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1751520 -27620 ) ( 1751520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1571520 -27620 ) ( 1571520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1391520 -27620 ) ( 1391520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1211520 -27620 ) ( 1211520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1031520 -27620 ) ( 1031520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 851520 -27620 ) ( 851520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 671520 -27620 ) ( 671520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 491520 -27620 ) ( 491520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 311520 -27620 ) ( 311520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 131520 -27620 ) ( 131520 2400 ) ;
+      + ROUTED met4 0 + SHAPE STRIPE ( 2951600 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2831520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2651520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2471520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2291520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2111520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1931520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1751520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1571520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1391520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1211520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1031520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 851520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 671520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 491520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 311520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 131520 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 3546300 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 3376880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 3376880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 3196880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 3196880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 3016880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 3016880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 2836880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 2836880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 2656880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 2656880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 2476880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 2476880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 2296880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 2296880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 2116880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 2116880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 1936880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 1936880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 1756880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 1756880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 1576880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 1576880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 1396880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 1396880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 1216880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 1216880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 1036880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 1036880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 856880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 856880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 676880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 676880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 496880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 496880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 316880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 316880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 136880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 136880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2951600 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2831520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2651520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2471520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2291520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2111520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1931520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1751520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1571520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1391520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1211520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1031520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 851520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 671520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 491520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 311520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 131520 -26620 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -31980 -26620 ) via4_3000x3000
+      NEW met5 3000 + SHAPE STRIPE ( -33480 3546300 ) ( 2953100 3546300 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3376880 ) ( 2953100 3376880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 3376880 ) ( 2400 3376880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3196880 ) ( 2953100 3196880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 3196880 ) ( 2400 3196880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3016880 ) ( 2953100 3016880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 3016880 ) ( 2400 3016880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2836880 ) ( 2953100 2836880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 2836880 ) ( 2400 2836880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2656880 ) ( 2953100 2656880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 2656880 ) ( 2400 2656880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2476880 ) ( 2953100 2476880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 2476880 ) ( 2400 2476880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2296880 ) ( 2953100 2296880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 2296880 ) ( 2400 2296880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2116880 ) ( 2953100 2116880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 2116880 ) ( 2400 2116880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1936880 ) ( 2953100 1936880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 1936880 ) ( 2400 1936880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1756880 ) ( 2953100 1756880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 1756880 ) ( 2400 1756880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1576880 ) ( 2953100 1576880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 1576880 ) ( 2400 1576880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1396880 ) ( 2953100 1396880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 1396880 ) ( 2400 1396880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1216880 ) ( 2953100 1216880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 1216880 ) ( 2400 1216880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1036880 ) ( 2953100 1036880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 1036880 ) ( 2400 1036880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 856880 ) ( 2953100 856880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 856880 ) ( 2400 856880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 676880 ) ( 2953100 676880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 676880 ) ( 2400 676880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 496880 ) ( 2953100 496880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 496880 ) ( 2400 496880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 316880 ) ( 2953100 316880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 316880 ) ( 2400 316880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 136880 ) ( 2953100 136880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 136880 ) ( 2400 136880 )
+      NEW met5 3000 + SHAPE STRIPE ( -33480 -26620 ) ( 2953100 -26620 )
+      NEW met4 3000 + SHAPE STRIPE ( 2951600 -28120 ) ( 2951600 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 2831520 3517600 ) ( 2831520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 2651520 3517600 ) ( 2651520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 2471520 3517600 ) ( 2471520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 2291520 3517600 ) ( 2291520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 2111520 3517600 ) ( 2111520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 1931520 3517600 ) ( 1931520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 1751520 3517600 ) ( 1751520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 1571520 3517600 ) ( 1571520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 1391520 3517600 ) ( 1391520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 1211520 3517600 ) ( 1211520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 1031520 3517600 ) ( 1031520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 851520 3517600 ) ( 851520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 671520 3517600 ) ( 671520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 491520 3517600 ) ( 491520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 311520 3517600 ) ( 311520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 131520 3517600 ) ( 131520 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( -31980 -28120 ) ( -31980 3547800 )
+      NEW met4 3000 + SHAPE STRIPE ( 2831520 -28120 ) ( 2831520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2651520 -28120 ) ( 2651520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2471520 -28120 ) ( 2471520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2291520 -28120 ) ( 2291520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2111520 -28120 ) ( 2111520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1931520 -28120 ) ( 1931520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1751520 -28120 ) ( 1751520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1571520 -28120 ) ( 1571520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1391520 -28120 ) ( 1391520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1211520 -28120 ) ( 1211520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1031520 -28120 ) ( 1031520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 851520 -28120 ) ( 851520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 671520 -28120 ) ( 671520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 491520 -28120 ) ( 491520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 311520 -28120 ) ( 311520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 131520 -28120 ) ( 131520 2400 ) ;
     - vdda2 ( PIN vdda2 ) + USE POWER
-      + ROUTED met4 0 + SHAPE STRIPE ( 2955700 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2759520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2579520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2399520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2219520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2039520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1859520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1679520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1499520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1319520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1139520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 959520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 779520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 599520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 419520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 239520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 59520 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 3550400 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 3484880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 3484880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 3304880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 3304880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 3124880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 3124880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 2944880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 2944880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 2764880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 2764880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 2584880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 2584880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 2404880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 2404880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 2224880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 2224880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 2044880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 2044880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 1864880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 1864880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 1684880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 1684880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 1504880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 1504880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 1324880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 1324880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 1144880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 1144880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 964880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 964880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 784880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 784880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 604880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 604880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 424880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 424880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 244880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 244880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 64880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 64880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2955700 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2759520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2579520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2399520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2219520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2039520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1859520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1679520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1499520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1319520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1139520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 959520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 779520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 599520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 419520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 239520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 59520 -30720 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -36080 -30720 ) via4_3000x3000
-      NEW met5 3000 + SHAPE STRIPE ( -37580 3550400 ) ( 2957200 3550400 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3484880 ) ( 2961800 3484880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 3484880 ) ( 2400 3484880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3304880 ) ( 2961800 3304880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 3304880 ) ( 2400 3304880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3124880 ) ( 2961800 3124880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 3124880 ) ( 2400 3124880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2944880 ) ( 2961800 2944880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2944880 ) ( 2400 2944880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2764880 ) ( 2961800 2764880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2764880 ) ( 2400 2764880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2584880 ) ( 2961800 2584880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2584880 ) ( 2400 2584880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2404880 ) ( 2961800 2404880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2404880 ) ( 2400 2404880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2224880 ) ( 2961800 2224880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2224880 ) ( 2400 2224880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2044880 ) ( 2961800 2044880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2044880 ) ( 2400 2044880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1864880 ) ( 2961800 1864880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1864880 ) ( 2400 1864880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1684880 ) ( 2961800 1684880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1684880 ) ( 2400 1684880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1504880 ) ( 2961800 1504880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1504880 ) ( 2400 1504880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1324880 ) ( 2961800 1324880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1324880 ) ( 2400 1324880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1144880 ) ( 2961800 1144880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1144880 ) ( 2400 1144880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 964880 ) ( 2961800 964880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 964880 ) ( 2400 964880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 784880 ) ( 2961800 784880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 784880 ) ( 2400 784880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 604880 ) ( 2961800 604880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 604880 ) ( 2400 604880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 424880 ) ( 2961800 424880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 424880 ) ( 2400 424880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 244880 ) ( 2961800 244880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 244880 ) ( 2400 244880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 64880 ) ( 2961800 64880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 64880 ) ( 2400 64880 )
-      NEW met5 3000 + SHAPE STRIPE ( -37580 -30720 ) ( 2957200 -30720 )
-      NEW met4 3000 + SHAPE STRIPE ( 2759520 3517600 ) ( 2759520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2579520 3517600 ) ( 2579520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2399520 3517600 ) ( 2399520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2219520 3517600 ) ( 2219520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2039520 3517600 ) ( 2039520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1859520 3517600 ) ( 1859520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1679520 3517600 ) ( 1679520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1499520 3517600 ) ( 1499520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1319520 3517600 ) ( 1319520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1139520 3517600 ) ( 1139520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 959520 3517600 ) ( 959520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 779520 3517600 ) ( 779520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 599520 3517600 ) ( 599520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 419520 3517600 ) ( 419520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 239520 3517600 ) ( 239520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 59520 3517600 ) ( 59520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2955700 -32220 ) ( 2955700 3551900 )
-      NEW met4 3000 + SHAPE STRIPE ( -36080 -32220 ) ( -36080 3551900 )
-      NEW met4 3000 + SHAPE STRIPE ( 2759520 -36820 ) ( 2759520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2579520 -36820 ) ( 2579520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2399520 -36820 ) ( 2399520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2219520 -36820 ) ( 2219520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2039520 -36820 ) ( 2039520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1859520 -36820 ) ( 1859520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1679520 -36820 ) ( 1679520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1499520 -36820 ) ( 1499520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1319520 -36820 ) ( 1319520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1139520 -36820 ) ( 1139520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 959520 -36820 ) ( 959520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 779520 -36820 ) ( 779520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 599520 -36820 ) ( 599520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 419520 -36820 ) ( 419520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 239520 -36820 ) ( 239520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 59520 -36820 ) ( 59520 2400 ) ;
+      + ROUTED met4 0 + SHAPE STRIPE ( 2956300 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2759520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2579520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2399520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2219520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2039520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1859520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1679520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1499520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1319520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1139520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 959520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 779520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 599520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 419520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 239520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 59520 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 3551000 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 3484880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 3484880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 3304880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 3304880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 3124880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 3124880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 2944880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 2944880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 2764880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 2764880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 2584880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 2584880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 2404880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 2404880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 2224880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 2224880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 2044880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 2044880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 1864880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 1864880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 1684880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 1684880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 1504880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 1504880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 1324880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 1324880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 1144880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 1144880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 964880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 964880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 784880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 784880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 604880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 604880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 424880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 424880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 244880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 244880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 64880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 64880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2956300 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2759520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2579520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2399520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2219520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2039520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1859520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1679520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1499520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1319520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1139520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 959520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 779520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 599520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 419520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 239520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 59520 -31320 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -36680 -31320 ) via4_3000x3000
+      NEW met5 3000 + SHAPE STRIPE ( -38180 3551000 ) ( 2957800 3551000 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3484880 ) ( 2962500 3484880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 3484880 ) ( 2400 3484880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3304880 ) ( 2962500 3304880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 3304880 ) ( 2400 3304880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3124880 ) ( 2962500 3124880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 3124880 ) ( 2400 3124880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2944880 ) ( 2962500 2944880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 2944880 ) ( 2400 2944880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2764880 ) ( 2962500 2764880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 2764880 ) ( 2400 2764880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2584880 ) ( 2962500 2584880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 2584880 ) ( 2400 2584880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2404880 ) ( 2962500 2404880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 2404880 ) ( 2400 2404880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2224880 ) ( 2962500 2224880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 2224880 ) ( 2400 2224880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2044880 ) ( 2962500 2044880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 2044880 ) ( 2400 2044880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1864880 ) ( 2962500 1864880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 1864880 ) ( 2400 1864880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1684880 ) ( 2962500 1684880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 1684880 ) ( 2400 1684880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1504880 ) ( 2962500 1504880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 1504880 ) ( 2400 1504880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1324880 ) ( 2962500 1324880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 1324880 ) ( 2400 1324880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1144880 ) ( 2962500 1144880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 1144880 ) ( 2400 1144880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 964880 ) ( 2962500 964880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 964880 ) ( 2400 964880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 784880 ) ( 2962500 784880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 784880 ) ( 2400 784880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 604880 ) ( 2962500 604880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 604880 ) ( 2400 604880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 424880 ) ( 2962500 424880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 424880 ) ( 2400 424880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 244880 ) ( 2962500 244880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 244880 ) ( 2400 244880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 64880 ) ( 2962500 64880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 64880 ) ( 2400 64880 )
+      NEW met5 3000 + SHAPE STRIPE ( -38180 -31320 ) ( 2957800 -31320 )
+      NEW met4 3000 + SHAPE STRIPE ( 2759520 3517600 ) ( 2759520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 2579520 3517600 ) ( 2579520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 2399520 3517600 ) ( 2399520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 2219520 3517600 ) ( 2219520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 2039520 3517600 ) ( 2039520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 1859520 3517600 ) ( 1859520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 1679520 3517600 ) ( 1679520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 1499520 3517600 ) ( 1499520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 1319520 3517600 ) ( 1319520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 1139520 3517600 ) ( 1139520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 959520 3517600 ) ( 959520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 779520 3517600 ) ( 779520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 599520 3517600 ) ( 599520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 419520 3517600 ) ( 419520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 239520 3517600 ) ( 239520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 59520 3517600 ) ( 59520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 2956300 -32820 ) ( 2956300 3552500 )
+      NEW met4 3000 + SHAPE STRIPE ( -36680 -32820 ) ( -36680 3552500 )
+      NEW met4 3000 + SHAPE STRIPE ( 2759520 -37520 ) ( 2759520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2579520 -37520 ) ( 2579520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2399520 -37520 ) ( 2399520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2219520 -37520 ) ( 2219520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2039520 -37520 ) ( 2039520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1859520 -37520 ) ( 1859520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1679520 -37520 ) ( 1679520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1499520 -37520 ) ( 1499520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1319520 -37520 ) ( 1319520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1139520 -37520 ) ( 1139520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 959520 -37520 ) ( 959520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 779520 -37520 ) ( 779520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 599520 -37520 ) ( 599520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 419520 -37520 ) ( 419520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 239520 -37520 ) ( 239520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 59520 -37520 ) ( 59520 2400 ) ;
     - vssa2 ( PIN vssa2 ) + USE GROUND
-      + ROUTED met4 0 + SHAPE STRIPE ( 2960300 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2849520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2669520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2489520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2309520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2129520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1949520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1769520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1589520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1409520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1229520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1049520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 869520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 689520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 509520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 329520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 149520 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 3555000 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 3394880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 3394880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 3214880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 3214880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 3034880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 3034880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 2854880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 2854880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 2674880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 2674880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 2494880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 2494880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 2314880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 2314880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 2134880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 2134880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 1954880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 1954880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 1774880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 1774880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 1594880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 1594880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 1414880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 1414880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 1234880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 1234880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 1054880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 1054880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 874880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 874880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 694880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 694880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 514880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 514880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 334880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 334880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 154880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 154880 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2960300 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2849520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2669520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2489520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2309520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 2129520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1949520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1769520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1589520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1409520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1229520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 1049520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 869520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 689520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 509520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 329520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( 149520 -35320 ) via4_3000x3000
-      NEW met4 0 + SHAPE STRIPE ( -40680 -35320 ) via4_3000x3000
-      NEW met5 3000 + SHAPE STRIPE ( -42180 3555000 ) ( 2961800 3555000 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3394880 ) ( 2961800 3394880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 3394880 ) ( 2400 3394880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3214880 ) ( 2961800 3214880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 3214880 ) ( 2400 3214880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 3034880 ) ( 2961800 3034880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 3034880 ) ( 2400 3034880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2854880 ) ( 2961800 2854880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2854880 ) ( 2400 2854880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2674880 ) ( 2961800 2674880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2674880 ) ( 2400 2674880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2494880 ) ( 2961800 2494880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2494880 ) ( 2400 2494880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2314880 ) ( 2961800 2314880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2314880 ) ( 2400 2314880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 2134880 ) ( 2961800 2134880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 2134880 ) ( 2400 2134880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1954880 ) ( 2961800 1954880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1954880 ) ( 2400 1954880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1774880 ) ( 2961800 1774880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1774880 ) ( 2400 1774880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1594880 ) ( 2961800 1594880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1594880 ) ( 2400 1594880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1414880 ) ( 2961800 1414880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1414880 ) ( 2400 1414880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1234880 ) ( 2961800 1234880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1234880 ) ( 2400 1234880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 1054880 ) ( 2961800 1054880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 1054880 ) ( 2400 1054880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 874880 ) ( 2961800 874880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 874880 ) ( 2400 874880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 694880 ) ( 2961800 694880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 694880 ) ( 2400 694880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 514880 ) ( 2961800 514880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 514880 ) ( 2400 514880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 334880 ) ( 2961800 334880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 334880 ) ( 2400 334880 )
-      NEW met5 3000 + SHAPE STRIPE ( 2917600 154880 ) ( 2961800 154880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 154880 ) ( 2400 154880 )
-      NEW met5 3000 + SHAPE STRIPE ( -42180 -35320 ) ( 2961800 -35320 )
-      NEW met4 3000 + SHAPE STRIPE ( 2960300 -36820 ) ( 2960300 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2849520 3517600 ) ( 2849520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2669520 3517600 ) ( 2669520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2489520 3517600 ) ( 2489520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2309520 3517600 ) ( 2309520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2129520 3517600 ) ( 2129520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1949520 3517600 ) ( 1949520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1769520 3517600 ) ( 1769520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1589520 3517600 ) ( 1589520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1409520 3517600 ) ( 1409520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1229520 3517600 ) ( 1229520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 1049520 3517600 ) ( 1049520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 869520 3517600 ) ( 869520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 689520 3517600 ) ( 689520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 509520 3517600 ) ( 509520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 329520 3517600 ) ( 329520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 149520 3517600 ) ( 149520 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( -40680 -36820 ) ( -40680 3556500 )
-      NEW met4 3000 + SHAPE STRIPE ( 2849520 -36820 ) ( 2849520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2669520 -36820 ) ( 2669520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2489520 -36820 ) ( 2489520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2309520 -36820 ) ( 2309520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 2129520 -36820 ) ( 2129520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1949520 -36820 ) ( 1949520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1769520 -36820 ) ( 1769520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1589520 -36820 ) ( 1589520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1409520 -36820 ) ( 1409520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1229520 -36820 ) ( 1229520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 1049520 -36820 ) ( 1049520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 869520 -36820 ) ( 869520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 689520 -36820 ) ( 689520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 509520 -36820 ) ( 509520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 329520 -36820 ) ( 329520 2400 )
-      NEW met4 3000 + SHAPE STRIPE ( 149520 -36820 ) ( 149520 2400 ) ;
+      + ROUTED met4 0 + SHAPE STRIPE ( 2961000 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2849520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2669520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2489520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2309520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2129520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1949520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1769520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1589520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1409520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1229520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1049520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 869520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 689520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 509520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 329520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 149520 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 3555700 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 3394880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 3394880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 3214880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 3214880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 3034880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 3034880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 2854880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 2854880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 2674880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 2674880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 2494880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 2494880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 2314880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 2314880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 2134880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 2134880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 1954880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 1954880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 1774880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 1774880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 1594880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 1594880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 1414880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 1414880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 1234880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 1234880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 1054880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 1054880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 874880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 874880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 694880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 694880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 514880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 514880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 334880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 334880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 154880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 154880 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2961000 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2849520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2669520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2489520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2309520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 2129520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1949520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1769520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1589520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1409520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1229520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 1049520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 869520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 689520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 509520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 329520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( 149520 -36020 ) via4_3000x3000
+      NEW met4 0 + SHAPE STRIPE ( -41380 -36020 ) via4_3000x3000
+      NEW met5 3000 + SHAPE STRIPE ( -42880 3555700 ) ( 2962500 3555700 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3394880 ) ( 2962500 3394880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 3394880 ) ( 2400 3394880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3214880 ) ( 2962500 3214880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 3214880 ) ( 2400 3214880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 3034880 ) ( 2962500 3034880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 3034880 ) ( 2400 3034880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2854880 ) ( 2962500 2854880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 2854880 ) ( 2400 2854880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2674880 ) ( 2962500 2674880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 2674880 ) ( 2400 2674880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2494880 ) ( 2962500 2494880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 2494880 ) ( 2400 2494880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2314880 ) ( 2962500 2314880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 2314880 ) ( 2400 2314880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 2134880 ) ( 2962500 2134880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 2134880 ) ( 2400 2134880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1954880 ) ( 2962500 1954880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 1954880 ) ( 2400 1954880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1774880 ) ( 2962500 1774880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 1774880 ) ( 2400 1774880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1594880 ) ( 2962500 1594880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 1594880 ) ( 2400 1594880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1414880 ) ( 2962500 1414880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 1414880 ) ( 2400 1414880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1234880 ) ( 2962500 1234880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 1234880 ) ( 2400 1234880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 1054880 ) ( 2962500 1054880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 1054880 ) ( 2400 1054880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 874880 ) ( 2962500 874880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 874880 ) ( 2400 874880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 694880 ) ( 2962500 694880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 694880 ) ( 2400 694880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 514880 ) ( 2962500 514880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 514880 ) ( 2400 514880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 334880 ) ( 2962500 334880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 334880 ) ( 2400 334880 )
+      NEW met5 3000 + SHAPE STRIPE ( 2917600 154880 ) ( 2962500 154880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 154880 ) ( 2400 154880 )
+      NEW met5 3000 + SHAPE STRIPE ( -42880 -36020 ) ( 2962500 -36020 )
+      NEW met4 3000 + SHAPE STRIPE ( 2961000 -37520 ) ( 2961000 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 2849520 3517600 ) ( 2849520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 2669520 3517600 ) ( 2669520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 2489520 3517600 ) ( 2489520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 2309520 3517600 ) ( 2309520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 2129520 3517600 ) ( 2129520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 1949520 3517600 ) ( 1949520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 1769520 3517600 ) ( 1769520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 1589520 3517600 ) ( 1589520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 1409520 3517600 ) ( 1409520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 1229520 3517600 ) ( 1229520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 1049520 3517600 ) ( 1049520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 869520 3517600 ) ( 869520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 689520 3517600 ) ( 689520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 509520 3517600 ) ( 509520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 329520 3517600 ) ( 329520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 149520 3517600 ) ( 149520 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( -41380 -37520 ) ( -41380 3557200 )
+      NEW met4 3000 + SHAPE STRIPE ( 2849520 -37520 ) ( 2849520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2669520 -37520 ) ( 2669520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2489520 -37520 ) ( 2489520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2309520 -37520 ) ( 2309520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 2129520 -37520 ) ( 2129520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1949520 -37520 ) ( 1949520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1769520 -37520 ) ( 1769520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1589520 -37520 ) ( 1589520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1409520 -37520 ) ( 1409520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1229520 -37520 ) ( 1229520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 1049520 -37520 ) ( 1049520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 869520 -37520 ) ( 869520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 689520 -37520 ) ( 689520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 509520 -37520 ) ( 509520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 329520 -37520 ) ( 329520 2400 )
+      NEW met4 3000 + SHAPE STRIPE ( 149520 -37520 ) ( 149520 2400 ) ;
 END SPECIALNETS
 END DESIGN
diff --git a/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/tmp/synthesis/hierarchy.dot b/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/tmp/synthesis/hierarchy.dot
index 38c548b..dfdef27 100644
--- a/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/tmp/synthesis/hierarchy.dot
+++ b/openlane/user_project_wrapper_empty/runs/user_project_wrapper_empty/tmp/synthesis/hierarchy.dot
@@ -2,55 +2,39 @@
 label="user_project_wrapper";
 rankdir="LR";
 remincross=true;
-n1 [ shape=diamond, label="vssd2", color="black", fontcolor="black" ];
-n2 [ shape=diamond, label="vssd1", color="black", fontcolor="black" ];
-n3 [ shape=diamond, label="vccd2", color="black", fontcolor="black" ];
-n4 [ shape=diamond, label="vccd1", color="black", fontcolor="black" ];
-n5 [ shape=diamond, label="vssa2", color="black", fontcolor="black" ];
-n6 [ shape=diamond, label="vssa1", color="black", fontcolor="black" ];
-n7 [ shape=diamond, label="vdda2", color="black", fontcolor="black" ];
-n8 [ shape=diamond, label="vdda1", color="black", fontcolor="black" ];
-n9 [ shape=octagon, label="user_clock2", color="black", fontcolor="black" ];
-n10 [ shape=octagon, label="analog_io", color="black", fontcolor="black" ];
-n11 [ shape=octagon, label="io_oeb", color="black", fontcolor="black" ];
-n12 [ shape=octagon, label="io_out", color="black", fontcolor="black" ];
-n13 [ shape=octagon, label="io_in", color="black", fontcolor="black" ];
-n14 [ shape=octagon, label="la_oen", color="black", fontcolor="black" ];
-n15 [ shape=octagon, label="la_data_out", color="black", fontcolor="black" ];
-n16 [ shape=octagon, label="la_data_in", color="black", fontcolor="black" ];
-n17 [ shape=octagon, label="wbs_dat_o", color="black", fontcolor="black" ];
-n18 [ shape=octagon, label="wbs_ack_o", color="black", fontcolor="black" ];
-n19 [ shape=octagon, label="wbs_adr_i", color="black", fontcolor="black" ];
-n20 [ shape=octagon, label="wbs_dat_i", color="black", fontcolor="black" ];
-n21 [ shape=octagon, label="wbs_sel_i", color="black", fontcolor="black" ];
-n22 [ shape=octagon, label="wbs_we_i", color="black", fontcolor="black" ];
-n23 [ shape=octagon, label="wbs_cyc_i", color="black", fontcolor="black" ];
-n24 [ shape=octagon, label="wbs_stb_i", color="black", fontcolor="black" ];
-n25 [ shape=octagon, label="wb_rst_i", color="black", fontcolor="black" ];
-n26 [ shape=octagon, label="wb_clk_i", color="black", fontcolor="black" ];
-c27 [ shape=record, label="{{<p13> io_in|<p16> la_data_in|<p14> la_oen|<p26> wb_clk_i|<p25> wb_rst_i|<p19> wbs_adr_i|<p23> wbs_cyc_i|<p20> wbs_dat_i|<p21> wbs_sel_i|<p24> wbs_stb_i|<p22> wbs_we_i}|mprj\nuser_proj_example|{<p11> io_oeb|<p12> io_out|<p15> la_data_out|<p4> vccd1|<p3> vccd2|<p8> vdda1|<p7> vdda2|<p6> vssa1|<p5> vssa2|<p2> vssd1|<p1> vssd2|<p18> wbs_ack_o|<p17> wbs_dat_o}}" ];
-c27:p1:e -> n1:w [color="black", label=""];
-c27:p11:e -> n11:w [color="black", style="setlinewidth(3)", label=""];
-c27:p12:e -> n12:w [color="black", style="setlinewidth(3)", label=""];
-n13:e -> c27:p13:w [color="black", style="setlinewidth(3)", label=""];
-n14:e -> c27:p14:w [color="black", style="setlinewidth(3)", label=""];
-c27:p15:e -> n15:w [color="black", style="setlinewidth(3)", label=""];
-n16:e -> c27:p16:w [color="black", style="setlinewidth(3)", label=""];
-c27:p17:e -> n17:w [color="black", style="setlinewidth(3)", label=""];
-c27:p18:e -> n18:w [color="black", label=""];
-n19:e -> c27:p19:w [color="black", style="setlinewidth(3)", label=""];
-c27:p2:e -> n2:w [color="black", label=""];
-n20:e -> c27:p20:w [color="black", style="setlinewidth(3)", label=""];
-n21:e -> c27:p21:w [color="black", style="setlinewidth(3)", label=""];
-n22:e -> c27:p22:w [color="black", label=""];
-n23:e -> c27:p23:w [color="black", label=""];
-n24:e -> c27:p24:w [color="black", label=""];
-n25:e -> c27:p25:w [color="black", label=""];
-n26:e -> c27:p26:w [color="black", label=""];
-c27:p3:e -> n3:w [color="black", label=""];
-c27:p4:e -> n4:w [color="black", label=""];
-c27:p5:e -> n5:w [color="black", label=""];
-c27:p6:e -> n6:w [color="black", label=""];
-c27:p7:e -> n7:w [color="black", label=""];
-c27:p8:e -> n8:w [color="black", label=""];
+n1 [ shape=octagon, label="user_clock2", color="black", fontcolor="black" ];
+n2 [ shape=octagon, label="analog_io", color="black", fontcolor="black" ];
+n3 [ shape=octagon, label="io_oeb", color="black", fontcolor="black" ];
+n4 [ shape=octagon, label="io_out", color="black", fontcolor="black" ];
+n5 [ shape=octagon, label="io_in", color="black", fontcolor="black" ];
+n6 [ shape=octagon, label="la_oen", color="black", fontcolor="black" ];
+n7 [ shape=octagon, label="la_data_out", color="black", fontcolor="black" ];
+n8 [ shape=octagon, label="la_data_in", color="black", fontcolor="black" ];
+n9 [ shape=octagon, label="wbs_dat_o", color="black", fontcolor="black" ];
+n10 [ shape=octagon, label="wbs_ack_o", color="black", fontcolor="black" ];
+n11 [ shape=octagon, label="wbs_adr_i", color="black", fontcolor="black" ];
+n12 [ shape=octagon, label="wbs_dat_i", color="black", fontcolor="black" ];
+n13 [ shape=octagon, label="wbs_sel_i", color="black", fontcolor="black" ];
+n14 [ shape=octagon, label="wbs_we_i", color="black", fontcolor="black" ];
+n15 [ shape=octagon, label="wbs_cyc_i", color="black", fontcolor="black" ];
+n16 [ shape=octagon, label="wbs_stb_i", color="black", fontcolor="black" ];
+n17 [ shape=octagon, label="wb_rst_i", color="black", fontcolor="black" ];
+n18 [ shape=octagon, label="wb_clk_i", color="black", fontcolor="black" ];
+c19 [ shape=record, label="{{<p5> io_in|<p8> la_data_in|<p6> la_oen|<p18> wb_clk_i|<p17> wb_rst_i|<p11> wbs_adr_i|<p15> wbs_cyc_i|<p12> wbs_dat_i|<p13> wbs_sel_i|<p16> wbs_stb_i|<p14> wbs_we_i}|mprj\nuser_proj_example|{<p3> io_oeb|<p4> io_out|<p7> la_data_out|<p10> wbs_ack_o|<p9> wbs_dat_o}}" ];
+c19:p10:e -> n10:w [color="black", label=""];
+n11:e -> c19:p11:w [color="black", style="setlinewidth(3)", label=""];
+n12:e -> c19:p12:w [color="black", style="setlinewidth(3)", label=""];
+n13:e -> c19:p13:w [color="black", style="setlinewidth(3)", label=""];
+n14:e -> c19:p14:w [color="black", label=""];
+n15:e -> c19:p15:w [color="black", label=""];
+n16:e -> c19:p16:w [color="black", label=""];
+n17:e -> c19:p17:w [color="black", label=""];
+n18:e -> c19:p18:w [color="black", label=""];
+c19:p3:e -> n3:w [color="black", style="setlinewidth(3)", label=""];
+c19:p4:e -> n4:w [color="black", style="setlinewidth(3)", label=""];
+n5:e -> c19:p5:w [color="black", style="setlinewidth(3)", label=""];
+n6:e -> c19:p6:w [color="black", style="setlinewidth(3)", label=""];
+c19:p7:e -> n7:w [color="black", style="setlinewidth(3)", label=""];
+n8:e -> c19:p8:w [color="black", style="setlinewidth(3)", label=""];
+c19:p9:e -> n9:w [color="black", style="setlinewidth(3)", label=""];
 }